From 29f098a6fcdb5dac0dfa3bc72799cfaf3e84d003 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Andr=C3=A9=20Sintzoff?= Date: Wed, 23 Apr 2025 09:12:52 +0200 Subject: [PATCH 1/5] CV32A60X: add coverage txt report --- .../Code_coverage/html/urgReport/asserts.txt | 143 + .../html/urgReport/dashboard.txt | 39 + ...fication Master Plan1.1002.-1932874869.txt | 40 + ...ification Master Plan1.1007.1878417100.txt | 40 + ...ification Master Plan1.1012.1394741773.txt | 40 + ...rification Master Plan1.1017.911066446.txt | 40 + ...rification Master Plan1.1022.427391119.txt | 40 + ...rification Master Plan1.1027.-56284208.txt | 40 + ...ification Master Plan1.1032.-539959535.txt | 40 + ...fication Master Plan1.1037.-1023634862.txt | 40 + ...rification Master Plan1.104.-288824778.txt | 37 + ...rification Master Plan1.1042.396419610.txt | 40 + ...fication Master Plan1.1047.-1712613639.txt | 40 + ...rification Master Plan1.1052.473320408.txt | 40 + ...fication Master Plan1.1057.-1635712841.txt | 40 + ...rification Master Plan1.1062.550221206.txt | 40 + ...fication Master Plan1.1067.-1558812043.txt | 40 + ...rification Master Plan1.1072.627122004.txt | 40 + ...fication Master Plan1.1077.-1481911245.txt | 40 + ...rification Master Plan1.1082.704022802.txt | 40 + ...fication Master Plan1.1087.-1405010447.txt | 40 + ...ification Master Plan1.109.-1084507509.txt | 37 + ...rification Master Plan1.1092.-87255717.txt | 40 + ...ification Master Plan1.1097.2098678330.txt | 40 + ...erification Master Plan1.11.1907128736.txt | 70 + ...rification Master Plan1.1102.-10354919.txt | 40 + ...fication Master Plan1.1107.-2119388168.txt | 40 + ...erification Master Plan1.1112.66545879.txt | 40 + ...fication Master Plan1.1117.-2042487370.txt | 40 + ...rification Master Plan1.1122.143446677.txt | 40 + ...fication Master Plan1.1127.-1965586572.txt | 40 + ...rification Master Plan1.1132.220347475.txt | 40 + ...fication Master Plan1.1137.-1888685774.txt | 40 + ...ification Master Plan1.114.-2058400558.txt | 37 + ...ification Master Plan1.1142.-570931044.txt | 40 + ...ification Master Plan1.1147.1615003003.txt | 40 + ...ification Master Plan1.1152.-494030246.txt | 40 + ...ification Master Plan1.1157.1691903801.txt | 40 + ...ification Master Plan1.1162.-417129448.txt | 40 + ...ification Master Plan1.1167.1768804599.txt | 40 + ...ification Master Plan1.1172.-340228650.txt | 40 + ...ification Master Plan1.1177.1845705397.txt | 40 + ...ification Master Plan1.1182.-263327852.txt | 40 + ...ification Master Plan1.1187.1922606195.txt | 40 + ...rification Master Plan1.119.1450712135.txt | 37 + ...fication Master Plan1.1192.-1054606371.txt | 40 + ...ification Master Plan1.1197.1131327676.txt | 40 + ...ification Master Plan1.1202.-977705573.txt | 40 + ...ification Master Plan1.1207.1208228474.txt | 40 + ...ification Master Plan1.1212.-900804775.txt | 40 + ...ification Master Plan1.1217.1285129272.txt | 40 + ...ification Master Plan1.1222.-823903977.txt | 40 + ...ification Master Plan1.1227.1362030070.txt | 40 + ...ification Master Plan1.1232.-747003179.txt | 40 + ...ification Master Plan1.1237.1438930868.txt | 40 + ...ification Master Plan1.124.-1638494306.txt | 37 + ...fication Master Plan1.1242.-1538281698.txt | 40 + ...rification Master Plan1.1247.647652349.txt | 40 + ...fication Master Plan1.1252.-1461380900.txt | 40 + ...rification Master Plan1.1257.724553147.txt | 40 + ...fication Master Plan1.1262.-1384480102.txt | 40 + ...rification Master Plan1.1267.801453945.txt | 40 + ...fication Master Plan1.1272.-1307579304.txt | 40 + ...rification Master Plan1.1277.878354743.txt | 40 + ...fication Master Plan1.1282.-1230678506.txt | 40 + ...rification Master Plan1.1287.955255541.txt | 40 + ...ification Master Plan1.129.-1186884177.txt | 37 + ...fication Master Plan1.1292.-2021957025.txt | 40 + ...rification Master Plan1.1297.163977022.txt | 40 + ...fication Master Plan1.1302.-1945056227.txt | 40 + ...rification Master Plan1.1307.240877820.txt | 40 + ...fication Master Plan1.1312.-1179308714.txt | 40 + ...fication Master Plan1.1317.-1146885941.txt | 40 + ...ification Master Plan1.1322.1242754284.txt | 40 + ...ification Master Plan1.1327.2109806509.txt | 40 + ...fication Master Plan1.1332.-1318108562.txt | 40 + ...ification Master Plan1.1337.-451056337.txt | 40 + ...rification Master Plan1.134.-455422472.txt | 37 + ...rification Master Plan1.1342.415995888.txt | 40 + ...ification Master Plan1.1347.1283048113.txt | 40 + ...fication Master Plan1.1352.-2144866958.txt | 40 + ...ification Master Plan1.1357.1192171834.txt | 40 + ...fication Master Plan1.1362.-1993980263.txt | 40 + ...ification Master Plan1.1367.-885165064.txt | 40 + ...rification Master Plan1.1372.223650135.txt | 40 + ...ification Master Plan1.1377.1332465334.txt | 40 + ...fication Master Plan1.1382.-1853686763.txt | 40 + ...ification Master Plan1.1387.-744871564.txt | 40 + ...ification Master Plan1.139.-1586534205.txt | 37 + ...rification Master Plan1.1392.363943635.txt | 40 + ...ification Master Plan1.1397.1472758834.txt | 40 + ...Verification Master Plan1.14.620102707.txt | 37 + ...fication Master Plan1.1402.-1713393263.txt | 40 + ...ification Master Plan1.1407.2059224059.txt | 40 + ...fication Master Plan1.1412.-1126928038.txt | 40 + ...rification Master Plan1.1417.-18112839.txt | 40 + ...ification Master Plan1.1422.1090702360.txt | 40 + ...fication Master Plan1.1427.-2095449737.txt | 40 + ...ification Master Plan1.1432.-986634538.txt | 40 + ...rification Master Plan1.1437.122180661.txt | 40 + ...ification Master Plan1.144.-1749712899.txt | 37 + ...ification Master Plan1.1442.1230995860.txt | 40 + ...fication Master Plan1.1447.-1955156237.txt | 40 + ...ification Master Plan1.1452.-846341038.txt | 40 + ...fication Master Plan1.1457.-1368691012.txt | 40 + ...ification Master Plan1.1462.-259875813.txt | 40 + ...fication Master Plan1.1467.-1194305010.txt | 40 + ...ification Master Plan1.1472.1621542787.txt | 40 + ...ification Master Plan1.1477.-526930012.txt | 40 + ...rification Master Plan1.1482.340122213.txt | 40 + ...ification Master Plan1.1487.1207174438.txt | 40 + ...ification Master Plan1.149.-1508823099.txt | 37 + ...ification Master Plan1.1492.2074226663.txt | 40 + ...fication Master Plan1.1497.-1353688408.txt | 40 + ...ification Master Plan1.1502.-486636183.txt | 40 + ...rification Master Plan1.1507.380416042.txt | 40 + ...fication Master Plan1.1512.-2128433790.txt | 40 + ...fication Master Plan1.1517.-1019618591.txt | 40 + ...erification Master Plan1.1522.89196608.txt | 40 + ...ification Master Plan1.1527.1198011807.txt | 40 + ...fication Master Plan1.1532.-1988140290.txt | 40 + ...ification Master Plan1.1537.-879325091.txt | 40 + ...rification Master Plan1.154.-308346724.txt | 37 + ...rification Master Plan1.1542.229490108.txt | 40 + ...ification Master Plan1.1547.1338305307.txt | 40 + ...fication Master Plan1.1552.-1847846790.txt | 40 + ...ification Master Plan1.1557.-739031591.txt | 40 + ...fication Master Plan1.1562.-1261381565.txt | 40 + ...ification Master Plan1.1567.-152566366.txt | 40 + ...rification Master Plan1.1572.956248833.txt | 40 + ...ification Master Plan1.1577.2065064032.txt | 40 + ...fication Master Plan1.1582.-1121088065.txt | 40 + ...rification Master Plan1.1587.-12272866.txt | 40 + ...erification Master Plan1.159.336392141.txt | 37 + ...ification Master Plan1.1592.1096542333.txt | 40 + ...fication Master Plan1.1597.-2089609764.txt | 40 + ...ification Master Plan1.1602.-980794565.txt | 40 + ...rification Master Plan1.1607.128020634.txt | 40 + ...ification Master Plan1.1612.-394329340.txt | 40 + ...rification Master Plan1.1617.714485859.txt | 40 + ...ification Master Plan1.1622.-915302387.txt | 35 + ...fication Master Plan1.1627.-1508803777.txt | 35 + ...ification Master Plan1.1632.-198221801.txt | 35 + ...ification Master Plan1.1637.-291254790.txt | 35 + ...rification Master Plan1.164.1536868516.txt | 37 + ...ification Master Plan1.1642.1712498972.txt | 35 + ...ification Master Plan1.1648.1715255831.txt | 31 + ...fication Master Plan1.1650.-1615011303.txt | 40 + ...rification Master Plan1.1655.388819141.txt | 35 + ...fication Master Plan1.1661.-1212680387.txt | 27 + ...erification Master Plan1.1662.30950871.txt | 110 + ...rification Master Plan1.1667.718258809.txt | 36 + ...fication Master Plan1.1668.-1989064918.txt | 35 + ...fication Master Plan1.1673.-1626953329.txt | 35 + ...ification Master Plan1.1678.-539000018.txt | 35 + ...rification Master Plan1.1683.354486216.txt | 35 + ...ification Master Plan1.1688.2025572939.txt | 35 + ...rification Master Plan1.169.1389942713.txt | 37 + ...ification Master Plan1.1693.1216085482.txt | 35 + ...ification Master Plan1.1698.2055450286.txt | 35 + ...erification Master Plan1.1703.28181615.txt | 35 + ...ification Master Plan1.1708.1359513124.txt | 35 + ...fication Master Plan1.1713.-1356273533.txt | 35 + ...rification Master Plan1.1718.307886606.txt | 35 + ...ification Master Plan1.1726.1895599623.txt | 35 + ...rification Master Plan1.174.1440031256.txt | 37 + ...rification Master Plan1.179.1159536372.txt | 37 + ...ification Master Plan1.184.-1934954549.txt | 37 + ...ification Master Plan1.189.-1672001793.txt | 37 + ...erification Master Plan1.19.1820579082.txt | 37 + ...rification Master Plan1.194.-471525418.txt | 37 + ...erification Master Plan1.199.234857106.txt | 37 + ...erification Master Plan1.204.-10175986.txt | 37 + ...ification Master Plan1.209.-1798651508.txt | 37 + ...ification Master Plan1.214.-1249992531.txt | 37 + ...erification Master Plan1.219.-49516156.txt | 37 + ...rification Master Plan1.225.1535310756.txt | 39 + ...rification Master Plan1.227.-657025433.txt | 35 + ...ification Master Plan1.232.-1469918018.txt | 35 + ...erification Master Plan1.237.446613682.txt | 35 + ...Verification Master Plan1.24.348138217.txt | 37 + ...rification Master Plan1.242.1016747323.txt | 35 + ...rification Master Plan1.247.1274264346.txt | 35 + ...erification Master Plan1.252.693240786.txt | 35 + ...rification Master Plan1.257.1502736112.txt | 35 + ...erification Master Plan1.262.546314983.txt | 35 + ...rification Master Plan1.267.1970309744.txt | 35 + ...rification Master Plan1.272.1157417159.txt | 35 + ...ification Master Plan1.277.-1221018437.txt | 35 + ...rification Master Plan1.282.-650884796.txt | 35 + ...erification Master Plan1.288.317372058.txt | 54 + ...erification Master Plan1.29.1548614592.txt | 37 + ...rification Master Plan1.290.-969653971.txt | 35 + ...erification Master Plan1.295.647123143.txt | 35 + ...erification Master Plan1.300.546158210.txt | 35 + ...erification Master Plan1.305.230822404.txt | 35 + ...ification Master Plan1.310.-1241618461.txt | 35 + ...erification Master Plan1.315.-41142086.txt | 35 + ...rification Master Plan1.320.2140388416.txt | 35 + ...rification Master Plan1.325.1275281163.txt | 35 + ...erification Master Plan1.330.391243442.txt | 35 + ...erification Master Plan1.335.496959478.txt | 35 + ...rification Master Plan1.34.-1063089350.txt | 37 + ...rification Master Plan1.340.1226349537.txt | 35 + ...rification Master Plan1.345.1280456143.txt | 35 + ...ification Master Plan1.350.-1733149340.txt | 35 + ...erification Master Plan1.355.241705743.txt | 35 + ...erification Master Plan1.360.646810060.txt | 35 + ...rification Master Plan1.365.-139044543.txt | 35 + ...rification Master Plan1.370.1537526596.txt | 35 + ...rification Master Plan1.375.1826937251.txt | 35 + ...erification Master Plan1.380.933413659.txt | 35 + ...rification Master Plan1.385.1518326441.txt | 35 + ...rification Master Plan1.39.-1986026400.txt | 37 + ...ification Master Plan1.390.-1898103402.txt | 35 + ...erification Master Plan1.395.770256069.txt | 35 + ...ification Master Plan1.400.-2061282096.txt | 35 + ...ification Master Plan1.405.-1354899572.txt | 35 + ...ification Master Plan1.410.-1599932664.txt | 35 + ...Verification Master Plan1.415.76638475.txt | 35 + ...rification Master Plan1.420.1455218087.txt | 35 + ...ification Master Plan1.426.-1197325014.txt | 33 + ...Verification Master Plan1.428.15189327.txt | 35 + ...erification Master Plan1.433.674584806.txt | 35 + ...erification Master Plan1.438.866318687.txt | 35 + ...erification Master Plan1.44.-513274862.txt | 37 + ...rification Master Plan1.443.1525714166.txt | 35 + ...ification Master Plan1.448.-1068382621.txt | 35 + ...rification Master Plan1.453.-408987142.txt | 35 + ...rification Master Plan1.459.1792242064.txt | 39 + ...Verification Master Plan1.462.34745222.txt | 35 + ...erification Master Plan1.467.706250680.txt | 35 + ...rification Master Plan1.472.2136656754.txt | 35 + ...erification Master Plan1.477.165429169.txt | 35 + ...rification Master Plan1.482.1595835243.txt | 35 + ...ification Master Plan1.487.-1329573675.txt | 35 + ...Verification Master Plan1.49.590364253.txt | 37 + ...ification Master Plan1.492.-1248386061.txt | 35 + ...erification Master Plan1.497.850678957.txt | 35 + ...ification Master Plan1.502.-1936133375.txt | 35 + ...erification Master Plan1.507.162931643.txt | 35 + ...erification Master Plan1.512.309857446.txt | 35 + ...erification Master Plan1.518.194308399.txt | 31 + ...erification Master Plan1.520.582010247.txt | 28 + ...erification Master Plan1.521.569821498.txt | 35 + ...rification Master Plan1.526.1013432921.txt | 35 + ...rification Master Plan1.531.1457044344.txt | 35 + ...rification Master Plan1.537.1536239142.txt | 43 + ...ification Master Plan1.538.-1391010357.txt | 35 + ...erification Master Plan1.54.-410704004.txt | 37 + ...erification Master Plan1.543.-21033776.txt | 35 + ...erification Master Plan1.548.663961317.txt | 35 + ...erification Master Plan1.553.783583650.txt | 35 + ...rification Master Plan1.558.1468578743.txt | 35 + ...erification Master Plan1.563.850727529.txt | 35 + ...erification Master Plan1.568.797936069.txt | 35 + ...rification Master Plan1.573.-835362689.txt | 35 + ...rification Master Plan1.578.1398832429.txt | 35 + ...ification Master Plan1.583.-1965059704.txt | 35 + ...ification Master Plan1.588.-1439114397.txt | 35 + ...Verification Master Plan1.59.692935111.txt | 37 + ...rification Master Plan1.593.-906289310.txt | 35 + ...rification Master Plan1.598.-493350037.txt | 35 + ...rification Master Plan1.603.1198911025.txt | 35 + ...ification Master Plan1.608.-1080321751.txt | 35 + ...rification Master Plan1.613.-643079963.txt | 35 + ...rification Master Plan1.618.-561883157.txt | 35 + ...rification Master Plan1.623.1854753284.txt | 35 + ...ification Master Plan1.629.-1804499259.txt | 28 + ...rification Master Plan1.630.1393704888.txt | 35 + ...ification Master Plan1.635.-1926900736.txt | 35 + ...erification Master Plan1.64.1443833643.txt | 37 + ...ification Master Plan1.640.-1791572726.txt | 35 + ...erification Master Plan1.646.578261173.txt | 33 + ...erification Master Plan1.647.184910890.txt | 35 + ...rification Master Plan1.652.-475958207.txt | 35 + ...ification Master Plan1.657.-1192785038.txt | 35 + ...ification Master Plan1.662.-1853654135.txt | 35 + ...erification Master Plan1.667.705264099.txt | 35 + ...Verification Master Plan1.672.44395002.txt | 35 + ...rification Master Plan1.677.1036342562.txt | 35 + ...erification Master Plan1.682.375473465.txt | 35 + ...rification Master Plan1.689.1731943307.txt | 37 + ...erification Master Plan1.69.1981000120.txt | 37 + ...erification Master Plan1.695.799221259.txt | 31 + ...rification Master Plan1.698.1825633614.txt | 37 + ...erification Master Plan1.7.-1268999905.txt | 31 + ...rification Master Plan1.703.-791266358.txt | 37 + ...ification Master Plan1.708.-1649057788.txt | 37 + ...ification Master Plan1.715.-1345026289.txt | 215 + ...erification Master Plan1.717.881081310.txt | 40 + ...rification Master Plan1.722.-605078745.txt | 40 + ...rification Master Plan1.727.1094016372.txt | 40 + ...rification Master Plan1.732.-779683984.txt | 40 + ...erification Master Plan1.737.416196134.txt | 40 + ...erification Master Plan1.74.1327615957.txt | 37 + ...rification Master Plan1.742.-882165298.txt | 40 + ...ification Master Plan1.747.-1837686577.txt | 40 + ...rification Master Plan1.752.1501759440.txt | 40 + ...erification Master Plan1.757.546238161.txt | 40 + ...rification Master Plan1.762.-409283118.txt | 40 + ...ification Master Plan1.767.-1364804397.txt | 40 + ...rification Master Plan1.772.1974641620.txt | 40 + ...erification Master Plan1.777.847389084.txt | 40 + ...rification Master Plan1.782.1291000507.txt | 40 + ...rification Master Plan1.787.1734611930.txt | 40 + ...erification Master Plan1.79.1949714563.txt | 37 + ...ification Master Plan1.792.-2116743943.txt | 40 + ...ification Master Plan1.797.-1673132520.txt | 40 + ...ification Master Plan1.802.-1229521097.txt | 40 + ...rification Master Plan1.807.-785909674.txt | 40 + ...rification Master Plan1.812.-342298251.txt | 40 + ...erification Master Plan1.817.101313172.txt | 40 + ...erification Master Plan1.822.544924595.txt | 40 + ...rification Master Plan1.827.-108132195.txt | 40 + ...erification Master Plan1.832.335479228.txt | 40 + ...erification Master Plan1.837.779090651.txt | 40 + ...rification Master Plan1.84.-1478861081.txt | 37 + ...rification Master Plan1.842.1222702074.txt | 40 + ...rification Master Plan1.847.1666313497.txt | 40 + ...rification Master Plan1.852.2109924920.txt | 40 + ...ification Master Plan1.857.-1741430953.txt | 40 + ...ification Master Plan1.862.-1297819530.txt | 40 + ...rification Master Plan1.867.-854208107.txt | 40 + ...rification Master Plan1.872.-410596684.txt | 40 + ...ification Master Plan1.877.-1063653474.txt | 40 + ...rification Master Plan1.882.-620042051.txt | 40 + ...ification Master Plan1.887.-2013364374.txt | 40 + ...rification Master Plan1.89.-1424754475.txt | 37 + ...erification Master Plan1.892.501594696.txt | 40 + ...rification Master Plan1.897.2145191065.txt | 40 + ... Verification Master Plan1.9.744007432.txt | 37 + ...rification Master Plan1.902.-497096765.txt | 40 + ...rification Master Plan1.907.-784320823.txt | 40 + ...rification Master Plan1.912.1690858110.txt | 40 + ...ification Master Plan1.917.-1649880291.txt | 40 + ...rification Master Plan1.922.-695651396.txt | 40 + ...erification Master Plan1.927.258577499.txt | 40 + ...rification Master Plan1.932.1212806394.txt | 40 + ...ification Master Plan1.937.-2127932007.txt | 40 + ...erification Master Plan1.94.-125646084.txt | 37 + ...ification Master Plan1.942.-1173703112.txt | 40 + ...rification Master Plan1.947.-219474217.txt | 40 + ...erification Master Plan1.952.734754678.txt | 40 + ...rification Master Plan1.957.1688983573.txt | 40 + ...erification Master Plan1.962.903507789.txt | 40 + ...erification Master Plan1.967.419832462.txt | 40 + ...erification Master Plan1.972.-63842865.txt | 40 + ...rification Master Plan1.977.-547518192.txt | 40 + ...ification Master Plan1.982.-1031193519.txt | 40 + ...ification Master Plan1.987.-1514868846.txt | 40 + ...erification Master Plan1.99.-921328815.txt | 37 + ...rification Master Plan1.992.-965524215.txt | 40 + ...ification Master Plan1.997.-1449199542.txt | 40 + .../Code_coverage/html/urgReport/groups.txt | 462 + .../Code_coverage/html/urgReport/grpinfo.txt | 140842 +++++++++++++++ .../html/urgReport/hierarchy.txt | 159 + .../hvp.CVA6 Verification Master Plan.txt | 488 + .../Code_coverage/html/urgReport/modinfo.txt | 27276 +++ .../Code_coverage/html/urgReport/modlist.txt | 63 + .../plan.CVA6 Verification Master Plan1.2.txt | 55 + .../Code_coverage/html/urgReport/tests.txt | 2367 + .../Code_coverage/txt/urgReport/asserts.txt | 143 + .../Code_coverage/txt/urgReport/dashboard.txt | 39 + ...fication Master Plan1.1002.-1932874869.txt | 40 + ...ification Master Plan1.1007.1878417100.txt | 40 + ...ification Master Plan1.1012.1394741773.txt | 40 + ...rification Master Plan1.1017.911066446.txt | 40 + ...rification Master Plan1.1022.427391119.txt | 40 + ...rification Master Plan1.1027.-56284208.txt | 40 + ...ification Master Plan1.1032.-539959535.txt | 40 + ...fication Master Plan1.1037.-1023634862.txt | 40 + ...rification Master Plan1.104.-288824778.txt | 37 + ...rification Master Plan1.1042.396419610.txt | 40 + ...fication Master Plan1.1047.-1712613639.txt | 40 + ...rification Master Plan1.1052.473320408.txt | 40 + ...fication Master Plan1.1057.-1635712841.txt | 40 + ...rification Master Plan1.1062.550221206.txt | 40 + ...fication Master Plan1.1067.-1558812043.txt | 40 + ...rification Master Plan1.1072.627122004.txt | 40 + ...fication Master Plan1.1077.-1481911245.txt | 40 + ...rification Master Plan1.1082.704022802.txt | 40 + ...fication Master Plan1.1087.-1405010447.txt | 40 + ...ification Master Plan1.109.-1084507509.txt | 37 + ...rification Master Plan1.1092.-87255717.txt | 40 + ...ification Master Plan1.1097.2098678330.txt | 40 + ...erification Master Plan1.11.1907128736.txt | 70 + ...rification Master Plan1.1102.-10354919.txt | 40 + ...fication Master Plan1.1107.-2119388168.txt | 40 + ...erification Master Plan1.1112.66545879.txt | 40 + ...fication Master Plan1.1117.-2042487370.txt | 40 + ...rification Master Plan1.1122.143446677.txt | 40 + ...fication Master Plan1.1127.-1965586572.txt | 40 + ...rification Master Plan1.1132.220347475.txt | 40 + ...fication Master Plan1.1137.-1888685774.txt | 40 + ...ification Master Plan1.114.-2058400558.txt | 37 + ...ification Master Plan1.1142.-570931044.txt | 40 + ...ification Master Plan1.1147.1615003003.txt | 40 + ...ification Master Plan1.1152.-494030246.txt | 40 + ...ification Master Plan1.1157.1691903801.txt | 40 + ...ification Master Plan1.1162.-417129448.txt | 40 + ...ification Master Plan1.1167.1768804599.txt | 40 + ...ification Master Plan1.1172.-340228650.txt | 40 + ...ification Master Plan1.1177.1845705397.txt | 40 + ...ification Master Plan1.1182.-263327852.txt | 40 + ...ification Master Plan1.1187.1922606195.txt | 40 + ...rification Master Plan1.119.1450712135.txt | 37 + ...fication Master Plan1.1192.-1054606371.txt | 40 + ...ification Master Plan1.1197.1131327676.txt | 40 + ...ification Master Plan1.1202.-977705573.txt | 40 + ...ification Master Plan1.1207.1208228474.txt | 40 + ...ification Master Plan1.1212.-900804775.txt | 40 + ...ification Master Plan1.1217.1285129272.txt | 40 + ...ification Master Plan1.1222.-823903977.txt | 40 + ...ification Master Plan1.1227.1362030070.txt | 40 + ...ification Master Plan1.1232.-747003179.txt | 40 + ...ification Master Plan1.1237.1438930868.txt | 40 + ...ification Master Plan1.124.-1638494306.txt | 37 + ...fication Master Plan1.1242.-1538281698.txt | 40 + ...rification Master Plan1.1247.647652349.txt | 40 + ...fication Master Plan1.1252.-1461380900.txt | 40 + ...rification Master Plan1.1257.724553147.txt | 40 + ...fication Master Plan1.1262.-1384480102.txt | 40 + ...rification Master Plan1.1267.801453945.txt | 40 + ...fication Master Plan1.1272.-1307579304.txt | 40 + ...rification Master Plan1.1277.878354743.txt | 40 + ...fication Master Plan1.1282.-1230678506.txt | 40 + ...rification Master Plan1.1287.955255541.txt | 40 + ...ification Master Plan1.129.-1186884177.txt | 37 + ...fication Master Plan1.1292.-2021957025.txt | 40 + ...rification Master Plan1.1297.163977022.txt | 40 + ...fication Master Plan1.1302.-1945056227.txt | 40 + ...rification Master Plan1.1307.240877820.txt | 40 + ...fication Master Plan1.1312.-1179308714.txt | 40 + ...fication Master Plan1.1317.-1146885941.txt | 40 + ...ification Master Plan1.1322.1242754284.txt | 40 + ...ification Master Plan1.1327.2109806509.txt | 40 + ...fication Master Plan1.1332.-1318108562.txt | 40 + ...ification Master Plan1.1337.-451056337.txt | 40 + ...rification Master Plan1.134.-455422472.txt | 37 + ...rification Master Plan1.1342.415995888.txt | 40 + ...ification Master Plan1.1347.1283048113.txt | 40 + ...fication Master Plan1.1352.-2144866958.txt | 40 + ...ification Master Plan1.1357.1192171834.txt | 40 + ...fication Master Plan1.1362.-1993980263.txt | 40 + ...ification Master Plan1.1367.-885165064.txt | 40 + ...rification Master Plan1.1372.223650135.txt | 40 + ...ification Master Plan1.1377.1332465334.txt | 40 + ...fication Master Plan1.1382.-1853686763.txt | 40 + ...ification Master Plan1.1387.-744871564.txt | 40 + ...ification Master Plan1.139.-1586534205.txt | 37 + ...rification Master Plan1.1392.363943635.txt | 40 + ...ification Master Plan1.1397.1472758834.txt | 40 + ...Verification Master Plan1.14.620102707.txt | 37 + ...fication Master Plan1.1402.-1713393263.txt | 40 + ...ification Master Plan1.1407.2059224059.txt | 40 + ...fication Master Plan1.1412.-1126928038.txt | 40 + ...rification Master Plan1.1417.-18112839.txt | 40 + ...ification Master Plan1.1422.1090702360.txt | 40 + ...fication Master Plan1.1427.-2095449737.txt | 40 + ...ification Master Plan1.1432.-986634538.txt | 40 + ...rification Master Plan1.1437.122180661.txt | 40 + ...ification Master Plan1.144.-1749712899.txt | 37 + ...ification Master Plan1.1442.1230995860.txt | 40 + ...fication Master Plan1.1447.-1955156237.txt | 40 + ...ification Master Plan1.1452.-846341038.txt | 40 + ...fication Master Plan1.1457.-1368691012.txt | 40 + ...ification Master Plan1.1462.-259875813.txt | 40 + ...fication Master Plan1.1467.-1194305010.txt | 40 + ...ification Master Plan1.1472.1621542787.txt | 40 + ...ification Master Plan1.1477.-526930012.txt | 40 + ...rification Master Plan1.1482.340122213.txt | 40 + ...ification Master Plan1.1487.1207174438.txt | 40 + ...ification Master Plan1.149.-1508823099.txt | 37 + ...ification Master Plan1.1492.2074226663.txt | 40 + ...fication Master Plan1.1497.-1353688408.txt | 40 + ...ification Master Plan1.1502.-486636183.txt | 40 + ...rification Master Plan1.1507.380416042.txt | 40 + ...fication Master Plan1.1512.-2128433790.txt | 40 + ...fication Master Plan1.1517.-1019618591.txt | 40 + ...erification Master Plan1.1522.89196608.txt | 40 + ...ification Master Plan1.1527.1198011807.txt | 40 + ...fication Master Plan1.1532.-1988140290.txt | 40 + ...ification Master Plan1.1537.-879325091.txt | 40 + ...rification Master Plan1.154.-308346724.txt | 37 + ...rification Master Plan1.1542.229490108.txt | 40 + ...ification Master Plan1.1547.1338305307.txt | 40 + ...fication Master Plan1.1552.-1847846790.txt | 40 + ...ification Master Plan1.1557.-739031591.txt | 40 + ...fication Master Plan1.1562.-1261381565.txt | 40 + ...ification Master Plan1.1567.-152566366.txt | 40 + ...rification Master Plan1.1572.956248833.txt | 40 + ...ification Master Plan1.1577.2065064032.txt | 40 + ...fication Master Plan1.1582.-1121088065.txt | 40 + ...rification Master Plan1.1587.-12272866.txt | 40 + ...erification Master Plan1.159.336392141.txt | 37 + ...ification Master Plan1.1592.1096542333.txt | 40 + ...fication Master Plan1.1597.-2089609764.txt | 40 + ...ification Master Plan1.1602.-980794565.txt | 40 + ...rification Master Plan1.1607.128020634.txt | 40 + ...ification Master Plan1.1612.-394329340.txt | 40 + ...rification Master Plan1.1617.714485859.txt | 40 + ...ification Master Plan1.1622.-915302387.txt | 35 + ...fication Master Plan1.1627.-1508803777.txt | 35 + ...ification Master Plan1.1632.-198221801.txt | 35 + ...ification Master Plan1.1637.-291254790.txt | 35 + ...rification Master Plan1.164.1536868516.txt | 37 + ...ification Master Plan1.1642.1712498972.txt | 35 + ...ification Master Plan1.1648.1715255831.txt | 31 + ...fication Master Plan1.1650.-1615011303.txt | 40 + ...rification Master Plan1.1655.388819141.txt | 35 + ...fication Master Plan1.1661.-1212680387.txt | 27 + ...erification Master Plan1.1662.30950871.txt | 110 + ...rification Master Plan1.1667.718258809.txt | 36 + ...fication Master Plan1.1668.-1989064918.txt | 35 + ...fication Master Plan1.1673.-1626953329.txt | 35 + ...ification Master Plan1.1678.-539000018.txt | 35 + ...rification Master Plan1.1683.354486216.txt | 35 + ...ification Master Plan1.1688.2025572939.txt | 35 + ...rification Master Plan1.169.1389942713.txt | 37 + ...ification Master Plan1.1693.1216085482.txt | 35 + ...ification Master Plan1.1698.2055450286.txt | 35 + ...erification Master Plan1.1703.28181615.txt | 35 + ...ification Master Plan1.1708.1359513124.txt | 35 + ...fication Master Plan1.1713.-1356273533.txt | 35 + ...rification Master Plan1.1718.307886606.txt | 35 + ...ification Master Plan1.1726.1895599623.txt | 35 + ...rification Master Plan1.174.1440031256.txt | 37 + ...rification Master Plan1.179.1159536372.txt | 37 + ...ification Master Plan1.184.-1934954549.txt | 37 + ...ification Master Plan1.189.-1672001793.txt | 37 + ...erification Master Plan1.19.1820579082.txt | 37 + ...rification Master Plan1.194.-471525418.txt | 37 + ...erification Master Plan1.199.234857106.txt | 37 + ...erification Master Plan1.204.-10175986.txt | 37 + ...ification Master Plan1.209.-1798651508.txt | 37 + ...ification Master Plan1.214.-1249992531.txt | 37 + ...erification Master Plan1.219.-49516156.txt | 37 + ...rification Master Plan1.225.1535310756.txt | 39 + ...rification Master Plan1.227.-657025433.txt | 35 + ...ification Master Plan1.232.-1469918018.txt | 35 + ...erification Master Plan1.237.446613682.txt | 35 + ...Verification Master Plan1.24.348138217.txt | 37 + ...rification Master Plan1.242.1016747323.txt | 35 + ...rification Master Plan1.247.1274264346.txt | 35 + ...erification Master Plan1.252.693240786.txt | 35 + ...rification Master Plan1.257.1502736112.txt | 35 + ...erification Master Plan1.262.546314983.txt | 35 + ...rification Master Plan1.267.1970309744.txt | 35 + ...rification Master Plan1.272.1157417159.txt | 35 + ...ification Master Plan1.277.-1221018437.txt | 35 + ...rification Master Plan1.282.-650884796.txt | 35 + ...erification Master Plan1.288.317372058.txt | 54 + ...erification Master Plan1.29.1548614592.txt | 37 + ...rification Master Plan1.290.-969653971.txt | 35 + ...erification Master Plan1.295.647123143.txt | 35 + ...erification Master Plan1.300.546158210.txt | 35 + ...erification Master Plan1.305.230822404.txt | 35 + ...ification Master Plan1.310.-1241618461.txt | 35 + ...erification Master Plan1.315.-41142086.txt | 35 + ...rification Master Plan1.320.2140388416.txt | 35 + ...rification Master Plan1.325.1275281163.txt | 35 + ...erification Master Plan1.330.391243442.txt | 35 + ...erification Master Plan1.335.496959478.txt | 35 + ...rification Master Plan1.34.-1063089350.txt | 37 + ...rification Master Plan1.340.1226349537.txt | 35 + ...rification Master Plan1.345.1280456143.txt | 35 + ...ification Master Plan1.350.-1733149340.txt | 35 + ...erification Master Plan1.355.241705743.txt | 35 + ...erification Master Plan1.360.646810060.txt | 35 + ...rification Master Plan1.365.-139044543.txt | 35 + ...rification Master Plan1.370.1537526596.txt | 35 + ...rification Master Plan1.375.1826937251.txt | 35 + ...erification Master Plan1.380.933413659.txt | 35 + ...rification Master Plan1.385.1518326441.txt | 35 + ...rification Master Plan1.39.-1986026400.txt | 37 + ...ification Master Plan1.390.-1898103402.txt | 35 + ...erification Master Plan1.395.770256069.txt | 35 + ...ification Master Plan1.400.-2061282096.txt | 35 + ...ification Master Plan1.405.-1354899572.txt | 35 + ...ification Master Plan1.410.-1599932664.txt | 35 + ...Verification Master Plan1.415.76638475.txt | 35 + ...rification Master Plan1.420.1455218087.txt | 35 + ...ification Master Plan1.426.-1197325014.txt | 33 + ...Verification Master Plan1.428.15189327.txt | 35 + ...erification Master Plan1.433.674584806.txt | 35 + ...erification Master Plan1.438.866318687.txt | 35 + ...erification Master Plan1.44.-513274862.txt | 37 + ...rification Master Plan1.443.1525714166.txt | 35 + ...ification Master Plan1.448.-1068382621.txt | 35 + ...rification Master Plan1.453.-408987142.txt | 35 + ...rification Master Plan1.459.1792242064.txt | 39 + ...Verification Master Plan1.462.34745222.txt | 35 + ...erification Master Plan1.467.706250680.txt | 35 + ...rification Master Plan1.472.2136656754.txt | 35 + ...erification Master Plan1.477.165429169.txt | 35 + ...rification Master Plan1.482.1595835243.txt | 35 + ...ification Master Plan1.487.-1329573675.txt | 35 + ...Verification Master Plan1.49.590364253.txt | 37 + ...ification Master Plan1.492.-1248386061.txt | 35 + ...erification Master Plan1.497.850678957.txt | 35 + ...ification Master Plan1.502.-1936133375.txt | 35 + ...erification Master Plan1.507.162931643.txt | 35 + ...erification Master Plan1.512.309857446.txt | 35 + ...erification Master Plan1.518.194308399.txt | 31 + ...erification Master Plan1.520.582010247.txt | 28 + ...erification Master Plan1.521.569821498.txt | 35 + ...rification Master Plan1.526.1013432921.txt | 35 + ...rification Master Plan1.531.1457044344.txt | 35 + ...rification Master Plan1.537.1536239142.txt | 43 + ...ification Master Plan1.538.-1391010357.txt | 35 + ...erification Master Plan1.54.-410704004.txt | 37 + ...erification Master Plan1.543.-21033776.txt | 35 + ...erification Master Plan1.548.663961317.txt | 35 + ...erification Master Plan1.553.783583650.txt | 35 + ...rification Master Plan1.558.1468578743.txt | 35 + ...erification Master Plan1.563.850727529.txt | 35 + ...erification Master Plan1.568.797936069.txt | 35 + ...rification Master Plan1.573.-835362689.txt | 35 + ...rification Master Plan1.578.1398832429.txt | 35 + ...ification Master Plan1.583.-1965059704.txt | 35 + ...ification Master Plan1.588.-1439114397.txt | 35 + ...Verification Master Plan1.59.692935111.txt | 37 + ...rification Master Plan1.593.-906289310.txt | 35 + ...rification Master Plan1.598.-493350037.txt | 35 + ...rification Master Plan1.603.1198911025.txt | 35 + ...ification Master Plan1.608.-1080321751.txt | 35 + ...rification Master Plan1.613.-643079963.txt | 35 + ...rification Master Plan1.618.-561883157.txt | 35 + ...rification Master Plan1.623.1854753284.txt | 35 + ...ification Master Plan1.629.-1804499259.txt | 28 + ...rification Master Plan1.630.1393704888.txt | 35 + ...ification Master Plan1.635.-1926900736.txt | 35 + ...erification Master Plan1.64.1443833643.txt | 37 + ...ification Master Plan1.640.-1791572726.txt | 35 + ...erification Master Plan1.646.578261173.txt | 33 + ...erification Master Plan1.647.184910890.txt | 35 + ...rification Master Plan1.652.-475958207.txt | 35 + ...ification Master Plan1.657.-1192785038.txt | 35 + ...ification Master Plan1.662.-1853654135.txt | 35 + ...erification Master Plan1.667.705264099.txt | 35 + ...Verification Master Plan1.672.44395002.txt | 35 + ...rification Master Plan1.677.1036342562.txt | 35 + ...erification Master Plan1.682.375473465.txt | 35 + ...rification Master Plan1.689.1731943307.txt | 37 + ...erification Master Plan1.69.1981000120.txt | 37 + ...erification Master Plan1.695.799221259.txt | 31 + ...rification Master Plan1.698.1825633614.txt | 37 + ...erification Master Plan1.7.-1268999905.txt | 31 + ...rification Master Plan1.703.-791266358.txt | 37 + ...ification Master Plan1.708.-1649057788.txt | 37 + ...ification Master Plan1.715.-1345026289.txt | 215 + ...erification Master Plan1.717.881081310.txt | 40 + ...rification Master Plan1.722.-605078745.txt | 40 + ...rification Master Plan1.727.1094016372.txt | 40 + ...rification Master Plan1.732.-779683984.txt | 40 + ...erification Master Plan1.737.416196134.txt | 40 + ...erification Master Plan1.74.1327615957.txt | 37 + ...rification Master Plan1.742.-882165298.txt | 40 + ...ification Master Plan1.747.-1837686577.txt | 40 + ...rification Master Plan1.752.1501759440.txt | 40 + ...erification Master Plan1.757.546238161.txt | 40 + ...rification Master Plan1.762.-409283118.txt | 40 + ...ification Master Plan1.767.-1364804397.txt | 40 + ...rification Master Plan1.772.1974641620.txt | 40 + ...erification Master Plan1.777.847389084.txt | 40 + ...rification Master Plan1.782.1291000507.txt | 40 + ...rification Master Plan1.787.1734611930.txt | 40 + ...erification Master Plan1.79.1949714563.txt | 37 + ...ification Master Plan1.792.-2116743943.txt | 40 + ...ification Master Plan1.797.-1673132520.txt | 40 + ...ification Master Plan1.802.-1229521097.txt | 40 + ...rification Master Plan1.807.-785909674.txt | 40 + ...rification Master Plan1.812.-342298251.txt | 40 + ...erification Master Plan1.817.101313172.txt | 40 + ...erification Master Plan1.822.544924595.txt | 40 + ...rification Master Plan1.827.-108132195.txt | 40 + ...erification Master Plan1.832.335479228.txt | 40 + ...erification Master Plan1.837.779090651.txt | 40 + ...rification Master Plan1.84.-1478861081.txt | 37 + ...rification Master Plan1.842.1222702074.txt | 40 + ...rification Master Plan1.847.1666313497.txt | 40 + ...rification Master Plan1.852.2109924920.txt | 40 + ...ification Master Plan1.857.-1741430953.txt | 40 + ...ification Master Plan1.862.-1297819530.txt | 40 + ...rification Master Plan1.867.-854208107.txt | 40 + ...rification Master Plan1.872.-410596684.txt | 40 + ...ification Master Plan1.877.-1063653474.txt | 40 + ...rification Master Plan1.882.-620042051.txt | 40 + ...ification Master Plan1.887.-2013364374.txt | 40 + ...rification Master Plan1.89.-1424754475.txt | 37 + ...erification Master Plan1.892.501594696.txt | 40 + ...rification Master Plan1.897.2145191065.txt | 40 + ... Verification Master Plan1.9.744007432.txt | 37 + ...rification Master Plan1.902.-497096765.txt | 40 + ...rification Master Plan1.907.-784320823.txt | 40 + ...rification Master Plan1.912.1690858110.txt | 40 + ...ification Master Plan1.917.-1649880291.txt | 40 + ...rification Master Plan1.922.-695651396.txt | 40 + ...erification Master Plan1.927.258577499.txt | 40 + ...rification Master Plan1.932.1212806394.txt | 40 + ...ification Master Plan1.937.-2127932007.txt | 40 + ...erification Master Plan1.94.-125646084.txt | 37 + ...ification Master Plan1.942.-1173703112.txt | 40 + ...rification Master Plan1.947.-219474217.txt | 40 + ...erification Master Plan1.952.734754678.txt | 40 + ...rification Master Plan1.957.1688983573.txt | 40 + ...erification Master Plan1.962.903507789.txt | 40 + ...erification Master Plan1.967.419832462.txt | 40 + ...erification Master Plan1.972.-63842865.txt | 40 + ...rification Master Plan1.977.-547518192.txt | 40 + ...ification Master Plan1.982.-1031193519.txt | 40 + ...ification Master Plan1.987.-1514868846.txt | 40 + ...erification Master Plan1.99.-921328815.txt | 37 + ...rification Master Plan1.992.-965524215.txt | 40 + ...ification Master Plan1.997.-1449199542.txt | 40 + .../Code_coverage/txt/urgReport/groups.txt | 462 + .../Code_coverage/txt/urgReport/grpinfo.txt | 140842 +++++++++++++++ .../Code_coverage/txt/urgReport/hierarchy.txt | 159 + .../hvp.CVA6 Verification Master Plan.txt | 488 + .../Code_coverage/txt/urgReport/modinfo.txt | 27276 +++ .../Code_coverage/txt/urgReport/modlist.txt | 63 + .../plan.CVA6 Verification Master Plan1.2.txt | 55 + .../Code_coverage/txt/urgReport/tests.txt | 2367 + 724 files changed, 371004 insertions(+) create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grpinfo.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modinfo.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modlist.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/plan.CVA6 Verification Master Plan1.2.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/tests.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/asserts.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/dashboard.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/groups.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/grpinfo.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hierarchy.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hvp.CVA6 Verification Master Plan.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modinfo.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modlist.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/plan.CVA6 Verification Master Plan1.2.txt create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/tests.txt diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.txt new file mode 100644 index 00000000..279b49ca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.txt @@ -0,0 +1,143 @@ +Assertions + + +Assertions by Category + ASSERT PROPERTIES SEQUENCES +Total 0 109 0 +Category 0 0 109 0 + +------------------------------------------------------------------------------- + +Assertions by Severity + ASSERT PROPERTIES SEQUENCES +Total 0 109 0 +Severity 0 0 109 0 + +------------------------------------------------------------------------------- + +Summary for Cover Properties + NUMBER PERCENT +Total Number 109 100.00 +Uncovered 37 33.94 +Matches 72 66.06 + +------------------------------------------------------------------------------- + +Detail Report for Cover Properties + +Cover Properties Uncovered: + COVER PROPERTIES CATEGORY SEVERITY ATTEMPTS MATCHES INCOMPLETE +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_gnt_assert_no_req 0 0 51202068 0 703 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_gnt_deassert_no_req 0 0 51202068 0 17 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid 0 0 51202068 0 1469 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_gnt_assert_no_req 0 0 51202068 0 2070 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_gnt_deassert_no_req 0 0 51202068 0 283 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid 0 0 51202068 0 2353 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_gnt_assert_no_req 0 0 51202068 0 1717 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_gnt_deassert_no_req 0 0 51202068 0 285 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid 0 0 51202068 0 1942 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable 0 0 51202068 0 0 + +Cover Properties Matches: + COVER PROPERTIES CATEGORY SEVERITY ATTEMPTS MATCHES INCOMPLETE +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue 0 0 51202068 92906 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle 0 0 51202068 92906 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr 0 0 51202068 164164 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req 0 0 51202068 33794 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit 0 0 51202068 59255 33651 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end 0 0 51202068 59255 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue 0 0 51202068 55459 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp 0 0 51202068 29335 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs 0 0 51202068 55423 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid 0 0 51202068 55459 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs 0 0 51202068 55437 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid 0 0 51202068 55459 0 +uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_m_ext_taken 0 0 51202068 129782 0 +uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_m_timer_taken 0 0 51202068 73800 0 +uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_priority 0 0 51202068 85719 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_addr_be_consistent 0 0 51202068 24114634 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_addr_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_contiguous 0 0 51202068 24112278 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_not_zero 0 0 51202068 24112278 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_r_after_a 0 0 51202068 15871660 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_req_until_gnt 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_wdata_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_we_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn 0 0 51202068 24112278 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid 0 0 51202068 15871660 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_addr_be_consistent 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_addr_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_contiguous 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_not_zero 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_r_after_a 0 0 51202068 1384478 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_req_until_gnt 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_wdata_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_we_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid 0 0 51202068 1384478 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_addr_be_consistent 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_addr_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_contiguous 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_not_zero 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_r_after_a 0 0 51202068 1406193 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_req_until_gnt 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_wdata_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_we_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid 0 0 51202068 1406193 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable 0 0 51202068 651997 250 + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.txt new file mode 100644 index 00000000..0a53a924 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.txt @@ -0,0 +1,39 @@ +Dashboard + +Date: Fri Apr 18 07:04:36 2025 + +User: runner_riscv-unsecure + +Version: W-2024.09-SP1-1 +Command line: urg -warn none -hvp_proj cva6_embedded -format both -group instcov_for_score -hvp_attributes weight+description+Comment -dir vcs_results/default/vcs.d/simv.vdb -plan cva6.hvp -tgl portsonly +Number of tests: 2356 + +------------------------------------------------------------------------------- +Scores for Verification Plan +SCORE LINE COND ASSERT GROUP NAME + 97.02 99.79 98.39 92.31 97.58 CVA6 Verification Master Plan + + +------------------------------------------------------------------------------- +Total Coverage Summary +SCORE LINE COND ASSERT GROUP + 90.77 99.79 98.39 66.06 98.85 + + +------------------------------------------------------------------------------- +Hierarchical coverage data for top-level instances +SCORE LINE COND ASSERT NAME + 88.08 99.79 98.39 66.06 uvmt_cva6_tb + + +------------------------------------------------------------------------------- +Total Module Definition Coverage Summary +SCORE LINE COND ASSERT + 90.31 99.78 98.81 72.34 + + +------------------------------------------------------------------------------- +Total Groups Coverage Summary +SCORE INST SCORE WEIGHT + 98.85 98.85 1 + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt new file mode 100644 index 00000000..c8d98f47 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR2 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR2: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt new file mode 100644 index 00000000..40eba423 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt new file mode 100644 index 00000000..2efeba4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt new file mode 100644 index 00000000..ea24b6e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt new file mode 100644 index 00000000..c3a69bca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt new file mode 100644 index 00000000..1fd5bcfc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt new file mode 100644 index 00000000..859af444 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt new file mode 100644 index 00000000..478f0ce1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt new file mode 100644 index 00000000..27ebfb4d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt new file mode 100644 index 00000000..0a28d24a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt new file mode 100644 index 00000000..9db911cc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt new file mode 100644 index 00000000..e23f4ed9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt new file mode 100644 index 00000000..2e4c848e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt new file mode 100644 index 00000000..8b35de8e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt new file mode 100644 index 00000000..08f3dbbb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt new file mode 100644 index 00000000..45ba7e60 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR16 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR16: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt new file mode 100644 index 00000000..7b730726 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR17 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR17: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt new file mode 100644 index 00000000..8f637b5d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR18 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR18: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt new file mode 100644 index 00000000..b7cdba23 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR19 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR19: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt new file mode 100644 index 00000000..2a813520 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LHU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LHU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lhu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lhu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt new file mode 100644 index 00000000..376471ab --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR20 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR20: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt new file mode 100644 index 00000000..aa269ae5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR21 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR21: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt new file mode 100644 index 00000000..3e813383 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt @@ -0,0 +1,70 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.46 -- -- -- 99.46 + +Attribute/Annotation values: + description: I extension + Comment: + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 ADD +100.00 -- -- -- 100.00 ADDI +100.00 -- -- -- 100.00 AND +100.00 -- -- -- 100.00 ANDI +100.00 -- -- -- 100.00 AUIPC +100.00 -- -- -- 100.00 BEQ +100.00 -- -- -- 100.00 BGE +100.00 -- -- -- 100.00 BGEU +100.00 -- -- -- 100.00 BLT +100.00 -- -- -- 100.00 BLTU +100.00 -- -- -- 100.00 BNE +100.00 -- -- -- 100.00 EBREAK +100.00 -- -- -- 100.00 ECALL +100.00 -- -- -- 100.00 FENCE + 85.42 -- -- -- 85.42 JAL + 92.03 -- -- -- 92.03 JALR +100.00 -- -- -- 100.00 LB +100.00 -- -- -- 100.00 LBU +100.00 -- -- -- 100.00 LH +100.00 -- -- -- 100.00 LHU +100.00 -- -- -- 100.00 LUI +100.00 -- -- -- 100.00 LW +100.00 -- -- -- 100.00 MRET +100.00 -- -- -- 100.00 OR +100.00 -- -- -- 100.00 ORI +100.00 -- -- -- 100.00 SB +100.00 -- -- -- 100.00 SH +100.00 -- -- -- 100.00 SLL +100.00 -- -- -- 100.00 SLLI +100.00 -- -- -- 100.00 SLT +100.00 -- -- -- 100.00 SLTI +100.00 -- -- -- 100.00 SLTIU +100.00 -- -- -- 100.00 SLTU +100.00 -- -- -- 100.00 SRA +100.00 -- -- -- 100.00 SRAI +100.00 -- -- -- 100.00 SRL +100.00 -- -- -- 100.00 SRLI +100.00 -- -- -- 100.00 SUB +100.00 -- -- -- 100.00 SW +100.00 -- -- -- 100.00 WFI +100.00 -- -- -- 100.00 XOR +100.00 -- -- -- 100.00 XORI + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt new file mode 100644 index 00000000..ed41fdb8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR22 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR22: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt new file mode 100644 index 00000000..f7c21237 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR23 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR23: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt new file mode 100644 index 00000000..23291d97 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR24 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR24: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt new file mode 100644 index 00000000..208eb32b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR25 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR25: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt new file mode 100644 index 00000000..2d99628d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR26 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR26: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt new file mode 100644 index 00000000..7c06d0eb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR27 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR27: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt new file mode 100644 index 00000000..0d6c4eb5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR28 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR28: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt new file mode 100644 index 00000000..bbe8040f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR29 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR29: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt new file mode 100644 index 00000000..f5360869 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LUI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LUI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lui_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lui_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt new file mode 100644 index 00000000..1b4732b9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR30 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR30: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt new file mode 100644 index 00000000..65d5f098 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR31 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR31: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt new file mode 100644 index 00000000..0799cf8d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR32 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR32: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt new file mode 100644 index 00000000..6462114b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR33 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR33: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt new file mode 100644 index 00000000..a557b8d5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR34 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR34: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt new file mode 100644 index 00000000..fc368f96 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR35 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR35: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt new file mode 100644 index 00000000..44acd64f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR36 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR36: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt new file mode 100644 index 00000000..6f8071a3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR37 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR37: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt new file mode 100644 index 00000000..92f57cec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR38 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR38: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt new file mode 100644 index 00000000..e8018d67 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR39 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR39: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt new file mode 100644 index 00000000..ca727ab7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LW +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lw_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt new file mode 100644 index 00000000..2e19df2b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR40 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR40: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt new file mode 100644 index 00000000..52871eaa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR41 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR41: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt new file mode 100644 index 00000000..3fd862a3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR42 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR42: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt new file mode 100644 index 00000000..068eee0f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR43 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR43: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt new file mode 100644 index 00000000..99a3f402 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR44 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR44: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt new file mode 100644 index 00000000..6c3a1f5c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR45 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR45: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt new file mode 100644 index 00000000..0c6f3d78 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR46 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR46: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt new file mode 100644 index 00000000..af876130 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR47 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR47: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt new file mode 100644 index 00000000..60ae8790 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR48 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR48: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt new file mode 100644 index 00000000..223db224 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR49 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR49: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt new file mode 100644 index 00000000..a59153bd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.MRET +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MRET: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_mret_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_mret_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt new file mode 100644 index 00000000..8922a8d6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR50 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR50: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt new file mode 100644 index 00000000..45f98ec5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR51 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR51: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt new file mode 100644 index 00000000..b02d44bb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR52 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR52: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt new file mode 100644 index 00000000..967b5442 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR53 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR53: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt new file mode 100644 index 00000000..382e4b0d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR54 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR54: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt new file mode 100644 index 00000000..e87f5edd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR55 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR55: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt new file mode 100644 index 00000000..3c1c1bce --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR56 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR56: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt new file mode 100644 index 00000000..0243a6b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR57 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR57: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt new file mode 100644 index 00000000..ab343d1f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR58 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR58: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt new file mode 100644 index 00000000..ed75fd7b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR59 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR59: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt new file mode 100644 index 00000000..330d79a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.OR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure OR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_or_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_or_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt new file mode 100644 index 00000000..af261a50 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR60 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR60: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt new file mode 100644 index 00000000..0c7322b1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR61 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR61: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt new file mode 100644 index 00000000..fb2b9d2b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR62 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR62: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt new file mode 100644 index 00000000..d9a4f553 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR63 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR63: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt new file mode 100644 index 00000000..fd92f3b7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCYCLE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCYCLE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt new file mode 100644 index 00000000..9277803c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MINSTRET +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MINSTRET: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.minstret.minstret__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstret.minstret__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.minstret.minstret__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstret.minstret__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt new file mode 100644 index 00000000..73af88e3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt new file mode 100644 index 00000000..8518022c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt new file mode 100644 index 00000000..03531f2a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt new file mode 100644 index 00000000..2d8b790a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt new file mode 100644 index 00000000..b1100694 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ORI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ORI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ori_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ori_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt new file mode 100644 index 00000000..3ddf3016 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt new file mode 100644 index 00000000..15df72e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt new file mode 100644 index 00000000..7e862a80 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt new file mode 100644 index 00000000..16915909 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt new file mode 100644 index 00000000..f30b72ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt new file mode 100644 index 00000000..ffc13220 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt new file mode 100644 index 00000000..ac09846d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt new file mode 100644 index 00000000..fa64d191 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt new file mode 100644 index 00000000..43fe684e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt new file mode 100644 index 00000000..f748d938 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER16 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER16: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt new file mode 100644 index 00000000..c12fa7d9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sb_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sb_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt new file mode 100644 index 00000000..04df83d2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER17 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER17: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt new file mode 100644 index 00000000..f77c5f56 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER18 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER18: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt new file mode 100644 index 00000000..f9fc8393 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt new file mode 100644 index 00000000..6b74f965 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER19 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER19: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt new file mode 100644 index 00000000..53ea7f98 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER20 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER20: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt new file mode 100644 index 00000000..930adf1b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER21 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER21: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt new file mode 100644 index 00000000..465a2846 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER22 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER22: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt new file mode 100644 index 00000000..f57fc6d3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER23 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER23: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt new file mode 100644 index 00000000..3f660a0a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER24 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER24: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt new file mode 100644 index 00000000..ccee933a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER25 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER25: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt new file mode 100644 index 00000000..74f66c83 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER26 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER26: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt new file mode 100644 index 00000000..fab94686 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt new file mode 100644 index 00000000..394f525f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER27 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER27: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt new file mode 100644 index 00000000..0716a01b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER28 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER28: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt new file mode 100644 index 00000000..fd59d520 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER29 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER29: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt new file mode 100644 index 00000000..1d0dd32e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER30 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER30: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt new file mode 100644 index 00000000..4aa48d60 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER31 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER31: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt new file mode 100644 index 00000000..547baa34 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCYCLEH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCYCLEH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt new file mode 100644 index 00000000..ee4a9284 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MINSTRETH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MINSTRETH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt new file mode 100644 index 00000000..96d4abd7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER3H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER3H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt new file mode 100644 index 00000000..0df16a28 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER4H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER4H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt new file mode 100644 index 00000000..f444e05a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER5H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER5H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt new file mode 100644 index 00000000..51fc6aa2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sll_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sll_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt new file mode 100644 index 00000000..ac97c81e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER6H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER6H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt new file mode 100644 index 00000000..41f31917 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER7H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER7H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt new file mode 100644 index 00000000..fbb9ca71 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER8H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER8H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt new file mode 100644 index 00000000..918b499a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER9H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER9H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt new file mode 100644 index 00000000..ba184646 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER10H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER10H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt new file mode 100644 index 00000000..2d289faa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER11H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER11H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt new file mode 100644 index 00000000..274c7781 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER12H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER12H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt new file mode 100644 index 00000000..ce7e2e12 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER13H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER13H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt new file mode 100644 index 00000000..bd262490 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER14H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER14H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt new file mode 100644 index 00000000..c5758a1a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER15H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER15H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt new file mode 100644 index 00000000..9c6c26c7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt new file mode 100644 index 00000000..17a54daf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER16H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER16H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt new file mode 100644 index 00000000..04384a66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER17H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER17H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt new file mode 100644 index 00000000..07d9895c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER18H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER18H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt new file mode 100644 index 00000000..1d97b73b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER19H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER19H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt new file mode 100644 index 00000000..74dfa121 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER20H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER20H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt new file mode 100644 index 00000000..2fd32d6f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER21H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER21H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt new file mode 100644 index 00000000..92dd7b4d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER22H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER22H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt new file mode 100644 index 00000000..e4310d28 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER23H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER23H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt new file mode 100644 index 00000000..0ab0ae91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER24H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER24H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt new file mode 100644 index 00000000..c49c0bde --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER25H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER25H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt new file mode 100644 index 00000000..44d1f843 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slt_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slt_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt new file mode 100644 index 00000000..004eb894 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER26H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER26H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt new file mode 100644 index 00000000..2a4cea5b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER27H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER27H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt new file mode 100644 index 00000000..b1f4c299 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER28H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER28H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt new file mode 100644 index 00000000..a570cbc3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER29H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER29H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt new file mode 100644 index 00000000..2bcd02fd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER30H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER30H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt new file mode 100644 index 00000000..893b7815 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER31H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER31H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt new file mode 100644 index 00000000..11b41e18 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MVENDORID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MVENDORID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mvendorid.mvendorid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mvendorid.mvendorid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt new file mode 100644 index 00000000..f6f851b4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MARCHID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MARCHID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.marchid.marchid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.marchid.marchid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt new file mode 100644 index 00000000..2cf83139 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIMPID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIMPID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mimpid.mimpid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mimpid.mimpid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt new file mode 100644 index 00000000..47cfcb4e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHARTID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHARTID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhartid.mhartid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhartid.mhartid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt new file mode 100644 index 00000000..2ed16448 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLTI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slti_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slti_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt new file mode 100644 index 00000000..1d91f60e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCONFIGPTR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCONFIGPTR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mconfigptr.mconfigptr__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mconfigptr.mconfigptr__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt new file mode 100644 index 00000000..32728d3a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.57 -- -- -- 99.57 + +Attribute/Annotation values: + description: + Interrupts and Exceptions. + Specification: Done, Dvplan: Done, Verification execution: Done. + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 99.15 -- -- -- 99.15 Interrupts +100.00 -- -- -- 100.00 Exceptions + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt new file mode 100644 index 00000000..9d9acdf6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs.Interrupts +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.15 -- -- -- 99.15 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.15 -- -- -- 99.15 + + +------------------------------------------------------------------------------- + +Measure Interrupts: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.15 -- -- -- 99.15 + + +Sources: + +group instance: uvma_interrupt_pkg::cg_interrupt +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_interrupt_pkg.uvma_interrupt_pkg.interrupt_cg + + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.interrupt_cg +SCORE LINE COND ASSERT GROUP NAME + 98.29 -- -- -- 98.29 uvme_cva6_pkg.uvme_cva6_pkg.interrupt_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt new file mode 100644 index 00000000..6663f864 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs.Exceptions +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Exceptions: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.exception_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.exception_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt new file mode 100644 index 00000000..c64fefa6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt @@ -0,0 +1,27 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF +=============================================================================== +SCORE LINE COND ASSERT GROUP + 91.66 -- -- 92.31 91.01 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 87.24 -- -- 92.31 82.18 Protocol + 99.84 -- -- -- 99.84 CV-XIF Instructions + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt new file mode 100644 index 00000000..60232e47 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt @@ -0,0 +1,110 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.Protocol +=============================================================================== +SCORE LINE COND ASSERT GROUP + 87.24 -- -- 92.31 82.18 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 87.24 -- -- 92.31 82.18 + + +------------------------------------------------------------------------------- + +Measure Protocol: + +Metrics: Group, Assert +SCORE LINE COND ASSERT GROUP + 87.24 -- -- 92.31 82.18 + + +Sources: + +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.request_cg +SCORE LINE COND ASSERT GROUP NAME + 69.44 -- -- -- 69.44 uvma_cvxif_pkg.uvma_cvxif_pkg.request_cg + + +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.response_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_cvxif_pkg.uvma_cvxif_pkg.response_cg + + +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.result_cg +SCORE LINE COND ASSERT GROUP NAME + 77.08 -- -- -- 77.08 uvma_cvxif_pkg.uvma_cvxif_pkg.result_cg + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable +SCORE LINE COND ASSERT GROUP NAME + 0.00 -- -- 0.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt new file mode 100644 index 00000000..4e05a29a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt @@ -0,0 +1,36 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.84 -- -- -- 99.84 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 SEQUENCE + 99.92 -- -- -- 99.92 CUS_CADD + 99.85 -- -- -- 99.85 CUS_ADD + 99.78 -- -- -- 99.78 CUS_ADD_MULTI + 99.79 -- -- -- 99.79 CUS_DOUBLE_RS1 + 99.78 -- -- -- 99.78 CUS_DOUBLE_RS2 + 99.79 -- -- -- 99.79 CUS_ADD_RS3_MADD + 99.73 -- -- -- 99.73 CUS_ADD_RS3_MSUB + 99.79 -- -- -- 99.79 CUS_ADD_RS3_NMADD + 99.80 -- -- -- 99.80 CUS_ADD_RS3_NMSUB +100.00 -- -- -- 100.00 CUS_ADD_RS3_RTYPE + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt new file mode 100644 index 00000000..db28bd8f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.SEQUENCE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEQ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_cvxif_seq_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.cus_cvxif_seq_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt new file mode 100644 index 00000000..11b678c0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_CADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.92 -- -- -- 99.92 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.92 -- -- -- 99.92 + + +------------------------------------------------------------------------------- + +Measure CUS_CADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.92 -- -- -- 99.92 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_cadd_cg +SCORE LINE COND ASSERT GROUP NAME + 99.92 -- -- -- 99.92 uvme_cva6_pkg.uvme_cva6_pkg.cus_cadd_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt new file mode 100644 index 00000000..42e2bc8a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.85 -- -- -- 99.85 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.85 -- -- -- 99.85 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.85 -- -- -- 99.85 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_cg +SCORE LINE COND ASSERT GROUP NAME + 99.85 -- -- -- 99.85 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt new file mode 100644 index 00000000..78b7e52a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_MULTI +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_MULTI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_multi_cg +SCORE LINE COND ASSERT GROUP NAME + 99.78 -- -- -- 99.78 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_multi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt new file mode 100644 index 00000000..2892eeaf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_DOUBLE_RS1 +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure CUS_DOUBLE_RS1: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs1_cg +SCORE LINE COND ASSERT GROUP NAME + 99.79 -- -- -- 99.79 uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs1_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt new file mode 100644 index 00000000..ca703434 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTIU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLTIU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltiu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltiu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt new file mode 100644 index 00000000..4579145e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_DOUBLE_RS2 +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure CUS_DOUBLE_RS2: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs2_cg +SCORE LINE COND ASSERT GROUP NAME + 99.78 -- -- -- 99.78 uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs2_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt new file mode 100644 index 00000000..1824c9ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_MADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_MADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_madd_cg +SCORE LINE COND ASSERT GROUP NAME + 99.79 -- -- -- 99.79 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_madd_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt new file mode 100644 index 00000000..7a8afb20 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_MSUB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.73 -- -- -- 99.73 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.73 -- -- -- 99.73 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_MSUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.73 -- -- -- 99.73 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_msub_cg +SCORE LINE COND ASSERT GROUP NAME + 99.73 -- -- -- 99.73 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_msub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt new file mode 100644 index 00000000..9ef79110 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_NMADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_NMADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmadd_cg +SCORE LINE COND ASSERT GROUP NAME + 99.79 -- -- -- 99.79 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt new file mode 100644 index 00000000..76da8e0f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_NMSUB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_NMSUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmsub_cg +SCORE LINE COND ASSERT GROUP NAME + 99.80 -- -- -- 99.80 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt new file mode 100644 index 00000000..d10938a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_RTYPE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_RTYPE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_rtype_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_rtype_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt new file mode 100644 index 00000000..b2c77b33 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Code coverage +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.09 99.79 98.39 -- -- + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.09 99.79 98.39 -- -- + + +------------------------------------------------------------------------------- + +Measure CV32A60X: + +Metrics: Line, Cond +SCORE LINE COND ASSERT GROUP + 99.09 99.79 98.39 -- -- + + +Sources: + +tree: uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline +SCORE LINE COND ASSERT GROUP NAME + 99.09 99.79 98.39 -- -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt new file mode 100644 index 00000000..93708db7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLTU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt new file mode 100644 index 00000000..b8f90d86 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRA +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRA: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sra_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sra_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt new file mode 100644 index 00000000..4bcbf25f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRAI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRAI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srai_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srai_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt new file mode 100644 index 00000000..d6092e3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srl_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srl_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt new file mode 100644 index 00000000..382fec08 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ADDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_addi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_addi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt new file mode 100644 index 00000000..a3b94da8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt new file mode 100644 index 00000000..0cb45360 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SUB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sub_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt new file mode 100644 index 00000000..1456762f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SW +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sw_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt new file mode 100644 index 00000000..2b3b3af9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.WFI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure WFI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_wfi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_wfi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt new file mode 100644 index 00000000..9243343d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.XOR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XOR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xor_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xor_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt new file mode 100644 index 00000000..f6f45ef6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.XORI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XORI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xori_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xori_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt new file mode 100644 index 00000000..85734bc7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt @@ -0,0 +1,39 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + description: M extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 DIV +100.00 -- -- -- 100.00 DIV_RESULTS +100.00 -- -- -- 100.00 DIVU +100.00 -- -- -- 100.00 DIVU_RESULTS +100.00 -- -- -- 100.00 MUL +100.00 -- -- -- 100.00 MULH +100.00 -- -- -- 100.00 MULHSU +100.00 -- -- -- 100.00 MULHU +100.00 -- -- -- 100.00 REM +100.00 -- -- -- 100.00 REM_RESULTS +100.00 -- -- -- 100.00 REMU +100.00 -- -- -- 100.00 REMU_RESULTS + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt new file mode 100644 index 00000000..1e2b537f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIV +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIV: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt new file mode 100644 index 00000000..463ec88b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIV_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIV_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt new file mode 100644 index 00000000..9c9833e4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIVU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIVU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt new file mode 100644 index 00000000..adedc3bb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.AND +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure AND: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_and_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_and_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt new file mode 100644 index 00000000..45c119ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIVU_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIVU_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt new file mode 100644 index 00000000..331518e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MUL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MUL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mul_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mul_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt new file mode 100644 index 00000000..90d2e065 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MULH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt new file mode 100644 index 00000000..a7e165e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULHSU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MULHSU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhsu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhsu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt new file mode 100644 index 00000000..e710a355 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULHU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MULHU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt new file mode 100644 index 00000000..4cf2fcae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REM +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REM: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt new file mode 100644 index 00000000..a96eb8de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REM_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REM_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt new file mode 100644 index 00000000..665727a2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REMU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REMU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt new file mode 100644 index 00000000..20f1cc16 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REMU_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REMU_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt new file mode 100644 index 00000000..2524a225 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt @@ -0,0 +1,54 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.97 -- -- -- 99.97 + +Attribute/Annotation values: + description: C extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 ADD +100.00 -- -- -- 100.00 ADDI4SPN +100.00 -- -- -- 100.00 ADDI16SP +100.00 -- -- -- 100.00 ADDI +100.00 -- -- -- 100.00 AND +100.00 -- -- -- 100.00 ANDI +100.00 -- -- -- 100.00 BEQZ +100.00 -- -- -- 100.00 BNEZ +100.00 -- -- -- 100.00 EBREAK +100.00 -- -- -- 100.00 J +100.00 -- -- -- 100.00 JAL +100.00 -- -- -- 100.00 JALR +100.00 -- -- -- 100.00 JR +100.00 -- -- -- 100.00 LI +100.00 -- -- -- 100.00 LUI + 99.55 -- -- -- 99.55 LW +100.00 -- -- -- 100.00 LWSP +100.00 -- -- -- 100.00 MV +100.00 -- -- -- 100.00 NOP +100.00 -- -- -- 100.00 OR +100.00 -- -- -- 100.00 SLLI +100.00 -- -- -- 100.00 SRAI +100.00 -- -- -- 100.00 SRLI +100.00 -- -- -- 100.00 SUB + 99.61 -- -- -- 99.61 SW +100.00 -- -- -- 100.00 SWSP +100.00 -- -- -- 100.00 XOR + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt new file mode 100644 index 00000000..59aca273 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ANDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ANDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_andi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_andi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt new file mode 100644 index 00000000..37aa2797 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt new file mode 100644 index 00000000..d14d449b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI4SPN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI4SPN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi4spn_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi4spn_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt new file mode 100644 index 00000000..05b77689 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI16SP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI16SP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi16sp_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi16sp_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt new file mode 100644 index 00000000..2ccc3e68 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt new file mode 100644 index 00000000..a96a6ea8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.AND +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure AND: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_and_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_and_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt new file mode 100644 index 00000000..96c5a2ee --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ANDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ANDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_andi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_andi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt new file mode 100644 index 00000000..c18bcaca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.BEQZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEQZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_beqz_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_beqz_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt new file mode 100644 index 00000000..eeb3414b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.BNEZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BNEZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_bnez_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_bnez_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt new file mode 100644 index 00000000..621584ae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.EBREAK +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure EBREAK: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_ebreak_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_ebreak_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt new file mode 100644 index 00000000..d084cb38 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.J +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure J: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_j_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_j_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt new file mode 100644 index 00000000..b3435b0a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.AUIPC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure AUIPC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_auipc_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_auipc_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt new file mode 100644 index 00000000..92af10a7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JAL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure JAL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jal_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jal_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt new file mode 100644 index 00000000..f940951b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JALR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure JALR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jalr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jalr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt new file mode 100644 index 00000000..9d9ed1cf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure JR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt new file mode 100644 index 00000000..c66d791d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_li_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_li_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt new file mode 100644 index 00000000..f369618a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LUI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LUI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lui_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lui_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt new file mode 100644 index 00000000..0cf3638e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LW +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.55 -- -- -- 99.55 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.55 -- -- -- 99.55 + + +------------------------------------------------------------------------------- + +Measure LW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.55 -- -- -- 99.55 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lw_cg +SCORE LINE COND ASSERT GROUP NAME + 99.55 -- -- -- 99.55 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt new file mode 100644 index 00000000..9269ce20 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LWSP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LWSP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lwsp_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lwsp_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt new file mode 100644 index 00000000..ee9b202d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.MV +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MV: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_mv_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_mv_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt new file mode 100644 index 00000000..672102f2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.NOP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure NOP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_nop_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_nop_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt new file mode 100644 index 00000000..657508c1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.OR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure OR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_or_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_or_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt new file mode 100644 index 00000000..1959df35 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BEQ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEQ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_beq_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_beq_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt new file mode 100644 index 00000000..d1f9e537 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SLLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_slli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_slli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt new file mode 100644 index 00000000..5665094a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SRAI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRAI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srai_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srai_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt new file mode 100644 index 00000000..3c78531c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SRLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt new file mode 100644 index 00000000..c7dbbd01 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SUB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sub_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt new file mode 100644 index 00000000..298960da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SW +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.61 -- -- -- 99.61 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.61 -- -- -- 99.61 + + +------------------------------------------------------------------------------- + +Measure SW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.61 -- -- -- 99.61 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sw_cg +SCORE LINE COND ASSERT GROUP NAME + 99.61 -- -- -- 99.61 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt new file mode 100644 index 00000000..f31ec65f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SWSP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SWSP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_swsp_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_swsp_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt new file mode 100644 index 00000000..448ef86b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.XOR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XOR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_xor_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_xor_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt new file mode 100644 index 00000000..593a2441 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt @@ -0,0 +1,33 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + description: ZICSR extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 CSRRC +100.00 -- -- -- 100.00 CSRRCI +100.00 -- -- -- 100.00 CSRRS +100.00 -- -- -- 100.00 CSRRSI +100.00 -- -- -- 100.00 CSRRW +100.00 -- -- -- 100.00 CSRRWI + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt new file mode 100644 index 00000000..c7d3ac68 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrc_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrc_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt new file mode 100644 index 00000000..f59652b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRCI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRCI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrci_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrci_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt new file mode 100644 index 00000000..40fba108 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrs_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrs_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt new file mode 100644 index 00000000..da64b075 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BGE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BGE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bge_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bge_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt new file mode 100644 index 00000000..cb0ef6e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRSI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRSI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrsi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt new file mode 100644 index 00000000..2b22715d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRW +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrw_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt new file mode 100644 index 00000000..95ae00e2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRWI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRWI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrwi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt new file mode 100644 index 00000000..68953875 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt @@ -0,0 +1,39 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.95 -- -- -- 99.95 + +Attribute/Annotation values: + weight: 1 + description: ZCB extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 MUL +100.00 -- -- -- 100.00 ZEXT_B +100.00 -- -- -- 100.00 ZEXT_H +100.00 -- -- -- 100.00 SEXT_B +100.00 -- -- -- 100.00 SEXT_H +100.00 -- -- -- 100.00 NOT +100.00 -- -- -- 100.00 SB + 99.80 -- -- -- 99.80 SH +100.00 -- -- -- 100.00 LBU + 99.83 -- -- -- 99.83 LHU + 99.83 -- -- -- 99.83 LH + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt new file mode 100644 index 00000000..4582a194 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.MUL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MUL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_mul_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_mul_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt new file mode 100644 index 00000000..26e0edcf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.ZEXT_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZEXT_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt new file mode 100644 index 00000000..75d057c3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.ZEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt new file mode 100644 index 00000000..07d3d6fd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SEXT_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt new file mode 100644 index 00000000..95bb786c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt new file mode 100644 index 00000000..ff6e6fac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.NOT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure NOT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_not_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_not_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt new file mode 100644 index 00000000..a1e0a9ed --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BGEU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BGEU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bgeu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bgeu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt new file mode 100644 index 00000000..5b6bbfed --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sb_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sb_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt new file mode 100644 index 00000000..c36232f3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SH +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure SH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sh_cg +SCORE LINE COND ASSERT GROUP NAME + 99.80 -- -- -- 99.80 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt new file mode 100644 index 00000000..5df56b3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LBU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LBU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lbu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lbu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt new file mode 100644 index 00000000..d7ba9f2e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LHU +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure LHU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lhu_cg +SCORE LINE COND ASSERT GROUP NAME + 99.83 -- -- -- 99.83 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lhu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt new file mode 100644 index 00000000..f5eb6ddc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LH +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure LH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lh_cg +SCORE LINE COND ASSERT GROUP NAME + 99.83 -- -- -- 99.83 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt new file mode 100644 index 00000000..c852dbf5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.67 -- -- -- 99.67 + +Attribute/Annotation values: + description: Bitmanip extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 RV32ZBA +100.00 -- -- -- 100.00 RV32ZBB +100.00 -- -- -- 100.00 RV32ZBC + 98.70 -- -- -- 98.70 RV32ZBS + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt new file mode 100644 index 00000000..5cf8cb1d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt @@ -0,0 +1,28 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 SH1ADD +100.00 -- -- -- 100.00 SH2ADD +100.00 -- -- -- 100.00 SH3ADD + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt new file mode 100644 index 00000000..1a2dc2f9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH1ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH1ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh1add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh1add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt new file mode 100644 index 00000000..caf4d807 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH2ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH2ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh2add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh2add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt new file mode 100644 index 00000000..4c263a3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH3ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH3ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh3add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh3add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt new file mode 100644 index 00000000..9bc0318f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt @@ -0,0 +1,43 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 ANDN +100.00 -- -- -- 100.00 MAX +100.00 -- -- -- 100.00 MAXU +100.00 -- -- -- 100.00 MIN +100.00 -- -- -- 100.00 MINU +100.00 -- -- -- 100.00 ORN +100.00 -- -- -- 100.00 ROL +100.00 -- -- -- 100.00 ROR +100.00 -- -- -- 100.00 XNOR +100.00 -- -- -- 100.00 RORI +100.00 -- -- -- 100.00 CLZ +100.00 -- -- -- 100.00 CPOP +100.00 -- -- -- 100.00 CTZ +100.00 -- -- -- 100.00 ORC_B +100.00 -- -- -- 100.00 REV8 +100.00 -- -- -- 100.00 SEXT_B +100.00 -- -- -- 100.00 SEXT_H +100.00 -- -- -- 100.00 ZEXT_H + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt new file mode 100644 index 00000000..5e604f04 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ANDN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ANDN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_andn_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_andn_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt new file mode 100644 index 00000000..a3cffd1e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BLT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BLT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_blt_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_blt_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt new file mode 100644 index 00000000..2c128547 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MAX +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MAX: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_max_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_max_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt new file mode 100644 index 00000000..67755423 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MAXU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MAXU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_maxu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_maxu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt new file mode 100644 index 00000000..138d0684 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MIN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_min_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_min_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt new file mode 100644 index 00000000..dac982d0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MINU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MINU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_minu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_minu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt new file mode 100644 index 00000000..9b3f4518 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ORN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ORN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orn_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orn_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt new file mode 100644 index 00000000..f39cf7b8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ROL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ROL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rol_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rol_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt new file mode 100644 index 00000000..c644b45c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ROR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ROR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ror_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ror_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt new file mode 100644 index 00000000..0df3e42d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.XNOR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XNOR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_xnor_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_xnor_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt new file mode 100644 index 00000000..e7f9fd15 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.RORI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure RORI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rori_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rori_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt new file mode 100644 index 00000000..10ee0b06 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CLZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_clz_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_clz_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt new file mode 100644 index 00000000..9e9aba84 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BLTU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BLTU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bltu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bltu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt new file mode 100644 index 00000000..2167b4f2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CPOP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CPOP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_cpop_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_cpop_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt new file mode 100644 index 00000000..f3b0491e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CTZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CTZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ctz_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ctz_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt new file mode 100644 index 00000000..1604fa12 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ORC_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ORC_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orc_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orc_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt new file mode 100644 index 00000000..63b3d354 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.REV8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REV8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rev8_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rev8_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt new file mode 100644 index 00000000..cd581580 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.SEXT_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt new file mode 100644 index 00000000..87a8f016 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.SEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt new file mode 100644 index 00000000..7f050968 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ZEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_zext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_zext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt new file mode 100644 index 00000000..3fd1d1e2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt @@ -0,0 +1,28 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 CLMUL +100.00 -- -- -- 100.00 CLMULH +100.00 -- -- -- 100.00 CLMULR + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt new file mode 100644 index 00000000..31d887f2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMUL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLMUL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmul_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmul_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt new file mode 100644 index 00000000..b7662270 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMULH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLMULH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt new file mode 100644 index 00000000..3782d501 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BNE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BNE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bne_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bne_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt new file mode 100644 index 00000000..28113e70 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMULR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLMULR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt new file mode 100644 index 00000000..da9a1b98 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt @@ -0,0 +1,33 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS +=============================================================================== +SCORE LINE COND ASSERT GROUP + 98.70 -- -- -- 98.70 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 BCLR +100.00 -- -- -- 100.00 BCLRI +100.00 -- -- -- 100.00 BINV +100.00 -- -- -- 100.00 BINVI + 95.83 -- -- -- 95.83 BSET + 93.75 -- -- -- 93.75 BSETI +100.00 -- -- -- 100.00 BEXT +100.00 -- -- -- 100.00 BEXTI + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt new file mode 100644 index 00000000..faf5b850 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BCLR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BCLR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt new file mode 100644 index 00000000..105dc1f4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BCLRI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BCLRI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclri_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclri_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt new file mode 100644 index 00000000..77c4d3b0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BINV +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BINV: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binv_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binv_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt new file mode 100644 index 00000000..1843555e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BINVI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BINVI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binvi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binvi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt new file mode 100644 index 00000000..98794e87 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BSET +=============================================================================== +SCORE LINE COND ASSERT GROUP + 95.83 -- -- -- 95.83 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 95.83 -- -- -- 95.83 + + +------------------------------------------------------------------------------- + +Measure BSET: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 95.83 -- -- -- 95.83 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bset_cg +SCORE LINE COND ASSERT GROUP NAME + 95.83 -- -- -- 95.83 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bset_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt new file mode 100644 index 00000000..7fd8568e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BSETI +=============================================================================== +SCORE LINE COND ASSERT GROUP + 93.75 -- -- -- 93.75 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 93.75 -- -- -- 93.75 + + +------------------------------------------------------------------------------- + +Measure BSETI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 93.75 -- -- -- 93.75 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bseti_cg +SCORE LINE COND ASSERT GROUP NAME + 93.75 -- -- -- 93.75 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bseti_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt new file mode 100644 index 00000000..1ac5f21a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BEXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bext_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bext_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt new file mode 100644 index 00000000..4c754e66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BEXTI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEXTI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bexti_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bexti_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt new file mode 100644 index 00000000..be5b4163 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Instructions execution sequences +=============================================================================== +SCORE LINE COND ASSERT GROUP + 98.84 -- -- -- 98.84 + +Attribute/Annotation values: + description: Instructions sequences + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 98.84 -- -- -- 98.84 + + +------------------------------------------------------------------------------- + +Measure Instruction_sequences: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 98.84 -- -- -- 98.84 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rev32_seq_cg +SCORE LINE COND ASSERT GROUP NAME + 98.84 -- -- -- 98.84 uvma_isacov_pkg.uvma_isacov_pkg.rev32_seq_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt new file mode 100644 index 00000000..b10fe617 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.EBREAK +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure EBREAK: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ebreak_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ebreak_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt new file mode 100644 index 00000000..60517b07 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + weight: 1 + Comment: RVFI limitation issue(#1338) + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 I_EXT +100.00 -- -- -- 100.00 M_EXT +100.00 -- -- -- 100.00 ZICSR_EXT + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt new file mode 100644 index 00000000..cf14c045 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.I_EXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: RVFI limitation issue(#1338) + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure I_EXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_i_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.illegal_i_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt new file mode 100644 index 00000000..e68aab53 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level +=============================================================================== +SCORE LINE COND ASSERT GROUP + 94.94 -- -- 92.31 97.58 + +Attribute/Annotation values: + description: CVA6 features for programmer view + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 99.74 -- -- -- 99.74 ISA +100.00 -- -- -- 100.00 CSR access + 99.57 -- -- -- 99.57 TRAPs + 91.66 -- -- 92.31 91.01 CV-X-IF + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt new file mode 100644 index 00000000..f77e58da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.M_EXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: RVFI limitation issue(#1338) + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure M_EXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_m_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.illegal_m_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt new file mode 100644 index 00000000..347164cc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.ZICSR_EXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: RVFI limitation issue(#1338) + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZICSR_EXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_zicsr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.illegal_zicsr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt new file mode 100644 index 00000000..73b25b85 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt @@ -0,0 +1,215 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + description: + CSR registers access. + Specification: Done, Dvplan: Done, Verification execution: Done + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 MSTATUS +100.00 -- -- -- 100.00 MISA +100.00 -- -- -- 100.00 MIE +100.00 -- -- -- 100.00 MTVEC +100.00 -- -- -- 100.00 MSTATUSH +100.00 -- -- -- 100.00 MHPMEVENT3 +100.00 -- -- -- 100.00 MHPMEVENT4 +100.00 -- -- -- 100.00 MHPMEVENT5 +100.00 -- -- -- 100.00 MHPMEVENT6 +100.00 -- -- -- 100.00 MHPMEVENT7 +100.00 -- -- -- 100.00 MHPMEVENT8 +100.00 -- -- -- 100.00 MHPMEVENT9 +100.00 -- -- -- 100.00 MHPMEVENT10 +100.00 -- -- -- 100.00 MHPMEVENT11 +100.00 -- -- -- 100.00 MHPMEVENT12 +100.00 -- -- -- 100.00 MHPMEVENT13 +100.00 -- -- -- 100.00 MHPMEVENT14 +100.00 -- -- -- 100.00 MHPMEVENT15 +100.00 -- -- -- 100.00 MHPMEVENT16 +100.00 -- -- -- 100.00 MHPMEVENT17 +100.00 -- -- -- 100.00 MHPMEVENT18 +100.00 -- -- -- 100.00 MHPMEVENT19 +100.00 -- -- -- 100.00 MHPMEVENT20 +100.00 -- -- -- 100.00 MHPMEVENT21 +100.00 -- -- -- 100.00 MHPMEVENT22 +100.00 -- -- -- 100.00 MHPMEVENT23 +100.00 -- -- -- 100.00 MHPMEVENT24 +100.00 -- -- -- 100.00 MHPMEVENT25 +100.00 -- -- -- 100.00 MHPMEVENT26 +100.00 -- -- -- 100.00 MHPMEVENT27 +100.00 -- -- -- 100.00 MHPMEVENT28 +100.00 -- -- -- 100.00 MHPMEVENT29 +100.00 -- -- -- 100.00 MHPMEVENT30 +100.00 -- -- -- 100.00 MHPMEVENT31 +100.00 -- -- -- 100.00 MSCRATCH +100.00 -- -- -- 100.00 MEPC +100.00 -- -- -- 100.00 MCAUSE +100.00 -- -- -- 100.00 MTVAL +100.00 -- -- -- 100.00 MIP +100.00 -- -- -- 100.00 PMPCFG0 +100.00 -- -- -- 100.00 PMPCFG1 +100.00 -- -- -- 100.00 PMPCFG2 +100.00 -- -- -- 100.00 PMPCFG3 +100.00 -- -- -- 100.00 PMPCFG4 +100.00 -- -- -- 100.00 PMPCFG5 +100.00 -- -- -- 100.00 PMPCFG6 +100.00 -- -- -- 100.00 PMPCFG7 +100.00 -- -- -- 100.00 PMPCFG8 +100.00 -- -- -- 100.00 PMPCFG9 +100.00 -- -- -- 100.00 PMPCFG10 +100.00 -- -- -- 100.00 PMPCFG11 +100.00 -- -- -- 100.00 PMPCFG12 +100.00 -- -- -- 100.00 PMPCFG13 +100.00 -- -- -- 100.00 PMPCFG14 +100.00 -- -- -- 100.00 PMPCFG15 +100.00 -- -- -- 100.00 PMPADDR0 +100.00 -- -- -- 100.00 PMPADDR1 +100.00 -- -- -- 100.00 PMPADDR2 +100.00 -- -- -- 100.00 PMPADDR3 +100.00 -- -- -- 100.00 PMPADDR4 +100.00 -- -- -- 100.00 PMPADDR5 +100.00 -- -- -- 100.00 PMPADDR6 +100.00 -- -- -- 100.00 PMPADDR7 +100.00 -- -- -- 100.00 PMPADDR8 +100.00 -- -- -- 100.00 PMPADDR9 +100.00 -- -- -- 100.00 PMPADDR10 +100.00 -- -- -- 100.00 PMPADDR11 +100.00 -- -- -- 100.00 PMPADDR12 +100.00 -- -- -- 100.00 PMPADDR13 +100.00 -- -- -- 100.00 PMPADDR14 +100.00 -- -- -- 100.00 PMPADDR15 +100.00 -- -- -- 100.00 PMPADDR16 +100.00 -- -- -- 100.00 PMPADDR17 +100.00 -- -- -- 100.00 PMPADDR18 +100.00 -- -- -- 100.00 PMPADDR19 +100.00 -- -- -- 100.00 PMPADDR20 +100.00 -- -- -- 100.00 PMPADDR21 +100.00 -- -- -- 100.00 PMPADDR22 +100.00 -- -- -- 100.00 PMPADDR23 +100.00 -- -- -- 100.00 PMPADDR24 +100.00 -- -- -- 100.00 PMPADDR25 +100.00 -- -- -- 100.00 PMPADDR26 +100.00 -- -- -- 100.00 PMPADDR27 +100.00 -- -- -- 100.00 PMPADDR28 +100.00 -- -- -- 100.00 PMPADDR29 +100.00 -- -- -- 100.00 PMPADDR30 +100.00 -- -- -- 100.00 PMPADDR31 +100.00 -- -- -- 100.00 PMPADDR32 +100.00 -- -- -- 100.00 PMPADDR33 +100.00 -- -- -- 100.00 PMPADDR34 +100.00 -- -- -- 100.00 PMPADDR35 +100.00 -- -- -- 100.00 PMPADDR36 +100.00 -- -- -- 100.00 PMPADDR37 +100.00 -- -- -- 100.00 PMPADDR38 +100.00 -- -- -- 100.00 PMPADDR39 +100.00 -- -- -- 100.00 PMPADDR40 +100.00 -- -- -- 100.00 PMPADDR41 +100.00 -- -- -- 100.00 PMPADDR42 +100.00 -- -- -- 100.00 PMPADDR43 +100.00 -- -- -- 100.00 PMPADDR44 +100.00 -- -- -- 100.00 PMPADDR45 +100.00 -- -- -- 100.00 PMPADDR46 +100.00 -- -- -- 100.00 PMPADDR47 +100.00 -- -- -- 100.00 PMPADDR48 +100.00 -- -- -- 100.00 PMPADDR49 +100.00 -- -- -- 100.00 PMPADDR50 +100.00 -- -- -- 100.00 PMPADDR51 +100.00 -- -- -- 100.00 PMPADDR52 +100.00 -- -- -- 100.00 PMPADDR53 +100.00 -- -- -- 100.00 PMPADDR54 +100.00 -- -- -- 100.00 PMPADDR55 +100.00 -- -- -- 100.00 PMPADDR56 +100.00 -- -- -- 100.00 PMPADDR57 +100.00 -- -- -- 100.00 PMPADDR58 +100.00 -- -- -- 100.00 PMPADDR59 +100.00 -- -- -- 100.00 PMPADDR60 +100.00 -- -- -- 100.00 PMPADDR61 +100.00 -- -- -- 100.00 PMPADDR62 +100.00 -- -- -- 100.00 PMPADDR63 +100.00 -- -- -- 100.00 MCYCLE +100.00 -- -- -- 100.00 MINSTRET +100.00 -- -- -- 100.00 MHPMCOUNTER3 +100.00 -- -- -- 100.00 MHPMCOUNTER4 +100.00 -- -- -- 100.00 MHPMCOUNTER5 +100.00 -- -- -- 100.00 MHPMCOUNTER6 +100.00 -- -- -- 100.00 MHPMCOUNTER7 +100.00 -- -- -- 100.00 MHPMCOUNTER8 +100.00 -- -- -- 100.00 MHPMCOUNTER9 +100.00 -- -- -- 100.00 MHPMCOUNTER10 +100.00 -- -- -- 100.00 MHPMCOUNTER11 +100.00 -- -- -- 100.00 MHPMCOUNTER12 +100.00 -- -- -- 100.00 MHPMCOUNTER13 +100.00 -- -- -- 100.00 MHPMCOUNTER14 +100.00 -- -- -- 100.00 MHPMCOUNTER15 +100.00 -- -- -- 100.00 MHPMCOUNTER16 +100.00 -- -- -- 100.00 MHPMCOUNTER17 +100.00 -- -- -- 100.00 MHPMCOUNTER18 +100.00 -- -- -- 100.00 MHPMCOUNTER19 +100.00 -- -- -- 100.00 MHPMCOUNTER20 +100.00 -- -- -- 100.00 MHPMCOUNTER21 +100.00 -- -- -- 100.00 MHPMCOUNTER22 +100.00 -- -- -- 100.00 MHPMCOUNTER23 +100.00 -- -- -- 100.00 MHPMCOUNTER24 +100.00 -- -- -- 100.00 MHPMCOUNTER25 +100.00 -- -- -- 100.00 MHPMCOUNTER26 +100.00 -- -- -- 100.00 MHPMCOUNTER27 +100.00 -- -- -- 100.00 MHPMCOUNTER28 +100.00 -- -- -- 100.00 MHPMCOUNTER29 +100.00 -- -- -- 100.00 MHPMCOUNTER30 +100.00 -- -- -- 100.00 MHPMCOUNTER31 +100.00 -- -- -- 100.00 MCYCLEH +100.00 -- -- -- 100.00 MINSTRETH +100.00 -- -- -- 100.00 MHPMCOUNTER3H +100.00 -- -- -- 100.00 MHPMCOUNTER4H +100.00 -- -- -- 100.00 MHPMCOUNTER5H +100.00 -- -- -- 100.00 MHPMCOUNTER6H +100.00 -- -- -- 100.00 MHPMCOUNTER7H +100.00 -- -- -- 100.00 MHPMCOUNTER8H +100.00 -- -- -- 100.00 MHPMCOUNTER9H +100.00 -- -- -- 100.00 MHPMCOUNTER10H +100.00 -- -- -- 100.00 MHPMCOUNTER11H +100.00 -- -- -- 100.00 MHPMCOUNTER12H +100.00 -- -- -- 100.00 MHPMCOUNTER13H +100.00 -- -- -- 100.00 MHPMCOUNTER14H +100.00 -- -- -- 100.00 MHPMCOUNTER15H +100.00 -- -- -- 100.00 MHPMCOUNTER16H +100.00 -- -- -- 100.00 MHPMCOUNTER17H +100.00 -- -- -- 100.00 MHPMCOUNTER18H +100.00 -- -- -- 100.00 MHPMCOUNTER19H +100.00 -- -- -- 100.00 MHPMCOUNTER20H +100.00 -- -- -- 100.00 MHPMCOUNTER21H +100.00 -- -- -- 100.00 MHPMCOUNTER22H +100.00 -- -- -- 100.00 MHPMCOUNTER23H +100.00 -- -- -- 100.00 MHPMCOUNTER24H +100.00 -- -- -- 100.00 MHPMCOUNTER25H +100.00 -- -- -- 100.00 MHPMCOUNTER26H +100.00 -- -- -- 100.00 MHPMCOUNTER27H +100.00 -- -- -- 100.00 MHPMCOUNTER28H +100.00 -- -- -- 100.00 MHPMCOUNTER29H +100.00 -- -- -- 100.00 MHPMCOUNTER30H +100.00 -- -- -- 100.00 MHPMCOUNTER31H +100.00 -- -- -- 100.00 MVENDORID +100.00 -- -- -- 100.00 MARCHID +100.00 -- -- -- 100.00 MIMPID +100.00 -- -- -- 100.00 MHARTID +100.00 -- -- -- 100.00 MCONFIGPTR + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt new file mode 100644 index 00000000..5326cc98 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSTATUS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MSTATUS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt new file mode 100644 index 00000000..1bf13572 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MISA +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MISA: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.misa.misa__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.misa.misa__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.misa.misa__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.misa.misa__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt new file mode 100644 index 00000000..2f468a58 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mie.mie__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mie.mie__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mie.mie__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mie.mie__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt new file mode 100644 index 00000000..c3cb6871 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MTVEC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MTVEC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt new file mode 100644 index 00000000..66dd6311 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSTATUSH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MSTATUSH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt new file mode 100644 index 00000000..8e99d397 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ECALL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ECALL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ecall_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ecall_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt new file mode 100644 index 00000000..1ea22e7f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt new file mode 100644 index 00000000..b998af4d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt new file mode 100644 index 00000000..253e36a9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt new file mode 100644 index 00000000..1af4f7fd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt new file mode 100644 index 00000000..9ea6862d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt new file mode 100644 index 00000000..e3012e4c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt new file mode 100644 index 00000000..1bee67ae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt new file mode 100644 index 00000000..59addc01 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt new file mode 100644 index 00000000..d233e7b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt new file mode 100644 index 00000000..44aa1e52 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt new file mode 100644 index 00000000..96230134 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.FENCE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure FENCE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_fence_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_fence_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt new file mode 100644 index 00000000..1256ae7c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt new file mode 100644 index 00000000..54913006 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt new file mode 100644 index 00000000..cb3e1268 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt new file mode 100644 index 00000000..e7e7e844 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT16 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT16: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt new file mode 100644 index 00000000..b0d6db4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT17 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT17: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt new file mode 100644 index 00000000..8bd5a85b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT18 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT18: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt new file mode 100644 index 00000000..97d8fafc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT19 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT19: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt new file mode 100644 index 00000000..f37f06a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT20 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT20: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt new file mode 100644 index 00000000..94ea5a1e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT21 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT21: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt new file mode 100644 index 00000000..07ab0d9c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT22 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT22: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt new file mode 100644 index 00000000..00f6df07 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.JAL +=============================================================================== +SCORE LINE COND ASSERT GROUP + 85.42 -- -- -- 85.42 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 85.42 -- -- -- 85.42 + + +------------------------------------------------------------------------------- + +Measure JAL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 85.42 -- -- -- 85.42 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jal_cg +SCORE LINE COND ASSERT GROUP NAME + 85.42 -- -- -- 85.42 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jal_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt new file mode 100644 index 00000000..d83fee2f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT23 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT23: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt new file mode 100644 index 00000000..baace936 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT24 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT24: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt new file mode 100644 index 00000000..e5ccc261 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT25 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT25: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt new file mode 100644 index 00000000..8dede78b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT26 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT26: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt new file mode 100644 index 00000000..6e3bb385 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT27 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT27: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt new file mode 100644 index 00000000..cf366d9e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT28 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT28: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt new file mode 100644 index 00000000..3aab8386 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT29 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT29: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt new file mode 100644 index 00000000..95fec129 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT30 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT30: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt new file mode 100644 index 00000000..cd37c84c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT31 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT31: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt new file mode 100644 index 00000000..8016331a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSCRATCH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MSCRATCH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt new file mode 100644 index 00000000..cc37e3be --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.JALR +=============================================================================== +SCORE LINE COND ASSERT GROUP + 92.03 -- -- -- 92.03 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 92.03 -- -- -- 92.03 + + +------------------------------------------------------------------------------- + +Measure JALR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 92.03 -- -- -- 92.03 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jalr_cg +SCORE LINE COND ASSERT GROUP NAME + 92.03 -- -- -- 92.03 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jalr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt new file mode 100644 index 00000000..e7581cea --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MEPC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MEPC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mepc.mepc__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mepc.mepc__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mepc.mepc__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mepc.mepc__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt new file mode 100644 index 00000000..3cf68b27 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCAUSE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCAUSE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mcause.mcause__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcause.mcause__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mcause.mcause__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcause.mcause__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt new file mode 100644 index 00000000..6a26f5c0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.74 -- -- -- 99.74 + +Attribute/Annotation values: + description: + Instruction Set Architecture + Specification: Done, Dvplan: Done, Verification execution: Done + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 99.46 -- -- -- 99.46 RV32I +100.00 -- -- -- 100.00 RV32M + 99.97 -- -- -- 99.97 RV32C +100.00 -- -- -- 100.00 RV32ZICSR + 99.95 -- -- -- 99.95 RV32ZCB + 99.67 -- -- -- 99.67 RV32ZB + 98.84 -- -- -- 98.84 Instructions execution sequences +100.00 -- -- -- 100.00 Illegal instructions + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt new file mode 100644 index 00000000..358f7784 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MTVAL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MTVAL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mtval.mtval__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtval.mtval__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mtval.mtval__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtval.mtval__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt new file mode 100644 index 00000000..03b2d374 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mip.mip__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mip.mip__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mip.mip__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mip.mip__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt new file mode 100644 index 00000000..57c348c5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG0 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG0: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt new file mode 100644 index 00000000..d483b4d1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG1 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG1: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt new file mode 100644 index 00000000..0050fa94 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG2 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG2: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt new file mode 100644 index 00000000..79858ed2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt new file mode 100644 index 00000000..9ba9ca60 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt new file mode 100644 index 00000000..227dff45 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt new file mode 100644 index 00000000..165ce45c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lb_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lb_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt new file mode 100644 index 00000000..1d05febe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt new file mode 100644 index 00000000..1504d30f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt new file mode 100644 index 00000000..8b976ba4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt new file mode 100644 index 00000000..e6724b08 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt new file mode 100644 index 00000000..5a18905a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt new file mode 100644 index 00000000..14c8d64b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt new file mode 100644 index 00000000..e8af63a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt new file mode 100644 index 00000000..3125fe39 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt new file mode 100644 index 00000000..eed0a936 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt new file mode 100644 index 00000000..2d8151f3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt new file mode 100644 index 00000000..c096aa4f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LBU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LBU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lbu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lbu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt new file mode 100644 index 00000000..c052748f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR0 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR0: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt new file mode 100644 index 00000000..8a3a2bf2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR1 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR1: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.txt new file mode 100644 index 00000000..2547b1e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.txt @@ -0,0 +1,462 @@ +Testbench Group List + +Total Groups Coverage Summary +SCORE INST SCORE WEIGHT + 98.85 98.85 1 + + +Total groups in report: 451 +------------------------------------------------------------------------------- +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 0.00 0.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_clock_period_cg + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_reset_cg + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_boot_addr + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + 69.44 69.44 1 100 1 1 64 64 uvma_cvxif_pkg::cg_request + 77.08 77.08 1 100 1 1 64 64 uvma_cvxif_pkg::cg_result + 83.87 83.87 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_config + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + 85.42 85.42 1 100 1 1 64 64 uvma_isacov_pkg::cg_jtype + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + 98.29 98.29 1 100 1 1 64 64 uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + 98.84 98.84 1 100 1 1 64 64 uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + 99.55 99.55 1 100 1 1 64 64 uvma_isacov_pkg::cg_cl + 99.61 99.61 1 100 1 1 64 64 uvma_isacov_pkg::cg_cs + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sh + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lhu + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lh + 99.92 99.92 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_compressed_instr +100.00 100.00 1 100 1 1 64 64 uvma_cvxif_pkg::cg_response +100.00 100.00 1 100 1 1 64 64 uvma_interrupt_pkg::cg_interrupt +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_zexth +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=777630929) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=2304086666) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ciw +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_shift +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sb +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lbu +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_utype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_ext +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_css +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lhu +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_mv +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cj +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_mul +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_ext +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lbu +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_shift +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_lui +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=332521270) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=3641590055) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=430551851) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=2320478138) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zexth +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zextb +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_clmulh +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_li +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_andi +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_add +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_j +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhartid::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_m +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mimpid::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_marchid::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_i +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_executed +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_zicsr +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grpinfo.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grpinfo.txt new file mode 100644 index 00000000..213a5f65 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grpinfo.txt @@ -0,0 +1,140842 @@ +Group : uvme_cva6_pkg::cg_cva6_clock_period_cg + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_clock_period_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 0.00 0.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 0.00 1 100 1 64 64 uvme_cva6_pkg.clock_period_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_clock_period_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 2 0 0.00 + + +Variables for Group uvme_cva6_pkg::cg_cva6_clock_period_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_clock_period_ps 2 2 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.clock_period_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 0.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 0.00 0.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_clock_period_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.clock_period_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 2 0 0.00 + + +Variables for Group Instance uvme_cva6_pkg.clock_period_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_clock_period_ps 2 2 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_clock_period_ps + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 2 0 0.00 + + +User Defined Bins for cp_clock_period_ps + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +HIGH 0 1 1 +LOW 0 1 1 + + +Group : uvme_cva6_pkg::cg_cva6_reset_cg + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_reset_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 33.33 33.33 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 33.33 1 100 1 64 64 uvme_cva6_pkg.reset_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_reset_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 3 2 33.33 + + +Variables for Group uvme_cva6_pkg::cg_cva6_reset_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_reset 2 1 1 50.00 100 1 1 0 +cp_reset_duration_ps 2 1 1 50.00 100 1 1 0 +cp_reset_onthefly_assert 1 1 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.reset_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 33.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_reset_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.reset_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 3 2 33.33 + + +Variables for Group Instance uvme_cva6_pkg.reset_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_reset 2 1 1 50.00 100 1 1 0 +cp_reset_duration_ps 2 1 1 50.00 100 1 1 0 +cp_reset_onthefly_assert 1 1 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_reset + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for cp_reset + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ASSERTED 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +DEASSERTED 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_reset_duration_ps + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for cp_reset_duration_ps + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +SHORT 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +LONG 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_reset_onthefly_assert + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_reset_onthefly_assert + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +onthefly_assert 0 1 1 + + +Group : uvme_cva6_pkg::cg_cva6_boot_addr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_boot_addr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 33.33 33.33 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 33.33 1 100 1 64 64 uvme_cva6_pkg.boot_addr_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_boot_addr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 2 1 33.33 + + +Variables for Group uvme_cva6_pkg::cg_cva6_boot_addr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_boot_addr 3 2 1 33.33 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.boot_addr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 33.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_boot_addr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.boot_addr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 2 1 33.33 + + +Variables for Group Instance uvme_cva6_pkg.boot_addr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_boot_addr 3 2 1 33.33 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_boot_addr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 2 1 33.33 + + +User Defined Bins for cp_boot_addr + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BOOT_ADDR_HIGH 0 1 1 +BOOT_ADDR_0 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BOOT_ADDR_LOW 2356 1 + + +Group : uvma_obi_memory_pkg::cg_obi + +=============================================================================== +Group : uvma_obi_memory_pkg::cg_obi +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 41.67 41.67 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/comps/uvma_obi_memory_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 41.67 1 100 1 64 64 uvma_obi_memory_pkg.obi_cg + 41.67 1 100 1 64 64 uvma_obi_memory_pkg.obi_cg_(1) + 41.67 1 100 1 64 64 uvma_obi_memory_pkg.obi_cg_(2) + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_obi_memory_pkg::cg_obi + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 7 7 58.33 + + +Variables for Group uvma_obi_memory_pkg::cg_obi + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 0 2 100.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 4 2 33.33 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.obi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 41.67 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.obi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 9 5 41.67 + + +Variables for Group Instance uvma_obi_memory_pkg.obi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 1 1 50.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 5 1 16.67 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- + +Summary for Variable we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for we + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +WRITE 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +READ 15871660 1 + + +------------------------------------------------------------------------------- + +Summary for Variable memtype + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 4 2 2 50.00 + + +Automatically Generated Bins for memtype + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 +[auto[3]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 453 1 +auto[2] 15871207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable prot + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 6 5 1 16.67 + + +Automatically Generated Bins for prot + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[0] - auto[3]] -- -- 4 +[auto[7]] 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RSVD_PRIV 0 Excluded +[auto[4] - auto[5]] -- Excluded (2 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[6] 15871660 1 + + +------------------------------------------------------------------------------- + +Summary for Variable err + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for err + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 15871660 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.obi_cg_(1) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 41.67 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.obi_cg_(1) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 9 5 41.67 + + +Variables for Group Instance uvma_obi_memory_pkg.obi_cg_(1) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 1 1 50.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 5 1 16.67 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- + +Summary for Variable we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for we + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +WRITE 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +READ 1384478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable memtype + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 4 2 2 50.00 + + +Automatically Generated Bins for memtype + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 +[auto[3]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 215 1 +auto[2] 1384263 1 + + +------------------------------------------------------------------------------- + +Summary for Variable prot + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 6 5 1 16.67 + + +Automatically Generated Bins for prot + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[0] - auto[3]] -- -- 4 +[auto[6]] 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RSVD_PRIV 0 Excluded +[auto[4] - auto[5]] -- Excluded (2 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[7] 1384478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable err + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for err + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 1384478 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.obi_cg_(2) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 41.67 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.obi_cg_(2) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 9 5 41.67 + + +Variables for Group Instance uvma_obi_memory_pkg.obi_cg_(2) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 1 1 50.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 5 1 16.67 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- + +Summary for Variable we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for we + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +READ 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +WRITE 1406193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable memtype + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 4 2 2 50.00 + + +Automatically Generated Bins for memtype + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 +[auto[3]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 198 1 +auto[2] 1405995 1 + + +------------------------------------------------------------------------------- + +Summary for Variable prot + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 6 5 1 16.67 + + +Automatically Generated Bins for prot + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[0] - auto[3]] -- -- 4 +[auto[6]] 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RSVD_PRIV 0 Excluded +[auto[4] - auto[5]] -- Excluded (2 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[7] 1406193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable err + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for err + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 1406193 1 + + +Group : uvma_cvxif_pkg::cg_request + +=============================================================================== +Group : uvma_cvxif_pkg::cg_request +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 69.44 69.44 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 69.44 1 100 1 64 64 uvma_cvxif_pkg.request_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_cvxif_pkg::cg_request + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 22 9 13 78.57 +Crosses 24 16 8 37.50 + + +Variables for Group uvma_cvxif_pkg::cg_request + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_valid 1 0 1 100.00 100 1 1 0 +cp_issue_valid 1 0 1 100.00 100 1 1 0 +cp_issue_id 8 4 4 50.00 100 1 1 0 +cp_rs_valid 1 0 1 100.00 100 1 1 0 +cp_commit_id 8 4 4 50.00 100 1 1 0 +cp_commit_kill 2 1 1 50.00 100 1 1 0 +cp_commit_valid 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_cvxif_pkg.request_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 69.44 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 69.44 69.44 1 100 1 1 64 64 uvma_cvxif_pkg::cg_request + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_cvxif_pkg.request_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 22 9 13 78.57 +Crosses 24 16 8 37.50 + + +Variables for Group Instance uvma_cvxif_pkg.request_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_valid 1 0 1 100.00 100 1 1 0 +cp_issue_valid 1 0 1 100.00 100 1 1 0 +cp_issue_id 8 4 4 50.00 100 1 1 0 +cp_rs_valid 1 0 1 100.00 100 1 1 0 +cp_commit_id 8 4 4 50.00 100 1 1 0 +cp_commit_kill 2 1 1 50.00 100 1 1 0 +cp_commit_valid 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_cvxif_pkg.request_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_issue_req 8 4 4 50.00 100 1 1 0 +cross_commit_req 16 12 4 25.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_compressed_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_compressed_valid + + +Bins + +NAME COUNT AT LEAST +COMPRESSED_VALID 37962 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_issue_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_issue_valid + + +Bins + +NAME COUNT AT LEAST +ISSUE_VALID 63782 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_issue_id + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 4 4 50.00 + + +User Defined Bins for cp_issue_id + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ID_4 0 1 1 +ID_5 0 1 1 +ID_6 0 1 1 +ID_7 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +ID_0 16095 1 +ID_1 15974 1 +ID_2 15897 1 +ID_3 15816 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_rs_valid + + +Bins + +NAME COUNT AT LEAST +RS_VALID_2 63760 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_commit_id + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 4 4 50.00 + + +User Defined Bins for cp_commit_id + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ID_COMMIT_4 0 1 1 +ID_COMMIT_5 0 1 1 +ID_COMMIT_6 0 1 1 +ID_COMMIT_7 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +ID_COMMIT_0 14999 1 +ID_COMMIT_1 14851 1 +ID_COMMIT_2 14731 1 +ID_COMMIT_3 14694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_commit_kill + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for cp_commit_kill + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +COMMIT_KILL_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +COMMIT_KILL_0 59275 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_commit_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_commit_valid + + +Bins + +NAME COUNT AT LEAST +COMMIT_VALID 59275 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_issue_req + + +Samples crossed: cp_issue_valid cp_issue_id cp_rs_valid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 8 4 4 50.00 4 +Automatically Generated Cross Bins 8 4 4 50.00 4 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_issue_req + + +Element holes + +cp_issue_valid cp_issue_id cp_rs_valid COUNT AT LEAST NUMBER +* [ID_4 , ID_5 , ID_6 , ID_7] * -- -- 4 + + +Covered bins + +cp_issue_valid cp_issue_id cp_rs_valid COUNT AT LEAST +ISSUE_VALID ID_0 RS_VALID_2 16088 1 +ISSUE_VALID ID_1 RS_VALID_2 15968 1 +ISSUE_VALID ID_2 RS_VALID_2 15892 1 +ISSUE_VALID ID_3 RS_VALID_2 15812 1 + + +User Defined Cross Bins for cross_issue_req + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_BINS 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_commit_req + + +Samples crossed: cp_commit_valid cp_commit_kill cp_commit_id +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 16 12 4 25.00 12 +Automatically Generated Cross Bins 16 12 4 25.00 12 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_commit_req + + +Element holes + +cp_commit_valid cp_commit_kill cp_commit_id COUNT AT LEAST NUMBER +* [COMMIT_KILL_0] [ID_COMMIT_4 , ID_COMMIT_5 , ID_COMMIT_6 , ID_COMMIT_7] -- -- 4 +* [COMMIT_KILL_1] * -- -- 8 + + +Covered bins + +cp_commit_valid cp_commit_kill cp_commit_id COUNT AT LEAST +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_0 14999 1 +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_1 14851 1 +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_2 14731 1 +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_3 14694 1 + + +User Defined Cross Bins for cross_commit_req + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_BINS 0 Excluded + + +Group : uvma_cvxif_pkg::cg_result + +=============================================================================== +Group : uvma_cvxif_pkg::cg_result +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 77.08 77.08 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 77.08 1 100 1 64 64 uvma_cvxif_pkg.result_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_cvxif_pkg::cg_result + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 4 103 90.00 +Crosses 256 224 32 12.50 + + +Variables for Group uvma_cvxif_pkg::cg_result + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_result_valid 1 0 1 100.00 100 1 1 0 +cp_result_id 8 4 4 50.00 100 1 1 0 +cp_rd 32 0 32 100.00 100 1 1 0 +cp_data_toggle 64 0 64 100.00 100 1 1 0 +cp_we 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_cvxif_pkg.result_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 77.08 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 77.08 77.08 1 100 1 1 64 64 uvma_cvxif_pkg::cg_result + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_cvxif_pkg.result_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 4 103 90.00 +Crosses 256 224 32 12.50 + + +Variables for Group Instance uvma_cvxif_pkg.result_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_result_valid 1 0 1 100.00 100 1 1 0 +cp_result_id 8 4 4 50.00 100 1 1 0 +cp_rd 32 0 32 100.00 100 1 1 0 +cp_data_toggle 64 0 64 100.00 100 1 1 0 +cp_we 2 0 2 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_cvxif_pkg.result_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_result 256 224 32 12.50 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_result_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_result_valid + + +Bins + +NAME COUNT AT LEAST +RESULT_VALID 12841 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_result_id + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 4 4 50.00 + + +User Defined Bins for cp_result_id + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ID_4 0 1 1 +ID_5 0 1 1 +ID_6 0 1 1 +ID_7 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +ID_0 12891 1 +ID_1 12841 1 +ID_2 12603 1 +ID_3 12614 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 9503 1 +RD_01 1375 1 +RD_02 1313 1 +RD_03 1436 1 +RD_04 1280 1 +RD_05 1283 1 +RD_06 1363 1 +RD_07 1323 1 +RD_08 1297 1 +RD_09 1359 1 +RD_0a 1274 1 +RD_0b 1367 1 +RD_0c 1279 1 +RD_0d 1353 1 +RD_0e 1279 1 +RD_0f 1388 1 +RD_10 1341 1 +RD_11 1443 1 +RD_12 1291 1 +RD_13 1383 1 +RD_14 1391 1 +RD_15 1421 1 +RD_16 1375 1 +RD_17 1372 1 +RD_18 1256 1 +RD_19 1235 1 +RD_1a 1288 1 +RD_1b 1333 1 +RD_1c 1247 1 +RD_1d 1368 1 +RD_1e 1393 1 +RD_1f 1340 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_data_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_data_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 20004 1 +BIT30_1 19219 1 +BIT29_1 19197 1 +BIT28_1 19077 1 +BIT27_1 18911 1 +BIT26_1 18861 1 +BIT25_1 19089 1 +BIT24_1 19112 1 +BIT23_1 18918 1 +BIT22_1 18953 1 +BIT21_1 18853 1 +BIT20_1 18819 1 +BIT19_1 18825 1 +BIT18_1 18911 1 +BIT17_1 18920 1 +BIT16_1 19564 1 +BIT15_1 19903 1 +BIT14_1 19633 1 +BIT13_1 19980 1 +BIT12_1 20537 1 +BIT11_1 20583 1 +BIT10_1 20088 1 +BIT9_1 19541 1 +BIT8_1 19944 1 +BIT7_1 20069 1 +BIT6_1 19492 1 +BIT5_1 20244 1 +BIT4_1 20841 1 +BIT3_1 20787 1 +BIT2_1 20101 1 +BIT1_1 19207 1 +BIT0_1 15857 1 +BIT31_0 30945 1 +BIT30_0 31730 1 +BIT29_0 31752 1 +BIT28_0 31872 1 +BIT27_0 32038 1 +BIT26_0 32088 1 +BIT25_0 31860 1 +BIT24_0 31837 1 +BIT23_0 32031 1 +BIT22_0 31996 1 +BIT21_0 32096 1 +BIT20_0 32130 1 +BIT19_0 32124 1 +BIT18_0 32038 1 +BIT17_0 32029 1 +BIT16_0 31385 1 +BIT15_0 31046 1 +BIT14_0 31316 1 +BIT13_0 30969 1 +BIT12_0 30412 1 +BIT11_0 30366 1 +BIT10_0 30861 1 +BIT9_0 31408 1 +BIT8_0 31005 1 +BIT7_0 30880 1 +BIT6_0 31457 1 +BIT5_0 30705 1 +BIT4_0 30108 1 +BIT3_0 30162 1 +BIT2_0 30848 1 +BIT1_0 31742 1 +BIT0_0 35092 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_we + + +Bins + +NAME COUNT AT LEAST +WE_0 8090 1 +WE_1 42859 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_result + + +Samples crossed: cp_result_valid cp_result_id cp_we cp_rd +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 256 224 32 12.50 224 +Automatically Generated Cross Bins 256 224 32 12.50 224 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_result + + +Element holes + +cp_result_valid cp_result_id cp_we cp_rd COUNT AT LEAST NUMBER +* [ID_0] [WE_1] * -- -- 32 +* [ID_2 , ID_3 , ID_4 , ID_5 , ID_6 , ID_7] [WE_1] * -- -- 192 + + +Covered bins + +cp_result_valid cp_result_id cp_we cp_rd COUNT AT LEAST +RESULT_VALID ID_1 WE_1 RD_00 373 1 +RESULT_VALID ID_1 WE_1 RD_01 368 1 +RESULT_VALID ID_1 WE_1 RD_02 325 1 +RESULT_VALID ID_1 WE_1 RD_03 362 1 +RESULT_VALID ID_1 WE_1 RD_04 317 1 +RESULT_VALID ID_1 WE_1 RD_05 320 1 +RESULT_VALID ID_1 WE_1 RD_06 362 1 +RESULT_VALID ID_1 WE_1 RD_07 318 1 +RESULT_VALID ID_1 WE_1 RD_08 304 1 +RESULT_VALID ID_1 WE_1 RD_09 358 1 +RESULT_VALID ID_1 WE_1 RD_0a 350 1 +RESULT_VALID ID_1 WE_1 RD_0b 363 1 +RESULT_VALID ID_1 WE_1 RD_0c 345 1 +RESULT_VALID ID_1 WE_1 RD_0d 332 1 +RESULT_VALID ID_1 WE_1 RD_0e 325 1 +RESULT_VALID ID_1 WE_1 RD_0f 335 1 +RESULT_VALID ID_1 WE_1 RD_10 326 1 +RESULT_VALID ID_1 WE_1 RD_11 359 1 +RESULT_VALID ID_1 WE_1 RD_12 315 1 +RESULT_VALID ID_1 WE_1 RD_13 332 1 +RESULT_VALID ID_1 WE_1 RD_14 330 1 +RESULT_VALID ID_1 WE_1 RD_15 377 1 +RESULT_VALID ID_1 WE_1 RD_16 320 1 +RESULT_VALID ID_1 WE_1 RD_17 343 1 +RESULT_VALID ID_1 WE_1 RD_18 301 1 +RESULT_VALID ID_1 WE_1 RD_19 290 1 +RESULT_VALID ID_1 WE_1 RD_1a 345 1 +RESULT_VALID ID_1 WE_1 RD_1b 328 1 +RESULT_VALID ID_1 WE_1 RD_1c 317 1 +RESULT_VALID ID_1 WE_1 RD_1d 347 1 +RESULT_VALID ID_1 WE_1 RD_1e 384 1 +RESULT_VALID ID_1 WE_1 RD_1f 334 1 + + +User Defined Cross Bins for cross_result + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RESULT_VALID0 0 Excluded +IGN_WE0 0 Excluded + + +Group : uvme_cva6_pkg::cg_cva6_config + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_config +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 83.87 83.87 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 83.87 1 100 1 64 64 uvme_cva6_pkg.config_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_config + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 31 5 26 83.87 + + +Variables for Group uvme_cva6_pkg::cg_cva6_config + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_Xlen 1 0 1 100.00 100 1 1 0 +cp_RVF 1 0 1 100.00 100 1 1 0 +cp_F16En 1 0 1 100.00 100 1 1 0 +cp_F16AltEn 1 0 1 100.00 100 1 1 0 +cp_F8En 1 0 1 100.00 100 1 1 0 +cp_FVecEn 1 0 1 100.00 100 1 1 0 +cp_CvxifEn 1 0 1 100.00 100 1 1 0 +cp_CExtEn 1 0 1 100.00 100 1 1 0 +cp_AExtEn 1 0 1 100.00 100 1 1 0 +cp_BExtEn 1 0 1 100.00 100 1 1 0 +cp_VExtEn 1 0 1 100.00 100 1 1 0 +cp_RVZiCond 1 0 1 100.00 100 1 1 0 +cp_AxiIdWidth 1 0 1 100.00 100 1 1 0 +cp_AxiAddrWidth 1 0 1 100.00 100 1 1 0 +cp_AxiDataWidth 1 0 1 100.00 100 1 1 0 +cp_FetchUserEn 1 1 0 0.00 100 1 1 0 +cp_FetchUserWidth 1 0 1 100.00 100 1 1 0 +cp_DataUserEn 1 1 0 0.00 100 1 1 0 +cp_IcacheSetAssoc 1 0 1 100.00 100 1 1 0 +cp_IcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_DcacheSetAssoc 1 1 0 0.00 100 1 1 0 +cp_DcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_NrCommitPorts 1 0 1 100.00 100 1 1 0 +cp_FpgaEn 1 0 1 100.00 100 1 1 0 +cp_NrLoadBufEntries 1 1 0 0.00 100 1 1 0 +cp_RASDepth 1 0 1 100.00 100 1 1 0 +cp_BTBEntries 1 0 1 100.00 100 1 1 0 +cp_BHTEntries 1 0 1 100.00 100 1 1 0 +cp_NrPMPEntries 1 1 0 0.00 100 1 1 0 +cp_HaltAddress 1 0 1 100.00 100 1 1 0 +cp_ExceptionAddress 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.config_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 83.87 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 83.87 83.87 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_config + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.config_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 31 5 26 83.87 + + +Variables for Group Instance uvme_cva6_pkg.config_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_Xlen 1 0 1 100.00 100 1 1 0 +cp_RVF 1 0 1 100.00 100 1 1 0 +cp_F16En 1 0 1 100.00 100 1 1 0 +cp_F16AltEn 1 0 1 100.00 100 1 1 0 +cp_F8En 1 0 1 100.00 100 1 1 0 +cp_FVecEn 1 0 1 100.00 100 1 1 0 +cp_CvxifEn 1 0 1 100.00 100 1 1 0 +cp_CExtEn 1 0 1 100.00 100 1 1 0 +cp_AExtEn 1 0 1 100.00 100 1 1 0 +cp_BExtEn 1 0 1 100.00 100 1 1 0 +cp_VExtEn 1 0 1 100.00 100 1 1 0 +cp_RVZiCond 1 0 1 100.00 100 1 1 0 +cp_AxiIdWidth 1 0 1 100.00 100 1 1 0 +cp_AxiAddrWidth 1 0 1 100.00 100 1 1 0 +cp_AxiDataWidth 1 0 1 100.00 100 1 1 0 +cp_FetchUserEn 1 1 0 0.00 100 1 1 0 +cp_FetchUserWidth 1 0 1 100.00 100 1 1 0 +cp_DataUserEn 1 1 0 0.00 100 1 1 0 +cp_IcacheSetAssoc 1 0 1 100.00 100 1 1 0 +cp_IcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_DcacheSetAssoc 1 1 0 0.00 100 1 1 0 +cp_DcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_NrCommitPorts 1 0 1 100.00 100 1 1 0 +cp_FpgaEn 1 0 1 100.00 100 1 1 0 +cp_NrLoadBufEntries 1 1 0 0.00 100 1 1 0 +cp_RASDepth 1 0 1 100.00 100 1 1 0 +cp_BTBEntries 1 0 1 100.00 100 1 1 0 +cp_BHTEntries 1 0 1 100.00 100 1 1 0 +cp_NrPMPEntries 1 1 0 0.00 100 1 1 0 +cp_HaltAddress 1 0 1 100.00 100 1 1 0 +cp_ExceptionAddress 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_Xlen + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_Xlen + + +Bins + +NAME COUNT AT LEAST +Xlen 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_RVF + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_RVF + + +Bins + +NAME COUNT AT LEAST +RVF 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_F16En + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_F16En + + +Bins + +NAME COUNT AT LEAST +F16En 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_F16AltEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_F16AltEn + + +Bins + +NAME COUNT AT LEAST +F16AltEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_F8En + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_F8En + + +Bins + +NAME COUNT AT LEAST +F8En 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FVecEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_FVecEn + + +Bins + +NAME COUNT AT LEAST +FVecEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_CvxifEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_CvxifEn + + +Bins + +NAME COUNT AT LEAST +CvxifEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_CExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_CExtEn + + +Bins + +NAME COUNT AT LEAST +CExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AExtEn + + +Bins + +NAME COUNT AT LEAST +AExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_BExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_BExtEn + + +Bins + +NAME COUNT AT LEAST +BExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_VExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_VExtEn + + +Bins + +NAME COUNT AT LEAST +VExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_RVZiCond + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_RVZiCond + + +Bins + +NAME COUNT AT LEAST +RVZiCond 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AxiIdWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AxiIdWidth + + +Bins + +NAME COUNT AT LEAST +AxiIdWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AxiAddrWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AxiAddrWidth + + +Bins + +NAME COUNT AT LEAST +AxiAddrWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AxiDataWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AxiDataWidth + + +Bins + +NAME COUNT AT LEAST +AxiDataWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FetchUserEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_FetchUserEn + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +FetchUserEn 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FetchUserWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_FetchUserWidth + + +Bins + +NAME COUNT AT LEAST +FetchUserWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_DataUserEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_DataUserEn + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +DataUserEn 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_IcacheSetAssoc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_IcacheSetAssoc + + +Bins + +NAME COUNT AT LEAST +IcacheSetAssoc 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_IcacheLineWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_IcacheLineWidth + + +Bins + +NAME COUNT AT LEAST +IcacheLineWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_DcacheSetAssoc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_DcacheSetAssoc + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +DcacheSetAssoc 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_DcacheLineWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_DcacheLineWidth + + +Bins + +NAME COUNT AT LEAST +DcacheLineWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_NrCommitPorts + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_NrCommitPorts + + +Bins + +NAME COUNT AT LEAST +NrCommitPorts 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FpgaEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_FpgaEn + + +Bins + +NAME COUNT AT LEAST +FpgaEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_NrLoadBufEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_NrLoadBufEntries + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +NrLoadBufEntries 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_RASDepth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_RASDepth + + +Bins + +NAME COUNT AT LEAST +RASDepth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_BTBEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_BTBEntries + + +Bins + +NAME COUNT AT LEAST +BTBEntries 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_BHTEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_BHTEntries + + +Bins + +NAME COUNT AT LEAST +BHTEntries 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_NrPMPEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_NrPMPEntries + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +NrPMPEntries 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_HaltAddress + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_HaltAddress + + +Bins + +NAME COUNT AT LEAST +HaltAddress 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_ExceptionAddress + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_ExceptionAddress + + +Bins + +NAME COUNT AT LEAST +ExceptionAddress 2356 1 + + +Group : uvma_obi_memory_pkg::cg_obi_delay + +=============================================================================== +Group : uvma_obi_memory_pkg::cg_obi_delay +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 84.03 84.03 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/comps/uvma_obi_memory_cov_model.sv + +6 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 81.25 1 100 1 64 64 uvma_obi_memory_pkg.rd_delay_cg_(1) + 81.25 1 100 1 64 64 uvma_obi_memory_pkg.wr_delay_cg_(1) + 83.33 1 100 1 64 64 uvma_obi_memory_pkg.rd_delay_cg + 83.33 1 100 1 64 64 uvma_obi_memory_pkg.wr_delay_cg + 87.50 1 100 1 64 64 uvma_obi_memory_pkg.rd_delay_cg_(2) + 87.50 1 100 1 64 64 uvma_obi_memory_pkg.wr_delay_cg_(2) + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_obi_memory_pkg::cg_obi_delay + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 6 10 62.50 + + +Variables for Group uvma_obi_memory_pkg::cg_obi_delay + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.rd_delay_cg_(1) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 81.25 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 9 7 43.75 + + +Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 9 7 43.75 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 434 1 +dly_2 2675 1 +dly_3 234295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 466927 1 +dly_2 150467 1 +dly_3 78740 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 9 7 43.75 9 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2] [dly_0] 0 1 1 +[dly_2] [dly_3] 0 1 1 +[dly_3] [dly_0] 0 1 1 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 446895 1 +dly_1 dly_1 434 1 +dly_2 dly_1 351 1 +dly_2 dly_2 2324 1 +dly_3 dly_1 222289 1 +dly_3 dly_2 4810 1 +dly_3 dly_3 1752 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.wr_delay_cg_(1) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 81.25 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 9 7 43.75 + + +Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 9 7 43.75 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 434 1 +dly_2 2675 1 +dly_3 234295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 466927 1 +dly_2 150467 1 +dly_3 78740 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 9 7 43.75 9 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2] [dly_0] 0 1 1 +[dly_2] [dly_3] 0 1 1 +[dly_3] [dly_0] 0 1 1 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 446895 1 +dly_1 dly_1 434 1 +dly_2 dly_1 351 1 +dly_2 dly_2 2324 1 +dly_3 dly_1 222289 1 +dly_3 dly_2 4810 1 +dly_3 dly_3 1752 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.rd_delay_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 83.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 8 8 50.00 + + +Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 8 8 50.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 544527 1 +dly_2 554099 1 +dly_3 929321 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 1126329 1 +dly_2 1620966 1 +dly_3 546172 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 8 8 50.00 8 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2 , dly_3] [dly_0] -- -- 2 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 10928778 1 +dly_1 dly_1 544527 1 +dly_2 dly_1 83139 1 +dly_2 dly_2 470185 1 +dly_2 dly_3 150 1 +dly_3 dly_1 268065 1 +dly_3 dly_2 278273 1 +dly_3 dly_3 96393 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.wr_delay_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 83.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 8 8 50.00 + + +Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 8 8 50.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 544527 1 +dly_2 554099 1 +dly_3 929321 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 1126329 1 +dly_2 1620966 1 +dly_3 546172 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 8 8 50.00 8 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2 , dly_3] [dly_0] -- -- 2 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 10928778 1 +dly_1 dly_1 544527 1 +dly_2 dly_1 83139 1 +dly_2 dly_2 470185 1 +dly_2 dly_3 150 1 +dly_3 dly_1 268065 1 +dly_3 dly_2 278273 1 +dly_3 dly_3 96393 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.rd_delay_cg_(2) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 87.50 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 6 10 62.50 + + +Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 6 10 62.50 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 7208 1 +dly_2 10281 1 +dly_3 243695 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 657176 1 +dly_2 110400 1 +dly_3 51755 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 6 10 62.50 6 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1 , dly_2 , dly_3] [dly_0] -- -- 3 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 445204 1 +dly_1 dly_1 3821 1 +dly_1 dly_2 886 1 +dly_1 dly_3 817 1 +dly_2 dly_1 166 1 +dly_2 dly_2 4211 1 +dly_2 dly_3 2133 1 +dly_3 dly_1 221943 1 +dly_3 dly_2 9476 1 +dly_3 dly_3 3790 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.wr_delay_cg_(2) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 87.50 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 6 10 62.50 + + +Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 6 10 62.50 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 7208 1 +dly_2 10281 1 +dly_3 243695 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 657176 1 +dly_2 110400 1 +dly_3 51755 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 6 10 62.50 6 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1 , dly_2 , dly_3] [dly_0] -- -- 3 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 445204 1 +dly_1 dly_1 3821 1 +dly_1 dly_2 886 1 +dly_1 dly_3 817 1 +dly_2 dly_1 166 1 +dly_2 dly_2 4211 1 +dly_2 dly_3 2133 1 +dly_3 dly_1 221943 1 +dly_3 dly_2 9476 1 +dly_3 dly_3 3790 1 + + +Group : uvma_isacov_pkg::cg_jtype + +=============================================================================== +Group : uvma_isacov_pkg::cg_jtype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 85.42 85.42 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 85.42 1 100 1 64 64 uvma_isacov_pkg.rv32i_jal_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_jtype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 139 17 122 85.42 + + +Variables for Group uvma_isacov_pkg::cg_jtype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_immj_value 3 1 2 66.67 100 1 1 0 +cp_rd_toggle 64 16 48 75.00 100 1 1 0 +cp_immj_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_jal_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 85.42 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 85.42 85.42 1 100 1 1 64 64 uvma_isacov_pkg::cg_jtype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_jal_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 139 17 122 85.42 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_jal_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_immj_value 3 1 2 66.67 100 1 1 0 +cp_rd_toggle 64 16 48 75.00 100 1 1 0 +cp_immj_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 18 1 +auto[1] 57628 1 +auto[2] 3161 1 +auto[3] 3411 1 +auto[4] 3106 1 +auto[5] 2952 1 +auto[6] 24105 1 +auto[7] 1104 1 +auto[8] 1189 1 +auto[9] 1288 1 +auto[10] 1168 1 +auto[11] 1065 1 +auto[12] 1143 1 +auto[13] 1188 1 +auto[14] 1155 1 +auto[15] 1096 1 +auto[16] 972 1 +auto[17] 1094 1 +auto[18] 1228 1 +auto[19] 1088 1 +auto[20] 1177 1 +auto[21] 1189 1 +auto[22] 1415 1 +auto[23] 956 1 +auto[24] 1060 1 +auto[25] 1211 1 +auto[26] 1048 1 +auto[27] 1080 1 +auto[28] 993 1 +auto[29] 1004 1 +auto[30] 1198 1 +auto[31] 1256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immj_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 1 2 66.67 + + +Automatically Generated Bins for cp_immj_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_POSITIVE 70222 1 +auto_NEGATIVE 52524 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 16 48 75.00 + + +User Defined Bins for cp_rd_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT30_1 0 1 1 +BIT29_1 0 1 1 +BIT28_1 0 1 1 +BIT27_1 0 1 1 +BIT26_1 0 1 1 +BIT25_1 0 1 1 +BIT24_1 0 1 1 +BIT23_1 0 1 1 +BIT22_1 0 1 1 +BIT21_1 0 1 1 +BIT20_1 0 1 1 +BIT19_1 0 1 1 +BIT18_1 0 1 1 +BIT17_1 0 1 1 +BIT0_1 0 1 1 +BIT31_0 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 122746 1 +BIT16_1 2 1 +BIT15_1 7 1 +BIT14_1 72 1 +BIT13_1 150 1 +BIT12_1 4538 1 +BIT11_1 37966 1 +BIT10_1 50577 1 +BIT9_1 62052 1 +BIT8_1 63930 1 +BIT7_1 60571 1 +BIT6_1 61085 1 +BIT5_1 61328 1 +BIT4_1 61652 1 +BIT3_1 61251 1 +BIT2_1 61367 1 +BIT1_1 66640 1 +BIT30_0 122746 1 +BIT29_0 122746 1 +BIT28_0 122746 1 +BIT27_0 122746 1 +BIT26_0 122746 1 +BIT25_0 122746 1 +BIT24_0 122746 1 +BIT23_0 122746 1 +BIT22_0 122746 1 +BIT21_0 122746 1 +BIT20_0 122746 1 +BIT19_0 122746 1 +BIT18_0 122746 1 +BIT17_0 122746 1 +BIT16_0 122744 1 +BIT15_0 122739 1 +BIT14_0 122674 1 +BIT13_0 122596 1 +BIT12_0 118208 1 +BIT11_0 84780 1 +BIT10_0 72169 1 +BIT9_0 60694 1 +BIT8_0 58816 1 +BIT7_0 62175 1 +BIT6_0 61661 1 +BIT5_0 61418 1 +BIT4_0 61094 1 +BIT3_0 61495 1 +BIT2_0 61379 1 +BIT1_0 56106 1 +BIT0_0 122746 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immj_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_immj_toggle + + +Bins + +NAME COUNT AT LEAST +BIT19_1 52524 1 +BIT18_1 52524 1 +BIT17_1 52524 1 +BIT16_1 52524 1 +BIT15_1 52524 1 +BIT14_1 52523 1 +BIT13_1 52527 1 +BIT12_1 52530 1 +BIT11_1 52531 1 +BIT10_1 52557 1 +BIT9_1 52368 1 +BIT8_1 52566 1 +BIT7_1 52408 1 +BIT6_1 52507 1 +BIT5_1 55263 1 +BIT4_1 61241 1 +BIT3_1 62944 1 +BIT2_1 64158 1 +BIT1_1 65345 1 +BIT0_1 33578 1 +BIT19_0 70222 1 +BIT18_0 70222 1 +BIT17_0 70222 1 +BIT16_0 70222 1 +BIT15_0 70222 1 +BIT14_0 70223 1 +BIT13_0 70219 1 +BIT12_0 70216 1 +BIT11_0 70215 1 +BIT10_0 70189 1 +BIT9_0 70378 1 +BIT8_0 70180 1 +BIT7_0 70338 1 +BIT6_0 70239 1 +BIT5_0 67483 1 +BIT4_0 61505 1 +BIT3_0 59802 1 +BIT2_0 58588 1 +BIT1_0 57401 1 +BIT0_0 89168 1 + + +Group : uvma_isacov_pkg::cg_itype(withChksum=3332249172) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype(withChksum=3332249172) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.01 98.01 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 92.03 1 100 1 64 64 uvma_isacov_pkg.rv32i_jalr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_andi_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_ori_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_xori_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_jalr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 92.03 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 20 235 91.15 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 1 1 50.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 19 45 70.31 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 98 1 +auto[2] 40 1 +auto[3] 39 1 +auto[4] 66 1 +auto[5] 26 1 +auto[6] 154 1 +auto[7] 68 1 +auto[8] 59 1 +auto[9] 44 1 +auto[10] 133 1 +auto[11] 82 1 +auto[12] 160 1 +auto[13] 82 1 +auto[14] 47 1 +auto[15] 27 1 +auto[16] 65 1 +auto[17] 26 1 +auto[18] 33 1 +auto[19] 26 1 +auto[20] 42 1 +auto[21] 29 1 +auto[22] 50 1 +auto[23] 29 1 +auto[24] 39 1 +auto[25] 85 1 +auto[26] 44 1 +auto[27] 94 1 +auto[28] 55 1 +auto[29] 57 1 +auto[30] 37 1 +auto[31] 27 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 194 1 +auto[2] 69 1 +auto[3] 26 1 +auto[4] 40 1 +auto[5] 67 1 +auto[6] 290 1 +auto[7] 84 1 +auto[8] 36 1 +auto[9] 58 1 +auto[10] 73 1 +auto[11] 58 1 +auto[12] 167 1 +auto[13] 18 1 +auto[14] 37 1 +auto[15] 24 1 +auto[16] 37 1 +auto[17] 11 1 +auto[18] 24 1 +auto[19] 20 1 +auto[20] 28 1 +auto[21] 19 1 +auto[22] 164 1 +auto[23] 46 1 +auto[24] 23 1 +auto[25] 60 1 +auto[26] 27 1 +auto[27] 54 1 +auto[28] 16 1 +auto[29] 47 1 +auto[30] 31 1 +auto[31] 18 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1 1 +RD_01 56 1 +RD_02 22 1 +RD_03 26 1 +RD_04 26 1 +RD_05 12 1 +RD_06 134 1 +RD_07 44 1 +RD_08 36 1 +RD_09 24 1 +RD_0a 73 1 +RD_0b 58 1 +RD_0c 137 1 +RD_0d 18 1 +RD_0e 30 1 +RD_0f 6 1 +RD_10 11 1 +RD_11 11 1 +RD_12 24 1 +RD_13 20 1 +RD_14 28 1 +RD_15 19 1 +RD_16 25 1 +RD_17 12 1 +RD_18 23 1 +RD_19 53 1 +RD_1a 27 1 +RD_1b 54 1 +RD_1c 16 1 +RD_1d 39 1 +RD_1e 31 1 +RD_1f 12 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6 1 +auto_NON_ZERO 1861 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1079 1 +auto_POSITIVE 368 1 +auto_NEGATIVE 420 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for cp_rd_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_NON_ZERO 1867 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1848 1 +BIT30_1 13 1 +BIT29_1 13 1 +BIT28_1 13 1 +BIT27_1 14 1 +BIT26_1 13 1 +BIT25_1 13 1 +BIT24_1 14 1 +BIT23_1 13 1 +BIT22_1 14 1 +BIT21_1 14 1 +BIT20_1 14 1 +BIT19_1 14 1 +BIT18_1 14 1 +BIT17_1 14 1 +BIT16_1 13 1 +BIT15_1 14 1 +BIT14_1 13 1 +BIT13_1 18 1 +BIT12_1 19 1 +BIT11_1 679 1 +BIT10_1 996 1 +BIT9_1 917 1 +BIT8_1 782 1 +BIT7_1 894 1 +BIT6_1 977 1 +BIT5_1 875 1 +BIT4_1 1049 1 +BIT3_1 914 1 +BIT2_1 793 1 +BIT1_1 1031 1 +BIT0_1 1064 1 +BIT31_0 19 1 +BIT30_0 1854 1 +BIT29_0 1854 1 +BIT28_0 1854 1 +BIT27_0 1853 1 +BIT26_0 1854 1 +BIT25_0 1854 1 +BIT24_0 1853 1 +BIT23_0 1854 1 +BIT22_0 1853 1 +BIT21_0 1853 1 +BIT20_0 1853 1 +BIT19_0 1853 1 +BIT18_0 1853 1 +BIT17_0 1853 1 +BIT16_0 1854 1 +BIT15_0 1853 1 +BIT14_0 1854 1 +BIT13_0 1849 1 +BIT12_0 1848 1 +BIT11_0 1188 1 +BIT10_0 871 1 +BIT9_0 950 1 +BIT8_0 1085 1 +BIT7_0 973 1 +BIT6_0 890 1 +BIT5_0 992 1 +BIT4_0 818 1 +BIT3_0 953 1 +BIT2_0 1074 1 +BIT1_0 836 1 +BIT0_0 803 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 420 1 +BIT10_1 420 1 +BIT9_1 455 1 +BIT8_1 415 1 +BIT7_1 383 1 +BIT6_1 397 1 +BIT5_1 344 1 +BIT4_1 362 1 +BIT3_1 424 1 +BIT2_1 361 1 +BIT1_1 389 1 +BIT0_1 354 1 +BIT11_0 1447 1 +BIT10_0 1447 1 +BIT9_0 1412 1 +BIT8_0 1452 1 +BIT7_0 1484 1 +BIT6_0 1470 1 +BIT5_0 1523 1 +BIT4_0 1505 1 +BIT3_0 1443 1 +BIT2_0 1506 1 +BIT1_0 1478 1 +BIT0_0 1513 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 19 45 70.31 + + +User Defined Bins for cp_rd_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT30_1 0 1 1 +BIT29_1 0 1 1 +BIT28_1 0 1 1 +BIT27_1 0 1 1 +BIT26_1 0 1 1 +BIT25_1 0 1 1 +BIT24_1 0 1 1 +BIT23_1 0 1 1 +BIT22_1 0 1 1 +BIT21_1 0 1 1 +BIT20_1 0 1 1 +BIT19_1 0 1 1 +BIT18_1 0 1 1 +BIT17_1 0 1 1 +BIT16_1 0 1 1 +BIT15_1 0 1 1 +BIT14_1 0 1 1 +BIT0_1 0 1 1 +BIT31_0 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 1867 1 +BIT13_1 13 1 +BIT12_1 13 1 +BIT11_1 714 1 +BIT10_1 957 1 +BIT9_1 868 1 +BIT8_1 1038 1 +BIT7_1 948 1 +BIT6_1 892 1 +BIT5_1 846 1 +BIT4_1 873 1 +BIT3_1 801 1 +BIT2_1 1072 1 +BIT1_1 892 1 +BIT30_0 1867 1 +BIT29_0 1867 1 +BIT28_0 1867 1 +BIT27_0 1867 1 +BIT26_0 1867 1 +BIT25_0 1867 1 +BIT24_0 1867 1 +BIT23_0 1867 1 +BIT22_0 1867 1 +BIT21_0 1867 1 +BIT20_0 1867 1 +BIT19_0 1867 1 +BIT18_0 1867 1 +BIT17_0 1867 1 +BIT16_0 1867 1 +BIT15_0 1867 1 +BIT14_0 1867 1 +BIT13_0 1854 1 +BIT12_0 1854 1 +BIT11_0 1153 1 +BIT10_0 910 1 +BIT9_0 999 1 +BIT8_0 829 1 +BIT7_0 919 1 +BIT6_0 975 1 +BIT5_0 1021 1 +BIT4_0 994 1 +BIT3_0 1066 1 +BIT2_0 795 1 +BIT1_0 975 1 +BIT0_0 1867 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 4 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 1075 1 +auto_NON_ZERO auto_POSITIVE 367 1 +auto_NON_ZERO auto_NEGATIVE 419 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_andi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_andi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_andi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_andi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2208 1 +auto[1] 679 1 +auto[2] 614 1 +auto[3] 619 1 +auto[4] 671 1 +auto[5] 610 1 +auto[6] 573 1 +auto[7] 641 1 +auto[8] 578 1 +auto[9] 585 1 +auto[10] 561 1 +auto[11] 627 1 +auto[12] 597 1 +auto[13] 573 1 +auto[14] 644 1 +auto[15] 681 1 +auto[16] 576 1 +auto[17] 603 1 +auto[18] 590 1 +auto[19] 602 1 +auto[20] 563 1 +auto[21] 609 1 +auto[22] 570 1 +auto[23] 600 1 +auto[24] 595 1 +auto[25] 630 1 +auto[26] 638 1 +auto[27] 707 1 +auto[28] 557 1 +auto[29] 614 1 +auto[30] 637 1 +auto[31] 711 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2217 1 +auto[1] 596 1 +auto[2] 572 1 +auto[3] 598 1 +auto[4] 680 1 +auto[5] 570 1 +auto[6] 760 1 +auto[7] 578 1 +auto[8] 566 1 +auto[9] 599 1 +auto[10] 624 1 +auto[11] 585 1 +auto[12] 532 1 +auto[13] 679 1 +auto[14] 638 1 +auto[15] 609 1 +auto[16] 566 1 +auto[17] 713 1 +auto[18] 612 1 +auto[19] 611 1 +auto[20] 579 1 +auto[21] 587 1 +auto[22] 548 1 +auto[23] 644 1 +auto[24] 572 1 +auto[25] 600 1 +auto[26] 730 1 +auto[27] 613 1 +auto[28] 592 1 +auto[29] 657 1 +auto[30] 647 1 +auto[31] 589 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1665 1 +RD_01 25 1 +RD_02 35 1 +RD_03 18 1 +RD_04 31 1 +RD_05 10 1 +RD_06 18 1 +RD_07 16 1 +RD_08 14 1 +RD_09 24 1 +RD_0a 16 1 +RD_0b 14 1 +RD_0c 8 1 +RD_0d 12 1 +RD_0e 16 1 +RD_0f 22 1 +RD_10 18 1 +RD_11 16 1 +RD_12 19 1 +RD_13 27 1 +RD_14 26 1 +RD_15 10 1 +RD_16 18 1 +RD_17 21 1 +RD_18 24 1 +RD_19 12 1 +RD_1a 23 1 +RD_1b 23 1 +RD_1c 12 1 +RD_1d 27 1 +RD_1e 17 1 +RD_1f 26 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7956 1 +auto_NON_ZERO 13307 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5 1 +auto_POSITIVE 10274 1 +auto_NEGATIVE 10984 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9956 1 +auto_NON_ZERO 11307 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6243 1 +BIT30_1 3968 1 +BIT29_1 4015 1 +BIT28_1 3993 1 +BIT27_1 3870 1 +BIT26_1 3858 1 +BIT25_1 3821 1 +BIT24_1 3879 1 +BIT23_1 3832 1 +BIT22_1 3968 1 +BIT21_1 4015 1 +BIT20_1 3930 1 +BIT19_1 4008 1 +BIT18_1 4015 1 +BIT17_1 3742 1 +BIT16_1 4177 1 +BIT15_1 5168 1 +BIT14_1 4931 1 +BIT13_1 5206 1 +BIT12_1 5142 1 +BIT11_1 5521 1 +BIT10_1 5581 1 +BIT9_1 4696 1 +BIT8_1 4238 1 +BIT7_1 5319 1 +BIT6_1 4639 1 +BIT5_1 4670 1 +BIT4_1 6298 1 +BIT3_1 6340 1 +BIT2_1 6220 1 +BIT1_1 5054 1 +BIT0_1 5551 1 +BIT31_0 15020 1 +BIT30_0 17295 1 +BIT29_0 17248 1 +BIT28_0 17270 1 +BIT27_0 17393 1 +BIT26_0 17405 1 +BIT25_0 17442 1 +BIT24_0 17384 1 +BIT23_0 17431 1 +BIT22_0 17295 1 +BIT21_0 17248 1 +BIT20_0 17333 1 +BIT19_0 17255 1 +BIT18_0 17248 1 +BIT17_0 17521 1 +BIT16_0 17086 1 +BIT15_0 16095 1 +BIT14_0 16332 1 +BIT13_0 16057 1 +BIT12_0 16121 1 +BIT11_0 15742 1 +BIT10_0 15682 1 +BIT9_0 16567 1 +BIT8_0 17025 1 +BIT7_0 15944 1 +BIT6_0 16624 1 +BIT5_0 16593 1 +BIT4_0 14965 1 +BIT3_0 14923 1 +BIT2_0 15043 1 +BIT1_0 16209 1 +BIT0_0 15712 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10984 1 +BIT10_1 10440 1 +BIT9_1 10634 1 +BIT8_1 10433 1 +BIT7_1 10832 1 +BIT6_1 10904 1 +BIT5_1 10657 1 +BIT4_1 10845 1 +BIT3_1 10735 1 +BIT2_1 10612 1 +BIT1_1 10600 1 +BIT0_1 10848 1 +BIT11_0 10279 1 +BIT10_0 10823 1 +BIT9_0 10629 1 +BIT8_0 10830 1 +BIT7_0 10431 1 +BIT6_0 10359 1 +BIT5_0 10606 1 +BIT4_0 10418 1 +BIT3_0 10528 1 +BIT2_0 10651 1 +BIT1_0 10663 1 +BIT0_0 10415 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3257 1 +BIT30_1 2048 1 +BIT29_1 2105 1 +BIT28_1 2082 1 +BIT27_1 1991 1 +BIT26_1 1979 1 +BIT25_1 1949 1 +BIT24_1 2011 1 +BIT23_1 1958 1 +BIT22_1 2130 1 +BIT21_1 2192 1 +BIT20_1 2084 1 +BIT19_1 2141 1 +BIT18_1 2142 1 +BIT17_1 1893 1 +BIT16_1 2171 1 +BIT15_1 2770 1 +BIT14_1 2525 1 +BIT13_1 2731 1 +BIT12_1 2779 1 +BIT11_1 2832 1 +BIT10_1 2683 1 +BIT9_1 2362 1 +BIT8_1 2054 1 +BIT7_1 2742 1 +BIT6_1 2308 1 +BIT5_1 2281 1 +BIT4_1 3324 1 +BIT3_1 3254 1 +BIT2_1 3139 1 +BIT1_1 2511 1 +BIT0_1 2831 1 +BIT31_0 18006 1 +BIT30_0 19215 1 +BIT29_0 19158 1 +BIT28_0 19181 1 +BIT27_0 19272 1 +BIT26_0 19284 1 +BIT25_0 19314 1 +BIT24_0 19252 1 +BIT23_0 19305 1 +BIT22_0 19133 1 +BIT21_0 19071 1 +BIT20_0 19179 1 +BIT19_0 19122 1 +BIT18_0 19121 1 +BIT17_0 19370 1 +BIT16_0 19092 1 +BIT15_0 18493 1 +BIT14_0 18738 1 +BIT13_0 18532 1 +BIT12_0 18484 1 +BIT11_0 18431 1 +BIT10_0 18580 1 +BIT9_0 18901 1 +BIT8_0 19209 1 +BIT7_0 18521 1 +BIT6_0 18955 1 +BIT5_0 18982 1 +BIT4_0 17939 1 +BIT3_0 18009 1 +BIT2_0 18124 1 +BIT1_0 18752 1 +BIT0_0 18432 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 4 1 +auto_ZERO auto_POSITIVE 3919 1 +auto_ZERO auto_NEGATIVE 4033 1 +auto_NON_ZERO auto_ZERO 1 1 +auto_NON_ZERO auto_POSITIVE 6355 1 +auto_NON_ZERO auto_NEGATIVE 6951 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_ori_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_ori_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_ori_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_ori_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1942 1 +auto[1] 617 1 +auto[2] 650 1 +auto[3] 577 1 +auto[4] 673 1 +auto[5] 629 1 +auto[6] 689 1 +auto[7] 635 1 +auto[8] 665 1 +auto[9] 673 1 +auto[10] 683 1 +auto[11] 655 1 +auto[12] 618 1 +auto[13] 674 1 +auto[14] 592 1 +auto[15] 753 1 +auto[16] 698 1 +auto[17] 649 1 +auto[18] 622 1 +auto[19] 695 1 +auto[20] 660 1 +auto[21] 731 1 +auto[22] 739 1 +auto[23] 727 1 +auto[24] 687 1 +auto[25] 723 1 +auto[26] 664 1 +auto[27] 720 1 +auto[28] 654 1 +auto[29] 627 1 +auto[30] 667 1 +auto[31] 618 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1939 1 +auto[1] 661 1 +auto[2] 627 1 +auto[3] 650 1 +auto[4] 667 1 +auto[5] 625 1 +auto[6] 660 1 +auto[7] 672 1 +auto[8] 608 1 +auto[9] 699 1 +auto[10] 698 1 +auto[11] 703 1 +auto[12] 675 1 +auto[13] 634 1 +auto[14] 696 1 +auto[15] 659 1 +auto[16] 644 1 +auto[17] 630 1 +auto[18] 630 1 +auto[19] 669 1 +auto[20] 686 1 +auto[21] 659 1 +auto[22] 759 1 +auto[23] 672 1 +auto[24] 637 1 +auto[25] 684 1 +auto[26] 642 1 +auto[27] 716 1 +auto[28] 689 1 +auto[29] 653 1 +auto[30] 677 1 +auto[31] 686 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1402 1 +RD_01 18 1 +RD_02 98 1 +RD_03 15 1 +RD_04 89 1 +RD_05 79 1 +RD_06 114 1 +RD_07 100 1 +RD_08 94 1 +RD_09 106 1 +RD_0a 109 1 +RD_0b 97 1 +RD_0c 97 1 +RD_0d 81 1 +RD_0e 84 1 +RD_0f 97 1 +RD_10 87 1 +RD_11 98 1 +RD_12 94 1 +RD_13 112 1 +RD_14 104 1 +RD_15 103 1 +RD_16 110 1 +RD_17 114 1 +RD_18 97 1 +RD_19 94 1 +RD_1a 98 1 +RD_1b 147 1 +RD_1c 89 1 +RD_1d 101 1 +RD_1e 106 1 +RD_1f 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7555 1 +auto_NON_ZERO 15051 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2345 1 +auto_POSITIVE 10276 1 +auto_NEGATIVE 9985 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3 1 +auto_NON_ZERO 22603 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8242 1 +BIT30_1 3854 1 +BIT29_1 3768 1 +BIT28_1 3816 1 +BIT27_1 3567 1 +BIT26_1 3618 1 +BIT25_1 3584 1 +BIT24_1 3559 1 +BIT23_1 3580 1 +BIT22_1 3599 1 +BIT21_1 3625 1 +BIT20_1 3623 1 +BIT19_1 3630 1 +BIT18_1 3646 1 +BIT17_1 3596 1 +BIT16_1 3770 1 +BIT15_1 4625 1 +BIT14_1 4655 1 +BIT13_1 5010 1 +BIT12_1 5734 1 +BIT11_1 6438 1 +BIT10_1 6186 1 +BIT9_1 5689 1 +BIT8_1 5013 1 +BIT7_1 5477 1 +BIT6_1 4823 1 +BIT5_1 5204 1 +BIT4_1 6358 1 +BIT3_1 6494 1 +BIT2_1 6372 1 +BIT1_1 4568 1 +BIT0_1 5338 1 +BIT31_0 14364 1 +BIT30_0 18752 1 +BIT29_0 18838 1 +BIT28_0 18790 1 +BIT27_0 19039 1 +BIT26_0 18988 1 +BIT25_0 19022 1 +BIT24_0 19047 1 +BIT23_0 19026 1 +BIT22_0 19007 1 +BIT21_0 18981 1 +BIT20_0 18983 1 +BIT19_0 18976 1 +BIT18_0 18960 1 +BIT17_0 19010 1 +BIT16_0 18836 1 +BIT15_0 17981 1 +BIT14_0 17951 1 +BIT13_0 17596 1 +BIT12_0 16872 1 +BIT11_0 16168 1 +BIT10_0 16420 1 +BIT9_0 16917 1 +BIT8_0 17593 1 +BIT7_0 17129 1 +BIT6_0 17783 1 +BIT5_0 17402 1 +BIT4_0 16248 1 +BIT3_0 16112 1 +BIT2_0 16234 1 +BIT1_0 18038 1 +BIT0_0 17268 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 9985 1 +BIT10_1 10169 1 +BIT9_1 9971 1 +BIT8_1 10285 1 +BIT7_1 10094 1 +BIT6_1 10085 1 +BIT5_1 9938 1 +BIT4_1 10105 1 +BIT3_1 10094 1 +BIT2_1 10159 1 +BIT1_1 10021 1 +BIT0_1 10258 1 +BIT11_0 12621 1 +BIT10_0 12437 1 +BIT9_0 12635 1 +BIT8_0 12321 1 +BIT7_0 12512 1 +BIT6_0 12521 1 +BIT5_0 12668 1 +BIT4_0 12501 1 +BIT3_0 12512 1 +BIT2_0 12447 1 +BIT1_0 12585 1 +BIT0_0 12348 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 15379 1 +BIT30_1 11991 1 +BIT29_1 11922 1 +BIT28_1 11960 1 +BIT27_1 11816 1 +BIT26_1 11867 1 +BIT25_1 11862 1 +BIT24_1 11836 1 +BIT23_1 11849 1 +BIT22_1 11893 1 +BIT21_1 11879 1 +BIT20_1 11897 1 +BIT19_1 11894 1 +BIT18_1 11904 1 +BIT17_1 11868 1 +BIT16_1 11953 1 +BIT15_1 12390 1 +BIT14_1 12384 1 +BIT13_1 12643 1 +BIT12_1 13538 1 +BIT11_1 13886 1 +BIT10_1 13697 1 +BIT9_1 13468 1 +BIT8_1 13188 1 +BIT7_1 13111 1 +BIT6_1 12810 1 +BIT5_1 12906 1 +BIT4_1 13540 1 +BIT3_1 13639 1 +BIT2_1 13597 1 +BIT1_1 12304 1 +BIT0_1 12928 1 +BIT31_0 7227 1 +BIT30_0 10615 1 +BIT29_0 10684 1 +BIT28_0 10646 1 +BIT27_0 10790 1 +BIT26_0 10739 1 +BIT25_0 10744 1 +BIT24_0 10770 1 +BIT23_0 10757 1 +BIT22_0 10713 1 +BIT21_0 10727 1 +BIT20_0 10709 1 +BIT19_0 10712 1 +BIT18_0 10702 1 +BIT17_0 10738 1 +BIT16_0 10653 1 +BIT15_0 10216 1 +BIT14_0 10222 1 +BIT13_0 9963 1 +BIT12_0 9068 1 +BIT11_0 8720 1 +BIT10_0 8909 1 +BIT9_0 9138 1 +BIT8_0 9418 1 +BIT7_0 9495 1 +BIT6_0 9796 1 +BIT5_0 9700 1 +BIT4_0 9066 1 +BIT3_0 8967 1 +BIT2_0 9009 1 +BIT1_0 10302 1 +BIT0_0 9678 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 3 1 +auto_ZERO auto_POSITIVE 3793 1 +auto_ZERO auto_NEGATIVE 3759 1 +auto_NON_ZERO auto_ZERO 2342 1 +auto_NON_ZERO auto_POSITIVE 6483 1 +auto_NON_ZERO auto_NEGATIVE 6226 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_xori_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_xori_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_xori_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_xori_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2136 1 +auto[1] 576 1 +auto[2] 539 1 +auto[3] 604 1 +auto[4] 618 1 +auto[5] 626 1 +auto[6] 591 1 +auto[7] 603 1 +auto[8] 652 1 +auto[9] 589 1 +auto[10] 573 1 +auto[11] 554 1 +auto[12] 587 1 +auto[13] 552 1 +auto[14] 593 1 +auto[15] 614 1 +auto[16] 565 1 +auto[17] 614 1 +auto[18] 600 1 +auto[19] 553 1 +auto[20] 570 1 +auto[21] 723 1 +auto[22] 596 1 +auto[23] 607 1 +auto[24] 539 1 +auto[25] 667 1 +auto[26] 613 1 +auto[27] 601 1 +auto[28] 599 1 +auto[29] 595 1 +auto[30] 575 1 +auto[31] 590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2324 1 +auto[1] 614 1 +auto[2] 553 1 +auto[3] 715 1 +auto[4] 597 1 +auto[5] 572 1 +auto[6] 626 1 +auto[7] 632 1 +auto[8] 587 1 +auto[9] 573 1 +auto[10] 575 1 +auto[11] 657 1 +auto[12] 610 1 +auto[13] 545 1 +auto[14] 634 1 +auto[15] 549 1 +auto[16] 534 1 +auto[17] 558 1 +auto[18] 542 1 +auto[19] 618 1 +auto[20] 579 1 +auto[21] 566 1 +auto[22] 620 1 +auto[23] 583 1 +auto[24] 579 1 +auto[25] 625 1 +auto[26] 546 1 +auto[27] 569 1 +auto[28] 604 1 +auto[29] 563 1 +auto[30] 615 1 +auto[31] 550 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1578 1 +RD_01 14 1 +RD_02 31 1 +RD_03 45 1 +RD_04 31 1 +RD_05 20 1 +RD_06 24 1 +RD_07 19 1 +RD_08 21 1 +RD_09 12 1 +RD_0a 12 1 +RD_0b 22 1 +RD_0c 25 1 +RD_0d 21 1 +RD_0e 7 1 +RD_0f 11 1 +RD_10 11 1 +RD_11 12 1 +RD_12 17 1 +RD_13 23 1 +RD_14 24 1 +RD_15 21 1 +RD_16 20 1 +RD_17 18 1 +RD_18 14 1 +RD_19 22 1 +RD_1a 20 1 +RD_1b 17 1 +RD_1c 18 1 +RD_1d 13 1 +RD_1e 18 1 +RD_1f 15 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7854 1 +auto_NON_ZERO 12760 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8 1 +auto_POSITIVE 10378 1 +auto_NEGATIVE 10228 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7 1 +auto_NON_ZERO 20607 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6036 1 +BIT30_1 3831 1 +BIT29_1 3774 1 +BIT28_1 3785 1 +BIT27_1 3657 1 +BIT26_1 3682 1 +BIT25_1 3634 1 +BIT24_1 3567 1 +BIT23_1 3641 1 +BIT22_1 3650 1 +BIT21_1 3663 1 +BIT20_1 3653 1 +BIT19_1 3661 1 +BIT18_1 3709 1 +BIT17_1 3621 1 +BIT16_1 3829 1 +BIT15_1 4763 1 +BIT14_1 4596 1 +BIT13_1 4901 1 +BIT12_1 4694 1 +BIT11_1 5121 1 +BIT10_1 5211 1 +BIT9_1 4705 1 +BIT8_1 4109 1 +BIT7_1 5147 1 +BIT6_1 4429 1 +BIT5_1 4613 1 +BIT4_1 5794 1 +BIT3_1 5990 1 +BIT2_1 5938 1 +BIT1_1 4690 1 +BIT0_1 5277 1 +BIT31_0 14578 1 +BIT30_0 16783 1 +BIT29_0 16840 1 +BIT28_0 16829 1 +BIT27_0 16957 1 +BIT26_0 16932 1 +BIT25_0 16980 1 +BIT24_0 17047 1 +BIT23_0 16973 1 +BIT22_0 16964 1 +BIT21_0 16951 1 +BIT20_0 16961 1 +BIT19_0 16953 1 +BIT18_0 16905 1 +BIT17_0 16993 1 +BIT16_0 16785 1 +BIT15_0 15851 1 +BIT14_0 16018 1 +BIT13_0 15713 1 +BIT12_0 15920 1 +BIT11_0 15493 1 +BIT10_0 15403 1 +BIT9_0 15909 1 +BIT8_0 16505 1 +BIT7_0 15467 1 +BIT6_0 16185 1 +BIT5_0 16001 1 +BIT4_0 14820 1 +BIT3_0 14624 1 +BIT2_0 14676 1 +BIT1_0 15924 1 +BIT0_0 15337 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10228 1 +BIT10_1 10218 1 +BIT9_1 10559 1 +BIT8_1 10572 1 +BIT7_1 10313 1 +BIT6_1 10039 1 +BIT5_1 10335 1 +BIT4_1 10456 1 +BIT3_1 10475 1 +BIT2_1 10286 1 +BIT1_1 10373 1 +BIT0_1 10107 1 +BIT11_0 10386 1 +BIT10_0 10396 1 +BIT9_0 10055 1 +BIT8_0 10042 1 +BIT7_0 10301 1 +BIT6_0 10575 1 +BIT5_0 10279 1 +BIT4_0 10158 1 +BIT3_0 10139 1 +BIT2_0 10328 1 +BIT1_0 10241 1 +BIT0_0 10507 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 10420 1 +BIT30_1 10349 1 +BIT29_1 10300 1 +BIT28_1 10291 1 +BIT27_1 10307 1 +BIT26_1 10332 1 +BIT25_1 10306 1 +BIT24_1 10305 1 +BIT23_1 10305 1 +BIT22_1 10272 1 +BIT21_1 10319 1 +BIT20_1 10211 1 +BIT19_1 10265 1 +BIT18_1 10213 1 +BIT17_1 10267 1 +BIT16_1 10259 1 +BIT15_1 10243 1 +BIT14_1 10330 1 +BIT13_1 10355 1 +BIT12_1 10354 1 +BIT11_1 10289 1 +BIT10_1 10333 1 +BIT9_1 10470 1 +BIT8_1 10425 1 +BIT7_1 10274 1 +BIT6_1 10318 1 +BIT5_1 10354 1 +BIT4_1 10268 1 +BIT3_1 10377 1 +BIT2_1 10336 1 +BIT1_1 10193 1 +BIT0_1 10262 1 +BIT31_0 10194 1 +BIT30_0 10265 1 +BIT29_0 10314 1 +BIT28_0 10323 1 +BIT27_0 10307 1 +BIT26_0 10282 1 +BIT25_0 10308 1 +BIT24_0 10309 1 +BIT23_0 10309 1 +BIT22_0 10342 1 +BIT21_0 10295 1 +BIT20_0 10403 1 +BIT19_0 10349 1 +BIT18_0 10401 1 +BIT17_0 10347 1 +BIT16_0 10355 1 +BIT15_0 10371 1 +BIT14_0 10284 1 +BIT13_0 10259 1 +BIT12_0 10260 1 +BIT11_0 10325 1 +BIT10_0 10281 1 +BIT9_0 10144 1 +BIT8_0 10189 1 +BIT7_0 10340 1 +BIT6_0 10296 1 +BIT5_0 10260 1 +BIT4_0 10346 1 +BIT3_0 10237 1 +BIT2_0 10278 1 +BIT1_0 10421 1 +BIT0_0 10352 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 4 1 +auto_ZERO auto_POSITIVE 4017 1 +auto_ZERO auto_NEGATIVE 3833 1 +auto_NON_ZERO auto_ZERO 4 1 +auto_NON_ZERO auto_POSITIVE 6361 1 +auto_NON_ZERO auto_NEGATIVE 6395 1 + + +Group : uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + +=============================================================================== +Group : uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.29 98.29 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_interrupt_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 98.29 1 100 1 64 64 uvme_cva6_pkg.interrupt_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 +Crosses 26 2 24 92.31 + + +Variables for Group uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt 3 0 3 100.00 100 1 1 0 +cp_mstatus_mie 1 0 1 100.00 100 1 1 0 +cp_msie 0 0 0 1 0 +cp_mtie 1 0 1 100.00 100 1 1 0 +cp_meie 1 0 1 100.00 100 1 1 0 +cp_msip 0 0 0 1 0 +cp_mtip 1 0 1 100.00 100 1 1 0 +cp_meip 1 0 1 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.interrupt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 98.29 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.29 98.29 1 100 1 1 64 64 uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.interrupt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 +Crosses 26 2 24 92.31 + + +Variables for Group Instance uvme_cva6_pkg.interrupt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt 3 0 3 100.00 100 1 1 0 +cp_mstatus_mie 1 0 1 100.00 100 1 1 0 +cp_msie 0 0 0 1 0 +cp_mtie 1 0 1 100.00 100 1 1 0 +cp_meie 1 0 1 100.00 100 1 1 0 +cp_msip 0 0 0 1 0 +cp_mtip 1 0 1 100.00 100 1 1 0 +cp_meip 1 0 1 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.interrupt_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_external_interrupt 13 1 12 92.31 100 1 1 0 +cross_timer_interrupt 13 1 12 92.31 100 1 1 0 +cross_software_interrupt 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_interrupt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for cp_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +HS_MODE_EXTERNAL_INTERRUPT 0 Excluded +VS_MODE_TIMER_INTERRUPT 0 Excluded +VS_MODE_SOFTWARE_INTERRUPT 0 Excluded +VS_MODE_EXTERNAL_INTERRUPT 0 Excluded +SUPERVISOR_MODE_TIMER_INTERRUPT 0 Excluded +SUPERVISOR_MODE_SOFTWARE_INTERRUPT 0 Excluded +SUPERVISOR_MODE_EXTERNAL_INTERRUPT 0 Excluded +MACHINE_MODE_SOFTWARE_INTERRUPT 0 Excluded +IGN_VS_IRQ 0 Excluded +IGN_S_IRQ 0 Excluded +IGN_SOFTWARE_INTERRUPT 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +MACHINE_MODE_TIMER_INTERRUPT 73907 1 +MACHINE_MODE_EXTERNAL_INTERRUPT 131538 1 +NO_INTERRUPT 1466022 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_mstatus_mie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_mstatus_mie + + +Bins + +NAME COUNT AT LEAST +GLOBAL_INTERRUPT_ENABLE 369383 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_msie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_msie + + +Excluded/Illegal bins + +NAME COUNT STATUS +MSIE 0 Excluded +IGN_MSIE 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_mtie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_mtie + + +Bins + +NAME COUNT AT LEAST +MTIE 7391606 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_meie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_meie + + +Bins + +NAME COUNT AT LEAST +MEIE 7394272 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_msip + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_msip + + +Excluded/Illegal bins + +NAME COUNT STATUS +MSIP 0 Excluded +IGN_MSIP 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_mtip + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_mtip + + +Bins + +NAME COUNT AT LEAST +MTIP 5591436 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_meip + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_meip + + +Bins + +NAME COUNT AT LEAST +MEIP 4574259 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 13 0 13 100.00 + + +Automatically Generated Bins for cp_group + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Excluded +auto_MISALIGN_LOAD_GROUP 0 Excluded +auto_MISALIGN_STORE_GROUP 0 Excluded +auto_FENCE_I_GROUP 0 Excluded +auto_ALOAD_GROUP 0 Excluded +auto_ASTORE_GROUP 0 Excluded +auto_AMEM_GROUP 0 Excluded +IGN_FENCE_I 0 Excluded +IGN_MISALIGN 0 Excluded +IGN_EXT_A 0 Excluded +IGN_UNKNOWN 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_LOAD_GROUP 1386614 1 +auto_STORE_GROUP 1408780 1 +auto_ALU_GROUP 5553126 1 +auto_BRANCH_GROUP 656309 1 +auto_JUMP_GROUP 250040 1 +auto_FENCE_GROUP 11209 1 +auto_RET_GROUP 284980 1 +auto_WFI_GROUP 3292 1 +auto_CSR_GROUP 1584848 1 +auto_ENV_GROUP 11214 1 +auto_MUL_GROUP 31911 1 +auto_MULTI_MUL_GROUP 61789 1 +auto_DIV_GROUP 82992 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_external_interrupt + + +Samples crossed: cp_group cp_interrupt +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 13 1 12 92.31 1 +Automatically Generated Cross Bins 13 1 12 92.31 1 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_external_interrupt + + +Uncovered bins + +cp_group cp_interrupt COUNT AT LEAST NUMBER +[auto_ENV_GROUP] [MACHINE_MODE_EXTERNAL_INTERRUPT] 0 1 1 + + +Excluded/Illegal bins + +cp_group cp_interrupt COUNT STATUS +[auto_UNKNOWN_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (14 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (2 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (22 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (28 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (4 bins) +[auto_FENCE_I_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (49 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (7 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (33 bins) + + +Covered bins + +cp_group cp_interrupt COUNT AT LEAST +auto_LOAD_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 175 1 +auto_STORE_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 105 1 +auto_ALU_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 4648 1 +auto_BRANCH_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 753 1 +auto_JUMP_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 375 1 +auto_FENCE_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 525 1 +auto_RET_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 123435 1 +auto_WFI_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 147 1 +auto_CSR_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 22 1 +auto_MUL_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 231 1 +auto_MULTI_MUL_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 478 1 +auto_DIV_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 644 1 + + +User Defined Cross Bins for cross_external_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +NO_EXTERNAL_INTERRUPT 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_timer_interrupt + + +Samples crossed: cp_group cp_interrupt +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 13 1 12 92.31 1 +Automatically Generated Cross Bins 13 1 12 92.31 1 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_timer_interrupt + + +Uncovered bins + +cp_group cp_interrupt COUNT AT LEAST NUMBER +[auto_ENV_GROUP] [MACHINE_MODE_TIMER_INTERRUPT] 0 1 1 + + +Excluded/Illegal bins + +cp_group cp_interrupt COUNT STATUS +[auto_UNKNOWN_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (14 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (2 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (22 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (28 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (4 bins) +[auto_FENCE_I_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (49 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (7 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (33 bins) + + +Covered bins + +cp_group cp_interrupt COUNT AT LEAST +auto_LOAD_GROUP MACHINE_MODE_TIMER_INTERRUPT 152 1 +auto_STORE_GROUP MACHINE_MODE_TIMER_INTERRUPT 79 1 +auto_ALU_GROUP MACHINE_MODE_TIMER_INTERRUPT 4187 1 +auto_BRANCH_GROUP MACHINE_MODE_TIMER_INTERRUPT 654 1 +auto_JUMP_GROUP MACHINE_MODE_TIMER_INTERRUPT 320 1 +auto_FENCE_GROUP MACHINE_MODE_TIMER_INTERRUPT 417 1 +auto_RET_GROUP MACHINE_MODE_TIMER_INTERRUPT 66735 1 +auto_WFI_GROUP MACHINE_MODE_TIMER_INTERRUPT 156 1 +auto_CSR_GROUP MACHINE_MODE_TIMER_INTERRUPT 11 1 +auto_MUL_GROUP MACHINE_MODE_TIMER_INTERRUPT 197 1 +auto_MULTI_MUL_GROUP MACHINE_MODE_TIMER_INTERRUPT 425 1 +auto_DIV_GROUP MACHINE_MODE_TIMER_INTERRUPT 574 1 + + +User Defined Cross Bins for cross_timer_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +NO_TIMER_INTERRUPT 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_software_interrupt + + +Samples crossed: cp_group cp_interrupt +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_software_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +NO_SOFTWARE_INTERRUPT 0 Excluded + + +Group : uvma_isacov_pkg::cg_zb_itype_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_itype_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.44 98.44 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 93.75 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bseti_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_rori_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bclri_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_binvi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_itype_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_itype_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bseti_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 93.75 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 1 259 93.75 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 1 1 50.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2015 1 +auto[1] 555 1 +auto[2] 509 1 +auto[3] 497 1 +auto[4] 537 1 +auto[5] 502 1 +auto[6] 519 1 +auto[7] 579 1 +auto[8] 514 1 +auto[9] 534 1 +auto[10] 471 1 +auto[11] 459 1 +auto[12] 483 1 +auto[13] 525 1 +auto[14] 502 1 +auto[15] 480 1 +auto[16] 446 1 +auto[17] 488 1 +auto[18] 488 1 +auto[19] 474 1 +auto[20] 526 1 +auto[21] 504 1 +auto[22] 488 1 +auto[23] 488 1 +auto[24] 509 1 +auto[25] 482 1 +auto[26] 480 1 +auto[27] 548 1 +auto[28] 488 1 +auto[29] 556 1 +auto[30] 480 1 +auto[31] 479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2081 1 +auto[1] 524 1 +auto[2] 444 1 +auto[3] 532 1 +auto[4] 481 1 +auto[5] 474 1 +auto[6] 502 1 +auto[7] 484 1 +auto[8] 512 1 +auto[9] 491 1 +auto[10] 508 1 +auto[11] 507 1 +auto[12] 496 1 +auto[13] 509 1 +auto[14] 489 1 +auto[15] 457 1 +auto[16] 496 1 +auto[17] 492 1 +auto[18] 473 1 +auto[19] 548 1 +auto[20] 496 1 +auto[21] 540 1 +auto[22] 450 1 +auto[23] 474 1 +auto[24] 543 1 +auto[25] 523 1 +auto[26] 541 1 +auto[27] 510 1 +auto[28] 489 1 +auto[29] 485 1 +auto[30] 515 1 +auto[31] 539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1542 1 +RD_01 23 1 +RD_02 9 1 +RD_03 15 1 +RD_04 18 1 +RD_05 12 1 +RD_06 12 1 +RD_07 16 1 +RD_08 16 1 +RD_09 16 1 +RD_0a 12 1 +RD_0b 12 1 +RD_0c 16 1 +RD_0d 19 1 +RD_0e 15 1 +RD_0f 13 1 +RD_10 16 1 +RD_11 17 1 +RD_12 13 1 +RD_13 12 1 +RD_14 17 1 +RD_15 17 1 +RD_16 15 1 +RD_17 13 1 +RD_18 24 1 +RD_19 27 1 +RD_1a 12 1 +RD_1b 18 1 +RD_1c 17 1 +RD_1d 13 1 +RD_1e 20 1 +RD_1f 13 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6672 1 +auto_NON_ZERO 10933 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 570 1 +SHAMT_01 552 1 +SHAMT_02 556 1 +SHAMT_03 544 1 +SHAMT_04 530 1 +SHAMT_05 565 1 +SHAMT_06 578 1 +SHAMT_07 568 1 +SHAMT_08 550 1 +SHAMT_09 574 1 +SHAMT_0a 531 1 +SHAMT_0b 514 1 +SHAMT_0c 507 1 +SHAMT_0d 601 1 +SHAMT_0e 551 1 +SHAMT_0f 547 1 +SHAMT_10 588 1 +SHAMT_11 537 1 +SHAMT_12 548 1 +SHAMT_13 533 1 +SHAMT_14 586 1 +SHAMT_15 544 1 +SHAMT_16 579 1 +SHAMT_17 546 1 +SHAMT_18 510 1 +SHAMT_19 556 1 +SHAMT_1a 542 1 +SHAMT_1b 506 1 +SHAMT_1c 564 1 +SHAMT_1d 558 1 +SHAMT_1e 528 1 +SHAMT_1f 542 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for cp_rd_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_NON_ZERO 17605 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5005 1 +BIT30_1 3230 1 +BIT29_1 3203 1 +BIT28_1 3258 1 +BIT27_1 3030 1 +BIT26_1 3114 1 +BIT25_1 3038 1 +BIT24_1 3086 1 +BIT23_1 2985 1 +BIT22_1 3114 1 +BIT21_1 3055 1 +BIT20_1 3055 1 +BIT19_1 3092 1 +BIT18_1 3070 1 +BIT17_1 3049 1 +BIT16_1 3170 1 +BIT15_1 3960 1 +BIT14_1 3910 1 +BIT13_1 4149 1 +BIT12_1 3963 1 +BIT11_1 4349 1 +BIT10_1 4502 1 +BIT9_1 3991 1 +BIT8_1 3424 1 +BIT7_1 4311 1 +BIT6_1 3817 1 +BIT5_1 4010 1 +BIT4_1 5092 1 +BIT3_1 5036 1 +BIT2_1 5007 1 +BIT1_1 3994 1 +BIT0_1 4461 1 +BIT31_0 12600 1 +BIT30_0 14375 1 +BIT29_0 14402 1 +BIT28_0 14347 1 +BIT27_0 14575 1 +BIT26_0 14491 1 +BIT25_0 14567 1 +BIT24_0 14519 1 +BIT23_0 14620 1 +BIT22_0 14491 1 +BIT21_0 14550 1 +BIT20_0 14550 1 +BIT19_0 14513 1 +BIT18_0 14535 1 +BIT17_0 14556 1 +BIT16_0 14435 1 +BIT15_0 13645 1 +BIT14_0 13695 1 +BIT13_0 13456 1 +BIT12_0 13642 1 +BIT11_0 13256 1 +BIT10_0 13103 1 +BIT9_0 13614 1 +BIT8_0 14181 1 +BIT7_0 13294 1 +BIT6_0 13788 1 +BIT5_0 13595 1 +BIT4_0 12513 1 +BIT3_0 12569 1 +BIT2_0 12598 1 +BIT1_0 13611 1 +BIT0_0 13144 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5376 1 +BIT30_1 3676 1 +BIT29_1 3670 1 +BIT28_1 3703 1 +BIT27_1 3444 1 +BIT26_1 3570 1 +BIT25_1 3494 1 +BIT24_1 3509 1 +BIT23_1 3458 1 +BIT22_1 3594 1 +BIT21_1 3504 1 +BIT20_1 3544 1 +BIT19_1 3524 1 +BIT18_1 3544 1 +BIT17_1 3466 1 +BIT16_1 3653 1 +BIT15_1 4398 1 +BIT14_1 4348 1 +BIT13_1 4620 1 +BIT12_1 4331 1 +BIT11_1 4716 1 +BIT10_1 4891 1 +BIT9_1 4443 1 +BIT8_1 3871 1 +BIT7_1 4756 1 +BIT6_1 4265 1 +BIT5_1 4446 1 +BIT4_1 5469 1 +BIT3_1 5424 1 +BIT2_1 5390 1 +BIT1_1 4425 1 +BIT0_1 4885 1 +BIT31_0 12229 1 +BIT30_0 13929 1 +BIT29_0 13935 1 +BIT28_0 13902 1 +BIT27_0 14161 1 +BIT26_0 14035 1 +BIT25_0 14111 1 +BIT24_0 14096 1 +BIT23_0 14147 1 +BIT22_0 14011 1 +BIT21_0 14101 1 +BIT20_0 14061 1 +BIT19_0 14081 1 +BIT18_0 14061 1 +BIT17_0 14139 1 +BIT16_0 13952 1 +BIT15_0 13207 1 +BIT14_0 13257 1 +BIT13_0 12985 1 +BIT12_0 13274 1 +BIT11_0 12889 1 +BIT10_0 12714 1 +BIT9_0 13162 1 +BIT8_0 13734 1 +BIT7_0 12849 1 +BIT6_0 13340 1 +BIT5_0 13159 1 +BIT4_0 12136 1 +BIT3_0 12181 1 +BIT2_0 12215 1 +BIT1_0 13180 1 +BIT0_0 12720 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_rori_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2044 1 +auto[1] 474 1 +auto[2] 501 1 +auto[3] 465 1 +auto[4] 504 1 +auto[5] 453 1 +auto[6] 540 1 +auto[7] 509 1 +auto[8] 521 1 +auto[9] 499 1 +auto[10] 473 1 +auto[11] 514 1 +auto[12] 495 1 +auto[13] 518 1 +auto[14] 479 1 +auto[15] 490 1 +auto[16] 518 1 +auto[17] 490 1 +auto[18] 505 1 +auto[19] 467 1 +auto[20] 533 1 +auto[21] 473 1 +auto[22] 537 1 +auto[23] 492 1 +auto[24] 467 1 +auto[25] 520 1 +auto[26] 485 1 +auto[27] 528 1 +auto[28] 520 1 +auto[29] 533 1 +auto[30] 485 1 +auto[31] 510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2076 1 +auto[1] 570 1 +auto[2] 471 1 +auto[3] 472 1 +auto[4] 487 1 +auto[5] 515 1 +auto[6] 479 1 +auto[7] 462 1 +auto[8] 508 1 +auto[9] 467 1 +auto[10] 502 1 +auto[11] 532 1 +auto[12] 490 1 +auto[13] 491 1 +auto[14] 465 1 +auto[15] 530 1 +auto[16] 478 1 +auto[17] 464 1 +auto[18] 506 1 +auto[19] 512 1 +auto[20] 485 1 +auto[21] 506 1 +auto[22] 489 1 +auto[23] 490 1 +auto[24] 518 1 +auto[25] 522 1 +auto[26] 477 1 +auto[27] 520 1 +auto[28] 542 1 +auto[29] 505 1 +auto[30] 510 1 +auto[31] 501 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1565 1 +RD_01 20 1 +RD_02 16 1 +RD_03 10 1 +RD_04 17 1 +RD_05 18 1 +RD_06 14 1 +RD_07 14 1 +RD_08 15 1 +RD_09 12 1 +RD_0a 10 1 +RD_0b 32 1 +RD_0c 9 1 +RD_0d 18 1 +RD_0e 13 1 +RD_0f 17 1 +RD_10 19 1 +RD_11 13 1 +RD_12 12 1 +RD_13 25 1 +RD_14 22 1 +RD_15 14 1 +RD_16 16 1 +RD_17 18 1 +RD_18 11 1 +RD_19 9 1 +RD_1a 19 1 +RD_1b 13 1 +RD_1c 17 1 +RD_1d 12 1 +RD_1e 18 1 +RD_1f 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6687 1 +auto_NON_ZERO 10855 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 534 1 +SHAMT_01 547 1 +SHAMT_02 552 1 +SHAMT_03 536 1 +SHAMT_04 507 1 +SHAMT_05 581 1 +SHAMT_06 541 1 +SHAMT_07 490 1 +SHAMT_08 560 1 +SHAMT_09 550 1 +SHAMT_0a 566 1 +SHAMT_0b 549 1 +SHAMT_0c 614 1 +SHAMT_0d 516 1 +SHAMT_0e 533 1 +SHAMT_0f 566 1 +SHAMT_10 569 1 +SHAMT_11 562 1 +SHAMT_12 546 1 +SHAMT_13 577 1 +SHAMT_14 577 1 +SHAMT_15 565 1 +SHAMT_16 551 1 +SHAMT_17 468 1 +SHAMT_18 522 1 +SHAMT_19 506 1 +SHAMT_1a 538 1 +SHAMT_1b 543 1 +SHAMT_1c 594 1 +SHAMT_1d 586 1 +SHAMT_1e 557 1 +SHAMT_1f 539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6687 1 +auto_NON_ZERO 10855 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4917 1 +BIT30_1 3130 1 +BIT29_1 3161 1 +BIT28_1 3176 1 +BIT27_1 3075 1 +BIT26_1 3061 1 +BIT25_1 3007 1 +BIT24_1 2997 1 +BIT23_1 3015 1 +BIT22_1 2996 1 +BIT21_1 3063 1 +BIT20_1 3030 1 +BIT19_1 3047 1 +BIT18_1 3043 1 +BIT17_1 3074 1 +BIT16_1 3198 1 +BIT15_1 4016 1 +BIT14_1 3927 1 +BIT13_1 4129 1 +BIT12_1 3988 1 +BIT11_1 4441 1 +BIT10_1 4389 1 +BIT9_1 3996 1 +BIT8_1 3455 1 +BIT7_1 4284 1 +BIT6_1 3744 1 +BIT5_1 3941 1 +BIT4_1 5054 1 +BIT3_1 5073 1 +BIT2_1 4953 1 +BIT1_1 3960 1 +BIT0_1 4567 1 +BIT31_0 12625 1 +BIT30_0 14412 1 +BIT29_0 14381 1 +BIT28_0 14366 1 +BIT27_0 14467 1 +BIT26_0 14481 1 +BIT25_0 14535 1 +BIT24_0 14545 1 +BIT23_0 14527 1 +BIT22_0 14546 1 +BIT21_0 14479 1 +BIT20_0 14512 1 +BIT19_0 14495 1 +BIT18_0 14499 1 +BIT17_0 14468 1 +BIT16_0 14344 1 +BIT15_0 13526 1 +BIT14_0 13615 1 +BIT13_0 13413 1 +BIT12_0 13554 1 +BIT11_0 13101 1 +BIT10_0 13153 1 +BIT9_0 13546 1 +BIT8_0 14087 1 +BIT7_0 13258 1 +BIT6_0 13798 1 +BIT5_0 13601 1 +BIT4_0 12488 1 +BIT3_0 12469 1 +BIT2_0 12589 1 +BIT1_0 13582 1 +BIT0_0 12975 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3697 1 +BIT30_1 3685 1 +BIT29_1 3765 1 +BIT28_1 3662 1 +BIT27_1 3696 1 +BIT26_1 3770 1 +BIT25_1 3709 1 +BIT24_1 3742 1 +BIT23_1 3703 1 +BIT22_1 3655 1 +BIT21_1 3730 1 +BIT20_1 3758 1 +BIT19_1 3734 1 +BIT18_1 3715 1 +BIT17_1 3717 1 +BIT16_1 3724 1 +BIT15_1 3730 1 +BIT14_1 3657 1 +BIT13_1 3733 1 +BIT12_1 3712 1 +BIT11_1 3701 1 +BIT10_1 3628 1 +BIT9_1 3745 1 +BIT8_1 3675 1 +BIT7_1 3688 1 +BIT6_1 3768 1 +BIT5_1 3624 1 +BIT4_1 3788 1 +BIT3_1 3749 1 +BIT2_1 3833 1 +BIT1_1 3677 1 +BIT0_1 3737 1 +BIT31_0 13845 1 +BIT30_0 13857 1 +BIT29_0 13777 1 +BIT28_0 13880 1 +BIT27_0 13846 1 +BIT26_0 13772 1 +BIT25_0 13833 1 +BIT24_0 13800 1 +BIT23_0 13839 1 +BIT22_0 13887 1 +BIT21_0 13812 1 +BIT20_0 13784 1 +BIT19_0 13808 1 +BIT18_0 13827 1 +BIT17_0 13825 1 +BIT16_0 13818 1 +BIT15_0 13812 1 +BIT14_0 13885 1 +BIT13_0 13809 1 +BIT12_0 13830 1 +BIT11_0 13841 1 +BIT10_0 13914 1 +BIT9_0 13797 1 +BIT8_0 13867 1 +BIT7_0 13854 1 +BIT6_0 13774 1 +BIT5_0 13918 1 +BIT4_0 13754 1 +BIT3_0 13793 1 +BIT2_0 13709 1 +BIT1_0 13865 1 +BIT0_0 13805 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bclri_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2042 1 +auto[1] 517 1 +auto[2] 527 1 +auto[3] 504 1 +auto[4] 509 1 +auto[5] 505 1 +auto[6] 476 1 +auto[7] 511 1 +auto[8] 471 1 +auto[9] 488 1 +auto[10] 504 1 +auto[11] 496 1 +auto[12] 510 1 +auto[13] 511 1 +auto[14] 483 1 +auto[15] 500 1 +auto[16] 465 1 +auto[17] 514 1 +auto[18] 460 1 +auto[19] 490 1 +auto[20] 533 1 +auto[21] 521 1 +auto[22] 506 1 +auto[23] 562 1 +auto[24] 497 1 +auto[25] 521 1 +auto[26] 504 1 +auto[27] 516 1 +auto[28] 519 1 +auto[29] 495 1 +auto[30] 517 1 +auto[31] 460 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2101 1 +auto[1] 524 1 +auto[2] 478 1 +auto[3] 574 1 +auto[4] 520 1 +auto[5] 466 1 +auto[6] 515 1 +auto[7] 519 1 +auto[8] 483 1 +auto[9] 532 1 +auto[10] 511 1 +auto[11] 506 1 +auto[12] 486 1 +auto[13] 508 1 +auto[14] 480 1 +auto[15] 466 1 +auto[16] 474 1 +auto[17] 508 1 +auto[18] 462 1 +auto[19] 458 1 +auto[20] 501 1 +auto[21] 493 1 +auto[22] 478 1 +auto[23] 538 1 +auto[24] 530 1 +auto[25] 522 1 +auto[26] 539 1 +auto[27] 521 1 +auto[28] 493 1 +auto[29] 523 1 +auto[30] 484 1 +auto[31] 441 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1566 1 +RD_01 21 1 +RD_02 16 1 +RD_03 15 1 +RD_04 13 1 +RD_05 11 1 +RD_06 14 1 +RD_07 17 1 +RD_08 9 1 +RD_09 19 1 +RD_0a 24 1 +RD_0b 18 1 +RD_0c 12 1 +RD_0d 14 1 +RD_0e 15 1 +RD_0f 14 1 +RD_10 16 1 +RD_11 15 1 +RD_12 9 1 +RD_13 16 1 +RD_14 16 1 +RD_15 18 1 +RD_16 16 1 +RD_17 14 1 +RD_18 20 1 +RD_19 21 1 +RD_1a 18 1 +RD_1b 8 1 +RD_1c 12 1 +RD_1d 14 1 +RD_1e 13 1 +RD_1f 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6636 1 +auto_NON_ZERO 10998 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 558 1 +SHAMT_01 552 1 +SHAMT_02 562 1 +SHAMT_03 534 1 +SHAMT_04 537 1 +SHAMT_05 533 1 +SHAMT_06 572 1 +SHAMT_07 583 1 +SHAMT_08 561 1 +SHAMT_09 565 1 +SHAMT_0a 531 1 +SHAMT_0b 578 1 +SHAMT_0c 569 1 +SHAMT_0d 563 1 +SHAMT_0e 569 1 +SHAMT_0f 523 1 +SHAMT_10 572 1 +SHAMT_11 548 1 +SHAMT_12 530 1 +SHAMT_13 545 1 +SHAMT_14 537 1 +SHAMT_15 537 1 +SHAMT_16 574 1 +SHAMT_17 555 1 +SHAMT_18 520 1 +SHAMT_19 560 1 +SHAMT_1a 565 1 +SHAMT_1b 494 1 +SHAMT_1c 540 1 +SHAMT_1d 581 1 +SHAMT_1e 544 1 +SHAMT_1f 542 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6678 1 +auto_NON_ZERO 10956 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5073 1 +BIT30_1 3281 1 +BIT29_1 3254 1 +BIT28_1 3253 1 +BIT27_1 3115 1 +BIT26_1 3151 1 +BIT25_1 3138 1 +BIT24_1 3182 1 +BIT23_1 3123 1 +BIT22_1 3153 1 +BIT21_1 3122 1 +BIT20_1 3150 1 +BIT19_1 3118 1 +BIT18_1 3118 1 +BIT17_1 3082 1 +BIT16_1 3322 1 +BIT15_1 4192 1 +BIT14_1 3983 1 +BIT13_1 4312 1 +BIT12_1 4108 1 +BIT11_1 4555 1 +BIT10_1 4529 1 +BIT9_1 4015 1 +BIT8_1 3529 1 +BIT7_1 4380 1 +BIT6_1 3736 1 +BIT5_1 3984 1 +BIT4_1 5086 1 +BIT3_1 5304 1 +BIT2_1 5164 1 +BIT1_1 4062 1 +BIT0_1 4517 1 +BIT31_0 12561 1 +BIT30_0 14353 1 +BIT29_0 14380 1 +BIT28_0 14381 1 +BIT27_0 14519 1 +BIT26_0 14483 1 +BIT25_0 14496 1 +BIT24_0 14452 1 +BIT23_0 14511 1 +BIT22_0 14481 1 +BIT21_0 14512 1 +BIT20_0 14484 1 +BIT19_0 14516 1 +BIT18_0 14516 1 +BIT17_0 14552 1 +BIT16_0 14312 1 +BIT15_0 13442 1 +BIT14_0 13651 1 +BIT13_0 13322 1 +BIT12_0 13526 1 +BIT11_0 13079 1 +BIT10_0 13105 1 +BIT9_0 13619 1 +BIT8_0 14105 1 +BIT7_0 13254 1 +BIT6_0 13898 1 +BIT5_0 13650 1 +BIT4_0 12548 1 +BIT3_0 12330 1 +BIT2_0 12470 1 +BIT1_0 13572 1 +BIT0_0 13117 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4925 1 +BIT30_1 3167 1 +BIT29_1 3131 1 +BIT28_1 3168 1 +BIT27_1 3029 1 +BIT26_1 3054 1 +BIT25_1 3023 1 +BIT24_1 3084 1 +BIT23_1 3010 1 +BIT22_1 3065 1 +BIT21_1 3020 1 +BIT20_1 3051 1 +BIT19_1 3034 1 +BIT18_1 3031 1 +BIT17_1 2976 1 +BIT16_1 3224 1 +BIT15_1 4064 1 +BIT14_1 3857 1 +BIT13_1 4193 1 +BIT12_1 3990 1 +BIT11_1 4412 1 +BIT10_1 4381 1 +BIT9_1 3882 1 +BIT8_1 3423 1 +BIT7_1 4241 1 +BIT6_1 3624 1 +BIT5_1 3864 1 +BIT4_1 4923 1 +BIT3_1 5132 1 +BIT2_1 4995 1 +BIT1_1 3945 1 +BIT0_1 4373 1 +BIT31_0 12709 1 +BIT30_0 14467 1 +BIT29_0 14503 1 +BIT28_0 14466 1 +BIT27_0 14605 1 +BIT26_0 14580 1 +BIT25_0 14611 1 +BIT24_0 14550 1 +BIT23_0 14624 1 +BIT22_0 14569 1 +BIT21_0 14614 1 +BIT20_0 14583 1 +BIT19_0 14600 1 +BIT18_0 14603 1 +BIT17_0 14658 1 +BIT16_0 14410 1 +BIT15_0 13570 1 +BIT14_0 13777 1 +BIT13_0 13441 1 +BIT12_0 13644 1 +BIT11_0 13222 1 +BIT10_0 13253 1 +BIT9_0 13752 1 +BIT8_0 14211 1 +BIT7_0 13393 1 +BIT6_0 14010 1 +BIT5_0 13770 1 +BIT4_0 12711 1 +BIT3_0 12502 1 +BIT2_0 12639 1 +BIT1_0 13689 1 +BIT0_0 13261 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_binvi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2018 1 +auto[1] 514 1 +auto[2] 492 1 +auto[3] 528 1 +auto[4] 490 1 +auto[5] 498 1 +auto[6] 484 1 +auto[7] 488 1 +auto[8] 484 1 +auto[9] 492 1 +auto[10] 521 1 +auto[11] 491 1 +auto[12] 506 1 +auto[13] 489 1 +auto[14] 512 1 +auto[15] 495 1 +auto[16] 517 1 +auto[17] 539 1 +auto[18] 496 1 +auto[19] 507 1 +auto[20] 503 1 +auto[21] 486 1 +auto[22] 486 1 +auto[23] 474 1 +auto[24] 486 1 +auto[25] 519 1 +auto[26] 504 1 +auto[27] 499 1 +auto[28] 483 1 +auto[29] 518 1 +auto[30] 482 1 +auto[31] 478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2020 1 +auto[1] 561 1 +auto[2] 481 1 +auto[3] 512 1 +auto[4] 501 1 +auto[5] 517 1 +auto[6] 506 1 +auto[7] 489 1 +auto[8] 530 1 +auto[9] 526 1 +auto[10] 472 1 +auto[11] 471 1 +auto[12] 470 1 +auto[13] 519 1 +auto[14] 467 1 +auto[15] 453 1 +auto[16] 486 1 +auto[17] 534 1 +auto[18] 508 1 +auto[19] 452 1 +auto[20] 492 1 +auto[21] 505 1 +auto[22] 550 1 +auto[23] 476 1 +auto[24] 463 1 +auto[25] 505 1 +auto[26] 479 1 +auto[27] 487 1 +auto[28] 466 1 +auto[29] 551 1 +auto[30] 511 1 +auto[31] 519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1548 1 +RD_01 13 1 +RD_02 17 1 +RD_03 10 1 +RD_04 24 1 +RD_05 13 1 +RD_06 12 1 +RD_07 17 1 +RD_08 18 1 +RD_09 18 1 +RD_0a 14 1 +RD_0b 15 1 +RD_0c 19 1 +RD_0d 14 1 +RD_0e 18 1 +RD_0f 18 1 +RD_10 11 1 +RD_11 20 1 +RD_12 17 1 +RD_13 7 1 +RD_14 21 1 +RD_15 14 1 +RD_16 17 1 +RD_17 12 1 +RD_18 15 1 +RD_19 10 1 +RD_1a 10 1 +RD_1b 14 1 +RD_1c 15 1 +RD_1d 23 1 +RD_1e 13 1 +RD_1f 16 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6575 1 +auto_NON_ZERO 10904 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 539 1 +SHAMT_01 545 1 +SHAMT_02 543 1 +SHAMT_03 570 1 +SHAMT_04 558 1 +SHAMT_05 554 1 +SHAMT_06 620 1 +SHAMT_07 569 1 +SHAMT_08 519 1 +SHAMT_09 540 1 +SHAMT_0a 561 1 +SHAMT_0b 553 1 +SHAMT_0c 515 1 +SHAMT_0d 539 1 +SHAMT_0e 575 1 +SHAMT_0f 531 1 +SHAMT_10 568 1 +SHAMT_11 548 1 +SHAMT_12 516 1 +SHAMT_13 540 1 +SHAMT_14 545 1 +SHAMT_15 512 1 +SHAMT_16 560 1 +SHAMT_17 525 1 +SHAMT_18 532 1 +SHAMT_19 538 1 +SHAMT_1a 551 1 +SHAMT_1b 541 1 +SHAMT_1c 532 1 +SHAMT_1d 563 1 +SHAMT_1e 543 1 +SHAMT_1f 534 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 42 1 +auto_NON_ZERO 17437 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4938 1 +BIT30_1 3214 1 +BIT29_1 3243 1 +BIT28_1 3262 1 +BIT27_1 3161 1 +BIT26_1 3154 1 +BIT25_1 3094 1 +BIT24_1 3120 1 +BIT23_1 3157 1 +BIT22_1 3148 1 +BIT21_1 3140 1 +BIT20_1 3160 1 +BIT19_1 3171 1 +BIT18_1 3148 1 +BIT17_1 3136 1 +BIT16_1 3365 1 +BIT15_1 4020 1 +BIT14_1 3979 1 +BIT13_1 4184 1 +BIT12_1 4038 1 +BIT11_1 4414 1 +BIT10_1 4434 1 +BIT9_1 3960 1 +BIT8_1 3569 1 +BIT7_1 4346 1 +BIT6_1 3821 1 +BIT5_1 3995 1 +BIT4_1 4999 1 +BIT3_1 5126 1 +BIT2_1 5043 1 +BIT1_1 3972 1 +BIT0_1 4541 1 +BIT31_0 12541 1 +BIT30_0 14265 1 +BIT29_0 14236 1 +BIT28_0 14217 1 +BIT27_0 14318 1 +BIT26_0 14325 1 +BIT25_0 14385 1 +BIT24_0 14359 1 +BIT23_0 14322 1 +BIT22_0 14331 1 +BIT21_0 14339 1 +BIT20_0 14319 1 +BIT19_0 14308 1 +BIT18_0 14331 1 +BIT17_0 14343 1 +BIT16_0 14114 1 +BIT15_0 13459 1 +BIT14_0 13500 1 +BIT13_0 13295 1 +BIT12_0 13441 1 +BIT11_0 13065 1 +BIT10_0 13045 1 +BIT9_0 13519 1 +BIT8_0 13910 1 +BIT7_0 13133 1 +BIT6_0 13658 1 +BIT5_0 13484 1 +BIT4_0 12480 1 +BIT3_0 12353 1 +BIT2_0 12436 1 +BIT1_0 13507 1 +BIT0_0 12938 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5172 1 +BIT30_1 3553 1 +BIT29_1 3610 1 +BIT28_1 3556 1 +BIT27_1 3496 1 +BIT26_1 3525 1 +BIT25_1 3422 1 +BIT24_1 3488 1 +BIT23_1 3476 1 +BIT22_1 3504 1 +BIT21_1 3462 1 +BIT20_1 3511 1 +BIT19_1 3523 1 +BIT18_1 3504 1 +BIT17_1 3484 1 +BIT16_1 3711 1 +BIT15_1 4307 1 +BIT14_1 4274 1 +BIT13_1 4461 1 +BIT12_1 4291 1 +BIT11_1 4703 1 +BIT10_1 4723 1 +BIT9_1 4236 1 +BIT8_1 3878 1 +BIT7_1 4649 1 +BIT6_1 4177 1 +BIT5_1 4259 1 +BIT4_1 5269 1 +BIT3_1 5332 1 +BIT2_1 5270 1 +BIT1_1 4257 1 +BIT0_1 4816 1 +BIT31_0 12307 1 +BIT30_0 13926 1 +BIT29_0 13869 1 +BIT28_0 13923 1 +BIT27_0 13983 1 +BIT26_0 13954 1 +BIT25_0 14057 1 +BIT24_0 13991 1 +BIT23_0 14003 1 +BIT22_0 13975 1 +BIT21_0 14017 1 +BIT20_0 13968 1 +BIT19_0 13956 1 +BIT18_0 13975 1 +BIT17_0 13995 1 +BIT16_0 13768 1 +BIT15_0 13172 1 +BIT14_0 13205 1 +BIT13_0 13018 1 +BIT12_0 13188 1 +BIT11_0 12776 1 +BIT10_0 12756 1 +BIT9_0 13243 1 +BIT8_0 13601 1 +BIT7_0 12830 1 +BIT6_0 13302 1 +BIT5_0 13220 1 +BIT4_0 12210 1 +BIT3_0 12147 1 +BIT2_0 12209 1 +BIT1_0 13222 1 +BIT0_0 12663 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.84 98.84 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 98.84 1 100 1 64 64 uvma_isacov_pkg.rev32_seq_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 466 0 466 100.00 +Crosses 18474 2020 16454 96.53 + + +Variables for Group uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_instr 126 0 126 100.00 100 1 1 0 +cp_instr_prev_x2 126 0 126 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x2 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x3 0 0 0 1 0 +cp_group_pipe_x4 0 0 0 1 0 +cp_gpr_raw_hazard 2 0 2 100.00 100 1 1 0 +cp_csr_hazard 2 0 2 100.00 100 1 1 0 +cp_is_csr_write 2 0 2 100.00 100 1 1 0 +cp_csr 182 0 182 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rev32_seq_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 98.84 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.84 98.84 1 100 1 1 64 64 uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rev32_seq_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 466 0 466 100.00 +Crosses 18474 2020 16454 96.53 + + +Variables for Group Instance uvma_isacov_pkg.rev32_seq_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_instr 126 0 126 100.00 100 1 1 0 +cp_instr_prev_x2 126 0 126 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x2 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x3 0 0 0 1 0 +cp_group_pipe_x4 0 0 0 1 0 +cp_gpr_raw_hazard 2 0 2 100.00 100 1 1 0 +cp_csr_hazard 2 0 2 100.00 100 1 1 0 +cp_is_csr_write 2 0 2 100.00 100 1 1 0 +cp_csr 182 0 182 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rev32_seq_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_seq_instr_x2 15876 2018 13858 87.29 100 1 1 0 +cross_seq_group_x2 169 2 167 98.82 100 1 1 0 +cross_seq_group_x3 0 0 0 1 0 +cross_seq_group_x4 0 0 0 1 0 +cross_seq_gpr_raw_hazard 63 0 63 100.00 100 1 1 0 +cross_seq_csr_hazard_x2 2366 0 2366 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 126 0 126 100.00 + + +Automatically Generated Bins for cp_instr + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN 0 Excluded +auto_DRET 0 Excluded +auto_LR_W 0 Excluded +auto_SC_W 0 Excluded +auto_AMOSWAP_W 0 Excluded +auto_AMOADD_W 0 Excluded +auto_AMOXOR_W 0 Excluded +auto_AMOAND_W 0 Excluded +auto_AMOOR_W 0 Excluded +auto_AMOMIN_W 0 Excluded +auto_AMOMAX_W 0 Excluded +auto_AMOMINU_W 0 Excluded +auto_AMOMAXU_W 0 Excluded +auto_FENCE_I 0 Excluded +IGN_FENCEI 0 Excluded +IGN_A 0 Excluded +IGN_DRET 0 Excluded +IGN_UNKNOWN 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_LUI 481055 1 +auto_AUIPC 265016 1 +auto_JAL 122746 1 +auto_JALR 1867 1 +auto_BEQ 288099 1 +auto_BNE 266599 1 +auto_BLT 6459 1 +auto_BGE 6668 1 +auto_BLTU 6686 1 +auto_BGEU 6945 1 +auto_LB 93104 1 +auto_LH 29405 1 +auto_LW 1000952 1 +auto_LBU 147318 1 +auto_LHU 29245 1 +auto_SB 91939 1 +auto_SH 29180 1 +auto_SW 1206371 1 +auto_ADDI 624302 1 +auto_SLTI 20561 1 +auto_SLTIU 20627 1 +auto_XORI 20614 1 +auto_ORI 22606 1 +auto_ANDI 21263 1 +auto_SLLI 20190 1 +auto_SRLI 220905 1 +auto_SRAI 20392 1 +auto_ADD 1078695 1 +auto_SUB 20395 1 +auto_SLL 20341 1 +auto_SLT 20781 1 +auto_SLTU 21019 1 +auto_XOR 20066 1 +auto_SRL 20269 1 +auto_SRA 20418 1 +auto_OR 20061 1 +auto_AND 76382 1 +auto_FENCE 11209 1 +auto_ECALL 1483 1 +auto_EBREAK 5057 1 +auto_MRET 279895 1 +auto_WFI 3292 1 +auto_MUL 21051 1 +auto_MULH 20653 1 +auto_MULHSU 20631 1 +auto_MULHU 20505 1 +auto_DIV 20692 1 +auto_DIVU 20961 1 +auto_REM 20203 1 +auto_REMU 21136 1 +auto_C_ADDI4SPN 8593 1 +auto_C_LW 63386 1 +auto_C_SW 63866 1 +auto_C_NOP 63120 1 +auto_C_ADDI 1279390 1 +auto_C_JAL 59160 1 +auto_C_LI 313866 1 +auto_C_ADDI16SP 14396 1 +auto_C_LUI 14005 1 +auto_C_SRLI 76150 1 +auto_C_SRAI 11091 1 +auto_C_ANDI 10262 1 +auto_C_SUB 10843 1 +auto_C_XOR 10834 1 +auto_C_OR 10966 1 +auto_C_AND 14748 1 +auto_C_J 67740 1 +auto_C_BEQZ 4838 1 +auto_C_BNEZ 70015 1 +auto_C_SLLI 13902 1 +auto_C_LWSP 15166 1 +auto_C_JR 361 1 +auto_C_MV 12980 1 +auto_C_EBREAK 4674 1 +auto_C_JALR 302 1 +auto_C_ADD 15064 1 +auto_C_SWSP 15159 1 +auto_SH1ADD 17480 1 +auto_SH2ADD 17626 1 +auto_SH3ADD 17460 1 +auto_CLZ 17628 1 +auto_CTZ 17494 1 +auto_CPOP 17767 1 +auto_MIN 17609 1 +auto_MAX 17607 1 +auto_MINU 17348 1 +auto_MAXU 17690 1 +auto_SEXT_B 17501 1 +auto_SEXT_H 17335 1 +auto_ZEXT_H 17747 1 +auto_ANDN 17566 1 +auto_ORN 17897 1 +auto_XNOR 17600 1 +auto_ROR 17717 1 +auto_RORI 17542 1 +auto_ROL 17639 1 +auto_REV8 17387 1 +auto_ORC_B 17765 1 +auto_CLMUL 17517 1 +auto_CLMULH 17610 1 +auto_CLMULR 17594 1 +auto_BSET 17778 1 +auto_BSETI 17605 1 +auto_BCLR 17502 1 +auto_BCLRI 17634 1 +auto_BINV 17460 1 +auto_BINVI 17479 1 +auto_BEXT 17544 1 +auto_BEXTI 17660 1 +auto_CSRRW 97389 1 +auto_CSRRS 1439174 1 +auto_CSRRC 8875 1 +auto_CSRRWI 9143 1 +auto_CSRRSI 8941 1 +auto_CSRRCI 9155 1 +auto_C_LBU 4809 1 +auto_C_LHU 160 1 +auto_C_LH 131 1 +auto_C_SB 717 1 +auto_C_SH 145 1 +auto_C_ZEXT_B 10478 1 +auto_C_SEXT_B 10637 1 +auto_C_ZEXT_H 10565 1 +auto_C_SEXT_H 10630 1 +auto_C_NOT 10449 1 +auto_C_MUL 10860 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_instr_prev_x2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 126 0 126 100.00 + + +Automatically Generated Bins for cp_instr_prev_x2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN 0 Excluded +auto_DRET 0 Excluded +auto_LR_W 0 Excluded +auto_SC_W 0 Excluded +auto_AMOSWAP_W 0 Excluded +auto_AMOADD_W 0 Excluded +auto_AMOXOR_W 0 Excluded +auto_AMOAND_W 0 Excluded +auto_AMOOR_W 0 Excluded +auto_AMOMIN_W 0 Excluded +auto_AMOMAX_W 0 Excluded +auto_AMOMINU_W 0 Excluded +auto_AMOMAXU_W 0 Excluded +auto_FENCE_I 0 Excluded +IGN_FENCEI 0 Excluded +IGN_A 0 Excluded +IGN_DRET 0 Excluded +IGN_UNKNOWN 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_LUI 481055 1 +auto_AUIPC 265016 1 +auto_JAL 122746 1 +auto_JALR 1866 1 +auto_BEQ 288099 1 +auto_BNE 266599 1 +auto_BLT 6459 1 +auto_BGE 6668 1 +auto_BLTU 6686 1 +auto_BGEU 6945 1 +auto_LB 93104 1 +auto_LH 29405 1 +auto_LW 1000952 1 +auto_LBU 147318 1 +auto_LHU 29245 1 +auto_SB 91939 1 +auto_SH 29180 1 +auto_SW 1206371 1 +auto_ADDI 624301 1 +auto_SLTI 20561 1 +auto_SLTIU 20627 1 +auto_XORI 20614 1 +auto_ORI 22606 1 +auto_ANDI 21263 1 +auto_SLLI 20190 1 +auto_SRLI 220905 1 +auto_SRAI 20392 1 +auto_ADD 1078692 1 +auto_SUB 20395 1 +auto_SLL 20341 1 +auto_SLT 20781 1 +auto_SLTU 21019 1 +auto_XOR 20066 1 +auto_SRL 20269 1 +auto_SRA 20418 1 +auto_OR 20061 1 +auto_AND 76382 1 +auto_FENCE 11209 1 +auto_ECALL 1483 1 +auto_EBREAK 5057 1 +auto_MRET 279895 1 +auto_WFI 3292 1 +auto_MUL 21051 1 +auto_MULH 20653 1 +auto_MULHSU 20631 1 +auto_MULHU 20505 1 +auto_DIV 20692 1 +auto_DIVU 20961 1 +auto_REM 20203 1 +auto_REMU 21136 1 +auto_C_ADDI4SPN 8593 1 +auto_C_LW 63386 1 +auto_C_SW 63865 1 +auto_C_NOP 63120 1 +auto_C_ADDI 1279375 1 +auto_C_JAL 59159 1 +auto_C_LI 313865 1 +auto_C_ADDI16SP 14396 1 +auto_C_LUI 14005 1 +auto_C_SRLI 76150 1 +auto_C_SRAI 11091 1 +auto_C_ANDI 10262 1 +auto_C_SUB 10843 1 +auto_C_XOR 10834 1 +auto_C_OR 10966 1 +auto_C_AND 14748 1 +auto_C_J 65408 1 +auto_C_BEQZ 4838 1 +auto_C_BNEZ 70015 1 +auto_C_SLLI 13902 1 +auto_C_LWSP 15166 1 +auto_C_JR 361 1 +auto_C_MV 12980 1 +auto_C_EBREAK 4674 1 +auto_C_JALR 302 1 +auto_C_ADD 15064 1 +auto_C_SWSP 15159 1 +auto_SH1ADD 17480 1 +auto_SH2ADD 17626 1 +auto_SH3ADD 17460 1 +auto_CLZ 17628 1 +auto_CTZ 17494 1 +auto_CPOP 17767 1 +auto_MIN 17609 1 +auto_MAX 17607 1 +auto_MINU 17348 1 +auto_MAXU 17690 1 +auto_SEXT_B 17501 1 +auto_SEXT_H 17335 1 +auto_ZEXT_H 17747 1 +auto_ANDN 17566 1 +auto_ORN 17897 1 +auto_XNOR 17600 1 +auto_ROR 17716 1 +auto_RORI 17542 1 +auto_ROL 17639 1 +auto_REV8 17387 1 +auto_ORC_B 17765 1 +auto_CLMUL 17517 1 +auto_CLMULH 17610 1 +auto_CLMULR 17594 1 +auto_BSET 17778 1 +auto_BSETI 17605 1 +auto_BCLR 17502 1 +auto_BCLRI 17634 1 +auto_BINV 17460 1 +auto_BINVI 17479 1 +auto_BEXT 17544 1 +auto_BEXTI 17660 1 +auto_CSRRW 97389 1 +auto_CSRRS 1439174 1 +auto_CSRRC 8875 1 +auto_CSRRWI 9143 1 +auto_CSRRSI 8941 1 +auto_CSRRCI 9155 1 +auto_C_LBU 4809 1 +auto_C_LHU 160 1 +auto_C_LH 131 1 +auto_C_SB 717 1 +auto_C_SH 145 1 +auto_C_ZEXT_B 10478 1 +auto_C_SEXT_B 10637 1 +auto_C_ZEXT_H 10565 1 +auto_C_SEXT_H 10630 1 +auto_C_NOT 10449 1 +auto_C_MUL 10860 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 13 0 13 100.00 + + +Automatically Generated Bins for cp_group + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_FENCE_I_GROUP 0 Illegal +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_LOAD_GROUP 1383676 1 +auto_STORE_GROUP 1407377 1 +auto_ALU_GROUP 5551715 1 +auto_BRANCH_GROUP 656309 1 +auto_JUMP_GROUP 252176 1 +auto_FENCE_GROUP 11209 1 +auto_RET_GROUP 279895 1 +auto_WFI_GROUP 3292 1 +auto_CSR_GROUP 1572677 1 +auto_ENV_GROUP 11214 1 +auto_MUL_GROUP 31911 1 +auto_MULTI_MUL_GROUP 61789 1 +auto_DIV_GROUP 82992 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group_pipe_x2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 13 0 13 100.00 + + +Automatically Generated Bins for cp_group_pipe_x2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_FENCE_I_GROUP 0 Illegal +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_LOAD_GROUP 1383676 1 +auto_STORE_GROUP 1407376 1 +auto_ALU_GROUP 5551694 1 +auto_BRANCH_GROUP 656309 1 +auto_JUMP_GROUP 249842 1 +auto_FENCE_GROUP 11209 1 +auto_RET_GROUP 279895 1 +auto_WFI_GROUP 3292 1 +auto_CSR_GROUP 1572677 1 +auto_ENV_GROUP 11214 1 +auto_MUL_GROUP 31911 1 +auto_MULTI_MUL_GROUP 61789 1 +auto_DIV_GROUP 82992 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group_pipe_x3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 0 0 0 + + +Automatically Generated Bins for cp_group_pipe_x3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_LOAD_GROUP 0 Excluded +auto_STORE_GROUP 0 Excluded +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_ALU_GROUP 0 Excluded +auto_BRANCH_GROUP 0 Excluded +auto_JUMP_GROUP 0 Excluded +auto_FENCE_GROUP 0 Excluded +auto_FENCE_I_GROUP 0 Illegal +auto_RET_GROUP 0 Excluded +auto_WFI_GROUP 0 Excluded +auto_CSR_GROUP 0 Excluded +auto_ENV_GROUP 0 Excluded +auto_MUL_GROUP 0 Excluded +auto_MULTI_MUL_GROUP 0 Excluded +auto_DIV_GROUP 0 Excluded +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal +IGN_X3_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group_pipe_x4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 0 0 0 + + +Automatically Generated Bins for cp_group_pipe_x4 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_LOAD_GROUP 0 Excluded +auto_STORE_GROUP 0 Excluded +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_ALU_GROUP 0 Excluded +auto_BRANCH_GROUP 0 Excluded +auto_JUMP_GROUP 0 Excluded +auto_FENCE_GROUP 0 Excluded +auto_FENCE_I_GROUP 0 Illegal +auto_RET_GROUP 0 Excluded +auto_WFI_GROUP 0 Excluded +auto_CSR_GROUP 0 Excluded +auto_ENV_GROUP 0 Excluded +auto_MUL_GROUP 0 Excluded +auto_MULTI_MUL_GROUP 0 Excluded +auto_DIV_GROUP 0 Excluded +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal +IGN_X4_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_gpr_raw_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_gpr_raw_hazard + + +Bins + +NAME COUNT AT LEAST +RAW_HAZARD 3555851 1 +NO_RAW_HAZARD 7750381 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_csr_hazard + + +Bins + +NAME COUNT AT LEAST +CSR_HAZARD 130397 1 +NO_CSR_HAZARD 11175835 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_csr_write + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_is_csr_write + + +Bins + +NAME COUNT AT LEAST +IS_CSR_WRITE 130438 1 +NOT_CSR_WRITE 11175794 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 182 0 182 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +RW_CSR_MVENDORID 0 Excluded +RW_CSR_MARCHID 0 Excluded +RW_CSR_MIMPID 0 Excluded +RW_CSR_MHARTID 0 Excluded +RW_CSR_MCONFIGPTR 0 Excluded +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +RW_CSR_MSTATUS 268389 1 +RW_CSR_MISA 2639 1 +RW_CSR_MIE 2712 1 +RW_CSR_MTVEC 2546 1 +RW_CSR_MSTATUSH 303 1 +RW_CSR_MCOUNTINHIBIT 260 1 +RW_CSR_MHPMEVENT3 243 1 +RW_CSR_MHPMEVENT4 218 1 +RW_CSR_MHPMEVENT5 217 1 +RW_CSR_MHPMEVENT6 230 1 +RW_CSR_MHPMEVENT7 240 1 +RW_CSR_MHPMEVENT8 219 1 +RW_CSR_MHPMEVENT9 235 1 +RW_CSR_MHPMEVENT10 227 1 +RW_CSR_MHPMEVENT11 248 1 +RW_CSR_MHPMEVENT12 235 1 +RW_CSR_MHPMEVENT13 227 1 +RW_CSR_MHPMEVENT14 204 1 +RW_CSR_MHPMEVENT15 231 1 +RW_CSR_MHPMEVENT16 238 1 +RW_CSR_MHPMEVENT17 233 1 +RW_CSR_MHPMEVENT18 224 1 +RW_CSR_MHPMEVENT19 236 1 +RW_CSR_MHPMEVENT20 215 1 +RW_CSR_MHPMEVENT21 212 1 +RW_CSR_MHPMEVENT22 261 1 +RW_CSR_MHPMEVENT23 217 1 +RW_CSR_MHPMEVENT24 218 1 +RW_CSR_MHPMEVENT25 222 1 +RW_CSR_MHPMEVENT26 233 1 +RW_CSR_MHPMEVENT27 240 1 +RW_CSR_MHPMEVENT28 219 1 +RW_CSR_MHPMEVENT29 221 1 +RW_CSR_MHPMEVENT30 230 1 +RW_CSR_MHPMEVENT31 257 1 +RW_CSR_MSCRATCH 23924 1 +RW_CSR_MEPC 557368 1 +RW_CSR_MCAUSE 470775 1 +RW_CSR_MTVAL 309 1 +RW_CSR_MIP 205637 1 +RW_CSR_PMPCFG0 303 1 +RW_CSR_PMPCFG1 309 1 +RW_CSR_PMPCFG2 301 1 +RW_CSR_PMPCFG3 301 1 +RW_CSR_PMPCFG4 229 1 +RW_CSR_PMPCFG5 226 1 +RW_CSR_PMPCFG6 240 1 +RW_CSR_PMPCFG7 228 1 +RW_CSR_PMPCFG8 241 1 +RW_CSR_PMPCFG9 241 1 +RW_CSR_PMPCFG10 227 1 +RW_CSR_PMPCFG11 241 1 +RW_CSR_PMPCFG12 229 1 +RW_CSR_PMPCFG13 222 1 +RW_CSR_PMPCFG14 223 1 +RW_CSR_PMPCFG15 264 1 +RW_CSR_PMPADDR0 207 1 +RW_CSR_PMPADDR1 191 1 +RW_CSR_PMPADDR2 229 1 +RW_CSR_PMPADDR3 199 1 +RW_CSR_PMPADDR4 192 1 +RW_CSR_PMPADDR5 211 1 +RW_CSR_PMPADDR6 210 1 +RW_CSR_PMPADDR7 220 1 +RW_CSR_PMPADDR8 193 1 +RW_CSR_PMPADDR9 233 1 +RW_CSR_PMPADDR10 210 1 +RW_CSR_PMPADDR11 209 1 +RW_CSR_PMPADDR12 209 1 +RW_CSR_PMPADDR13 204 1 +RW_CSR_PMPADDR14 199 1 +RW_CSR_PMPADDR15 220 1 +RW_CSR_PMPADDR16 24 1 +RW_CSR_PMPADDR17 150 1 +RW_CSR_PMPADDR18 140 1 +RW_CSR_PMPADDR19 139 1 +RW_CSR_PMPADDR20 152 1 +RW_CSR_PMPADDR21 139 1 +RW_CSR_PMPADDR22 168 1 +RW_CSR_PMPADDR23 142 1 +RW_CSR_PMPADDR24 147 1 +RW_CSR_PMPADDR25 141 1 +RW_CSR_PMPADDR26 152 1 +RW_CSR_PMPADDR27 160 1 +RW_CSR_PMPADDR28 156 1 +RW_CSR_PMPADDR29 130 1 +RW_CSR_PMPADDR30 145 1 +RW_CSR_PMPADDR31 147 1 +RW_CSR_PMPADDR32 24 1 +RW_CSR_PMPADDR33 138 1 +RW_CSR_PMPADDR34 136 1 +RW_CSR_PMPADDR35 150 1 +RW_CSR_PMPADDR36 139 1 +RW_CSR_PMPADDR37 149 1 +RW_CSR_PMPADDR38 136 1 +RW_CSR_PMPADDR39 136 1 +RW_CSR_PMPADDR40 144 1 +RW_CSR_PMPADDR41 144 1 +RW_CSR_PMPADDR42 159 1 +RW_CSR_PMPADDR43 146 1 +RW_CSR_PMPADDR44 144 1 +RW_CSR_PMPADDR45 143 1 +RW_CSR_PMPADDR46 137 1 +RW_CSR_PMPADDR47 151 1 +RW_CSR_PMPADDR48 24 1 +RW_CSR_PMPADDR49 124 1 +RW_CSR_PMPADDR50 160 1 +RW_CSR_PMPADDR51 168 1 +RW_CSR_PMPADDR52 137 1 +RW_CSR_PMPADDR53 154 1 +RW_CSR_PMPADDR54 148 1 +RW_CSR_PMPADDR55 140 1 +RW_CSR_PMPADDR56 132 1 +RW_CSR_PMPADDR57 132 1 +RW_CSR_PMPADDR58 139 1 +RW_CSR_PMPADDR59 149 1 +RW_CSR_PMPADDR60 143 1 +RW_CSR_PMPADDR61 167 1 +RW_CSR_PMPADDR62 146 1 +RW_CSR_PMPADDR63 151 1 +RW_CSR_MCYCLE 309 1 +RW_CSR_MINSTRET 310 1 +RW_CSR_MHPMCOUNTER3 215 1 +RW_CSR_MHPMCOUNTER4 220 1 +RW_CSR_MHPMCOUNTER5 223 1 +RW_CSR_MHPMCOUNTER6 210 1 +RW_CSR_MHPMCOUNTER7 230 1 +RW_CSR_MHPMCOUNTER8 247 1 +RW_CSR_MHPMCOUNTER9 252 1 +RW_CSR_MHPMCOUNTER10 220 1 +RW_CSR_MHPMCOUNTER11 229 1 +RW_CSR_MHPMCOUNTER12 215 1 +RW_CSR_MHPMCOUNTER13 233 1 +RW_CSR_MHPMCOUNTER14 223 1 +RW_CSR_MHPMCOUNTER15 245 1 +RW_CSR_MHPMCOUNTER16 232 1 +RW_CSR_MHPMCOUNTER17 211 1 +RW_CSR_MHPMCOUNTER18 250 1 +RW_CSR_MHPMCOUNTER19 248 1 +RW_CSR_MHPMCOUNTER20 233 1 +RW_CSR_MHPMCOUNTER21 233 1 +RW_CSR_MHPMCOUNTER22 221 1 +RW_CSR_MHPMCOUNTER23 252 1 +RW_CSR_MHPMCOUNTER24 228 1 +RW_CSR_MHPMCOUNTER25 230 1 +RW_CSR_MHPMCOUNTER26 235 1 +RW_CSR_MHPMCOUNTER27 232 1 +RW_CSR_MHPMCOUNTER28 231 1 +RW_CSR_MHPMCOUNTER29 249 1 +RW_CSR_MHPMCOUNTER30 221 1 +RW_CSR_MHPMCOUNTER31 235 1 +RW_CSR_MCYCLEH 303 1 +RW_CSR_MINSTRETH 289 1 +RW_CSR_MHPMCOUNTER3H 235 1 +RW_CSR_MHPMCOUNTER4H 235 1 +RW_CSR_MHPMCOUNTER5H 224 1 +RW_CSR_MHPMCOUNTER6H 243 1 +RW_CSR_MHPMCOUNTER7H 246 1 +RW_CSR_MHPMCOUNTER8H 264 1 +RW_CSR_MHPMCOUNTER9H 219 1 +RW_CSR_MHPMCOUNTER10H 229 1 +RW_CSR_MHPMCOUNTER11H 229 1 +RW_CSR_MHPMCOUNTER12H 231 1 +RW_CSR_MHPMCOUNTER13H 232 1 +RW_CSR_MHPMCOUNTER14H 212 1 +RW_CSR_MHPMCOUNTER15H 213 1 +RW_CSR_MHPMCOUNTER16H 244 1 +RW_CSR_MHPMCOUNTER17H 234 1 +RW_CSR_MHPMCOUNTER18H 229 1 +RW_CSR_MHPMCOUNTER19H 223 1 +RW_CSR_MHPMCOUNTER20H 220 1 +RW_CSR_MHPMCOUNTER21H 234 1 +RW_CSR_MHPMCOUNTER22H 222 1 +RW_CSR_MHPMCOUNTER23H 255 1 +RW_CSR_MHPMCOUNTER24H 222 1 +RW_CSR_MHPMCOUNTER25H 231 1 +RW_CSR_MHPMCOUNTER26H 225 1 +RW_CSR_MHPMCOUNTER27H 218 1 +RW_CSR_MHPMCOUNTER28H 250 1 +RW_CSR_MHPMCOUNTER29H 225 1 +RW_CSR_MHPMCOUNTER30H 223 1 +RW_CSR_MHPMCOUNTER31H 241 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_instr_x2 + + +Samples crossed: cp_instr cp_instr_prev_x2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 15876 2018 13858 87.29 2018 + + +Automatically Generated Cross Bins for cross_seq_instr_x2 + + +Uncovered bins + +cp_instr cp_instr_prev_x2 COUNT AT LEAST NUMBER +[auto_LUI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LUI] [auto_C_SW] 0 1 1 +[auto_LUI] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LUI] [auto_C_SB , auto_C_SH] -- -- 2 +[auto_AUIPC] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_AUIPC] [auto_C_EBREAK] 0 1 1 +[auto_JAL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_JAL] [auto_WFI] 0 1 1 +[auto_JAL] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_JAL] [auto_C_LH] 0 1 1 +[auto_JALR] [auto_JALR] 0 1 1 +[auto_JALR] [auto_LB , auto_LH] -- -- 2 +[auto_JALR] [auto_LBU , auto_LHU , auto_SB , auto_SH] -- -- 4 +[auto_JALR] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_JALR] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_JALR] [auto_C_JAL] 0 1 1 +[auto_JALR] [auto_C_SRAI] 0 1 1 +[auto_JALR] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_JALR] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_JALR] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_JALR] [auto_C_SWSP] 0 1 1 +[auto_JALR] [auto_SH2ADD] 0 1 1 +[auto_JALR] [auto_MIN , auto_MAX , auto_MINU] -- -- 3 +[auto_JALR] [auto_ANDN] 0 1 1 +[auto_JALR] [auto_REV8] 0 1 1 +[auto_JALR] [auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] -- -- 4 +[auto_JALR] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B] -- -- 6 +[auto_JALR] [auto_C_SEXT_H , auto_C_NOT] -- -- 2 +[auto_BEQ] [auto_LW] 0 1 1 +[auto_BEQ] [auto_SW] 0 1 1 +[auto_BEQ] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BEQ] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BEQ] [auto_C_JAL] 0 1 1 +[auto_BEQ] [auto_C_J] 0 1 1 +[auto_BEQ] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BEQ] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BEQ] [auto_C_SWSP] 0 1 1 +[auto_BEQ] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BNE] [auto_LW] 0 1 1 +[auto_BNE] [auto_EBREAK] 0 1 1 +[auto_BNE] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BNE] [auto_C_JAL] 0 1 1 +[auto_BNE] [auto_C_J] 0 1 1 +[auto_BNE] [auto_C_LWSP] 0 1 1 +[auto_BNE] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BNE] [auto_C_SWSP] 0 1 1 +[auto_BNE] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BLT] [auto_SW] 0 1 1 +[auto_BLT] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BLT] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BLT] [auto_C_JAL] 0 1 1 +[auto_BLT] [auto_C_J] 0 1 1 +[auto_BLT] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BLT] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BLT] [auto_C_SWSP] 0 1 1 +[auto_BLT] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BGE] [auto_SH , auto_SW] -- -- 2 +[auto_BGE] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BGE] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BGE] [auto_C_J] 0 1 1 +[auto_BGE] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BGE] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BGE] [auto_C_SWSP] 0 1 1 +[auto_BGE] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BLTU] [auto_LW] 0 1 1 +[auto_BLTU] [auto_SH , auto_SW] -- -- 2 +[auto_BLTU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BLTU] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BLTU] [auto_C_JAL] 0 1 1 +[auto_BLTU] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BLTU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BLTU] [auto_C_SWSP] 0 1 1 +[auto_BLTU] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BGEU] [auto_LW] 0 1 1 +[auto_BGEU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BGEU] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BGEU] [auto_C_JAL] 0 1 1 +[auto_BGEU] [auto_C_J] 0 1 1 +[auto_BGEU] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BGEU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BGEU] [auto_C_SWSP] 0 1 1 +[auto_BGEU] [auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 4 +[auto_LB] [auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 7 +[auto_LB] [auto_EBREAK] 0 1 1 +[auto_LB] [auto_C_JAL] 0 1 1 +[auto_LB] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_LB] [auto_C_JR] 0 1 1 +[auto_LB] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LH] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LH] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LH] [auto_C_JAL] 0 1 1 +[auto_LH] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_LH] [auto_C_JR] 0 1 1 +[auto_LH] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LW] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LW] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LW] [auto_C_JAL] 0 1 1 +[auto_LW] [auto_C_BEQZ , auto_C_BNEZ] -- -- 2 +[auto_LW] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LBU] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LBU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LBU] [auto_C_JAL] 0 1 1 +[auto_LBU] [auto_C_BEQZ , auto_C_BNEZ] -- -- 2 +[auto_LBU] [auto_C_JR] 0 1 1 +[auto_LBU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LHU] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LHU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LHU] [auto_C_JAL] 0 1 1 +[auto_LHU] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_LHU] [auto_C_JR] 0 1 1 +[auto_LHU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SB] [auto_JAL , auto_JALR , auto_BEQ] -- -- 3 +[auto_SB] [auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 4 +[auto_SB] [auto_EBREAK] 0 1 1 +[auto_SB] [auto_C_JAL] 0 1 1 +[auto_SB] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_SB] [auto_C_JR] 0 1 1 +[auto_SB] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SH] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_SH] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SH] [auto_C_JAL] 0 1 1 +[auto_SH] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_SH] [auto_C_JR] 0 1 1 +[auto_SH] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SH] [auto_C_SH] 0 1 1 +[auto_SW] [auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 7 +[auto_SW] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SW] [auto_C_JAL] 0 1 1 +[auto_SW] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_SW] [auto_C_JR] 0 1 1 +[auto_SW] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_ADDI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ADDI] [auto_C_SW] 0 1 1 +[auto_ADDI] [auto_C_EBREAK] 0 1 1 +[auto_ADDI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ADDI] [auto_C_SH] 0 1 1 +[auto_SLTI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLTI] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_SLTI] [auto_C_JR] 0 1 1 +[auto_SLTI] [auto_C_EBREAK] 0 1 1 +[auto_SLTI] [auto_C_SH] 0 1 1 +[auto_SLTIU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLTIU] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_SLTIU] [auto_C_JR] 0 1 1 +[auto_SLTIU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SLTIU] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_XORI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_XORI] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_XORI] [auto_C_EBREAK] 0 1 1 +[auto_XORI] [auto_C_LHU] 0 1 1 +[auto_XORI] [auto_C_SH] 0 1 1 +[auto_ORI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ORI] [auto_C_LW] 0 1 1 +[auto_ORI] [auto_C_EBREAK] 0 1 1 +[auto_ORI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ORI] [auto_C_SH] 0 1 1 +[auto_ANDI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ANDI] [auto_C_JR] 0 1 1 +[auto_ANDI] [auto_C_EBREAK] 0 1 1 +[auto_ANDI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ANDI] [auto_C_SH] 0 1 1 +[auto_SLLI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLLI] [auto_C_SW] 0 1 1 +[auto_SLLI] [auto_C_JR] 0 1 1 +[auto_SLLI] [auto_C_EBREAK] 0 1 1 +[auto_SLLI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_SLLI] [auto_C_SH] 0 1 1 +[auto_SRLI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRLI] [auto_C_JR] 0 1 1 +[auto_SRLI] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SRLI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_SRLI] [auto_C_SH] 0 1 1 +[auto_SRAI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRAI] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SRAI] [auto_C_LHU] 0 1 1 +[auto_SRAI] [auto_C_SH] 0 1 1 +[auto_ADD] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ADD] [auto_C_LW] 0 1 1 +[auto_ADD] [auto_C_JR] 0 1 1 +[auto_ADD] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_ADD] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ADD] [auto_C_SH] 0 1 1 +[auto_SUB] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SUB] [auto_C_SW] 0 1 1 +[auto_SUB] [auto_C_JR] 0 1 1 +[auto_SUB] [auto_C_EBREAK] 0 1 1 +[auto_SUB] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_SUB] [auto_C_SH] 0 1 1 +[auto_SLL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLL] [auto_C_SW] 0 1 1 +[auto_SLL] [auto_C_JR] 0 1 1 +[auto_SLL] [auto_C_EBREAK] 0 1 1 +[auto_SLL] [auto_C_LBU] 0 1 1 +[auto_SLL] [auto_C_LH] 0 1 1 +[auto_SLL] [auto_C_SH] 0 1 1 +[auto_SLT] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLT] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SLT] [auto_C_SB , auto_C_SH] -- -- 2 +[auto_SLTU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLTU] [auto_C_EBREAK] 0 1 1 +[auto_SLTU] [auto_C_LHU] 0 1 1 +[auto_SLTU] [auto_C_SB] 0 1 1 +[auto_XOR] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_XOR] [auto_C_LW] 0 1 1 +[auto_XOR] [auto_C_JR] 0 1 1 +[auto_XOR] [auto_C_EBREAK] 0 1 1 +[auto_XOR] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_XOR] [auto_C_SH] 0 1 1 +[auto_SRL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRL] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_SRL] [auto_C_EBREAK] 0 1 1 +[auto_SRL] [auto_C_LBU] 0 1 1 +[auto_SRL] [auto_C_SH] 0 1 1 +[auto_SRA] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRA] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SRA] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_OR] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_OR] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_OR] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_OR] [auto_C_LHU] 0 1 1 +[auto_AND] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_AND] [auto_C_LW] 0 1 1 +[auto_AND] [auto_C_EBREAK] 0 1 1 +[auto_AND] [auto_C_LHU] 0 1 1 +[auto_AND] [auto_C_SH] 0 1 1 +[auto_FENCE] [auto_EBREAK] 0 1 1 +[auto_FENCE] [auto_C_SW] 0 1 1 +[auto_FENCE] [auto_C_JR] 0 1 1 +[auto_FENCE] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_FENCE] [auto_C_LHU] 0 1 1 +[auto_ECALL] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_ECALL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ECALL] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_ECALL] [auto_C_JAL] 0 1 1 +[auto_ECALL] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_ECALL] [auto_C_JR] 0 1 1 +[auto_ECALL] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_ECALL] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_EBREAK] [auto_JALR] 0 1 1 +[auto_EBREAK] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_EBREAK] [auto_C_JR] 0 1 1 +[auto_EBREAK] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_EBREAK] [auto_C_LH] 0 1 1 +[auto_EBREAK] [auto_C_SH] 0 1 1 +[auto_MRET] [auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ] -- -- 5 +[auto_MRET] [auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 4 +[auto_MRET] [auto_LH , auto_LW , auto_LBU , auto_LHU] -- -- 4 +[auto_MRET] [auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND] -- -- 21 +[auto_MRET] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_MRET] [auto_MUL] 0 1 1 +[auto_MRET] [auto_MULHSU , auto_MULHU] -- -- 2 +[auto_MRET] [auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP] -- -- 7 +[auto_MRET] [auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND] -- -- 11 +[auto_MRET] [auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] -- -- 10 +[auto_MRET] [auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI] -- -- 32 +[auto_MRET] [auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] -- -- 4 + + +Excluded/Illegal bins + +cp_instr cp_instr_prev_x2 COUNT STATUS +[auto_UNKNOWN] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (140 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_UNKNOWN] -- Excluded (40 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_DRET] -- Excluded (40 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (440 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_FENCE_I] -- Excluded (40 bins) +[auto_DRET] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (140 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_UNKNOWN] -- Excluded (37 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_DRET] -- Excluded (37 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (407 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_FENCE_I] -- Excluded (37 bins) +[auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (1540 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_UNKNOWN] -- Excluded (38 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_DRET] -- Excluded (38 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (418 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_FENCE_I] -- Excluded (38 bins) +[auto_FENCE_I] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (140 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_UNKNOWN] -- Excluded (11 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_DRET] -- Excluded (11 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (121 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_FENCE_I] -- Excluded (11 bins) + + +Covered bins + +cp_instr cp_instr_prev_x2 COUNT AT LEAST +auto_LUI auto_LUI 8213 1 +auto_LUI auto_AUIPC 243 1 +auto_LUI auto_JAL 93 1 +auto_LUI auto_JALR 7 1 +auto_LUI auto_BEQ 80 1 +auto_LUI auto_BNE 73 1 +auto_LUI auto_BLT 72 1 +auto_LUI auto_BGE 56 1 +auto_LUI auto_BLTU 56 1 +auto_LUI auto_BGEU 70 1 +auto_LUI auto_LB 338 1 +auto_LUI auto_LH 98 1 +auto_LUI auto_LW 49 1 +auto_LUI auto_LBU 352 1 +auto_LUI auto_LHU 99 1 +auto_LUI auto_SB 346 1 +auto_LUI auto_SH 105 1 +auto_LUI auto_SW 112 1 +auto_LUI auto_ADDI 16971 1 +auto_LUI auto_SLTI 281 1 +auto_LUI auto_SLTIU 267 1 +auto_LUI auto_XORI 255 1 +auto_LUI auto_ORI 356 1 +auto_LUI auto_ANDI 279 1 +auto_LUI auto_SLLI 259 1 +auto_LUI auto_SRLI 242 1 +auto_LUI auto_SRAI 223 1 +auto_LUI auto_ADD 297 1 +auto_LUI auto_SUB 257 1 +auto_LUI auto_SLL 253 1 +auto_LUI auto_SLT 350 1 +auto_LUI auto_SLTU 274 1 +auto_LUI auto_XOR 241 1 +auto_LUI auto_SRL 235 1 +auto_LUI auto_SRA 266 1 +auto_LUI auto_OR 280 1 +auto_LUI auto_AND 254 1 +auto_LUI auto_FENCE 95 1 +auto_LUI auto_MRET 6354 1 +auto_LUI auto_WFI 54 1 +auto_LUI auto_MUL 247 1 +auto_LUI auto_MULH 274 1 +auto_LUI auto_MULHSU 273 1 +auto_LUI auto_MULHU 242 1 +auto_LUI auto_DIV 235 1 +auto_LUI auto_DIVU 401 1 +auto_LUI auto_REM 268 1 +auto_LUI auto_REMU 272 1 +auto_LUI auto_C_ADDI4SPN 88 1 +auto_LUI auto_C_LW 1 1 +auto_LUI auto_C_NOP 1027 1 +auto_LUI auto_C_ADDI 205628 1 +auto_LUI auto_C_JAL 30 1 +auto_LUI auto_C_LI 15782 1 +auto_LUI auto_C_ADDI16SP 88 1 +auto_LUI auto_C_LUI 99 1 +auto_LUI auto_C_SRLI 94 1 +auto_LUI auto_C_SRAI 78 1 +auto_LUI auto_C_ANDI 84 1 +auto_LUI auto_C_SUB 91 1 +auto_LUI auto_C_XOR 113 1 +auto_LUI auto_C_OR 93 1 +auto_LUI auto_C_AND 112 1 +auto_LUI auto_C_J 2367 1 +auto_LUI auto_C_BEQZ 31 1 +auto_LUI auto_C_BNEZ 39 1 +auto_LUI auto_C_SLLI 128 1 +auto_LUI auto_C_LWSP 3 1 +auto_LUI auto_C_JR 4 1 +auto_LUI auto_C_MV 104 1 +auto_LUI auto_C_ADD 133 1 +auto_LUI auto_C_SWSP 5 1 +auto_LUI auto_SH1ADD 205 1 +auto_LUI auto_SH2ADD 188 1 +auto_LUI auto_SH3ADD 199 1 +auto_LUI auto_CLZ 200 1 +auto_LUI auto_CTZ 186 1 +auto_LUI auto_CPOP 219 1 +auto_LUI auto_MIN 217 1 +auto_LUI auto_MAX 209 1 +auto_LUI auto_MINU 190 1 +auto_LUI auto_MAXU 176 1 +auto_LUI auto_SEXT_B 214 1 +auto_LUI auto_SEXT_H 201 1 +auto_LUI auto_ZEXT_H 172 1 +auto_LUI auto_ANDN 203 1 +auto_LUI auto_ORN 219 1 +auto_LUI auto_XNOR 191 1 +auto_LUI auto_ROR 221 1 +auto_LUI auto_RORI 207 1 +auto_LUI auto_ROL 207 1 +auto_LUI auto_REV8 174 1 +auto_LUI auto_ORC_B 202 1 +auto_LUI auto_CLMUL 203 1 +auto_LUI auto_CLMULH 194 1 +auto_LUI auto_CLMULR 217 1 +auto_LUI auto_BSET 193 1 +auto_LUI auto_BSETI 184 1 +auto_LUI auto_BCLR 200 1 +auto_LUI auto_BCLRI 202 1 +auto_LUI auto_BINV 190 1 +auto_LUI auto_BINVI 200 1 +auto_LUI auto_BEXT 177 1 +auto_LUI auto_BEXTI 204 1 +auto_LUI auto_CSRRW 73 1 +auto_LUI auto_CSRRS 206529 1 +auto_LUI auto_CSRRC 63 1 +auto_LUI auto_CSRRWI 67 1 +auto_LUI auto_CSRRSI 68 1 +auto_LUI auto_CSRRCI 73 1 +auto_LUI auto_C_LBU 3 1 +auto_LUI auto_C_LHU 2 1 +auto_LUI auto_C_LH 1 1 +auto_LUI auto_C_ZEXT_B 84 1 +auto_LUI auto_C_SEXT_B 109 1 +auto_LUI auto_C_ZEXT_H 101 1 +auto_LUI auto_C_SEXT_H 83 1 +auto_LUI auto_C_NOT 91 1 +auto_LUI auto_C_MUL 105 1 +auto_AUIPC auto_LUI 830 1 +auto_AUIPC auto_AUIPC 337 1 +auto_AUIPC auto_JAL 77 1 +auto_AUIPC auto_JALR 36 1 +auto_AUIPC auto_BEQ 76 1 +auto_AUIPC auto_BNE 80 1 +auto_AUIPC auto_BLT 96 1 +auto_AUIPC auto_BGE 97 1 +auto_AUIPC auto_BLTU 81 1 +auto_AUIPC auto_BGEU 66 1 +auto_AUIPC auto_LB 4745 1 +auto_AUIPC auto_LH 1493 1 +auto_AUIPC auto_LW 713 1 +auto_AUIPC auto_LBU 4598 1 +auto_AUIPC auto_LHU 1400 1 +auto_AUIPC auto_SB 4631 1 +auto_AUIPC auto_SH 1541 1 +auto_AUIPC auto_SW 682 1 +auto_AUIPC auto_ADDI 6129 1 +auto_AUIPC auto_SLTI 325 1 +auto_AUIPC auto_SLTIU 296 1 +auto_AUIPC auto_XORI 329 1 +auto_AUIPC auto_ORI 359 1 +auto_AUIPC auto_ANDI 402 1 +auto_AUIPC auto_SLLI 311 1 +auto_AUIPC auto_SRLI 309 1 +auto_AUIPC auto_SRAI 329 1 +auto_AUIPC auto_ADD 220 1 +auto_AUIPC auto_SUB 304 1 +auto_AUIPC auto_SLL 361 1 +auto_AUIPC auto_SLT 385 1 +auto_AUIPC auto_SLTU 336 1 +auto_AUIPC auto_XOR 317 1 +auto_AUIPC auto_SRL 294 1 +auto_AUIPC auto_SRA 309 1 +auto_AUIPC auto_OR 337 1 +auto_AUIPC auto_AND 306 1 +auto_AUIPC auto_FENCE 135 1 +auto_AUIPC auto_MRET 1902 1 +auto_AUIPC auto_WFI 90 1 +auto_AUIPC auto_MUL 316 1 +auto_AUIPC auto_MULH 352 1 +auto_AUIPC auto_MULHSU 319 1 +auto_AUIPC auto_MULHU 353 1 +auto_AUIPC auto_DIV 456 1 +auto_AUIPC auto_DIVU 372 1 +auto_AUIPC auto_REM 349 1 +auto_AUIPC auto_REMU 324 1 +auto_AUIPC auto_C_ADDI4SPN 137 1 +auto_AUIPC auto_C_LW 11 1 +auto_AUIPC auto_C_SW 10 1 +auto_AUIPC auto_C_NOP 872 1 +auto_AUIPC auto_C_ADDI 205435 1 +auto_AUIPC auto_C_JAL 35 1 +auto_AUIPC auto_C_LI 3413 1 +auto_AUIPC auto_C_ADDI16SP 135 1 +auto_AUIPC auto_C_LUI 165 1 +auto_AUIPC auto_C_SRLI 140 1 +auto_AUIPC auto_C_SRAI 130 1 +auto_AUIPC auto_C_ANDI 124 1 +auto_AUIPC auto_C_SUB 115 1 +auto_AUIPC auto_C_XOR 133 1 +auto_AUIPC auto_C_OR 162 1 +auto_AUIPC auto_C_AND 139 1 +auto_AUIPC auto_C_J 36 1 +auto_AUIPC auto_C_BEQZ 58 1 +auto_AUIPC auto_C_BNEZ 60 1 +auto_AUIPC auto_C_SLLI 213 1 +auto_AUIPC auto_C_LWSP 66 1 +auto_AUIPC auto_C_JR 21 1 +auto_AUIPC auto_C_MV 191 1 +auto_AUIPC auto_C_JALR 34 1 +auto_AUIPC auto_C_ADD 210 1 +auto_AUIPC auto_C_SWSP 57 1 +auto_AUIPC auto_SH1ADD 266 1 +auto_AUIPC auto_SH2ADD 267 1 +auto_AUIPC auto_SH3ADD 284 1 +auto_AUIPC auto_CLZ 274 1 +auto_AUIPC auto_CTZ 250 1 +auto_AUIPC auto_CPOP 238 1 +auto_AUIPC auto_MIN 227 1 +auto_AUIPC auto_MAX 242 1 +auto_AUIPC auto_MINU 255 1 +auto_AUIPC auto_MAXU 257 1 +auto_AUIPC auto_SEXT_B 238 1 +auto_AUIPC auto_SEXT_H 247 1 +auto_AUIPC auto_ZEXT_H 279 1 +auto_AUIPC auto_ANDN 252 1 +auto_AUIPC auto_ORN 255 1 +auto_AUIPC auto_XNOR 250 1 +auto_AUIPC auto_ROR 243 1 +auto_AUIPC auto_RORI 284 1 +auto_AUIPC auto_ROL 242 1 +auto_AUIPC auto_REV8 245 1 +auto_AUIPC auto_ORC_B 228 1 +auto_AUIPC auto_CLMUL 286 1 +auto_AUIPC auto_CLMULH 272 1 +auto_AUIPC auto_CLMULR 241 1 +auto_AUIPC auto_BSET 289 1 +auto_AUIPC auto_BSETI 240 1 +auto_AUIPC auto_BCLR 233 1 +auto_AUIPC auto_BCLRI 231 1 +auto_AUIPC auto_BINV 221 1 +auto_AUIPC auto_BINVI 251 1 +auto_AUIPC auto_BEXT 227 1 +auto_AUIPC auto_BEXTI 256 1 +auto_AUIPC auto_CSRRW 4818 1 +auto_AUIPC auto_CSRRS 98 1 +auto_AUIPC auto_CSRRC 103 1 +auto_AUIPC auto_CSRRWI 127 1 +auto_AUIPC auto_CSRRSI 99 1 +auto_AUIPC auto_CSRRCI 116 1 +auto_AUIPC auto_C_LBU 20 1 +auto_AUIPC auto_C_LHU 3 1 +auto_AUIPC auto_C_LH 4 1 +auto_AUIPC auto_C_SB 28 1 +auto_AUIPC auto_C_SH 5 1 +auto_AUIPC auto_C_ZEXT_B 144 1 +auto_AUIPC auto_C_SEXT_B 164 1 +auto_AUIPC auto_C_ZEXT_H 170 1 +auto_AUIPC auto_C_SEXT_H 146 1 +auto_AUIPC auto_C_NOT 147 1 +auto_AUIPC auto_C_MUL 147 1 +auto_JAL auto_LUI 98 1 +auto_JAL auto_AUIPC 106 1 +auto_JAL auto_JAL 73023 1 +auto_JAL auto_JALR 2 1 +auto_JAL auto_BEQ 17 1 +auto_JAL auto_BNE 23 1 +auto_JAL auto_BLT 18 1 +auto_JAL auto_BGE 20 1 +auto_JAL auto_BLTU 18 1 +auto_JAL auto_BGEU 35 1 +auto_JAL auto_LB 621 1 +auto_JAL auto_LH 200 1 +auto_JAL auto_LW 94 1 +auto_JAL auto_LBU 618 1 +auto_JAL auto_LHU 214 1 +auto_JAL auto_SB 632 1 +auto_JAL auto_SH 199 1 +auto_JAL auto_SW 71 1 +auto_JAL auto_ADDI 448 1 +auto_JAL auto_SLTI 110 1 +auto_JAL auto_SLTIU 95 1 +auto_JAL auto_XORI 94 1 +auto_JAL auto_ORI 84 1 +auto_JAL auto_ANDI 94 1 +auto_JAL auto_SLLI 99 1 +auto_JAL auto_SRLI 95 1 +auto_JAL auto_SRAI 100 1 +auto_JAL auto_ADD 107 1 +auto_JAL auto_SUB 89 1 +auto_JAL auto_SLL 116 1 +auto_JAL auto_SLT 97 1 +auto_JAL auto_SLTU 110 1 +auto_JAL auto_XOR 143 1 +auto_JAL auto_SRL 98 1 +auto_JAL auto_SRA 96 1 +auto_JAL auto_OR 112 1 +auto_JAL auto_AND 118 1 +auto_JAL auto_FENCE 85 1 +auto_JAL auto_MRET 143 1 +auto_JAL auto_MUL 121 1 +auto_JAL auto_MULH 82 1 +auto_JAL auto_MULHSU 118 1 +auto_JAL auto_MULHU 91 1 +auto_JAL auto_DIV 95 1 +auto_JAL auto_DIVU 80 1 +auto_JAL auto_REM 99 1 +auto_JAL auto_REMU 182 1 +auto_JAL auto_C_ADDI4SPN 57 1 +auto_JAL auto_C_LW 1 1 +auto_JAL auto_C_SW 1 1 +auto_JAL auto_C_NOP 323 1 +auto_JAL auto_C_ADDI 106 1 +auto_JAL auto_C_JAL 18783 1 +auto_JAL auto_C_LI 113 1 +auto_JAL auto_C_ADDI16SP 59 1 +auto_JAL auto_C_LUI 90 1 +auto_JAL auto_C_SRLI 84 1 +auto_JAL auto_C_SRAI 95 1 +auto_JAL auto_C_ANDI 51 1 +auto_JAL auto_C_SUB 56 1 +auto_JAL auto_C_XOR 65 1 +auto_JAL auto_C_OR 56 1 +auto_JAL auto_C_AND 47 1 +auto_JAL auto_C_J 18766 1 +auto_JAL auto_C_BEQZ 9 1 +auto_JAL auto_C_BNEZ 2 1 +auto_JAL auto_C_SLLI 161 1 +auto_JAL auto_C_LWSP 18 1 +auto_JAL auto_C_JR 5 1 +auto_JAL auto_C_MV 101 1 +auto_JAL auto_C_ADD 137 1 +auto_JAL auto_C_SWSP 25 1 +auto_JAL auto_SH1ADD 128 1 +auto_JAL auto_SH2ADD 95 1 +auto_JAL auto_SH3ADD 102 1 +auto_JAL auto_CLZ 79 1 +auto_JAL auto_CTZ 92 1 +auto_JAL auto_CPOP 104 1 +auto_JAL auto_MIN 90 1 +auto_JAL auto_MAX 72 1 +auto_JAL auto_MINU 88 1 +auto_JAL auto_MAXU 91 1 +auto_JAL auto_SEXT_B 107 1 +auto_JAL auto_SEXT_H 94 1 +auto_JAL auto_ZEXT_H 113 1 +auto_JAL auto_ANDN 84 1 +auto_JAL auto_ORN 79 1 +auto_JAL auto_XNOR 85 1 +auto_JAL auto_ROR 103 1 +auto_JAL auto_RORI 70 1 +auto_JAL auto_ROL 86 1 +auto_JAL auto_REV8 85 1 +auto_JAL auto_ORC_B 77 1 +auto_JAL auto_CLMUL 104 1 +auto_JAL auto_CLMULH 94 1 +auto_JAL auto_CLMULR 83 1 +auto_JAL auto_BSET 86 1 +auto_JAL auto_BSETI 109 1 +auto_JAL auto_BCLR 109 1 +auto_JAL auto_BCLRI 100 1 +auto_JAL auto_BINV 103 1 +auto_JAL auto_BINVI 101 1 +auto_JAL auto_BEXT 88 1 +auto_JAL auto_BEXTI 79 1 +auto_JAL auto_CSRRW 288 1 +auto_JAL auto_CSRRS 92 1 +auto_JAL auto_CSRRC 90 1 +auto_JAL auto_CSRRWI 118 1 +auto_JAL auto_CSRRSI 94 1 +auto_JAL auto_CSRRCI 86 1 +auto_JAL auto_C_LBU 6 1 +auto_JAL auto_C_LHU 2 1 +auto_JAL auto_C_SB 4 1 +auto_JAL auto_C_SH 1 1 +auto_JAL auto_C_ZEXT_B 58 1 +auto_JAL auto_C_SEXT_B 61 1 +auto_JAL auto_C_ZEXT_H 59 1 +auto_JAL auto_C_SEXT_H 62 1 +auto_JAL auto_C_NOT 57 1 +auto_JAL auto_C_MUL 67 1 +auto_JALR auto_LUI 15 1 +auto_JALR auto_AUIPC 5 1 +auto_JALR auto_JAL 1 1 +auto_JALR auto_BEQ 5 1 +auto_JALR auto_BNE 26 1 +auto_JALR auto_BLT 31 1 +auto_JALR auto_BGE 25 1 +auto_JALR auto_BLTU 22 1 +auto_JALR auto_BGEU 48 1 +auto_JALR auto_LW 1 1 +auto_JALR auto_SW 1 1 +auto_JALR auto_ADDI 632 1 +auto_JALR auto_SLTI 14 1 +auto_JALR auto_SLTIU 18 1 +auto_JALR auto_XORI 5 1 +auto_JALR auto_ORI 10 1 +auto_JALR auto_ANDI 8 1 +auto_JALR auto_SLLI 21 1 +auto_JALR auto_SRLI 7 1 +auto_JALR auto_SRAI 5 1 +auto_JALR auto_ADD 32 1 +auto_JALR auto_SUB 2 1 +auto_JALR auto_SLL 3 1 +auto_JALR auto_SLT 30 1 +auto_JALR auto_SLTU 22 1 +auto_JALR auto_XOR 3 1 +auto_JALR auto_SRL 14 1 +auto_JALR auto_SRA 4 1 +auto_JALR auto_OR 5 1 +auto_JALR auto_AND 5 1 +auto_JALR auto_FENCE 8 1 +auto_JALR auto_MRET 192 1 +auto_JALR auto_WFI 2 1 +auto_JALR auto_MUL 17 1 +auto_JALR auto_MULH 21 1 +auto_JALR auto_MULHSU 21 1 +auto_JALR auto_MULHU 9 1 +auto_JALR auto_DIV 27 1 +auto_JALR auto_DIVU 9 1 +auto_JALR auto_REM 7 1 +auto_JALR auto_REMU 9 1 +auto_JALR auto_C_ADDI4SPN 2 1 +auto_JALR auto_C_NOP 16 1 +auto_JALR auto_C_ADDI 8 1 +auto_JALR auto_C_LI 4 1 +auto_JALR auto_C_ADDI16SP 1 1 +auto_JALR auto_C_LUI 1 1 +auto_JALR auto_C_SRLI 5 1 +auto_JALR auto_C_ANDI 8 1 +auto_JALR auto_C_SUB 6 1 +auto_JALR auto_C_XOR 5 1 +auto_JALR auto_C_OR 4 1 +auto_JALR auto_C_AND 4 1 +auto_JALR auto_C_SLLI 9 1 +auto_JALR auto_C_MV 343 1 +auto_JALR auto_C_ADD 5 1 +auto_JALR auto_SH1ADD 3 1 +auto_JALR auto_SH3ADD 2 1 +auto_JALR auto_CLZ 10 1 +auto_JALR auto_CTZ 3 1 +auto_JALR auto_CPOP 9 1 +auto_JALR auto_MAXU 1 1 +auto_JALR auto_SEXT_B 3 1 +auto_JALR auto_SEXT_H 1 1 +auto_JALR auto_ZEXT_H 2 1 +auto_JALR auto_ORN 1 1 +auto_JALR auto_XNOR 2 1 +auto_JALR auto_ROR 7 1 +auto_JALR auto_RORI 1 1 +auto_JALR auto_ROL 2 1 +auto_JALR auto_ORC_B 5 1 +auto_JALR auto_CLMUL 5 1 +auto_JALR auto_CLMULH 13 1 +auto_JALR auto_CLMULR 2 1 +auto_JALR auto_BSET 2 1 +auto_JALR auto_BSETI 7 1 +auto_JALR auto_BCLR 1 1 +auto_JALR auto_BCLRI 1 1 +auto_JALR auto_BINV 2 1 +auto_JALR auto_BINVI 1 1 +auto_JALR auto_BEXT 2 1 +auto_JALR auto_BEXTI 4 1 +auto_JALR auto_CSRRW 1 1 +auto_JALR auto_CSRRS 1 1 +auto_JALR auto_C_SEXT_B 1 1 +auto_JALR auto_C_ZEXT_H 4 1 +auto_JALR auto_C_MUL 5 1 +auto_BEQ auto_LUI 82 1 +auto_BEQ auto_AUIPC 66 1 +auto_BEQ auto_JAL 18 1 +auto_BEQ auto_JALR 26 1 +auto_BEQ auto_BEQ 73952 1 +auto_BEQ auto_BNE 77 1 +auto_BEQ auto_BLT 64 1 +auto_BEQ auto_BGE 74 1 +auto_BEQ auto_BLTU 69 1 +auto_BEQ auto_BGEU 66 1 +auto_BEQ auto_LB 3 1 +auto_BEQ auto_LH 2 1 +auto_BEQ auto_LBU 6 1 +auto_BEQ auto_LHU 3 1 +auto_BEQ auto_SB 4 1 +auto_BEQ auto_SH 1 1 +auto_BEQ auto_ADDI 69 1 +auto_BEQ auto_SLTI 68 1 +auto_BEQ auto_SLTIU 70 1 +auto_BEQ auto_XORI 77 1 +auto_BEQ auto_ORI 82 1 +auto_BEQ auto_ANDI 77 1 +auto_BEQ auto_SLLI 165 1 +auto_BEQ auto_SRLI 82 1 +auto_BEQ auto_SRAI 62 1 +auto_BEQ auto_ADD 49 1 +auto_BEQ auto_SUB 102 1 +auto_BEQ auto_SLL 70 1 +auto_BEQ auto_SLT 77 1 +auto_BEQ auto_SLTU 112 1 +auto_BEQ auto_XOR 69 1 +auto_BEQ auto_SRL 71 1 +auto_BEQ auto_SRA 82 1 +auto_BEQ auto_OR 160 1 +auto_BEQ auto_AND 74 1 +auto_BEQ auto_FENCE 50 1 +auto_BEQ auto_MRET 189 1 +auto_BEQ auto_WFI 5 1 +auto_BEQ auto_MUL 78 1 +auto_BEQ auto_MULH 67 1 +auto_BEQ auto_MULHSU 56 1 +auto_BEQ auto_MULHU 57 1 +auto_BEQ auto_DIV 66 1 +auto_BEQ auto_DIVU 63 1 +auto_BEQ auto_REM 75 1 +auto_BEQ auto_REMU 78 1 +auto_BEQ auto_C_ADDI4SPN 38 1 +auto_BEQ auto_C_NOP 243 1 +auto_BEQ auto_C_ADDI 205308 1 +auto_BEQ auto_C_LI 2393 1 +auto_BEQ auto_C_ADDI16SP 33 1 +auto_BEQ auto_C_LUI 57 1 +auto_BEQ auto_C_SRLI 52 1 +auto_BEQ auto_C_SRAI 56 1 +auto_BEQ auto_C_ANDI 33 1 +auto_BEQ auto_C_SUB 39 1 +auto_BEQ auto_C_XOR 51 1 +auto_BEQ auto_C_OR 49 1 +auto_BEQ auto_C_AND 52 1 +auto_BEQ auto_C_BEQZ 55 1 +auto_BEQ auto_C_BNEZ 35 1 +auto_BEQ auto_C_SLLI 52 1 +auto_BEQ auto_C_MV 48 1 +auto_BEQ auto_C_ADD 62 1 +auto_BEQ auto_SH1ADD 55 1 +auto_BEQ auto_SH2ADD 66 1 +auto_BEQ auto_SH3ADD 62 1 +auto_BEQ auto_CLZ 70 1 +auto_BEQ auto_CTZ 64 1 +auto_BEQ auto_CPOP 72 1 +auto_BEQ auto_MIN 61 1 +auto_BEQ auto_MAX 62 1 +auto_BEQ auto_MINU 65 1 +auto_BEQ auto_MAXU 45 1 +auto_BEQ auto_SEXT_B 79 1 +auto_BEQ auto_SEXT_H 60 1 +auto_BEQ auto_ZEXT_H 60 1 +auto_BEQ auto_ANDN 65 1 +auto_BEQ auto_ORN 67 1 +auto_BEQ auto_XNOR 67 1 +auto_BEQ auto_ROR 52 1 +auto_BEQ auto_RORI 58 1 +auto_BEQ auto_ROL 54 1 +auto_BEQ auto_REV8 62 1 +auto_BEQ auto_ORC_B 54 1 +auto_BEQ auto_CLMUL 72 1 +auto_BEQ auto_CLMULH 39 1 +auto_BEQ auto_CLMULR 59 1 +auto_BEQ auto_BSET 58 1 +auto_BEQ auto_BSETI 62 1 +auto_BEQ auto_BCLR 58 1 +auto_BEQ auto_BCLRI 62 1 +auto_BEQ auto_BINV 67 1 +auto_BEQ auto_BINVI 56 1 +auto_BEQ auto_BEXT 39 1 +auto_BEQ auto_BEXTI 75 1 +auto_BEQ auto_CSRRW 55 1 +auto_BEQ auto_CSRRS 43 1 +auto_BEQ auto_CSRRC 53 1 +auto_BEQ auto_CSRRWI 51 1 +auto_BEQ auto_CSRRSI 50 1 +auto_BEQ auto_CSRRCI 51 1 +auto_BEQ auto_C_ZEXT_B 44 1 +auto_BEQ auto_C_SEXT_B 54 1 +auto_BEQ auto_C_ZEXT_H 51 1 +auto_BEQ auto_C_SEXT_H 48 1 +auto_BEQ auto_C_NOT 43 1 +auto_BEQ auto_C_MUL 38 1 +auto_BNE auto_LUI 55 1 +auto_BNE auto_AUIPC 87 1 +auto_BNE auto_JAL 12 1 +auto_BNE auto_JALR 9 1 +auto_BNE auto_BEQ 81 1 +auto_BNE auto_BNE 81 1 +auto_BNE auto_BLT 56 1 +auto_BNE auto_BGE 67 1 +auto_BNE auto_BLTU 69 1 +auto_BNE auto_BGEU 64 1 +auto_BNE auto_LB 4 1 +auto_BNE auto_LH 2 1 +auto_BNE auto_LBU 3 1 +auto_BNE auto_LHU 1 1 +auto_BNE auto_SB 4 1 +auto_BNE auto_SH 1 1 +auto_BNE auto_SW 2 1 +auto_BNE auto_ADDI 79 1 +auto_BNE auto_SLTI 65 1 +auto_BNE auto_SLTIU 70 1 +auto_BNE auto_XORI 57 1 +auto_BNE auto_ORI 60 1 +auto_BNE auto_ANDI 153 1 +auto_BNE auto_SLLI 78 1 +auto_BNE auto_SRLI 200181 1 +auto_BNE auto_SRAI 89 1 +auto_BNE auto_ADD 149 1 +auto_BNE auto_SUB 84 1 +auto_BNE auto_SLL 75 1 +auto_BNE auto_SLT 89 1 +auto_BNE auto_SLTU 54 1 +auto_BNE auto_XOR 72 1 +auto_BNE auto_SRL 64 1 +auto_BNE auto_SRA 162 1 +auto_BNE auto_OR 56 1 +auto_BNE auto_AND 56148 1 +auto_BNE auto_FENCE 50 1 +auto_BNE auto_ECALL 1 1 +auto_BNE auto_MRET 173 1 +auto_BNE auto_WFI 12 1 +auto_BNE auto_MUL 52 1 +auto_BNE auto_MULH 56 1 +auto_BNE auto_MULHSU 58 1 +auto_BNE auto_MULHU 69 1 +auto_BNE auto_DIV 72 1 +auto_BNE auto_DIVU 64 1 +auto_BNE auto_REM 67 1 +auto_BNE auto_REMU 102 1 +auto_BNE auto_C_ADDI4SPN 36 1 +auto_BNE auto_C_NOP 213 1 +auto_BNE auto_C_ADDI 49 1 +auto_BNE auto_C_LI 52 1 +auto_BNE auto_C_ADDI16SP 48 1 +auto_BNE auto_C_LUI 49 1 +auto_BNE auto_C_SRLI 55 1 +auto_BNE auto_C_SRAI 55 1 +auto_BNE auto_C_ANDI 41 1 +auto_BNE auto_C_SUB 46 1 +auto_BNE auto_C_XOR 46 1 +auto_BNE auto_C_OR 28 1 +auto_BNE auto_C_AND 3934 1 +auto_BNE auto_C_BEQZ 45 1 +auto_BNE auto_C_BNEZ 35 1 +auto_BNE auto_C_SLLI 88 1 +auto_BNE auto_C_JR 2 1 +auto_BNE auto_C_MV 52 1 +auto_BNE auto_C_ADD 61 1 +auto_BNE auto_SH1ADD 57 1 +auto_BNE auto_SH2ADD 53 1 +auto_BNE auto_SH3ADD 55 1 +auto_BNE auto_CLZ 69 1 +auto_BNE auto_CTZ 68 1 +auto_BNE auto_CPOP 61 1 +auto_BNE auto_MIN 69 1 +auto_BNE auto_MAX 55 1 +auto_BNE auto_MINU 49 1 +auto_BNE auto_MAXU 52 1 +auto_BNE auto_SEXT_B 53 1 +auto_BNE auto_SEXT_H 60 1 +auto_BNE auto_ZEXT_H 50 1 +auto_BNE auto_ANDN 61 1 +auto_BNE auto_ORN 56 1 +auto_BNE auto_XNOR 59 1 +auto_BNE auto_ROR 55 1 +auto_BNE auto_RORI 54 1 +auto_BNE auto_ROL 66 1 +auto_BNE auto_REV8 53 1 +auto_BNE auto_ORC_B 63 1 +auto_BNE auto_CLMUL 68 1 +auto_BNE auto_CLMULH 62 1 +auto_BNE auto_CLMULR 66 1 +auto_BNE auto_BSET 52 1 +auto_BNE auto_BSETI 55 1 +auto_BNE auto_BCLR 57 1 +auto_BNE auto_BCLRI 52 1 +auto_BNE auto_BINV 72 1 +auto_BNE auto_BINVI 65 1 +auto_BNE auto_BEXT 45 1 +auto_BNE auto_BEXTI 62 1 +auto_BNE auto_CSRRW 52 1 +auto_BNE auto_CSRRS 53 1 +auto_BNE auto_CSRRC 56 1 +auto_BNE auto_CSRRWI 58 1 +auto_BNE auto_CSRRSI 68 1 +auto_BNE auto_CSRRCI 61 1 +auto_BNE auto_C_ZEXT_B 39 1 +auto_BNE auto_C_SEXT_B 40 1 +auto_BNE auto_C_ZEXT_H 55 1 +auto_BNE auto_C_SEXT_H 54 1 +auto_BNE auto_C_NOT 42 1 +auto_BNE auto_C_MUL 53 1 +auto_BLT auto_LUI 63 1 +auto_BLT auto_AUIPC 77 1 +auto_BLT auto_JAL 3 1 +auto_BLT auto_JALR 10 1 +auto_BLT auto_BEQ 84 1 +auto_BLT auto_BNE 76 1 +auto_BLT auto_BLT 67 1 +auto_BLT auto_BGE 67 1 +auto_BLT auto_BLTU 74 1 +auto_BLT auto_BGEU 63 1 +auto_BLT auto_LB 6 1 +auto_BLT auto_LH 2 1 +auto_BLT auto_LW 3 1 +auto_BLT auto_LBU 10 1 +auto_BLT auto_LHU 1 1 +auto_BLT auto_SB 5 1 +auto_BLT auto_SH 1 1 +auto_BLT auto_ADDI 126 1 +auto_BLT auto_SLTI 77 1 +auto_BLT auto_SLTIU 77 1 +auto_BLT auto_XORI 63 1 +auto_BLT auto_ORI 106 1 +auto_BLT auto_ANDI 69 1 +auto_BLT auto_SLLI 124 1 +auto_BLT auto_SRLI 79 1 +auto_BLT auto_SRAI 71 1 +auto_BLT auto_ADD 57 1 +auto_BLT auto_SUB 83 1 +auto_BLT auto_SLL 58 1 +auto_BLT auto_SLT 74 1 +auto_BLT auto_SLTU 84 1 +auto_BLT auto_XOR 80 1 +auto_BLT auto_SRL 70 1 +auto_BLT auto_SRA 66 1 +auto_BLT auto_OR 68 1 +auto_BLT auto_AND 69 1 +auto_BLT auto_FENCE 48 1 +auto_BLT auto_MRET 185 1 +auto_BLT auto_WFI 3 1 +auto_BLT auto_MUL 79 1 +auto_BLT auto_MULH 58 1 +auto_BLT auto_MULHSU 138 1 +auto_BLT auto_MULHU 67 1 +auto_BLT auto_DIV 64 1 +auto_BLT auto_DIVU 76 1 +auto_BLT auto_REM 64 1 +auto_BLT auto_REMU 51 1 +auto_BLT auto_C_ADDI4SPN 44 1 +auto_BLT auto_C_NOP 232 1 +auto_BLT auto_C_ADDI 50 1 +auto_BLT auto_C_LI 49 1 +auto_BLT auto_C_ADDI16SP 43 1 +auto_BLT auto_C_LUI 47 1 +auto_BLT auto_C_SRLI 62 1 +auto_BLT auto_C_SRAI 38 1 +auto_BLT auto_C_ANDI 64 1 +auto_BLT auto_C_SUB 38 1 +auto_BLT auto_C_XOR 31 1 +auto_BLT auto_C_OR 43 1 +auto_BLT auto_C_AND 50 1 +auto_BLT auto_C_BEQZ 41 1 +auto_BLT auto_C_BNEZ 45 1 +auto_BLT auto_C_SLLI 72 1 +auto_BLT auto_C_MV 47 1 +auto_BLT auto_C_ADD 59 1 +auto_BLT auto_SH1ADD 70 1 +auto_BLT auto_SH2ADD 64 1 +auto_BLT auto_SH3ADD 52 1 +auto_BLT auto_CLZ 53 1 +auto_BLT auto_CTZ 70 1 +auto_BLT auto_CPOP 58 1 +auto_BLT auto_MIN 64 1 +auto_BLT auto_MAX 53 1 +auto_BLT auto_MINU 63 1 +auto_BLT auto_MAXU 60 1 +auto_BLT auto_SEXT_B 57 1 +auto_BLT auto_SEXT_H 49 1 +auto_BLT auto_ZEXT_H 58 1 +auto_BLT auto_ANDN 53 1 +auto_BLT auto_ORN 65 1 +auto_BLT auto_XNOR 69 1 +auto_BLT auto_ROR 51 1 +auto_BLT auto_RORI 65 1 +auto_BLT auto_ROL 53 1 +auto_BLT auto_REV8 57 1 +auto_BLT auto_ORC_B 60 1 +auto_BLT auto_CLMUL 61 1 +auto_BLT auto_CLMULH 57 1 +auto_BLT auto_CLMULR 45 1 +auto_BLT auto_BSET 55 1 +auto_BLT auto_BSETI 56 1 +auto_BLT auto_BCLR 78 1 +auto_BLT auto_BCLRI 54 1 +auto_BLT auto_BINV 62 1 +auto_BLT auto_BINVI 59 1 +auto_BLT auto_BEXT 42 1 +auto_BLT auto_BEXTI 53 1 +auto_BLT auto_CSRRW 59 1 +auto_BLT auto_CSRRS 63 1 +auto_BLT auto_CSRRC 40 1 +auto_BLT auto_CSRRWI 58 1 +auto_BLT auto_CSRRSI 52 1 +auto_BLT auto_CSRRCI 58 1 +auto_BLT auto_C_ZEXT_B 40 1 +auto_BLT auto_C_SEXT_B 57 1 +auto_BLT auto_C_ZEXT_H 48 1 +auto_BLT auto_C_SEXT_H 36 1 +auto_BLT auto_C_NOT 36 1 +auto_BLT auto_C_MUL 45 1 +auto_BGE auto_LUI 76 1 +auto_BGE auto_AUIPC 61 1 +auto_BGE auto_JAL 62 1 +auto_BGE auto_JALR 67 1 +auto_BGE auto_BEQ 68 1 +auto_BGE auto_BNE 64 1 +auto_BGE auto_BLT 64 1 +auto_BGE auto_BGE 52 1 +auto_BGE auto_BLTU 77 1 +auto_BGE auto_BGEU 56 1 +auto_BGE auto_LB 6 1 +auto_BGE auto_LH 1 1 +auto_BGE auto_LW 2 1 +auto_BGE auto_LBU 5 1 +auto_BGE auto_LHU 1 1 +auto_BGE auto_SB 5 1 +auto_BGE auto_ADDI 87 1 +auto_BGE auto_SLTI 149 1 +auto_BGE auto_SLTIU 62 1 +auto_BGE auto_XORI 73 1 +auto_BGE auto_ORI 102 1 +auto_BGE auto_ANDI 74 1 +auto_BGE auto_SLLI 71 1 +auto_BGE auto_SRLI 59 1 +auto_BGE auto_SRAI 74 1 +auto_BGE auto_ADD 57 1 +auto_BGE auto_SUB 83 1 +auto_BGE auto_SLL 91 1 +auto_BGE auto_SLT 70 1 +auto_BGE auto_SLTU 62 1 +auto_BGE auto_XOR 83 1 +auto_BGE auto_SRL 57 1 +auto_BGE auto_SRA 56 1 +auto_BGE auto_OR 110 1 +auto_BGE auto_AND 52 1 +auto_BGE auto_FENCE 58 1 +auto_BGE auto_MRET 226 1 +auto_BGE auto_WFI 6 1 +auto_BGE auto_MUL 70 1 +auto_BGE auto_MULH 65 1 +auto_BGE auto_MULHSU 87 1 +auto_BGE auto_MULHU 58 1 +auto_BGE auto_DIV 92 1 +auto_BGE auto_DIVU 155 1 +auto_BGE auto_REM 65 1 +auto_BGE auto_REMU 107 1 +auto_BGE auto_C_ADDI4SPN 38 1 +auto_BGE auto_C_NOP 237 1 +auto_BGE auto_C_ADDI 50 1 +auto_BGE auto_C_JAL 1 1 +auto_BGE auto_C_LI 50 1 +auto_BGE auto_C_ADDI16SP 47 1 +auto_BGE auto_C_LUI 52 1 +auto_BGE auto_C_SRLI 50 1 +auto_BGE auto_C_SRAI 45 1 +auto_BGE auto_C_ANDI 55 1 +auto_BGE auto_C_SUB 48 1 +auto_BGE auto_C_XOR 35 1 +auto_BGE auto_C_OR 43 1 +auto_BGE auto_C_AND 36 1 +auto_BGE auto_C_BEQZ 39 1 +auto_BGE auto_C_BNEZ 58 1 +auto_BGE auto_C_SLLI 50 1 +auto_BGE auto_C_MV 55 1 +auto_BGE auto_C_ADD 53 1 +auto_BGE auto_SH1ADD 72 1 +auto_BGE auto_SH2ADD 63 1 +auto_BGE auto_SH3ADD 58 1 +auto_BGE auto_CLZ 51 1 +auto_BGE auto_CTZ 53 1 +auto_BGE auto_CPOP 56 1 +auto_BGE auto_MIN 60 1 +auto_BGE auto_MAX 58 1 +auto_BGE auto_MINU 54 1 +auto_BGE auto_MAXU 66 1 +auto_BGE auto_SEXT_B 59 1 +auto_BGE auto_SEXT_H 67 1 +auto_BGE auto_ZEXT_H 68 1 +auto_BGE auto_ANDN 60 1 +auto_BGE auto_ORN 67 1 +auto_BGE auto_XNOR 65 1 +auto_BGE auto_ROR 57 1 +auto_BGE auto_RORI 60 1 +auto_BGE auto_ROL 68 1 +auto_BGE auto_REV8 47 1 +auto_BGE auto_ORC_B 59 1 +auto_BGE auto_CLMUL 56 1 +auto_BGE auto_CLMULH 56 1 +auto_BGE auto_CLMULR 55 1 +auto_BGE auto_BSET 56 1 +auto_BGE auto_BSETI 57 1 +auto_BGE auto_BCLR 62 1 +auto_BGE auto_BCLRI 54 1 +auto_BGE auto_BINV 55 1 +auto_BGE auto_BINVI 54 1 +auto_BGE auto_BEXT 69 1 +auto_BGE auto_BEXTI 63 1 +auto_BGE auto_CSRRW 56 1 +auto_BGE auto_CSRRS 46 1 +auto_BGE auto_CSRRC 46 1 +auto_BGE auto_CSRRWI 53 1 +auto_BGE auto_CSRRSI 53 1 +auto_BGE auto_CSRRCI 63 1 +auto_BGE auto_C_ZEXT_B 55 1 +auto_BGE auto_C_SEXT_B 47 1 +auto_BGE auto_C_ZEXT_H 45 1 +auto_BGE auto_C_SEXT_H 42 1 +auto_BGE auto_C_NOT 45 1 +auto_BGE auto_C_MUL 42 1 +auto_BLTU auto_LUI 126 1 +auto_BLTU auto_AUIPC 59 1 +auto_BLTU auto_JAL 19 1 +auto_BLTU auto_JALR 8 1 +auto_BLTU auto_BEQ 60 1 +auto_BLTU auto_BNE 76 1 +auto_BLTU auto_BLT 138 1 +auto_BLTU auto_BGE 73 1 +auto_BLTU auto_BLTU 60 1 +auto_BLTU auto_BGEU 61 1 +auto_BLTU auto_LB 6 1 +auto_BLTU auto_LH 2 1 +auto_BLTU auto_LBU 7 1 +auto_BLTU auto_LHU 1 1 +auto_BLTU auto_SB 5 1 +auto_BLTU auto_ADDI 81 1 +auto_BLTU auto_SLTI 64 1 +auto_BLTU auto_SLTIU 64 1 +auto_BLTU auto_XORI 78 1 +auto_BLTU auto_ORI 76 1 +auto_BLTU auto_ANDI 86 1 +auto_BLTU auto_SLLI 64 1 +auto_BLTU auto_SRLI 80 1 +auto_BLTU auto_SRAI 70 1 +auto_BLTU auto_ADD 51 1 +auto_BLTU auto_SUB 88 1 +auto_BLTU auto_SLL 66 1 +auto_BLTU auto_SLT 71 1 +auto_BLTU auto_SLTU 76 1 +auto_BLTU auto_XOR 70 1 +auto_BLTU auto_SRL 47 1 +auto_BLTU auto_SRA 136 1 +auto_BLTU auto_OR 67 1 +auto_BLTU auto_AND 68 1 +auto_BLTU auto_FENCE 51 1 +auto_BLTU auto_MRET 233 1 +auto_BLTU auto_WFI 10 1 +auto_BLTU auto_MUL 69 1 +auto_BLTU auto_MULH 72 1 +auto_BLTU auto_MULHSU 128 1 +auto_BLTU auto_MULHU 74 1 +auto_BLTU auto_DIV 79 1 +auto_BLTU auto_DIVU 67 1 +auto_BLTU auto_REM 78 1 +auto_BLTU auto_REMU 98 1 +auto_BLTU auto_C_ADDI4SPN 49 1 +auto_BLTU auto_C_NOP 217 1 +auto_BLTU auto_C_ADDI 53 1 +auto_BLTU auto_C_LI 44 1 +auto_BLTU auto_C_ADDI16SP 42 1 +auto_BLTU auto_C_LUI 46 1 +auto_BLTU auto_C_SRLI 44 1 +auto_BLTU auto_C_SRAI 66 1 +auto_BLTU auto_C_ANDI 63 1 +auto_BLTU auto_C_SUB 45 1 +auto_BLTU auto_C_XOR 46 1 +auto_BLTU auto_C_OR 46 1 +auto_BLTU auto_C_AND 51 1 +auto_BLTU auto_C_J 1 1 +auto_BLTU auto_C_BEQZ 52 1 +auto_BLTU auto_C_BNEZ 61 1 +auto_BLTU auto_C_SLLI 58 1 +auto_BLTU auto_C_MV 57 1 +auto_BLTU auto_C_ADD 51 1 +auto_BLTU auto_SH1ADD 55 1 +auto_BLTU auto_SH2ADD 72 1 +auto_BLTU auto_SH3ADD 59 1 +auto_BLTU auto_CLZ 62 1 +auto_BLTU auto_CTZ 61 1 +auto_BLTU auto_CPOP 82 1 +auto_BLTU auto_MIN 68 1 +auto_BLTU auto_MAX 54 1 +auto_BLTU auto_MINU 74 1 +auto_BLTU auto_MAXU 53 1 +auto_BLTU auto_SEXT_B 65 1 +auto_BLTU auto_SEXT_H 53 1 +auto_BLTU auto_ZEXT_H 71 1 +auto_BLTU auto_ANDN 66 1 +auto_BLTU auto_ORN 66 1 +auto_BLTU auto_XNOR 62 1 +auto_BLTU auto_ROR 51 1 +auto_BLTU auto_RORI 61 1 +auto_BLTU auto_ROL 50 1 +auto_BLTU auto_REV8 57 1 +auto_BLTU auto_ORC_B 65 1 +auto_BLTU auto_CLMUL 48 1 +auto_BLTU auto_CLMULH 57 1 +auto_BLTU auto_CLMULR 51 1 +auto_BLTU auto_BSET 55 1 +auto_BLTU auto_BSETI 56 1 +auto_BLTU auto_BCLR 50 1 +auto_BLTU auto_BCLRI 48 1 +auto_BLTU auto_BINV 46 1 +auto_BLTU auto_BINVI 57 1 +auto_BLTU auto_BEXT 57 1 +auto_BLTU auto_BEXTI 59 1 +auto_BLTU auto_CSRRW 63 1 +auto_BLTU auto_CSRRS 58 1 +auto_BLTU auto_CSRRC 66 1 +auto_BLTU auto_CSRRWI 45 1 +auto_BLTU auto_CSRRSI 58 1 +auto_BLTU auto_CSRRCI 48 1 +auto_BLTU auto_C_ZEXT_B 45 1 +auto_BLTU auto_C_SEXT_B 55 1 +auto_BLTU auto_C_ZEXT_H 43 1 +auto_BLTU auto_C_SEXT_H 59 1 +auto_BLTU auto_C_NOT 45 1 +auto_BLTU auto_C_MUL 55 1 +auto_BGEU auto_LUI 69 1 +auto_BGEU auto_AUIPC 104 1 +auto_BGEU auto_JAL 4 1 +auto_BGEU auto_JALR 16 1 +auto_BGEU auto_BEQ 48 1 +auto_BGEU auto_BNE 78 1 +auto_BGEU auto_BLT 52 1 +auto_BGEU auto_BGE 65 1 +auto_BGEU auto_BLTU 101 1 +auto_BGEU auto_BGEU 70 1 +auto_BGEU auto_LB 6 1 +auto_BGEU auto_LH 1 1 +auto_BGEU auto_LBU 3 1 +auto_BGEU auto_LHU 1 1 +auto_BGEU auto_SB 5 1 +auto_BGEU auto_SH 1 1 +auto_BGEU auto_SW 1 1 +auto_BGEU auto_ADDI 73 1 +auto_BGEU auto_SLTI 87 1 +auto_BGEU auto_SLTIU 71 1 +auto_BGEU auto_XORI 62 1 +auto_BGEU auto_ORI 55 1 +auto_BGEU auto_ANDI 142 1 +auto_BGEU auto_SLLI 66 1 +auto_BGEU auto_SRLI 66 1 +auto_BGEU auto_SRAI 157 1 +auto_BGEU auto_ADD 67 1 +auto_BGEU auto_SUB 68 1 +auto_BGEU auto_SLL 65 1 +auto_BGEU auto_SLT 67 1 +auto_BGEU auto_SLTU 79 1 +auto_BGEU auto_XOR 76 1 +auto_BGEU auto_SRL 64 1 +auto_BGEU auto_SRA 73 1 +auto_BGEU auto_OR 54 1 +auto_BGEU auto_AND 86 1 +auto_BGEU auto_FENCE 59 1 +auto_BGEU auto_MRET 176 1 +auto_BGEU auto_WFI 5 1 +auto_BGEU auto_MUL 61 1 +auto_BGEU auto_MULH 86 1 +auto_BGEU auto_MULHSU 59 1 +auto_BGEU auto_MULHU 76 1 +auto_BGEU auto_DIV 84 1 +auto_BGEU auto_DIVU 76 1 +auto_BGEU auto_REM 67 1 +auto_BGEU auto_REMU 51 1 +auto_BGEU auto_C_ADDI4SPN 39 1 +auto_BGEU auto_C_NOP 195 1 +auto_BGEU auto_C_ADDI 70 1 +auto_BGEU auto_C_LI 78 1 +auto_BGEU auto_C_ADDI16SP 48 1 +auto_BGEU auto_C_LUI 43 1 +auto_BGEU auto_C_SRLI 39 1 +auto_BGEU auto_C_SRAI 42 1 +auto_BGEU auto_C_ANDI 49 1 +auto_BGEU auto_C_SUB 46 1 +auto_BGEU auto_C_XOR 54 1 +auto_BGEU auto_C_OR 47 1 +auto_BGEU auto_C_AND 36 1 +auto_BGEU auto_C_BEQZ 50 1 +auto_BGEU auto_C_BNEZ 42 1 +auto_BGEU auto_C_SLLI 59 1 +auto_BGEU auto_C_MV 49 1 +auto_BGEU auto_C_ADD 591 1 +auto_BGEU auto_SH1ADD 50 1 +auto_BGEU auto_SH2ADD 61 1 +auto_BGEU auto_SH3ADD 64 1 +auto_BGEU auto_CLZ 58 1 +auto_BGEU auto_CTZ 54 1 +auto_BGEU auto_CPOP 61 1 +auto_BGEU auto_MIN 59 1 +auto_BGEU auto_MAX 67 1 +auto_BGEU auto_MINU 52 1 +auto_BGEU auto_MAXU 56 1 +auto_BGEU auto_SEXT_B 60 1 +auto_BGEU auto_SEXT_H 61 1 +auto_BGEU auto_ZEXT_H 61 1 +auto_BGEU auto_ANDN 62 1 +auto_BGEU auto_ORN 52 1 +auto_BGEU auto_XNOR 63 1 +auto_BGEU auto_ROR 58 1 +auto_BGEU auto_RORI 62 1 +auto_BGEU auto_ROL 52 1 +auto_BGEU auto_REV8 49 1 +auto_BGEU auto_ORC_B 48 1 +auto_BGEU auto_CLMUL 59 1 +auto_BGEU auto_CLMULH 57 1 +auto_BGEU auto_CLMULR 56 1 +auto_BGEU auto_BSET 59 1 +auto_BGEU auto_BSETI 58 1 +auto_BGEU auto_BCLR 62 1 +auto_BGEU auto_BCLRI 58 1 +auto_BGEU auto_BINV 59 1 +auto_BGEU auto_BINVI 62 1 +auto_BGEU auto_BEXT 54 1 +auto_BGEU auto_BEXTI 60 1 +auto_BGEU auto_CSRRW 50 1 +auto_BGEU auto_CSRRS 43 1 +auto_BGEU auto_CSRRC 66 1 +auto_BGEU auto_CSRRWI 59 1 +auto_BGEU auto_CSRRSI 50 1 +auto_BGEU auto_CSRRCI 67 1 +auto_BGEU auto_C_LBU 1 1 +auto_BGEU auto_C_ZEXT_B 50 1 +auto_BGEU auto_C_SEXT_B 50 1 +auto_BGEU auto_C_ZEXT_H 43 1 +auto_BGEU auto_C_SEXT_H 47 1 +auto_BGEU auto_C_NOT 40 1 +auto_BGEU auto_C_MUL 45 1 +auto_LB auto_LUI 365 1 +auto_LB auto_AUIPC 336 1 +auto_LB auto_JAL 1 1 +auto_LB auto_LB 13965 1 +auto_LB auto_LH 3851 1 +auto_LB auto_LW 1633 1 +auto_LB auto_LBU 13758 1 +auto_LB auto_LHU 3784 1 +auto_LB auto_SB 13964 1 +auto_LB auto_SH 3702 1 +auto_LB auto_SW 1558 1 +auto_LB auto_ADDI 4267 1 +auto_LB auto_SLTI 326 1 +auto_LB auto_SLTIU 333 1 +auto_LB auto_XORI 382 1 +auto_LB auto_ORI 381 1 +auto_LB auto_ANDI 386 1 +auto_LB auto_SLLI 320 1 +auto_LB auto_SRLI 361 1 +auto_LB auto_SRAI 360 1 +auto_LB auto_ADD 330 1 +auto_LB auto_SUB 360 1 +auto_LB auto_SLL 323 1 +auto_LB auto_SLT 363 1 +auto_LB auto_SLTU 368 1 +auto_LB auto_XOR 328 1 +auto_LB auto_SRL 339 1 +auto_LB auto_SRA 333 1 +auto_LB auto_OR 343 1 +auto_LB auto_AND 326 1 +auto_LB auto_FENCE 338 1 +auto_LB auto_ECALL 1 1 +auto_LB auto_MRET 2058 1 +auto_LB auto_WFI 15 1 +auto_LB auto_MUL 357 1 +auto_LB auto_MULH 356 1 +auto_LB auto_MULHSU 356 1 +auto_LB auto_MULHU 365 1 +auto_LB auto_DIV 345 1 +auto_LB auto_DIVU 369 1 +auto_LB auto_REM 340 1 +auto_LB auto_REMU 373 1 +auto_LB auto_C_ADDI4SPN 171 1 +auto_LB auto_C_LW 19 1 +auto_LB auto_C_SW 29 1 +auto_LB auto_C_NOP 1232 1 +auto_LB auto_C_ADDI 263 1 +auto_LB auto_C_LI 277 1 +auto_LB auto_C_ADDI16SP 158 1 +auto_LB auto_C_LUI 286 1 +auto_LB auto_C_SRLI 265 1 +auto_LB auto_C_SRAI 272 1 +auto_LB auto_C_ANDI 256 1 +auto_LB auto_C_SUB 293 1 +auto_LB auto_C_XOR 281 1 +auto_LB auto_C_OR 275 1 +auto_LB auto_C_AND 281 1 +auto_LB auto_C_SLLI 286 1 +auto_LB auto_C_LWSP 126 1 +auto_LB auto_C_MV 258 1 +auto_LB auto_C_ADD 315 1 +auto_LB auto_C_SWSP 114 1 +auto_LB auto_SH1ADD 305 1 +auto_LB auto_SH2ADD 330 1 +auto_LB auto_SH3ADD 351 1 +auto_LB auto_CLZ 338 1 +auto_LB auto_CTZ 342 1 +auto_LB auto_CPOP 340 1 +auto_LB auto_MIN 332 1 +auto_LB auto_MAX 341 1 +auto_LB auto_MINU 336 1 +auto_LB auto_MAXU 333 1 +auto_LB auto_SEXT_B 337 1 +auto_LB auto_SEXT_H 350 1 +auto_LB auto_ZEXT_H 346 1 +auto_LB auto_ANDN 312 1 +auto_LB auto_ORN 339 1 +auto_LB auto_XNOR 352 1 +auto_LB auto_ROR 325 1 +auto_LB auto_RORI 329 1 +auto_LB auto_ROL 314 1 +auto_LB auto_REV8 321 1 +auto_LB auto_ORC_B 351 1 +auto_LB auto_CLMUL 360 1 +auto_LB auto_CLMULH 361 1 +auto_LB auto_CLMULR 347 1 +auto_LB auto_BSET 369 1 +auto_LB auto_BSETI 364 1 +auto_LB auto_BCLR 319 1 +auto_LB auto_BCLRI 306 1 +auto_LB auto_BINV 326 1 +auto_LB auto_BINVI 363 1 +auto_LB auto_BEXT 355 1 +auto_LB auto_BEXTI 337 1 +auto_LB auto_CSRRW 532 1 +auto_LB auto_CSRRS 330 1 +auto_LB auto_CSRRC 325 1 +auto_LB auto_CSRRWI 312 1 +auto_LB auto_CSRRSI 336 1 +auto_LB auto_CSRRCI 367 1 +auto_LB auto_C_LBU 44 1 +auto_LB auto_C_LHU 16 1 +auto_LB auto_C_LH 14 1 +auto_LB auto_C_SB 54 1 +auto_LB auto_C_SH 16 1 +auto_LB auto_C_ZEXT_B 309 1 +auto_LB auto_C_SEXT_B 293 1 +auto_LB auto_C_ZEXT_H 266 1 +auto_LB auto_C_SEXT_H 289 1 +auto_LB auto_C_NOT 292 1 +auto_LB auto_C_MUL 302 1 +auto_LH auto_LUI 106 1 +auto_LH auto_AUIPC 134 1 +auto_LH auto_LB 3797 1 +auto_LH auto_LH 1715 1 +auto_LH auto_LW 656 1 +auto_LH auto_LBU 3812 1 +auto_LH auto_LHU 1749 1 +auto_LH auto_SB 3643 1 +auto_LH auto_SH 1686 1 +auto_LH auto_SW 686 1 +auto_LH auto_ADDI 1354 1 +auto_LH auto_SLTI 104 1 +auto_LH auto_SLTIU 106 1 +auto_LH auto_XORI 104 1 +auto_LH auto_ORI 126 1 +auto_LH auto_ANDI 108 1 +auto_LH auto_SLLI 109 1 +auto_LH auto_SRLI 105 1 +auto_LH auto_SRAI 113 1 +auto_LH auto_ADD 101 1 +auto_LH auto_SUB 114 1 +auto_LH auto_SLL 120 1 +auto_LH auto_SLT 98 1 +auto_LH auto_SLTU 135 1 +auto_LH auto_XOR 120 1 +auto_LH auto_SRL 107 1 +auto_LH auto_SRA 118 1 +auto_LH auto_OR 111 1 +auto_LH auto_AND 131 1 +auto_LH auto_FENCE 105 1 +auto_LH auto_MRET 718 1 +auto_LH auto_WFI 4 1 +auto_LH auto_MUL 118 1 +auto_LH auto_MULH 108 1 +auto_LH auto_MULHSU 106 1 +auto_LH auto_MULHU 117 1 +auto_LH auto_DIV 93 1 +auto_LH auto_DIVU 132 1 +auto_LH auto_REM 101 1 +auto_LH auto_REMU 116 1 +auto_LH auto_C_ADDI4SPN 55 1 +auto_LH auto_C_LW 9 1 +auto_LH auto_C_SW 7 1 +auto_LH auto_C_NOP 345 1 +auto_LH auto_C_ADDI 84 1 +auto_LH auto_C_LI 88 1 +auto_LH auto_C_ADDI16SP 43 1 +auto_LH auto_C_LUI 90 1 +auto_LH auto_C_SRLI 96 1 +auto_LH auto_C_SRAI 98 1 +auto_LH auto_C_ANDI 83 1 +auto_LH auto_C_SUB 97 1 +auto_LH auto_C_XOR 90 1 +auto_LH auto_C_OR 85 1 +auto_LH auto_C_AND 96 1 +auto_LH auto_C_SLLI 96 1 +auto_LH auto_C_LWSP 44 1 +auto_LH auto_C_MV 106 1 +auto_LH auto_C_ADD 73 1 +auto_LH auto_C_SWSP 30 1 +auto_LH auto_SH1ADD 107 1 +auto_LH auto_SH2ADD 112 1 +auto_LH auto_SH3ADD 109 1 +auto_LH auto_CLZ 103 1 +auto_LH auto_CTZ 98 1 +auto_LH auto_CPOP 117 1 +auto_LH auto_MIN 105 1 +auto_LH auto_MAX 95 1 +auto_LH auto_MINU 103 1 +auto_LH auto_MAXU 108 1 +auto_LH auto_SEXT_B 104 1 +auto_LH auto_SEXT_H 95 1 +auto_LH auto_ZEXT_H 109 1 +auto_LH auto_ANDN 102 1 +auto_LH auto_ORN 97 1 +auto_LH auto_XNOR 90 1 +auto_LH auto_ROR 123 1 +auto_LH auto_RORI 136 1 +auto_LH auto_ROL 115 1 +auto_LH auto_REV8 107 1 +auto_LH auto_ORC_B 103 1 +auto_LH auto_CLMUL 110 1 +auto_LH auto_CLMULH 110 1 +auto_LH auto_CLMULR 104 1 +auto_LH auto_BSET 94 1 +auto_LH auto_BSETI 110 1 +auto_LH auto_BCLR 115 1 +auto_LH auto_BCLRI 118 1 +auto_LH auto_BINV 91 1 +auto_LH auto_BINVI 101 1 +auto_LH auto_BEXT 107 1 +auto_LH auto_BEXTI 110 1 +auto_LH auto_CSRRW 101 1 +auto_LH auto_CSRRS 116 1 +auto_LH auto_CSRRC 119 1 +auto_LH auto_CSRRWI 90 1 +auto_LH auto_CSRRSI 97 1 +auto_LH auto_CSRRCI 106 1 +auto_LH auto_C_LBU 11 1 +auto_LH auto_C_LHU 2 1 +auto_LH auto_C_LH 2 1 +auto_LH auto_C_SB 12 1 +auto_LH auto_C_SH 2 1 +auto_LH auto_C_ZEXT_B 93 1 +auto_LH auto_C_SEXT_B 89 1 +auto_LH auto_C_ZEXT_H 80 1 +auto_LH auto_C_SEXT_H 97 1 +auto_LH auto_C_NOT 92 1 +auto_LH auto_C_MUL 87 1 +auto_LW auto_LUI 70 1 +auto_LW auto_AUIPC 73 1 +auto_LW auto_LB 1586 1 +auto_LW auto_LH 682 1 +auto_LW auto_LW 478504 1 +auto_LW auto_LBU 1489 1 +auto_LW auto_LHU 659 1 +auto_LW auto_SB 1577 1 +auto_LW auto_SH 697 1 +auto_LW auto_SW 578 1 +auto_LW auto_ADDI 677 1 +auto_LW auto_SLTI 56 1 +auto_LW auto_SLTIU 87 1 +auto_LW auto_XORI 142 1 +auto_LW auto_ORI 57 1 +auto_LW auto_ANDI 85 1 +auto_LW auto_SLLI 57 1 +auto_LW auto_SRLI 149 1 +auto_LW auto_SRAI 70 1 +auto_LW auto_ADD 484802 1 +auto_LW auto_SUB 72 1 +auto_LW auto_SLL 54 1 +auto_LW auto_SLT 97 1 +auto_LW auto_SLTU 50 1 +auto_LW auto_XOR 81 1 +auto_LW auto_SRL 94 1 +auto_LW auto_SRA 75 1 +auto_LW auto_OR 63 1 +auto_LW auto_AND 61 1 +auto_LW auto_FENCE 53 1 +auto_LW auto_MRET 388 1 +auto_LW auto_WFI 32 1 +auto_LW auto_MUL 79 1 +auto_LW auto_MULH 88 1 +auto_LW auto_MULHSU 179 1 +auto_LW auto_MULHU 77 1 +auto_LW auto_DIV 73 1 +auto_LW auto_DIVU 80 1 +auto_LW auto_REM 101 1 +auto_LW auto_REMU 75 1 +auto_LW auto_C_ADDI4SPN 23 1 +auto_LW auto_C_LW 22832 1 +auto_LW auto_C_SW 5 1 +auto_LW auto_C_NOP 212 1 +auto_LW auto_C_ADDI 37 1 +auto_LW auto_C_LI 49 1 +auto_LW auto_C_ADDI16SP 31 1 +auto_LW auto_C_LUI 38 1 +auto_LW auto_C_SRLI 36 1 +auto_LW auto_C_SRAI 39 1 +auto_LW auto_C_ANDI 44 1 +auto_LW auto_C_SUB 41 1 +auto_LW auto_C_XOR 43 1 +auto_LW auto_C_OR 71 1 +auto_LW auto_C_AND 49 1 +auto_LW auto_C_J 1088 1 +auto_LW auto_C_SLLI 36 1 +auto_LW auto_C_LWSP 8 1 +auto_LW auto_C_JR 17 1 +auto_LW auto_C_MV 45 1 +auto_LW auto_C_ADD 78 1 +auto_LW auto_C_SWSP 11 1 +auto_LW auto_SH1ADD 56 1 +auto_LW auto_SH2ADD 61 1 +auto_LW auto_SH3ADD 46 1 +auto_LW auto_CLZ 45 1 +auto_LW auto_CTZ 48 1 +auto_LW auto_CPOP 53 1 +auto_LW auto_MIN 62 1 +auto_LW auto_MAX 42 1 +auto_LW auto_MINU 58 1 +auto_LW auto_MAXU 40 1 +auto_LW auto_SEXT_B 45 1 +auto_LW auto_SEXT_H 42 1 +auto_LW auto_ZEXT_H 52 1 +auto_LW auto_ANDN 46 1 +auto_LW auto_ORN 42 1 +auto_LW auto_XNOR 71 1 +auto_LW auto_ROR 45 1 +auto_LW auto_RORI 64 1 +auto_LW auto_ROL 74 1 +auto_LW auto_REV8 52 1 +auto_LW auto_ORC_B 38 1 +auto_LW auto_CLMUL 50 1 +auto_LW auto_CLMULH 52 1 +auto_LW auto_CLMULR 48 1 +auto_LW auto_BSET 35 1 +auto_LW auto_BSETI 47 1 +auto_LW auto_BCLR 45 1 +auto_LW auto_BCLRI 38 1 +auto_LW auto_BINV 60 1 +auto_LW auto_BINVI 39 1 +auto_LW auto_BEXT 38 1 +auto_LW auto_BEXTI 56 1 +auto_LW auto_CSRRW 39 1 +auto_LW auto_CSRRS 50 1 +auto_LW auto_CSRRC 40 1 +auto_LW auto_CSRRWI 37 1 +auto_LW auto_CSRRSI 37 1 +auto_LW auto_CSRRCI 34 1 +auto_LW auto_C_LBU 16 1 +auto_LW auto_C_LHU 4 1 +auto_LW auto_C_LH 1 1 +auto_LW auto_C_SB 10 1 +auto_LW auto_C_SH 3 1 +auto_LW auto_C_ZEXT_B 39 1 +auto_LW auto_C_SEXT_B 51 1 +auto_LW auto_C_ZEXT_H 45 1 +auto_LW auto_C_SEXT_H 74 1 +auto_LW auto_C_NOT 49 1 +auto_LW auto_C_MUL 31 1 +auto_LBU auto_LUI 344 1 +auto_LBU auto_AUIPC 343 1 +auto_LBU auto_LB 13842 1 +auto_LBU auto_LH 3738 1 +auto_LBU auto_LW 1550 1 +auto_LBU auto_LBU 13489 1 +auto_LBU auto_LHU 3753 1 +auto_LBU auto_SB 13710 1 +auto_LBU auto_SH 3742 1 +auto_LBU auto_SW 1523 1 +auto_LBU auto_ADDI 4127 1 +auto_LBU auto_SLTI 343 1 +auto_LBU auto_SLTIU 349 1 +auto_LBU auto_XORI 349 1 +auto_LBU auto_ORI 321 1 +auto_LBU auto_ANDI 363 1 +auto_LBU auto_SLLI 381 1 +auto_LBU auto_SRLI 373 1 +auto_LBU auto_SRAI 327 1 +auto_LBU auto_ADD 298 1 +auto_LBU auto_SUB 338 1 +auto_LBU auto_SLL 340 1 +auto_LBU auto_SLT 332 1 +auto_LBU auto_SLTU 364 1 +auto_LBU auto_XOR 339 1 +auto_LBU auto_SRL 357 1 +auto_LBU auto_SRA 345 1 +auto_LBU auto_OR 353 1 +auto_LBU auto_AND 343 1 +auto_LBU auto_FENCE 357 1 +auto_LBU auto_MRET 2015 1 +auto_LBU auto_WFI 9 1 +auto_LBU auto_MUL 358 1 +auto_LBU auto_MULH 352 1 +auto_LBU auto_MULHSU 343 1 +auto_LBU auto_MULHU 366 1 +auto_LBU auto_DIV 351 1 +auto_LBU auto_DIVU 358 1 +auto_LBU auto_REM 348 1 +auto_LBU auto_REMU 363 1 +auto_LBU auto_C_ADDI4SPN 158 1 +auto_LBU auto_C_LW 28 1 +auto_LBU auto_C_SW 20 1 +auto_LBU auto_C_NOP 1152 1 +auto_LBU auto_C_ADDI 280 1 +auto_LBU auto_C_LI 284 1 +auto_LBU auto_C_ADDI16SP 156 1 +auto_LBU auto_C_LUI 282 1 +auto_LBU auto_C_SRLI 276 1 +auto_LBU auto_C_SRAI 247 1 +auto_LBU auto_C_ANDI 274 1 +auto_LBU auto_C_SUB 260 1 +auto_LBU auto_C_XOR 262 1 +auto_LBU auto_C_OR 286 1 +auto_LBU auto_C_AND 302 1 +auto_LBU auto_C_J 17 1 +auto_LBU auto_C_SLLI 295 1 +auto_LBU auto_C_LWSP 117 1 +auto_LBU auto_C_MV 286 1 +auto_LBU auto_C_ADD 305 1 +auto_LBU auto_C_SWSP 120 1 +auto_LBU auto_SH1ADD 334 1 +auto_LBU auto_SH2ADD 337 1 +auto_LBU auto_SH3ADD 308 1 +auto_LBU auto_CLZ 354 1 +auto_LBU auto_CTZ 332 1 +auto_LBU auto_CPOP 336 1 +auto_LBU auto_MIN 304 1 +auto_LBU auto_MAX 356 1 +auto_LBU auto_MINU 306 1 +auto_LBU auto_MAXU 367 1 +auto_LBU auto_SEXT_B 358 1 +auto_LBU auto_SEXT_H 309 1 +auto_LBU auto_ZEXT_H 322 1 +auto_LBU auto_ANDN 323 1 +auto_LBU auto_ORN 352 1 +auto_LBU auto_XNOR 353 1 +auto_LBU auto_ROR 361 1 +auto_LBU auto_RORI 319 1 +auto_LBU auto_ROL 354 1 +auto_LBU auto_REV8 336 1 +auto_LBU auto_ORC_B 341 1 +auto_LBU auto_CLMUL 333 1 +auto_LBU auto_CLMULH 346 1 +auto_LBU auto_CLMULR 331 1 +auto_LBU auto_BSET 365 1 +auto_LBU auto_BSETI 317 1 +auto_LBU auto_BCLR 346 1 +auto_LBU auto_BCLRI 355 1 +auto_LBU auto_BINV 311 1 +auto_LBU auto_BINVI 309 1 +auto_LBU auto_BEXT 334 1 +auto_LBU auto_BEXTI 346 1 +auto_LBU auto_CSRRW 341 1 +auto_LBU auto_CSRRS 56027 1 +auto_LBU auto_CSRRC 278 1 +auto_LBU auto_CSRRWI 373 1 +auto_LBU auto_CSRRSI 326 1 +auto_LBU auto_CSRRCI 344 1 +auto_LBU auto_C_LBU 65 1 +auto_LBU auto_C_LHU 17 1 +auto_LBU auto_C_LH 11 1 +auto_LBU auto_C_SB 50 1 +auto_LBU auto_C_SH 14 1 +auto_LBU auto_C_ZEXT_B 303 1 +auto_LBU auto_C_SEXT_B 286 1 +auto_LBU auto_C_ZEXT_H 288 1 +auto_LBU auto_C_SEXT_H 284 1 +auto_LBU auto_C_NOT 267 1 +auto_LBU auto_C_MUL 286 1 +auto_LHU auto_LUI 110 1 +auto_LHU auto_AUIPC 97 1 +auto_LHU auto_LB 3605 1 +auto_LHU auto_LH 1697 1 +auto_LHU auto_LW 666 1 +auto_LHU auto_LBU 3754 1 +auto_LHU auto_LHU 1706 1 +auto_LHU auto_SB 3793 1 +auto_LHU auto_SH 1643 1 +auto_LHU auto_SW 722 1 +auto_LHU auto_ADDI 1410 1 +auto_LHU auto_SLTI 130 1 +auto_LHU auto_SLTIU 122 1 +auto_LHU auto_XORI 117 1 +auto_LHU auto_ORI 109 1 +auto_LHU auto_ANDI 106 1 +auto_LHU auto_SLLI 100 1 +auto_LHU auto_SRLI 131 1 +auto_LHU auto_SRAI 130 1 +auto_LHU auto_ADD 121 1 +auto_LHU auto_SUB 99 1 +auto_LHU auto_SLL 114 1 +auto_LHU auto_SLT 112 1 +auto_LHU auto_SLTU 107 1 +auto_LHU auto_XOR 126 1 +auto_LHU auto_SRL 118 1 +auto_LHU auto_SRA 100 1 +auto_LHU auto_OR 114 1 +auto_LHU auto_AND 103 1 +auto_LHU auto_FENCE 104 1 +auto_LHU auto_MRET 677 1 +auto_LHU auto_WFI 8 1 +auto_LHU auto_MUL 114 1 +auto_LHU auto_MULH 106 1 +auto_LHU auto_MULHSU 107 1 +auto_LHU auto_MULHU 103 1 +auto_LHU auto_DIV 119 1 +auto_LHU auto_DIVU 114 1 +auto_LHU auto_REM 110 1 +auto_LHU auto_REMU 118 1 +auto_LHU auto_C_ADDI4SPN 51 1 +auto_LHU auto_C_LW 8 1 +auto_LHU auto_C_SW 11 1 +auto_LHU auto_C_NOP 382 1 +auto_LHU auto_C_ADDI 95 1 +auto_LHU auto_C_LI 81 1 +auto_LHU auto_C_ADDI16SP 49 1 +auto_LHU auto_C_LUI 76 1 +auto_LHU auto_C_SRLI 93 1 +auto_LHU auto_C_SRAI 83 1 +auto_LHU auto_C_ANDI 104 1 +auto_LHU auto_C_SUB 101 1 +auto_LHU auto_C_XOR 88 1 +auto_LHU auto_C_OR 84 1 +auto_LHU auto_C_AND 88 1 +auto_LHU auto_C_SLLI 85 1 +auto_LHU auto_C_LWSP 39 1 +auto_LHU auto_C_MV 81 1 +auto_LHU auto_C_ADD 81 1 +auto_LHU auto_C_SWSP 36 1 +auto_LHU auto_SH1ADD 99 1 +auto_LHU auto_SH2ADD 92 1 +auto_LHU auto_SH3ADD 109 1 +auto_LHU auto_CLZ 108 1 +auto_LHU auto_CTZ 108 1 +auto_LHU auto_CPOP 127 1 +auto_LHU auto_MIN 99 1 +auto_LHU auto_MAX 103 1 +auto_LHU auto_MINU 97 1 +auto_LHU auto_MAXU 102 1 +auto_LHU auto_SEXT_B 110 1 +auto_LHU auto_SEXT_H 107 1 +auto_LHU auto_ZEXT_H 93 1 +auto_LHU auto_ANDN 96 1 +auto_LHU auto_ORN 99 1 +auto_LHU auto_XNOR 119 1 +auto_LHU auto_ROR 90 1 +auto_LHU auto_RORI 85 1 +auto_LHU auto_ROL 105 1 +auto_LHU auto_REV8 103 1 +auto_LHU auto_ORC_B 116 1 +auto_LHU auto_CLMUL 104 1 +auto_LHU auto_CLMULH 105 1 +auto_LHU auto_CLMULR 114 1 +auto_LHU auto_BSET 101 1 +auto_LHU auto_BSETI 124 1 +auto_LHU auto_BCLR 110 1 +auto_LHU auto_BCLRI 107 1 +auto_LHU auto_BINV 87 1 +auto_LHU auto_BINVI 104 1 +auto_LHU auto_BEXT 118 1 +auto_LHU auto_BEXTI 118 1 +auto_LHU auto_CSRRW 131 1 +auto_LHU auto_CSRRS 90 1 +auto_LHU auto_CSRRC 126 1 +auto_LHU auto_CSRRWI 102 1 +auto_LHU auto_CSRRSI 100 1 +auto_LHU auto_CSRRCI 108 1 +auto_LHU auto_C_LBU 20 1 +auto_LHU auto_C_LHU 4 1 +auto_LHU auto_C_LH 2 1 +auto_LHU auto_C_SB 22 1 +auto_LHU auto_C_SH 4 1 +auto_LHU auto_C_ZEXT_B 76 1 +auto_LHU auto_C_SEXT_B 90 1 +auto_LHU auto_C_ZEXT_H 78 1 +auto_LHU auto_C_SEXT_H 97 1 +auto_LHU auto_C_NOT 80 1 +auto_LHU auto_C_MUL 98 1 +auto_SB auto_LUI 361 1 +auto_SB auto_AUIPC 357 1 +auto_SB auto_BNE 1 1 +auto_SB auto_LB 13798 1 +auto_SB auto_LH 3744 1 +auto_SB auto_LW 1558 1 +auto_SB auto_LBU 13847 1 +auto_SB auto_LHU 3771 1 +auto_SB auto_SB 13677 1 +auto_SB auto_SH 3816 1 +auto_SB auto_SW 1566 1 +auto_SB auto_ADDI 4184 1 +auto_SB auto_SLTI 381 1 +auto_SB auto_SLTIU 396 1 +auto_SB auto_XORI 320 1 +auto_SB auto_ORI 401 1 +auto_SB auto_ANDI 354 1 +auto_SB auto_SLLI 325 1 +auto_SB auto_SRLI 347 1 +auto_SB auto_SRAI 342 1 +auto_SB auto_ADD 304 1 +auto_SB auto_SUB 321 1 +auto_SB auto_SLL 350 1 +auto_SB auto_SLT 331 1 +auto_SB auto_SLTU 337 1 +auto_SB auto_XOR 339 1 +auto_SB auto_SRL 357 1 +auto_SB auto_SRA 356 1 +auto_SB auto_OR 355 1 +auto_SB auto_AND 322 1 +auto_SB auto_FENCE 345 1 +auto_SB auto_ECALL 1 1 +auto_SB auto_MRET 1899 1 +auto_SB auto_WFI 11 1 +auto_SB auto_MUL 343 1 +auto_SB auto_MULH 302 1 +auto_SB auto_MULHSU 364 1 +auto_SB auto_MULHU 334 1 +auto_SB auto_DIV 348 1 +auto_SB auto_DIVU 370 1 +auto_SB auto_REM 337 1 +auto_SB auto_REMU 363 1 +auto_SB auto_C_ADDI4SPN 162 1 +auto_SB auto_C_LW 26 1 +auto_SB auto_C_SW 27 1 +auto_SB auto_C_NOP 1136 1 +auto_SB auto_C_ADDI 272 1 +auto_SB auto_C_LI 289 1 +auto_SB auto_C_ADDI16SP 138 1 +auto_SB auto_C_LUI 302 1 +auto_SB auto_C_SRLI 285 1 +auto_SB auto_C_SRAI 275 1 +auto_SB auto_C_ANDI 274 1 +auto_SB auto_C_SUB 299 1 +auto_SB auto_C_XOR 290 1 +auto_SB auto_C_OR 260 1 +auto_SB auto_C_AND 272 1 +auto_SB auto_C_SLLI 280 1 +auto_SB auto_C_LWSP 102 1 +auto_SB auto_C_MV 281 1 +auto_SB auto_C_ADD 294 1 +auto_SB auto_C_SWSP 102 1 +auto_SB auto_SH1ADD 357 1 +auto_SB auto_SH2ADD 336 1 +auto_SB auto_SH3ADD 352 1 +auto_SB auto_CLZ 325 1 +auto_SB auto_CTZ 332 1 +auto_SB auto_CPOP 328 1 +auto_SB auto_MIN 340 1 +auto_SB auto_MAX 307 1 +auto_SB auto_MINU 338 1 +auto_SB auto_MAXU 383 1 +auto_SB auto_SEXT_B 314 1 +auto_SB auto_SEXT_H 335 1 +auto_SB auto_ZEXT_H 332 1 +auto_SB auto_ANDN 364 1 +auto_SB auto_ORN 370 1 +auto_SB auto_XNOR 347 1 +auto_SB auto_ROR 346 1 +auto_SB auto_RORI 358 1 +auto_SB auto_ROL 355 1 +auto_SB auto_REV8 322 1 +auto_SB auto_ORC_B 332 1 +auto_SB auto_CLMUL 316 1 +auto_SB auto_CLMULH 325 1 +auto_SB auto_CLMULR 329 1 +auto_SB auto_BSET 320 1 +auto_SB auto_BSETI 345 1 +auto_SB auto_BCLR 343 1 +auto_SB auto_BCLRI 317 1 +auto_SB auto_BINV 347 1 +auto_SB auto_BINVI 352 1 +auto_SB auto_BEXT 343 1 +auto_SB auto_BEXTI 336 1 +auto_SB auto_CSRRW 304 1 +auto_SB auto_CSRRS 353 1 +auto_SB auto_CSRRC 297 1 +auto_SB auto_CSRRWI 341 1 +auto_SB auto_CSRRSI 304 1 +auto_SB auto_CSRRCI 358 1 +auto_SB auto_C_LBU 55 1 +auto_SB auto_C_LHU 15 1 +auto_SB auto_C_LH 13 1 +auto_SB auto_C_SB 55 1 +auto_SB auto_C_SH 18 1 +auto_SB auto_C_ZEXT_B 271 1 +auto_SB auto_C_SEXT_B 296 1 +auto_SB auto_C_ZEXT_H 265 1 +auto_SB auto_C_SEXT_H 280 1 +auto_SB auto_C_NOT 301 1 +auto_SB auto_C_MUL 266 1 +auto_SH auto_LUI 123 1 +auto_SH auto_AUIPC 96 1 +auto_SH auto_LB 3821 1 +auto_SH auto_LH 1725 1 +auto_SH auto_LW 665 1 +auto_SH auto_LBU 3674 1 +auto_SH auto_LHU 1649 1 +auto_SH auto_SB 3774 1 +auto_SH auto_SH 1705 1 +auto_SH auto_SW 700 1 +auto_SH auto_ADDI 1283 1 +auto_SH auto_SLTI 101 1 +auto_SH auto_SLTIU 95 1 +auto_SH auto_XORI 101 1 +auto_SH auto_ORI 87 1 +auto_SH auto_ANDI 102 1 +auto_SH auto_SLLI 124 1 +auto_SH auto_SRLI 110 1 +auto_SH auto_SRAI 117 1 +auto_SH auto_ADD 98 1 +auto_SH auto_SUB 117 1 +auto_SH auto_SLL 128 1 +auto_SH auto_SLT 100 1 +auto_SH auto_SLTU 127 1 +auto_SH auto_XOR 126 1 +auto_SH auto_SRL 111 1 +auto_SH auto_SRA 126 1 +auto_SH auto_OR 113 1 +auto_SH auto_AND 124 1 +auto_SH auto_FENCE 101 1 +auto_SH auto_MRET 773 1 +auto_SH auto_WFI 4 1 +auto_SH auto_MUL 98 1 +auto_SH auto_MULH 108 1 +auto_SH auto_MULHSU 107 1 +auto_SH auto_MULHU 111 1 +auto_SH auto_DIV 102 1 +auto_SH auto_DIVU 120 1 +auto_SH auto_REM 110 1 +auto_SH auto_REMU 109 1 +auto_SH auto_C_ADDI4SPN 53 1 +auto_SH auto_C_LW 10 1 +auto_SH auto_C_SW 3 1 +auto_SH auto_C_NOP 325 1 +auto_SH auto_C_ADDI 91 1 +auto_SH auto_C_LI 92 1 +auto_SH auto_C_ADDI16SP 51 1 +auto_SH auto_C_LUI 95 1 +auto_SH auto_C_SRLI 99 1 +auto_SH auto_C_SRAI 96 1 +auto_SH auto_C_ANDI 97 1 +auto_SH auto_C_SUB 88 1 +auto_SH auto_C_XOR 93 1 +auto_SH auto_C_OR 101 1 +auto_SH auto_C_AND 87 1 +auto_SH auto_C_SLLI 99 1 +auto_SH auto_C_LWSP 26 1 +auto_SH auto_C_MV 109 1 +auto_SH auto_C_ADD 83 1 +auto_SH auto_C_SWSP 31 1 +auto_SH auto_SH1ADD 120 1 +auto_SH auto_SH2ADD 92 1 +auto_SH auto_SH3ADD 95 1 +auto_SH auto_CLZ 86 1 +auto_SH auto_CTZ 111 1 +auto_SH auto_CPOP 117 1 +auto_SH auto_MIN 95 1 +auto_SH auto_MAX 107 1 +auto_SH auto_MINU 112 1 +auto_SH auto_MAXU 108 1 +auto_SH auto_SEXT_B 97 1 +auto_SH auto_SEXT_H 98 1 +auto_SH auto_ZEXT_H 95 1 +auto_SH auto_ANDN 101 1 +auto_SH auto_ORN 94 1 +auto_SH auto_XNOR 108 1 +auto_SH auto_ROR 104 1 +auto_SH auto_RORI 120 1 +auto_SH auto_ROL 112 1 +auto_SH auto_REV8 111 1 +auto_SH auto_ORC_B 94 1 +auto_SH auto_CLMUL 101 1 +auto_SH auto_CLMULH 92 1 +auto_SH auto_CLMULR 102 1 +auto_SH auto_BSET 106 1 +auto_SH auto_BSETI 124 1 +auto_SH auto_BCLR 104 1 +auto_SH auto_BCLRI 98 1 +auto_SH auto_BINV 95 1 +auto_SH auto_BINVI 98 1 +auto_SH auto_BEXT 110 1 +auto_SH auto_BEXTI 104 1 +auto_SH auto_CSRRW 117 1 +auto_SH auto_CSRRS 114 1 +auto_SH auto_CSRRC 101 1 +auto_SH auto_CSRRWI 104 1 +auto_SH auto_CSRRSI 107 1 +auto_SH auto_CSRRCI 106 1 +auto_SH auto_C_LBU 23 1 +auto_SH auto_C_LHU 2 1 +auto_SH auto_C_LH 1 1 +auto_SH auto_C_SB 14 1 +auto_SH auto_C_ZEXT_B 81 1 +auto_SH auto_C_SEXT_B 99 1 +auto_SH auto_C_ZEXT_H 74 1 +auto_SH auto_C_SEXT_H 87 1 +auto_SH auto_C_NOT 67 1 +auto_SH auto_C_MUL 78 1 +auto_SW auto_LUI 76 1 +auto_SW auto_AUIPC 207649 1 +auto_SW auto_JAL 1 1 +auto_SW auto_LB 1580 1 +auto_SW auto_LH 661 1 +auto_SW auto_LW 476 1 +auto_SW auto_LBU 1583 1 +auto_SW auto_LHU 645 1 +auto_SW auto_SB 1563 1 +auto_SW auto_SH 699 1 +auto_SW auto_SW 476937 1 +auto_SW auto_ADDI 244444 1 +auto_SW auto_SLTI 72 1 +auto_SW auto_SLTIU 62 1 +auto_SW auto_XORI 67 1 +auto_SW auto_ORI 56 1 +auto_SW auto_ANDI 83 1 +auto_SW auto_SLLI 114 1 +auto_SW auto_SRLI 93 1 +auto_SW auto_SRAI 67 1 +auto_SW auto_ADD 84 1 +auto_SW auto_SUB 73 1 +auto_SW auto_SLL 68 1 +auto_SW auto_SLT 145 1 +auto_SW auto_SLTU 88 1 +auto_SW auto_XOR 90 1 +auto_SW auto_SRL 77 1 +auto_SW auto_SRA 44 1 +auto_SW auto_OR 46 1 +auto_SW auto_AND 89 1 +auto_SW auto_FENCE 64 1 +auto_SW auto_MRET 369 1 +auto_SW auto_WFI 20 1 +auto_SW auto_MUL 83 1 +auto_SW auto_MULH 157 1 +auto_SW auto_MULHSU 68 1 +auto_SW auto_MULHU 59 1 +auto_SW auto_DIV 74 1 +auto_SW auto_DIVU 60 1 +auto_SW auto_REM 76 1 +auto_SW auto_REMU 85 1 +auto_SW auto_C_ADDI4SPN 75 1 +auto_SW auto_C_LW 7 1 +auto_SW auto_C_SW 22836 1 +auto_SW auto_C_NOP 155 1 +auto_SW auto_C_ADDI 241674 1 +auto_SW auto_C_LI 40 1 +auto_SW auto_C_ADDI16SP 20 1 +auto_SW auto_C_LUI 45 1 +auto_SW auto_C_SRLI 35 1 +auto_SW auto_C_SRAI 40 1 +auto_SW auto_C_ANDI 47 1 +auto_SW auto_C_SUB 74 1 +auto_SW auto_C_XOR 74 1 +auto_SW auto_C_OR 65 1 +auto_SW auto_C_AND 59 1 +auto_SW auto_C_SLLI 47 1 +auto_SW auto_C_LWSP 7 1 +auto_SW auto_C_MV 56 1 +auto_SW auto_C_ADD 49 1 +auto_SW auto_C_SWSP 16 1 +auto_SW auto_SH1ADD 57 1 +auto_SW auto_SH2ADD 51 1 +auto_SW auto_SH3ADD 49 1 +auto_SW auto_CLZ 48 1 +auto_SW auto_CTZ 55 1 +auto_SW auto_CPOP 60 1 +auto_SW auto_MIN 62 1 +auto_SW auto_MAX 41 1 +auto_SW auto_MINU 35 1 +auto_SW auto_MAXU 39 1 +auto_SW auto_SEXT_B 52 1 +auto_SW auto_SEXT_H 61 1 +auto_SW auto_ZEXT_H 54 1 +auto_SW auto_ANDN 46 1 +auto_SW auto_ORN 42 1 +auto_SW auto_XNOR 45 1 +auto_SW auto_ROR 55 1 +auto_SW auto_RORI 33 1 +auto_SW auto_ROL 65 1 +auto_SW auto_REV8 52 1 +auto_SW auto_ORC_B 47 1 +auto_SW auto_CLMUL 42 1 +auto_SW auto_CLMULH 52 1 +auto_SW auto_CLMULR 45 1 +auto_SW auto_BSET 37 1 +auto_SW auto_BSETI 43 1 +auto_SW auto_BCLR 52 1 +auto_SW auto_BCLRI 50 1 +auto_SW auto_BINV 55 1 +auto_SW auto_BINVI 57 1 +auto_SW auto_BEXT 49 1 +auto_SW auto_BEXTI 38 1 +auto_SW auto_CSRRW 46 1 +auto_SW auto_CSRRS 42 1 +auto_SW auto_CSRRC 37 1 +auto_SW auto_CSRRWI 36 1 +auto_SW auto_CSRRSI 48 1 +auto_SW auto_CSRRCI 43 1 +auto_SW auto_C_LBU 3 1 +auto_SW auto_C_LHU 1 1 +auto_SW auto_C_LH 3 1 +auto_SW auto_C_SB 9 1 +auto_SW auto_C_SH 1 1 +auto_SW auto_C_ZEXT_B 40 1 +auto_SW auto_C_SEXT_B 44 1 +auto_SW auto_C_ZEXT_H 42 1 +auto_SW auto_C_SEXT_H 48 1 +auto_SW auto_C_NOT 57 1 +auto_SW auto_C_MUL 34 1 +auto_ADDI auto_LUI 35355 1 +auto_ADDI auto_AUIPC 36987 1 +auto_ADDI auto_JAL 135 1 +auto_ADDI auto_JALR 92 1 +auto_ADDI auto_BEQ 70 1 +auto_ADDI auto_BNE 78 1 +auto_ADDI auto_BLT 89 1 +auto_ADDI auto_BGE 126 1 +auto_ADDI auto_BLTU 108 1 +auto_ADDI auto_BGEU 111 1 +auto_ADDI auto_LB 306 1 +auto_ADDI auto_LH 118 1 +auto_ADDI auto_LW 249992 1 +auto_ADDI auto_LBU 359 1 +auto_ADDI auto_LHU 116 1 +auto_ADDI auto_SB 362 1 +auto_ADDI auto_SH 101 1 +auto_ADDI auto_SW 71 1 +auto_ADDI auto_ADDI 552 1 +auto_ADDI auto_SLTI 298 1 +auto_ADDI auto_SLTIU 359 1 +auto_ADDI auto_XORI 288 1 +auto_ADDI auto_ORI 289 1 +auto_ADDI auto_ANDI 286 1 +auto_ADDI auto_SLLI 304 1 +auto_ADDI auto_SRLI 319 1 +auto_ADDI auto_SRAI 308 1 +auto_ADDI auto_ADD 262521 1 +auto_ADDI auto_SUB 471 1 +auto_ADDI auto_SLL 323 1 +auto_ADDI auto_SLT 339 1 +auto_ADDI auto_SLTU 270 1 +auto_ADDI auto_XOR 319 1 +auto_ADDI auto_SRL 293 1 +auto_ADDI auto_SRA 340 1 +auto_ADDI auto_OR 264 1 +auto_ADDI auto_AND 383 1 +auto_ADDI auto_FENCE 198 1 +auto_ADDI auto_MRET 2193 1 +auto_ADDI auto_WFI 185 1 +auto_ADDI auto_MUL 333 1 +auto_ADDI auto_MULH 332 1 +auto_ADDI auto_MULHSU 356 1 +auto_ADDI auto_MULHU 291 1 +auto_ADDI auto_DIV 281 1 +auto_ADDI auto_DIVU 311 1 +auto_ADDI auto_REM 317 1 +auto_ADDI auto_REMU 356 1 +auto_ADDI auto_C_ADDI4SPN 78 1 +auto_ADDI auto_C_LW 12987 1 +auto_ADDI auto_C_NOP 726 1 +auto_ADDI auto_C_ADDI 168 1 +auto_ADDI auto_C_JAL 35 1 +auto_ADDI auto_C_LI 127 1 +auto_ADDI auto_C_ADDI16SP 70 1 +auto_ADDI auto_C_LUI 2565 1 +auto_ADDI auto_C_SRLI 99 1 +auto_ADDI auto_C_SRAI 120 1 +auto_ADDI auto_C_ANDI 106 1 +auto_ADDI auto_C_SUB 111 1 +auto_ADDI auto_C_XOR 115 1 +auto_ADDI auto_C_OR 90 1 +auto_ADDI auto_C_AND 105 1 +auto_ADDI auto_C_J 29 1 +auto_ADDI auto_C_BEQZ 49 1 +auto_ADDI auto_C_BNEZ 38 1 +auto_ADDI auto_C_SLLI 125 1 +auto_ADDI auto_C_LWSP 9 1 +auto_ADDI auto_C_JR 40 1 +auto_ADDI auto_C_MV 117 1 +auto_ADDI auto_C_JALR 47 1 +auto_ADDI auto_C_ADD 166 1 +auto_ADDI auto_C_SWSP 6 1 +auto_ADDI auto_SH1ADD 210 1 +auto_ADDI auto_SH2ADD 207 1 +auto_ADDI auto_SH3ADD 195 1 +auto_ADDI auto_CLZ 230 1 +auto_ADDI auto_CTZ 185 1 +auto_ADDI auto_CPOP 213 1 +auto_ADDI auto_MIN 239 1 +auto_ADDI auto_MAX 259 1 +auto_ADDI auto_MINU 202 1 +auto_ADDI auto_MAXU 201 1 +auto_ADDI auto_SEXT_B 217 1 +auto_ADDI auto_SEXT_H 192 1 +auto_ADDI auto_ZEXT_H 220 1 +auto_ADDI auto_ANDN 211 1 +auto_ADDI auto_ORN 190 1 +auto_ADDI auto_XNOR 210 1 +auto_ADDI auto_ROR 208 1 +auto_ADDI auto_RORI 234 1 +auto_ADDI auto_ROL 212 1 +auto_ADDI auto_REV8 210 1 +auto_ADDI auto_ORC_B 223 1 +auto_ADDI auto_CLMUL 197 1 +auto_ADDI auto_CLMULH 208 1 +auto_ADDI auto_CLMULR 205 1 +auto_ADDI auto_BSET 205 1 +auto_ADDI auto_BSETI 220 1 +auto_ADDI auto_BCLR 184 1 +auto_ADDI auto_BCLRI 212 1 +auto_ADDI auto_BINV 213 1 +auto_ADDI auto_BINVI 211 1 +auto_ADDI auto_BEXT 218 1 +auto_ADDI auto_BEXTI 237 1 +auto_ADDI auto_CSRRW 74 1 +auto_ADDI auto_CSRRS 121 1 +auto_ADDI auto_CSRRC 78 1 +auto_ADDI auto_CSRRWI 70 1 +auto_ADDI auto_CSRRSI 66 1 +auto_ADDI auto_CSRRCI 58 1 +auto_ADDI auto_C_LBU 3 1 +auto_ADDI auto_C_SB 5 1 +auto_ADDI auto_C_ZEXT_B 117 1 +auto_ADDI auto_C_SEXT_B 105 1 +auto_ADDI auto_C_ZEXT_H 132 1 +auto_ADDI auto_C_SEXT_H 83 1 +auto_ADDI auto_C_NOT 122 1 +auto_ADDI auto_C_MUL 107 1 +auto_SLTI auto_LUI 352 1 +auto_SLTI auto_AUIPC 269 1 +auto_SLTI auto_JAL 89 1 +auto_SLTI auto_JALR 20 1 +auto_SLTI auto_BEQ 73 1 +auto_SLTI auto_BNE 65 1 +auto_SLTI auto_BLT 86 1 +auto_SLTI auto_BGE 138 1 +auto_SLTI auto_BLTU 64 1 +auto_SLTI auto_BGEU 69 1 +auto_SLTI auto_LB 344 1 +auto_SLTI auto_LH 114 1 +auto_SLTI auto_LW 103 1 +auto_SLTI auto_LBU 343 1 +auto_SLTI auto_LHU 98 1 +auto_SLTI auto_SB 330 1 +auto_SLTI auto_SH 108 1 +auto_SLTI auto_SW 76 1 +auto_SLTI auto_ADDI 438 1 +auto_SLTI auto_SLTI 282 1 +auto_SLTI auto_SLTIU 255 1 +auto_SLTI auto_XORI 262 1 +auto_SLTI auto_ORI 237 1 +auto_SLTI auto_ANDI 246 1 +auto_SLTI auto_SLLI 278 1 +auto_SLTI auto_SRLI 326 1 +auto_SLTI auto_SRAI 231 1 +auto_SLTI auto_ADD 191 1 +auto_SLTI auto_SUB 305 1 +auto_SLTI auto_SLL 242 1 +auto_SLTI auto_SLT 277 1 +auto_SLTI auto_SLTU 282 1 +auto_SLTI auto_XOR 220 1 +auto_SLTI auto_SRL 227 1 +auto_SLTI auto_SRA 258 1 +auto_SLTI auto_OR 248 1 +auto_SLTI auto_AND 233 1 +auto_SLTI auto_FENCE 114 1 +auto_SLTI auto_MRET 811 1 +auto_SLTI auto_WFI 61 1 +auto_SLTI auto_MUL 249 1 +auto_SLTI auto_MULH 242 1 +auto_SLTI auto_MULHSU 285 1 +auto_SLTI auto_MULHU 235 1 +auto_SLTI auto_DIV 230 1 +auto_SLTI auto_DIVU 232 1 +auto_SLTI auto_REM 269 1 +auto_SLTI auto_REMU 305 1 +auto_SLTI auto_C_ADDI4SPN 72 1 +auto_SLTI auto_C_NOP 626 1 +auto_SLTI auto_C_ADDI 133 1 +auto_SLTI auto_C_JAL 28 1 +auto_SLTI auto_C_LI 111 1 +auto_SLTI auto_C_ADDI16SP 70 1 +auto_SLTI auto_C_LUI 104 1 +auto_SLTI auto_C_SRLI 115 1 +auto_SLTI auto_C_SRAI 101 1 +auto_SLTI auto_C_ANDI 111 1 +auto_SLTI auto_C_SUB 97 1 +auto_SLTI auto_C_XOR 103 1 +auto_SLTI auto_C_OR 93 1 +auto_SLTI auto_C_AND 103 1 +auto_SLTI auto_C_J 35 1 +auto_SLTI auto_C_BEQZ 51 1 +auto_SLTI auto_C_BNEZ 41 1 +auto_SLTI auto_C_SLLI 111 1 +auto_SLTI auto_C_LWSP 3 1 +auto_SLTI auto_C_MV 105 1 +auto_SLTI auto_C_JALR 3 1 +auto_SLTI auto_C_ADD 133 1 +auto_SLTI auto_C_SWSP 5 1 +auto_SLTI auto_SH1ADD 188 1 +auto_SLTI auto_SH2ADD 193 1 +auto_SLTI auto_SH3ADD 203 1 +auto_SLTI auto_CLZ 195 1 +auto_SLTI auto_CTZ 189 1 +auto_SLTI auto_CPOP 192 1 +auto_SLTI auto_MIN 198 1 +auto_SLTI auto_MAX 203 1 +auto_SLTI auto_MINU 216 1 +auto_SLTI auto_MAXU 194 1 +auto_SLTI auto_SEXT_B 202 1 +auto_SLTI auto_SEXT_H 219 1 +auto_SLTI auto_ZEXT_H 187 1 +auto_SLTI auto_ANDN 211 1 +auto_SLTI auto_ORN 200 1 +auto_SLTI auto_XNOR 236 1 +auto_SLTI auto_ROR 191 1 +auto_SLTI auto_RORI 181 1 +auto_SLTI auto_ROL 221 1 +auto_SLTI auto_REV8 198 1 +auto_SLTI auto_ORC_B 188 1 +auto_SLTI auto_CLMUL 186 1 +auto_SLTI auto_CLMULH 188 1 +auto_SLTI auto_CLMULR 195 1 +auto_SLTI auto_BSET 200 1 +auto_SLTI auto_BSETI 193 1 +auto_SLTI auto_BCLR 209 1 +auto_SLTI auto_BCLRI 194 1 +auto_SLTI auto_BINV 219 1 +auto_SLTI auto_BINVI 188 1 +auto_SLTI auto_BEXT 197 1 +auto_SLTI auto_BEXTI 210 1 +auto_SLTI auto_CSRRW 70 1 +auto_SLTI auto_CSRRS 72 1 +auto_SLTI auto_CSRRC 69 1 +auto_SLTI auto_CSRRWI 77 1 +auto_SLTI auto_CSRRSI 71 1 +auto_SLTI auto_CSRRCI 65 1 +auto_SLTI auto_C_LBU 4 1 +auto_SLTI auto_C_LHU 1 1 +auto_SLTI auto_C_LH 1 1 +auto_SLTI auto_C_SB 2 1 +auto_SLTI auto_C_ZEXT_B 94 1 +auto_SLTI auto_C_SEXT_B 98 1 +auto_SLTI auto_C_ZEXT_H 100 1 +auto_SLTI auto_C_SEXT_H 103 1 +auto_SLTI auto_C_NOT 93 1 +auto_SLTI auto_C_MUL 91 1 +auto_SLTIU auto_LUI 324 1 +auto_SLTIU auto_AUIPC 265 1 +auto_SLTIU auto_JAL 72 1 +auto_SLTIU auto_JALR 24 1 +auto_SLTIU auto_BEQ 71 1 +auto_SLTIU auto_BNE 66 1 +auto_SLTIU auto_BLT 55 1 +auto_SLTIU auto_BGE 65 1 +auto_SLTIU auto_BLTU 66 1 +auto_SLTIU auto_BGEU 61 1 +auto_SLTIU auto_LB 387 1 +auto_SLTIU auto_LH 112 1 +auto_SLTIU auto_LW 54 1 +auto_SLTIU auto_LBU 314 1 +auto_SLTIU auto_LHU 122 1 +auto_SLTIU auto_SB 332 1 +auto_SLTIU auto_SH 118 1 +auto_SLTIU auto_SW 72 1 +auto_SLTIU auto_ADDI 424 1 +auto_SLTIU auto_SLTI 249 1 +auto_SLTIU auto_SLTIU 305 1 +auto_SLTIU auto_XORI 267 1 +auto_SLTIU auto_ORI 257 1 +auto_SLTIU auto_ANDI 305 1 +auto_SLTIU auto_SLLI 321 1 +auto_SLTIU auto_SRLI 331 1 +auto_SLTIU auto_SRAI 273 1 +auto_SLTIU auto_ADD 232 1 +auto_SLTIU auto_SUB 237 1 +auto_SLTIU auto_SLL 268 1 +auto_SLTIU auto_SLT 243 1 +auto_SLTIU auto_SLTU 268 1 +auto_SLTIU auto_XOR 226 1 +auto_SLTIU auto_SRL 259 1 +auto_SLTIU auto_SRA 277 1 +auto_SLTIU auto_OR 262 1 +auto_SLTIU auto_AND 261 1 +auto_SLTIU auto_FENCE 95 1 +auto_SLTIU auto_MRET 841 1 +auto_SLTIU auto_WFI 66 1 +auto_SLTIU auto_MUL 241 1 +auto_SLTIU auto_MULH 245 1 +auto_SLTIU auto_MULHSU 269 1 +auto_SLTIU auto_MULHU 279 1 +auto_SLTIU auto_DIV 258 1 +auto_SLTIU auto_DIVU 238 1 +auto_SLTIU auto_REM 250 1 +auto_SLTIU auto_REMU 256 1 +auto_SLTIU auto_C_ADDI4SPN 83 1 +auto_SLTIU auto_C_NOP 636 1 +auto_SLTIU auto_C_ADDI 128 1 +auto_SLTIU auto_C_JAL 27 1 +auto_SLTIU auto_C_LI 99 1 +auto_SLTIU auto_C_ADDI16SP 71 1 +auto_SLTIU auto_C_LUI 74 1 +auto_SLTIU auto_C_SRLI 108 1 +auto_SLTIU auto_C_SRAI 95 1 +auto_SLTIU auto_C_ANDI 85 1 +auto_SLTIU auto_C_SUB 99 1 +auto_SLTIU auto_C_XOR 104 1 +auto_SLTIU auto_C_OR 89 1 +auto_SLTIU auto_C_AND 92 1 +auto_SLTIU auto_C_J 35 1 +auto_SLTIU auto_C_BEQZ 45 1 +auto_SLTIU auto_C_BNEZ 44 1 +auto_SLTIU auto_C_SLLI 153 1 +auto_SLTIU auto_C_LWSP 9 1 +auto_SLTIU auto_C_MV 118 1 +auto_SLTIU auto_C_ADD 167 1 +auto_SLTIU auto_C_SWSP 3 1 +auto_SLTIU auto_SH1ADD 215 1 +auto_SLTIU auto_SH2ADD 196 1 +auto_SLTIU auto_SH3ADD 200 1 +auto_SLTIU auto_CLZ 198 1 +auto_SLTIU auto_CTZ 194 1 +auto_SLTIU auto_CPOP 213 1 +auto_SLTIU auto_MIN 216 1 +auto_SLTIU auto_MAX 207 1 +auto_SLTIU auto_MINU 193 1 +auto_SLTIU auto_MAXU 182 1 +auto_SLTIU auto_SEXT_B 194 1 +auto_SLTIU auto_SEXT_H 194 1 +auto_SLTIU auto_ZEXT_H 195 1 +auto_SLTIU auto_ANDN 222 1 +auto_SLTIU auto_ORN 190 1 +auto_SLTIU auto_XNOR 203 1 +auto_SLTIU auto_ROR 166 1 +auto_SLTIU auto_RORI 199 1 +auto_SLTIU auto_ROL 194 1 +auto_SLTIU auto_REV8 216 1 +auto_SLTIU auto_ORC_B 227 1 +auto_SLTIU auto_CLMUL 212 1 +auto_SLTIU auto_CLMULH 197 1 +auto_SLTIU auto_CLMULR 191 1 +auto_SLTIU auto_BSET 215 1 +auto_SLTIU auto_BSETI 178 1 +auto_SLTIU auto_BCLR 209 1 +auto_SLTIU auto_BCLRI 211 1 +auto_SLTIU auto_BINV 189 1 +auto_SLTIU auto_BINVI 196 1 +auto_SLTIU auto_BEXT 193 1 +auto_SLTIU auto_BEXTI 212 1 +auto_SLTIU auto_CSRRW 63 1 +auto_SLTIU auto_CSRRS 64 1 +auto_SLTIU auto_CSRRC 65 1 +auto_SLTIU auto_CSRRWI 57 1 +auto_SLTIU auto_CSRRSI 55 1 +auto_SLTIU auto_CSRRCI 52 1 +auto_SLTIU auto_C_LBU 3 1 +auto_SLTIU auto_C_SB 2 1 +auto_SLTIU auto_C_SH 1 1 +auto_SLTIU auto_C_ZEXT_B 72 1 +auto_SLTIU auto_C_SEXT_B 84 1 +auto_SLTIU auto_C_ZEXT_H 122 1 +auto_SLTIU auto_C_SEXT_H 122 1 +auto_SLTIU auto_C_NOT 100 1 +auto_SLTIU auto_C_MUL 101 1 +auto_XORI auto_LUI 251 1 +auto_XORI auto_AUIPC 277 1 +auto_XORI auto_JAL 67 1 +auto_XORI auto_JALR 10 1 +auto_XORI auto_BEQ 61 1 +auto_XORI auto_BNE 58 1 +auto_XORI auto_BLT 85 1 +auto_XORI auto_BGE 56 1 +auto_XORI auto_BLTU 68 1 +auto_XORI auto_BGEU 64 1 +auto_XORI auto_LB 352 1 +auto_XORI auto_LH 97 1 +auto_XORI auto_LW 104 1 +auto_XORI auto_LBU 348 1 +auto_XORI auto_LHU 98 1 +auto_XORI auto_SB 361 1 +auto_XORI auto_SH 94 1 +auto_XORI auto_SW 81 1 +auto_XORI auto_ADDI 451 1 +auto_XORI auto_SLTI 235 1 +auto_XORI auto_SLTIU 262 1 +auto_XORI auto_XORI 261 1 +auto_XORI auto_ORI 230 1 +auto_XORI auto_ANDI 403 1 +auto_XORI auto_SLLI 229 1 +auto_XORI auto_SRLI 252 1 +auto_XORI auto_SRAI 263 1 +auto_XORI auto_ADD 226 1 +auto_XORI auto_SUB 264 1 +auto_XORI auto_SLL 259 1 +auto_XORI auto_SLT 275 1 +auto_XORI auto_SLTU 254 1 +auto_XORI auto_XOR 238 1 +auto_XORI auto_SRL 286 1 +auto_XORI auto_SRA 250 1 +auto_XORI auto_OR 247 1 +auto_XORI auto_AND 269 1 +auto_XORI auto_FENCE 88 1 +auto_XORI auto_MRET 835 1 +auto_XORI auto_WFI 72 1 +auto_XORI auto_MUL 310 1 +auto_XORI auto_MULH 241 1 +auto_XORI auto_MULHSU 276 1 +auto_XORI auto_MULHU 279 1 +auto_XORI auto_DIV 235 1 +auto_XORI auto_DIVU 252 1 +auto_XORI auto_REM 276 1 +auto_XORI auto_REMU 282 1 +auto_XORI auto_C_ADDI4SPN 73 1 +auto_XORI auto_C_NOP 617 1 +auto_XORI auto_C_ADDI 113 1 +auto_XORI auto_C_JAL 26 1 +auto_XORI auto_C_LI 103 1 +auto_XORI auto_C_ADDI16SP 72 1 +auto_XORI auto_C_LUI 114 1 +auto_XORI auto_C_SRLI 113 1 +auto_XORI auto_C_SRAI 114 1 +auto_XORI auto_C_ANDI 97 1 +auto_XORI auto_C_SUB 117 1 +auto_XORI auto_C_XOR 111 1 +auto_XORI auto_C_OR 102 1 +auto_XORI auto_C_AND 112 1 +auto_XORI auto_C_J 29 1 +auto_XORI auto_C_BEQZ 51 1 +auto_XORI auto_C_BNEZ 53 1 +auto_XORI auto_C_SLLI 113 1 +auto_XORI auto_C_LWSP 3 1 +auto_XORI auto_C_JR 3 1 +auto_XORI auto_C_MV 119 1 +auto_XORI auto_C_JALR 2 1 +auto_XORI auto_C_ADD 150 1 +auto_XORI auto_C_SWSP 4 1 +auto_XORI auto_SH1ADD 205 1 +auto_XORI auto_SH2ADD 189 1 +auto_XORI auto_SH3ADD 196 1 +auto_XORI auto_CLZ 224 1 +auto_XORI auto_CTZ 209 1 +auto_XORI auto_CPOP 195 1 +auto_XORI auto_MIN 212 1 +auto_XORI auto_MAX 193 1 +auto_XORI auto_MINU 210 1 +auto_XORI auto_MAXU 187 1 +auto_XORI auto_SEXT_B 209 1 +auto_XORI auto_SEXT_H 204 1 +auto_XORI auto_ZEXT_H 195 1 +auto_XORI auto_ANDN 204 1 +auto_XORI auto_ORN 194 1 +auto_XORI auto_XNOR 208 1 +auto_XORI auto_ROR 173 1 +auto_XORI auto_RORI 185 1 +auto_XORI auto_ROL 208 1 +auto_XORI auto_REV8 199 1 +auto_XORI auto_ORC_B 196 1 +auto_XORI auto_CLMUL 196 1 +auto_XORI auto_CLMULH 172 1 +auto_XORI auto_CLMULR 185 1 +auto_XORI auto_BSET 206 1 +auto_XORI auto_BSETI 195 1 +auto_XORI auto_BCLR 177 1 +auto_XORI auto_BCLRI 186 1 +auto_XORI auto_BINV 176 1 +auto_XORI auto_BINVI 193 1 +auto_XORI auto_BEXT 211 1 +auto_XORI auto_BEXTI 186 1 +auto_XORI auto_CSRRW 53 1 +auto_XORI auto_CSRRS 69 1 +auto_XORI auto_CSRRC 82 1 +auto_XORI auto_CSRRWI 92 1 +auto_XORI auto_CSRRSI 75 1 +auto_XORI auto_CSRRCI 72 1 +auto_XORI auto_C_LBU 1 1 +auto_XORI auto_C_LH 1 1 +auto_XORI auto_C_SB 1 1 +auto_XORI auto_C_ZEXT_B 125 1 +auto_XORI auto_C_SEXT_B 74 1 +auto_XORI auto_C_ZEXT_H 102 1 +auto_XORI auto_C_SEXT_H 119 1 +auto_XORI auto_C_NOT 98 1 +auto_XORI auto_C_MUL 129 1 +auto_ORI auto_LUI 237 1 +auto_ORI auto_AUIPC 291 1 +auto_ORI auto_JAL 60 1 +auto_ORI auto_JALR 29 1 +auto_ORI auto_BEQ 76 1 +auto_ORI auto_BNE 78 1 +auto_ORI auto_BLT 74 1 +auto_ORI auto_BGE 76 1 +auto_ORI auto_BLTU 60 1 +auto_ORI auto_BGEU 73 1 +auto_ORI auto_LB 359 1 +auto_ORI auto_LH 105 1 +auto_ORI auto_LW 74 1 +auto_ORI auto_LBU 318 1 +auto_ORI auto_LHU 111 1 +auto_ORI auto_SB 358 1 +auto_ORI auto_SH 105 1 +auto_ORI auto_SW 73 1 +auto_ORI auto_ADDI 2808 1 +auto_ORI auto_SLTI 233 1 +auto_ORI auto_SLTIU 244 1 +auto_ORI auto_XORI 257 1 +auto_ORI auto_ORI 283 1 +auto_ORI auto_ANDI 256 1 +auto_ORI auto_SLLI 277 1 +auto_ORI auto_SRLI 254 1 +auto_ORI auto_SRAI 239 1 +auto_ORI auto_ADD 202 1 +auto_ORI auto_SUB 226 1 +auto_ORI auto_SLL 245 1 +auto_ORI auto_SLT 311 1 +auto_ORI auto_SLTU 262 1 +auto_ORI auto_XOR 245 1 +auto_ORI auto_SRL 263 1 +auto_ORI auto_SRA 246 1 +auto_ORI auto_OR 256 1 +auto_ORI auto_AND 268 1 +auto_ORI auto_FENCE 107 1 +auto_ORI auto_MRET 789 1 +auto_ORI auto_WFI 139 1 +auto_ORI auto_MUL 244 1 +auto_ORI auto_MULH 274 1 +auto_ORI auto_MULHSU 281 1 +auto_ORI auto_MULHU 240 1 +auto_ORI auto_DIV 253 1 +auto_ORI auto_DIVU 250 1 +auto_ORI auto_REM 255 1 +auto_ORI auto_REMU 257 1 +auto_ORI auto_C_ADDI4SPN 83 1 +auto_ORI auto_C_SW 1 1 +auto_ORI auto_C_NOP 650 1 +auto_ORI auto_C_ADDI 117 1 +auto_ORI auto_C_JAL 18 1 +auto_ORI auto_C_LI 96 1 +auto_ORI auto_C_ADDI16SP 72 1 +auto_ORI auto_C_LUI 99 1 +auto_ORI auto_C_SRLI 102 1 +auto_ORI auto_C_SRAI 104 1 +auto_ORI auto_C_ANDI 97 1 +auto_ORI auto_C_SUB 81 1 +auto_ORI auto_C_XOR 97 1 +auto_ORI auto_C_OR 96 1 +auto_ORI auto_C_AND 85 1 +auto_ORI auto_C_J 38 1 +auto_ORI auto_C_BEQZ 52 1 +auto_ORI auto_C_BNEZ 59 1 +auto_ORI auto_C_SLLI 145 1 +auto_ORI auto_C_LWSP 6 1 +auto_ORI auto_C_JR 4 1 +auto_ORI auto_C_MV 119 1 +auto_ORI auto_C_JALR 2 1 +auto_ORI auto_C_ADD 136 1 +auto_ORI auto_C_SWSP 4 1 +auto_ORI auto_SH1ADD 184 1 +auto_ORI auto_SH2ADD 213 1 +auto_ORI auto_SH3ADD 203 1 +auto_ORI auto_CLZ 191 1 +auto_ORI auto_CTZ 156 1 +auto_ORI auto_CPOP 195 1 +auto_ORI auto_MIN 227 1 +auto_ORI auto_MAX 193 1 +auto_ORI auto_MINU 171 1 +auto_ORI auto_MAXU 212 1 +auto_ORI auto_SEXT_B 203 1 +auto_ORI auto_SEXT_H 175 1 +auto_ORI auto_ZEXT_H 215 1 +auto_ORI auto_ANDN 186 1 +auto_ORI auto_ORN 210 1 +auto_ORI auto_XNOR 198 1 +auto_ORI auto_ROR 184 1 +auto_ORI auto_RORI 187 1 +auto_ORI auto_ROL 173 1 +auto_ORI auto_REV8 203 1 +auto_ORI auto_ORC_B 182 1 +auto_ORI auto_CLMUL 215 1 +auto_ORI auto_CLMULH 186 1 +auto_ORI auto_CLMULR 201 1 +auto_ORI auto_BSET 194 1 +auto_ORI auto_BSETI 186 1 +auto_ORI auto_BCLR 205 1 +auto_ORI auto_BCLRI 182 1 +auto_ORI auto_BINV 197 1 +auto_ORI auto_BINVI 199 1 +auto_ORI auto_BEXT 221 1 +auto_ORI auto_BEXTI 190 1 +auto_ORI auto_CSRRW 61 1 +auto_ORI auto_CSRRS 68 1 +auto_ORI auto_CSRRC 75 1 +auto_ORI auto_CSRRWI 72 1 +auto_ORI auto_CSRRSI 71 1 +auto_ORI auto_CSRRCI 73 1 +auto_ORI auto_C_LBU 3 1 +auto_ORI auto_C_SB 2 1 +auto_ORI auto_C_ZEXT_B 94 1 +auto_ORI auto_C_SEXT_B 104 1 +auto_ORI auto_C_ZEXT_H 95 1 +auto_ORI auto_C_SEXT_H 84 1 +auto_ORI auto_C_NOT 96 1 +auto_ORI auto_C_MUL 87 1 +auto_ANDI auto_LUI 248 1 +auto_ANDI auto_AUIPC 357 1 +auto_ANDI auto_JAL 77 1 +auto_ANDI auto_JALR 39 1 +auto_ANDI auto_BEQ 154 1 +auto_ANDI auto_BNE 147 1 +auto_ANDI auto_BLT 64 1 +auto_ANDI auto_BGE 65 1 +auto_ANDI auto_BLTU 70 1 +auto_ANDI auto_BGEU 93 1 +auto_ANDI auto_LB 322 1 +auto_ANDI auto_LH 109 1 +auto_ANDI auto_LW 50 1 +auto_ANDI auto_LBU 330 1 +auto_ANDI auto_LHU 122 1 +auto_ANDI auto_SB 360 1 +auto_ANDI auto_SH 106 1 +auto_ANDI auto_SW 76 1 +auto_ANDI auto_ADDI 424 1 +auto_ANDI auto_SLTI 290 1 +auto_ANDI auto_SLTIU 284 1 +auto_ANDI auto_XORI 254 1 +auto_ANDI auto_ORI 283 1 +auto_ANDI auto_ANDI 283 1 +auto_ANDI auto_SLLI 265 1 +auto_ANDI auto_SRLI 287 1 +auto_ANDI auto_SRAI 264 1 +auto_ANDI auto_ADD 214 1 +auto_ANDI auto_SUB 289 1 +auto_ANDI auto_SLL 272 1 +auto_ANDI auto_SLT 415 1 +auto_ANDI auto_SLTU 282 1 +auto_ANDI auto_XOR 259 1 +auto_ANDI auto_SRL 266 1 +auto_ANDI auto_SRA 245 1 +auto_ANDI auto_OR 247 1 +auto_ANDI auto_AND 321 1 +auto_ANDI auto_FENCE 116 1 +auto_ANDI auto_MRET 876 1 +auto_ANDI auto_WFI 122 1 +auto_ANDI auto_MUL 350 1 +auto_ANDI auto_MULH 250 1 +auto_ANDI auto_MULHSU 276 1 +auto_ANDI auto_MULHU 293 1 +auto_ANDI auto_DIV 285 1 +auto_ANDI auto_DIVU 251 1 +auto_ANDI auto_REM 257 1 +auto_ANDI auto_REMU 261 1 +auto_ANDI auto_C_ADDI4SPN 90 1 +auto_ANDI auto_C_LW 1 1 +auto_ANDI auto_C_SW 1 1 +auto_ANDI auto_C_NOP 675 1 +auto_ANDI auto_C_ADDI 109 1 +auto_ANDI auto_C_JAL 28 1 +auto_ANDI auto_C_LI 119 1 +auto_ANDI auto_C_ADDI16SP 69 1 +auto_ANDI auto_C_LUI 86 1 +auto_ANDI auto_C_SRLI 88 1 +auto_ANDI auto_C_SRAI 93 1 +auto_ANDI auto_C_ANDI 89 1 +auto_ANDI auto_C_SUB 89 1 +auto_ANDI auto_C_XOR 117 1 +auto_ANDI auto_C_OR 104 1 +auto_ANDI auto_C_AND 114 1 +auto_ANDI auto_C_J 38 1 +auto_ANDI auto_C_BEQZ 59 1 +auto_ANDI auto_C_BNEZ 36 1 +auto_ANDI auto_C_SLLI 126 1 +auto_ANDI auto_C_LWSP 3 1 +auto_ANDI auto_C_MV 138 1 +auto_ANDI auto_C_JALR 2 1 +auto_ANDI auto_C_ADD 132 1 +auto_ANDI auto_C_SWSP 7 1 +auto_ANDI auto_SH1ADD 190 1 +auto_ANDI auto_SH2ADD 195 1 +auto_ANDI auto_SH3ADD 193 1 +auto_ANDI auto_CLZ 196 1 +auto_ANDI auto_CTZ 195 1 +auto_ANDI auto_CPOP 189 1 +auto_ANDI auto_MIN 200 1 +auto_ANDI auto_MAX 190 1 +auto_ANDI auto_MINU 218 1 +auto_ANDI auto_MAXU 201 1 +auto_ANDI auto_SEXT_B 164 1 +auto_ANDI auto_SEXT_H 193 1 +auto_ANDI auto_ZEXT_H 183 1 +auto_ANDI auto_ANDN 181 1 +auto_ANDI auto_ORN 190 1 +auto_ANDI auto_XNOR 199 1 +auto_ANDI auto_ROR 214 1 +auto_ANDI auto_RORI 180 1 +auto_ANDI auto_ROL 199 1 +auto_ANDI auto_REV8 196 1 +auto_ANDI auto_ORC_B 208 1 +auto_ANDI auto_CLMUL 216 1 +auto_ANDI auto_CLMULH 217 1 +auto_ANDI auto_CLMULR 211 1 +auto_ANDI auto_BSET 197 1 +auto_ANDI auto_BSETI 208 1 +auto_ANDI auto_BCLR 173 1 +auto_ANDI auto_BCLRI 185 1 +auto_ANDI auto_BINV 209 1 +auto_ANDI auto_BINVI 205 1 +auto_ANDI auto_BEXT 202 1 +auto_ANDI auto_BEXTI 202 1 +auto_ANDI auto_CSRRW 59 1 +auto_ANDI auto_CSRRS 80 1 +auto_ANDI auto_CSRRC 67 1 +auto_ANDI auto_CSRRWI 70 1 +auto_ANDI auto_CSRRSI 63 1 +auto_ANDI auto_CSRRCI 60 1 +auto_ANDI auto_C_LBU 3 1 +auto_ANDI auto_C_SB 2 1 +auto_ANDI auto_C_ZEXT_B 96 1 +auto_ANDI auto_C_SEXT_B 95 1 +auto_ANDI auto_C_ZEXT_H 94 1 +auto_ANDI auto_C_SEXT_H 107 1 +auto_ANDI auto_C_NOT 84 1 +auto_ANDI auto_C_MUL 101 1 +auto_SLLI auto_LUI 277 1 +auto_SLLI auto_AUIPC 251 1 +auto_SLLI auto_JAL 63 1 +auto_SLLI auto_JALR 15 1 +auto_SLLI auto_BEQ 77 1 +auto_SLLI auto_BNE 52 1 +auto_SLLI auto_BLT 72 1 +auto_SLLI auto_BGE 108 1 +auto_SLLI auto_BLTU 87 1 +auto_SLLI auto_BGEU 64 1 +auto_SLLI auto_LB 323 1 +auto_SLLI auto_LH 94 1 +auto_SLLI auto_LW 101 1 +auto_SLLI auto_LBU 358 1 +auto_SLLI auto_LHU 105 1 +auto_SLLI auto_SB 360 1 +auto_SLLI auto_SH 116 1 +auto_SLLI auto_SW 95 1 +auto_SLLI auto_ADDI 490 1 +auto_SLLI auto_SLTI 240 1 +auto_SLLI auto_SLTIU 252 1 +auto_SLLI auto_XORI 262 1 +auto_SLLI auto_ORI 241 1 +auto_SLLI auto_ANDI 230 1 +auto_SLLI auto_SLLI 290 1 +auto_SLLI auto_SRLI 242 1 +auto_SLLI auto_SRAI 253 1 +auto_SLLI auto_ADD 330 1 +auto_SLLI auto_SUB 246 1 +auto_SLLI auto_SLL 339 1 +auto_SLLI auto_SLT 211 1 +auto_SLLI auto_SLTU 266 1 +auto_SLLI auto_XOR 254 1 +auto_SLLI auto_SRL 282 1 +auto_SLLI auto_SRA 248 1 +auto_SLLI auto_OR 271 1 +auto_SLLI auto_AND 291 1 +auto_SLLI auto_FENCE 107 1 +auto_SLLI auto_MRET 736 1 +auto_SLLI auto_WFI 55 1 +auto_SLLI auto_MUL 251 1 +auto_SLLI auto_MULH 286 1 +auto_SLLI auto_MULHSU 238 1 +auto_SLLI auto_MULHU 253 1 +auto_SLLI auto_DIV 245 1 +auto_SLLI auto_DIVU 269 1 +auto_SLLI auto_REM 256 1 +auto_SLLI auto_REMU 276 1 +auto_SLLI auto_C_ADDI4SPN 61 1 +auto_SLLI auto_C_LW 2 1 +auto_SLLI auto_C_NOP 622 1 +auto_SLLI auto_C_ADDI 197 1 +auto_SLLI auto_C_JAL 27 1 +auto_SLLI auto_C_LI 107 1 +auto_SLLI auto_C_ADDI16SP 67 1 +auto_SLLI auto_C_LUI 99 1 +auto_SLLI auto_C_SRLI 103 1 +auto_SLLI auto_C_SRAI 92 1 +auto_SLLI auto_C_ANDI 82 1 +auto_SLLI auto_C_SUB 74 1 +auto_SLLI auto_C_XOR 104 1 +auto_SLLI auto_C_OR 101 1 +auto_SLLI auto_C_AND 83 1 +auto_SLLI auto_C_J 32 1 +auto_SLLI auto_C_BEQZ 46 1 +auto_SLLI auto_C_BNEZ 44 1 +auto_SLLI auto_C_SLLI 160 1 +auto_SLLI auto_C_LWSP 7 1 +auto_SLLI auto_C_MV 97 1 +auto_SLLI auto_C_JALR 1 1 +auto_SLLI auto_C_ADD 166 1 +auto_SLLI auto_C_SWSP 2 1 +auto_SLLI auto_SH1ADD 198 1 +auto_SLLI auto_SH2ADD 191 1 +auto_SLLI auto_SH3ADD 212 1 +auto_SLLI auto_CLZ 182 1 +auto_SLLI auto_CTZ 176 1 +auto_SLLI auto_CPOP 198 1 +auto_SLLI auto_MIN 170 1 +auto_SLLI auto_MAX 200 1 +auto_SLLI auto_MINU 177 1 +auto_SLLI auto_MAXU 192 1 +auto_SLLI auto_SEXT_B 179 1 +auto_SLLI auto_SEXT_H 182 1 +auto_SLLI auto_ZEXT_H 190 1 +auto_SLLI auto_ANDN 174 1 +auto_SLLI auto_ORN 188 1 +auto_SLLI auto_XNOR 189 1 +auto_SLLI auto_ROR 184 1 +auto_SLLI auto_RORI 217 1 +auto_SLLI auto_ROL 196 1 +auto_SLLI auto_REV8 191 1 +auto_SLLI auto_ORC_B 191 1 +auto_SLLI auto_CLMUL 191 1 +auto_SLLI auto_CLMULH 205 1 +auto_SLLI auto_CLMULR 176 1 +auto_SLLI auto_BSET 205 1 +auto_SLLI auto_BSETI 204 1 +auto_SLLI auto_BCLR 160 1 +auto_SLLI auto_BCLRI 193 1 +auto_SLLI auto_BINV 188 1 +auto_SLLI auto_BINVI 190 1 +auto_SLLI auto_BEXT 202 1 +auto_SLLI auto_BEXTI 167 1 +auto_SLLI auto_CSRRW 61 1 +auto_SLLI auto_CSRRS 60 1 +auto_SLLI auto_CSRRC 69 1 +auto_SLLI auto_CSRRWI 82 1 +auto_SLLI auto_CSRRSI 59 1 +auto_SLLI auto_CSRRCI 82 1 +auto_SLLI auto_C_LBU 2 1 +auto_SLLI auto_C_SB 4 1 +auto_SLLI auto_C_ZEXT_B 83 1 +auto_SLLI auto_C_SEXT_B 84 1 +auto_SLLI auto_C_ZEXT_H 85 1 +auto_SLLI auto_C_SEXT_H 105 1 +auto_SLLI auto_C_NOT 57 1 +auto_SLLI auto_C_MUL 95 1 +auto_SRLI auto_LUI 268 1 +auto_SRLI auto_AUIPC 279 1 +auto_SRLI auto_JAL 63 1 +auto_SRLI auto_JALR 21 1 +auto_SRLI auto_BEQ 88 1 +auto_SRLI auto_BNE 151 1 +auto_SRLI auto_BLT 58 1 +auto_SRLI auto_BGE 56 1 +auto_SRLI auto_BLTU 150 1 +auto_SRLI auto_BGEU 70 1 +auto_SRLI auto_LB 374 1 +auto_SRLI auto_LH 110 1 +auto_SRLI auto_LW 60 1 +auto_SRLI auto_LBU 354 1 +auto_SRLI auto_LHU 96 1 +auto_SRLI auto_SB 342 1 +auto_SRLI auto_SH 102 1 +auto_SRLI auto_SW 45 1 +auto_SRLI auto_ADDI 409 1 +auto_SRLI auto_SLTI 268 1 +auto_SRLI auto_SLTIU 242 1 +auto_SRLI auto_XORI 270 1 +auto_SRLI auto_ORI 276 1 +auto_SRLI auto_ANDI 258 1 +auto_SRLI auto_SLLI 290 1 +auto_SRLI auto_SRLI 280 1 +auto_SRLI auto_SRAI 247 1 +auto_SRLI auto_ADD 237 1 +auto_SRLI auto_SUB 263 1 +auto_SRLI auto_SLL 263 1 +auto_SRLI auto_SLT 269 1 +auto_SRLI auto_SLTU 232 1 +auto_SRLI auto_XOR 223 1 +auto_SRLI auto_SRL 260 1 +auto_SRLI auto_SRA 251 1 +auto_SRLI auto_OR 281 1 +auto_SRLI auto_AND 232 1 +auto_SRLI auto_FENCE 101 1 +auto_SRLI auto_MRET 813 1 +auto_SRLI auto_WFI 58 1 +auto_SRLI auto_MUL 252 1 +auto_SRLI auto_MULH 298 1 +auto_SRLI auto_MULHSU 264 1 +auto_SRLI auto_MULHU 228 1 +auto_SRLI auto_DIV 272 1 +auto_SRLI auto_DIVU 279 1 +auto_SRLI auto_REM 272 1 +auto_SRLI auto_REMU 450 1 +auto_SRLI auto_C_ADDI4SPN 77 1 +auto_SRLI auto_C_LW 1 1 +auto_SRLI auto_C_SW 1 1 +auto_SRLI auto_C_NOP 659 1 +auto_SRLI auto_C_ADDI 124 1 +auto_SRLI auto_C_JAL 27 1 +auto_SRLI auto_C_LI 113 1 +auto_SRLI auto_C_ADDI16SP 88 1 +auto_SRLI auto_C_LUI 124 1 +auto_SRLI auto_C_SRLI 103 1 +auto_SRLI auto_C_SRAI 122 1 +auto_SRLI auto_C_ANDI 94 1 +auto_SRLI auto_C_SUB 90 1 +auto_SRLI auto_C_XOR 97 1 +auto_SRLI auto_C_OR 92 1 +auto_SRLI auto_C_AND 88 1 +auto_SRLI auto_C_J 39 1 +auto_SRLI auto_C_BEQZ 43 1 +auto_SRLI auto_C_BNEZ 46 1 +auto_SRLI auto_C_SLLI 129 1 +auto_SRLI auto_C_LWSP 6 1 +auto_SRLI auto_C_MV 114 1 +auto_SRLI auto_C_ADD 116 1 +auto_SRLI auto_C_SWSP 8 1 +auto_SRLI auto_SH1ADD 190 1 +auto_SRLI auto_SH2ADD 181 1 +auto_SRLI auto_SH3ADD 189 1 +auto_SRLI auto_CLZ 206 1 +auto_SRLI auto_CTZ 202 1 +auto_SRLI auto_CPOP 210 1 +auto_SRLI auto_MIN 199 1 +auto_SRLI auto_MAX 162 1 +auto_SRLI auto_MINU 207 1 +auto_SRLI auto_MAXU 202 1 +auto_SRLI auto_SEXT_B 209 1 +auto_SRLI auto_SEXT_H 185 1 +auto_SRLI auto_ZEXT_H 185 1 +auto_SRLI auto_ANDN 200 1 +auto_SRLI auto_ORN 199 1 +auto_SRLI auto_XNOR 188 1 +auto_SRLI auto_ROR 180 1 +auto_SRLI auto_RORI 196 1 +auto_SRLI auto_ROL 181 1 +auto_SRLI auto_REV8 206 1 +auto_SRLI auto_ORC_B 209 1 +auto_SRLI auto_CLMUL 220 1 +auto_SRLI auto_CLMULH 210 1 +auto_SRLI auto_CLMULR 192 1 +auto_SRLI auto_BSET 207 1 +auto_SRLI auto_BSETI 215 1 +auto_SRLI auto_BCLR 205 1 +auto_SRLI auto_BCLRI 192 1 +auto_SRLI auto_BINV 227 1 +auto_SRLI auto_BINVI 209 1 +auto_SRLI auto_BEXT 194 1 +auto_SRLI auto_BEXTI 193 1 +auto_SRLI auto_CSRRW 81 1 +auto_SRLI auto_CSRRS 200195 1 +auto_SRLI auto_CSRRC 70 1 +auto_SRLI auto_CSRRWI 56 1 +auto_SRLI auto_CSRRSI 75 1 +auto_SRLI auto_CSRRCI 74 1 +auto_SRLI auto_C_LBU 1 1 +auto_SRLI auto_C_SB 3 1 +auto_SRLI auto_C_ZEXT_B 119 1 +auto_SRLI auto_C_SEXT_B 101 1 +auto_SRLI auto_C_ZEXT_H 76 1 +auto_SRLI auto_C_SEXT_H 89 1 +auto_SRLI auto_C_NOT 93 1 +auto_SRLI auto_C_MUL 96 1 +auto_SRAI auto_LUI 264 1 +auto_SRAI auto_AUIPC 258 1 +auto_SRAI auto_JAL 62 1 +auto_SRAI auto_JALR 6 1 +auto_SRAI auto_BEQ 76 1 +auto_SRAI auto_BNE 89 1 +auto_SRAI auto_BLT 78 1 +auto_SRAI auto_BGE 89 1 +auto_SRAI auto_BLTU 73 1 +auto_SRAI auto_BGEU 61 1 +auto_SRAI auto_LB 345 1 +auto_SRAI auto_LH 104 1 +auto_SRAI auto_LW 71 1 +auto_SRAI auto_LBU 341 1 +auto_SRAI auto_LHU 116 1 +auto_SRAI auto_SB 332 1 +auto_SRAI auto_SH 99 1 +auto_SRAI auto_SW 92 1 +auto_SRAI auto_ADDI 415 1 +auto_SRAI auto_SLTI 256 1 +auto_SRAI auto_SLTIU 374 1 +auto_SRAI auto_XORI 256 1 +auto_SRAI auto_ORI 267 1 +auto_SRAI auto_ANDI 243 1 +auto_SRAI auto_SLLI 266 1 +auto_SRAI auto_SRLI 245 1 +auto_SRAI auto_SRAI 316 1 +auto_SRAI auto_ADD 215 1 +auto_SRAI auto_SUB 287 1 +auto_SRAI auto_SLL 327 1 +auto_SRAI auto_SLT 240 1 +auto_SRAI auto_SLTU 273 1 +auto_SRAI auto_XOR 244 1 +auto_SRAI auto_SRL 351 1 +auto_SRAI auto_SRA 242 1 +auto_SRAI auto_OR 243 1 +auto_SRAI auto_AND 357 1 +auto_SRAI auto_FENCE 104 1 +auto_SRAI auto_MRET 770 1 +auto_SRAI auto_WFI 57 1 +auto_SRAI auto_MUL 214 1 +auto_SRAI auto_MULH 254 1 +auto_SRAI auto_MULHSU 268 1 +auto_SRAI auto_MULHU 260 1 +auto_SRAI auto_DIV 240 1 +auto_SRAI auto_DIVU 248 1 +auto_SRAI auto_REM 261 1 +auto_SRAI auto_REMU 260 1 +auto_SRAI auto_C_ADDI4SPN 77 1 +auto_SRAI auto_C_LW 1 1 +auto_SRAI auto_C_SW 2 1 +auto_SRAI auto_C_NOP 621 1 +auto_SRAI auto_C_ADDI 99 1 +auto_SRAI auto_C_JAL 23 1 +auto_SRAI auto_C_LI 78 1 +auto_SRAI auto_C_ADDI16SP 71 1 +auto_SRAI auto_C_LUI 103 1 +auto_SRAI auto_C_SRLI 90 1 +auto_SRAI auto_C_SRAI 105 1 +auto_SRAI auto_C_ANDI 85 1 +auto_SRAI auto_C_SUB 91 1 +auto_SRAI auto_C_XOR 103 1 +auto_SRAI auto_C_OR 105 1 +auto_SRAI auto_C_AND 89 1 +auto_SRAI auto_C_J 25 1 +auto_SRAI auto_C_BEQZ 40 1 +auto_SRAI auto_C_BNEZ 40 1 +auto_SRAI auto_C_SLLI 131 1 +auto_SRAI auto_C_LWSP 4 1 +auto_SRAI auto_C_JR 1 1 +auto_SRAI auto_C_MV 129 1 +auto_SRAI auto_C_ADD 143 1 +auto_SRAI auto_C_SWSP 6 1 +auto_SRAI auto_SH1ADD 185 1 +auto_SRAI auto_SH2ADD 179 1 +auto_SRAI auto_SH3ADD 209 1 +auto_SRAI auto_CLZ 216 1 +auto_SRAI auto_CTZ 200 1 +auto_SRAI auto_CPOP 215 1 +auto_SRAI auto_MIN 213 1 +auto_SRAI auto_MAX 160 1 +auto_SRAI auto_MINU 187 1 +auto_SRAI auto_MAXU 215 1 +auto_SRAI auto_SEXT_B 189 1 +auto_SRAI auto_SEXT_H 183 1 +auto_SRAI auto_ZEXT_H 184 1 +auto_SRAI auto_ANDN 201 1 +auto_SRAI auto_ORN 196 1 +auto_SRAI auto_XNOR 198 1 +auto_SRAI auto_ROR 195 1 +auto_SRAI auto_RORI 240 1 +auto_SRAI auto_ROL 200 1 +auto_SRAI auto_REV8 191 1 +auto_SRAI auto_ORC_B 180 1 +auto_SRAI auto_CLMUL 190 1 +auto_SRAI auto_CLMULH 204 1 +auto_SRAI auto_CLMULR 189 1 +auto_SRAI auto_BSET 200 1 +auto_SRAI auto_BSETI 206 1 +auto_SRAI auto_BCLR 181 1 +auto_SRAI auto_BCLRI 211 1 +auto_SRAI auto_BINV 189 1 +auto_SRAI auto_BINVI 218 1 +auto_SRAI auto_BEXT 200 1 +auto_SRAI auto_BEXTI 184 1 +auto_SRAI auto_CSRRW 59 1 +auto_SRAI auto_CSRRS 55 1 +auto_SRAI auto_CSRRC 63 1 +auto_SRAI auto_CSRRWI 61 1 +auto_SRAI auto_CSRRSI 71 1 +auto_SRAI auto_CSRRCI 91 1 +auto_SRAI auto_C_LBU 3 1 +auto_SRAI auto_C_LH 2 1 +auto_SRAI auto_C_SB 3 1 +auto_SRAI auto_C_ZEXT_B 74 1 +auto_SRAI auto_C_SEXT_B 95 1 +auto_SRAI auto_C_ZEXT_H 89 1 +auto_SRAI auto_C_SEXT_H 74 1 +auto_SRAI auto_C_NOT 95 1 +auto_SRAI auto_C_MUL 78 1 +auto_ADD auto_LUI 209 1 +auto_ADD auto_AUIPC 222 1 +auto_ADD auto_JAL 69 1 +auto_ADD auto_JALR 12 1 +auto_ADD auto_BEQ 61 1 +auto_ADD auto_BNE 52 1 +auto_ADD auto_BLT 66 1 +auto_ADD auto_BGE 82 1 +auto_ADD auto_BLTU 59 1 +auto_ADD auto_BGEU 86 1 +auto_ADD auto_LB 331 1 +auto_ADD auto_LH 107 1 +auto_ADD auto_LW 42 1 +auto_ADD auto_LBU 312 1 +auto_ADD auto_LHU 101 1 +auto_ADD auto_SB 301 1 +auto_ADD auto_SH 92 1 +auto_ADD auto_SW 696055 1 +auto_ADD auto_ADDI 262592 1 +auto_ADD auto_SLTI 239 1 +auto_ADD auto_SLTIU 198 1 +auto_ADD auto_XORI 240 1 +auto_ADD auto_ORI 276 1 +auto_ADD auto_ANDI 270 1 +auto_ADD auto_SLLI 252 1 +auto_ADD auto_SRLI 200 1 +auto_ADD auto_SRAI 200 1 +auto_ADD auto_ADD 261 1 +auto_ADD auto_SUB 218 1 +auto_ADD auto_SLL 205 1 +auto_ADD auto_SLT 213 1 +auto_ADD auto_SLTU 402 1 +auto_ADD auto_XOR 181 1 +auto_ADD auto_SRL 240 1 +auto_ADD auto_SRA 234 1 +auto_ADD auto_OR 223 1 +auto_ADD auto_AND 227 1 +auto_ADD auto_FENCE 79 1 +auto_ADD auto_MRET 733 1 +auto_ADD auto_WFI 71 1 +auto_ADD auto_MUL 257 1 +auto_ADD auto_MULH 201 1 +auto_ADD auto_MULHSU 314 1 +auto_ADD auto_MULHU 229 1 +auto_ADD auto_DIV 220 1 +auto_ADD auto_DIVU 278 1 +auto_ADD auto_REM 208 1 +auto_ADD auto_REMU 242 1 +auto_ADD auto_C_ADDI4SPN 68 1 +auto_ADD auto_C_SW 31865 1 +auto_ADD auto_C_NOP 607 1 +auto_ADD auto_C_ADDI 99 1 +auto_ADD auto_C_JAL 28 1 +auto_ADD auto_C_LI 95 1 +auto_ADD auto_C_ADDI16SP 3023 1 +auto_ADD auto_C_LUI 95 1 +auto_ADD auto_C_SRLI 82 1 +auto_ADD auto_C_SRAI 91 1 +auto_ADD auto_C_ANDI 74 1 +auto_ADD auto_C_SUB 72 1 +auto_ADD auto_C_XOR 91 1 +auto_ADD auto_C_OR 82 1 +auto_ADD auto_C_AND 87 1 +auto_ADD auto_C_J 27 1 +auto_ADD auto_C_BEQZ 43 1 +auto_ADD auto_C_BNEZ 45 1 +auto_ADD auto_C_SLLI 128 1 +auto_ADD auto_C_LWSP 5 1 +auto_ADD auto_C_MV 90 1 +auto_ADD auto_C_ADD 106 1 +auto_ADD auto_C_SWSP 7794 1 +auto_ADD auto_SH1ADD 155 1 +auto_ADD auto_SH2ADD 148 1 +auto_ADD auto_SH3ADD 157 1 +auto_ADD auto_CLZ 140 1 +auto_ADD auto_CTZ 139 1 +auto_ADD auto_CPOP 146 1 +auto_ADD auto_MIN 153 1 +auto_ADD auto_MAX 179 1 +auto_ADD auto_MINU 153 1 +auto_ADD auto_MAXU 152 1 +auto_ADD auto_SEXT_B 163 1 +auto_ADD auto_SEXT_H 131 1 +auto_ADD auto_ZEXT_H 136 1 +auto_ADD auto_ANDN 160 1 +auto_ADD auto_ORN 151 1 +auto_ADD auto_XNOR 149 1 +auto_ADD auto_ROR 151 1 +auto_ADD auto_RORI 174 1 +auto_ADD auto_ROL 175 1 +auto_ADD auto_REV8 156 1 +auto_ADD auto_ORC_B 146 1 +auto_ADD auto_CLMUL 135 1 +auto_ADD auto_CLMULH 186 1 +auto_ADD auto_CLMULR 150 1 +auto_ADD auto_BSET 154 1 +auto_ADD auto_BSETI 153 1 +auto_ADD auto_BCLR 171 1 +auto_ADD auto_BCLRI 144 1 +auto_ADD auto_BINV 173 1 +auto_ADD auto_BINVI 168 1 +auto_ADD auto_BEXT 163 1 +auto_ADD auto_BEXTI 160 1 +auto_ADD auto_CSRRW 60218 1 +auto_ADD auto_CSRRS 69 1 +auto_ADD auto_CSRRC 68 1 +auto_ADD auto_CSRRWI 61 1 +auto_ADD auto_CSRRSI 62 1 +auto_ADD auto_CSRRCI 55 1 +auto_ADD auto_C_LBU 3 1 +auto_ADD auto_C_SB 3 1 +auto_ADD auto_C_ZEXT_B 108 1 +auto_ADD auto_C_SEXT_B 88 1 +auto_ADD auto_C_ZEXT_H 83 1 +auto_ADD auto_C_SEXT_H 81 1 +auto_ADD auto_C_NOT 77 1 +auto_ADD auto_C_MUL 89 1 +auto_SUB auto_LUI 277 1 +auto_SUB auto_AUIPC 255 1 +auto_SUB auto_JAL 57 1 +auto_SUB auto_JALR 19 1 +auto_SUB auto_BEQ 68 1 +auto_SUB auto_BNE 70 1 +auto_SUB auto_BLT 77 1 +auto_SUB auto_BGE 163 1 +auto_SUB auto_BLTU 67 1 +auto_SUB auto_BGEU 64 1 +auto_SUB auto_LB 335 1 +auto_SUB auto_LH 101 1 +auto_SUB auto_LW 73 1 +auto_SUB auto_LBU 326 1 +auto_SUB auto_LHU 110 1 +auto_SUB auto_SB 323 1 +auto_SUB auto_SH 112 1 +auto_SUB auto_SW 54 1 +auto_SUB auto_ADDI 394 1 +auto_SUB auto_SLTI 264 1 +auto_SUB auto_SLTIU 278 1 +auto_SUB auto_XORI 254 1 +auto_SUB auto_ORI 251 1 +auto_SUB auto_ANDI 265 1 +auto_SUB auto_SLLI 241 1 +auto_SUB auto_SRLI 284 1 +auto_SUB auto_SRAI 238 1 +auto_SUB auto_ADD 242 1 +auto_SUB auto_SUB 292 1 +auto_SUB auto_SLL 273 1 +auto_SUB auto_SLT 252 1 +auto_SUB auto_SLTU 302 1 +auto_SUB auto_XOR 237 1 +auto_SUB auto_SRL 236 1 +auto_SUB auto_SRA 255 1 +auto_SUB auto_OR 217 1 +auto_SUB auto_AND 235 1 +auto_SUB auto_FENCE 87 1 +auto_SUB auto_MRET 793 1 +auto_SUB auto_WFI 71 1 +auto_SUB auto_MUL 261 1 +auto_SUB auto_MULH 350 1 +auto_SUB auto_MULHSU 265 1 +auto_SUB auto_MULHU 253 1 +auto_SUB auto_DIV 249 1 +auto_SUB auto_DIVU 247 1 +auto_SUB auto_REM 230 1 +auto_SUB auto_REMU 293 1 +auto_SUB auto_C_ADDI4SPN 88 1 +auto_SUB auto_C_LW 1 1 +auto_SUB auto_C_NOP 767 1 +auto_SUB auto_C_ADDI 136 1 +auto_SUB auto_C_JAL 44 1 +auto_SUB auto_C_LI 89 1 +auto_SUB auto_C_ADDI16SP 69 1 +auto_SUB auto_C_LUI 94 1 +auto_SUB auto_C_SRLI 82 1 +auto_SUB auto_C_SRAI 101 1 +auto_SUB auto_C_ANDI 99 1 +auto_SUB auto_C_SUB 88 1 +auto_SUB auto_C_XOR 100 1 +auto_SUB auto_C_OR 118 1 +auto_SUB auto_C_AND 108 1 +auto_SUB auto_C_J 33 1 +auto_SUB auto_C_BEQZ 44 1 +auto_SUB auto_C_BNEZ 44 1 +auto_SUB auto_C_SLLI 112 1 +auto_SUB auto_C_LWSP 3 1 +auto_SUB auto_C_MV 121 1 +auto_SUB auto_C_JALR 2 1 +auto_SUB auto_C_ADD 127 1 +auto_SUB auto_C_SWSP 9 1 +auto_SUB auto_SH1ADD 187 1 +auto_SUB auto_SH2ADD 181 1 +auto_SUB auto_SH3ADD 207 1 +auto_SUB auto_CLZ 176 1 +auto_SUB auto_CTZ 214 1 +auto_SUB auto_CPOP 196 1 +auto_SUB auto_MIN 196 1 +auto_SUB auto_MAX 198 1 +auto_SUB auto_MINU 204 1 +auto_SUB auto_MAXU 192 1 +auto_SUB auto_SEXT_B 186 1 +auto_SUB auto_SEXT_H 188 1 +auto_SUB auto_ZEXT_H 176 1 +auto_SUB auto_ANDN 177 1 +auto_SUB auto_ORN 238 1 +auto_SUB auto_XNOR 211 1 +auto_SUB auto_ROR 220 1 +auto_SUB auto_RORI 209 1 +auto_SUB auto_ROL 197 1 +auto_SUB auto_REV8 186 1 +auto_SUB auto_ORC_B 176 1 +auto_SUB auto_CLMUL 214 1 +auto_SUB auto_CLMULH 180 1 +auto_SUB auto_CLMULR 188 1 +auto_SUB auto_BSET 190 1 +auto_SUB auto_BSETI 182 1 +auto_SUB auto_BCLR 196 1 +auto_SUB auto_BCLRI 195 1 +auto_SUB auto_BINV 181 1 +auto_SUB auto_BINVI 197 1 +auto_SUB auto_BEXT 204 1 +auto_SUB auto_BEXTI 198 1 +auto_SUB auto_CSRRW 87 1 +auto_SUB auto_CSRRS 84 1 +auto_SUB auto_CSRRC 52 1 +auto_SUB auto_CSRRWI 77 1 +auto_SUB auto_CSRRSI 98 1 +auto_SUB auto_CSRRCI 80 1 +auto_SUB auto_C_LBU 6 1 +auto_SUB auto_C_SB 1 1 +auto_SUB auto_C_ZEXT_B 82 1 +auto_SUB auto_C_SEXT_B 92 1 +auto_SUB auto_C_ZEXT_H 92 1 +auto_SUB auto_C_SEXT_H 86 1 +auto_SUB auto_C_NOT 93 1 +auto_SUB auto_C_MUL 86 1 +auto_SLL auto_LUI 286 1 +auto_SLL auto_AUIPC 243 1 +auto_SLL auto_JAL 80 1 +auto_SLL auto_JALR 16 1 +auto_SLL auto_BEQ 56 1 +auto_SLL auto_BNE 71 1 +auto_SLL auto_BLT 93 1 +auto_SLL auto_BGE 62 1 +auto_SLL auto_BLTU 60 1 +auto_SLL auto_BGEU 39 1 +auto_SLL auto_LB 352 1 +auto_SLL auto_LH 107 1 +auto_SLL auto_LW 83 1 +auto_SLL auto_LBU 317 1 +auto_SLL auto_LHU 96 1 +auto_SLL auto_SB 340 1 +auto_SLL auto_SH 98 1 +auto_SLL auto_SW 58 1 +auto_SLL auto_ADDI 402 1 +auto_SLL auto_SLTI 247 1 +auto_SLL auto_SLTIU 271 1 +auto_SLL auto_XORI 276 1 +auto_SLL auto_ORI 269 1 +auto_SLL auto_ANDI 244 1 +auto_SLL auto_SLLI 285 1 +auto_SLL auto_SRLI 274 1 +auto_SLL auto_SRAI 227 1 +auto_SLL auto_ADD 221 1 +auto_SLL auto_SUB 250 1 +auto_SLL auto_SLL 293 1 +auto_SLL auto_SLT 279 1 +auto_SLL auto_SLTU 246 1 +auto_SLL auto_XOR 242 1 +auto_SLL auto_SRL 227 1 +auto_SLL auto_SRA 272 1 +auto_SLL auto_OR 256 1 +auto_SLL auto_AND 376 1 +auto_SLL auto_FENCE 93 1 +auto_SLL auto_MRET 799 1 +auto_SLL auto_WFI 155 1 +auto_SLL auto_MUL 245 1 +auto_SLL auto_MULH 261 1 +auto_SLL auto_MULHSU 256 1 +auto_SLL auto_MULHU 261 1 +auto_SLL auto_DIV 267 1 +auto_SLL auto_DIVU 243 1 +auto_SLL auto_REM 282 1 +auto_SLL auto_REMU 250 1 +auto_SLL auto_C_ADDI4SPN 92 1 +auto_SLL auto_C_LW 1 1 +auto_SLL auto_C_NOP 648 1 +auto_SLL auto_C_ADDI 116 1 +auto_SLL auto_C_JAL 33 1 +auto_SLL auto_C_LI 110 1 +auto_SLL auto_C_ADDI16SP 66 1 +auto_SLL auto_C_LUI 99 1 +auto_SLL auto_C_SRLI 85 1 +auto_SLL auto_C_SRAI 101 1 +auto_SLL auto_C_ANDI 116 1 +auto_SLL auto_C_SUB 91 1 +auto_SLL auto_C_XOR 95 1 +auto_SLL auto_C_OR 93 1 +auto_SLL auto_C_AND 116 1 +auto_SLL auto_C_J 30 1 +auto_SLL auto_C_BEQZ 49 1 +auto_SLL auto_C_BNEZ 47 1 +auto_SLL auto_C_SLLI 111 1 +auto_SLL auto_C_LWSP 9 1 +auto_SLL auto_C_MV 111 1 +auto_SLL auto_C_JALR 2 1 +auto_SLL auto_C_ADD 143 1 +auto_SLL auto_C_SWSP 6 1 +auto_SLL auto_SH1ADD 197 1 +auto_SLL auto_SH2ADD 168 1 +auto_SLL auto_SH3ADD 183 1 +auto_SLL auto_CLZ 230 1 +auto_SLL auto_CTZ 222 1 +auto_SLL auto_CPOP 173 1 +auto_SLL auto_MIN 196 1 +auto_SLL auto_MAX 226 1 +auto_SLL auto_MINU 192 1 +auto_SLL auto_MAXU 197 1 +auto_SLL auto_SEXT_B 204 1 +auto_SLL auto_SEXT_H 202 1 +auto_SLL auto_ZEXT_H 208 1 +auto_SLL auto_ANDN 161 1 +auto_SLL auto_ORN 209 1 +auto_SLL auto_XNOR 219 1 +auto_SLL auto_ROR 208 1 +auto_SLL auto_RORI 203 1 +auto_SLL auto_ROL 182 1 +auto_SLL auto_REV8 207 1 +auto_SLL auto_ORC_B 186 1 +auto_SLL auto_CLMUL 200 1 +auto_SLL auto_CLMULH 172 1 +auto_SLL auto_CLMULR 185 1 +auto_SLL auto_BSET 184 1 +auto_SLL auto_BSETI 200 1 +auto_SLL auto_BCLR 219 1 +auto_SLL auto_BCLRI 197 1 +auto_SLL auto_BINV 191 1 +auto_SLL auto_BINVI 188 1 +auto_SLL auto_BEXT 181 1 +auto_SLL auto_BEXTI 204 1 +auto_SLL auto_CSRRW 72 1 +auto_SLL auto_CSRRS 65 1 +auto_SLL auto_CSRRC 70 1 +auto_SLL auto_CSRRWI 52 1 +auto_SLL auto_CSRRSI 61 1 +auto_SLL auto_CSRRCI 76 1 +auto_SLL auto_C_LHU 1 1 +auto_SLL auto_C_SB 1 1 +auto_SLL auto_C_ZEXT_B 94 1 +auto_SLL auto_C_SEXT_B 94 1 +auto_SLL auto_C_ZEXT_H 104 1 +auto_SLL auto_C_SEXT_H 95 1 +auto_SLL auto_C_NOT 94 1 +auto_SLL auto_C_MUL 72 1 +auto_SLT auto_LUI 254 1 +auto_SLT auto_AUIPC 295 1 +auto_SLT auto_JAL 75 1 +auto_SLT auto_JALR 9 1 +auto_SLT auto_BEQ 67 1 +auto_SLT auto_BNE 76 1 +auto_SLT auto_BLT 79 1 +auto_SLT auto_BGE 74 1 +auto_SLT auto_BLTU 69 1 +auto_SLT auto_BGEU 77 1 +auto_SLT auto_LB 358 1 +auto_SLT auto_LH 110 1 +auto_SLT auto_LW 42 1 +auto_SLT auto_LBU 365 1 +auto_SLT auto_LHU 105 1 +auto_SLT auto_SB 286 1 +auto_SLT auto_SH 109 1 +auto_SLT auto_SW 69 1 +auto_SLT auto_ADDI 548 1 +auto_SLT auto_SLTI 249 1 +auto_SLT auto_SLTIU 289 1 +auto_SLT auto_XORI 245 1 +auto_SLT auto_ORI 263 1 +auto_SLT auto_ANDI 225 1 +auto_SLT auto_SLLI 286 1 +auto_SLT auto_SRLI 293 1 +auto_SLT auto_SRAI 335 1 +auto_SLT auto_ADD 252 1 +auto_SLT auto_SUB 263 1 +auto_SLT auto_SLL 263 1 +auto_SLT auto_SLT 337 1 +auto_SLT auto_SLTU 264 1 +auto_SLT auto_XOR 328 1 +auto_SLT auto_SRL 265 1 +auto_SLT auto_SRA 262 1 +auto_SLT auto_OR 264 1 +auto_SLT auto_AND 244 1 +auto_SLT auto_FENCE 104 1 +auto_SLT auto_MRET 735 1 +auto_SLT auto_WFI 51 1 +auto_SLT auto_MUL 236 1 +auto_SLT auto_MULH 240 1 +auto_SLT auto_MULHSU 269 1 +auto_SLT auto_MULHU 269 1 +auto_SLT auto_DIV 292 1 +auto_SLT auto_DIVU 291 1 +auto_SLT auto_REM 240 1 +auto_SLT auto_REMU 282 1 +auto_SLT auto_C_ADDI4SPN 79 1 +auto_SLT auto_C_LW 1 1 +auto_SLT auto_C_SW 1 1 +auto_SLT auto_C_NOP 642 1 +auto_SLT auto_C_ADDI 120 1 +auto_SLT auto_C_JAL 27 1 +auto_SLT auto_C_LI 108 1 +auto_SLT auto_C_ADDI16SP 81 1 +auto_SLT auto_C_LUI 122 1 +auto_SLT auto_C_SRLI 114 1 +auto_SLT auto_C_SRAI 105 1 +auto_SLT auto_C_ANDI 87 1 +auto_SLT auto_C_SUB 99 1 +auto_SLT auto_C_XOR 92 1 +auto_SLT auto_C_OR 110 1 +auto_SLT auto_C_AND 115 1 +auto_SLT auto_C_J 25 1 +auto_SLT auto_C_BEQZ 46 1 +auto_SLT auto_C_BNEZ 53 1 +auto_SLT auto_C_SLLI 131 1 +auto_SLT auto_C_LWSP 5 1 +auto_SLT auto_C_JR 1 1 +auto_SLT auto_C_MV 113 1 +auto_SLT auto_C_ADD 143 1 +auto_SLT auto_C_SWSP 2 1 +auto_SLT auto_SH1ADD 215 1 +auto_SLT auto_SH2ADD 229 1 +auto_SLT auto_SH3ADD 180 1 +auto_SLT auto_CLZ 187 1 +auto_SLT auto_CTZ 187 1 +auto_SLT auto_CPOP 175 1 +auto_SLT auto_MIN 226 1 +auto_SLT auto_MAX 188 1 +auto_SLT auto_MINU 179 1 +auto_SLT auto_MAXU 195 1 +auto_SLT auto_SEXT_B 201 1 +auto_SLT auto_SEXT_H 193 1 +auto_SLT auto_ZEXT_H 204 1 +auto_SLT auto_ANDN 211 1 +auto_SLT auto_ORN 197 1 +auto_SLT auto_XNOR 185 1 +auto_SLT auto_ROR 186 1 +auto_SLT auto_RORI 192 1 +auto_SLT auto_ROL 211 1 +auto_SLT auto_REV8 214 1 +auto_SLT auto_ORC_B 223 1 +auto_SLT auto_CLMUL 202 1 +auto_SLT auto_CLMULH 234 1 +auto_SLT auto_CLMULR 197 1 +auto_SLT auto_BSET 217 1 +auto_SLT auto_BSETI 195 1 +auto_SLT auto_BCLR 186 1 +auto_SLT auto_BCLRI 174 1 +auto_SLT auto_BINV 188 1 +auto_SLT auto_BINVI 164 1 +auto_SLT auto_BEXT 193 1 +auto_SLT auto_BEXTI 216 1 +auto_SLT auto_CSRRW 70 1 +auto_SLT auto_CSRRS 79 1 +auto_SLT auto_CSRRC 74 1 +auto_SLT auto_CSRRWI 79 1 +auto_SLT auto_CSRRSI 76 1 +auto_SLT auto_CSRRCI 85 1 +auto_SLT auto_C_LBU 1 1 +auto_SLT auto_C_LHU 1 1 +auto_SLT auto_C_LH 2 1 +auto_SLT auto_C_ZEXT_B 89 1 +auto_SLT auto_C_SEXT_B 75 1 +auto_SLT auto_C_ZEXT_H 91 1 +auto_SLT auto_C_SEXT_H 87 1 +auto_SLT auto_C_NOT 89 1 +auto_SLT auto_C_MUL 114 1 +auto_SLTU auto_LUI 246 1 +auto_SLTU auto_AUIPC 269 1 +auto_SLTU auto_JAL 71 1 +auto_SLTU auto_JALR 63 1 +auto_SLTU auto_BEQ 78 1 +auto_SLTU auto_BNE 59 1 +auto_SLTU auto_BLT 79 1 +auto_SLTU auto_BGE 59 1 +auto_SLTU auto_BLTU 139 1 +auto_SLTU auto_BGEU 59 1 +auto_SLTU auto_LB 332 1 +auto_SLTU auto_LH 118 1 +auto_SLTU auto_LW 58 1 +auto_SLTU auto_LBU 342 1 +auto_SLTU auto_LHU 111 1 +auto_SLTU auto_SB 341 1 +auto_SLTU auto_SH 118 1 +auto_SLTU auto_SW 58 1 +auto_SLTU auto_ADDI 553 1 +auto_SLTU auto_SLTI 262 1 +auto_SLTU auto_SLTIU 228 1 +auto_SLTU auto_XORI 286 1 +auto_SLTU auto_ORI 253 1 +auto_SLTU auto_ANDI 288 1 +auto_SLTU auto_SLLI 224 1 +auto_SLTU auto_SRLI 260 1 +auto_SLTU auto_SRAI 353 1 +auto_SLTU auto_ADD 225 1 +auto_SLTU auto_SUB 300 1 +auto_SLTU auto_SLL 275 1 +auto_SLTU auto_SLT 272 1 +auto_SLTU auto_SLTU 364 1 +auto_SLTU auto_XOR 253 1 +auto_SLTU auto_SRL 240 1 +auto_SLTU auto_SRA 220 1 +auto_SLTU auto_OR 277 1 +auto_SLTU auto_AND 242 1 +auto_SLTU auto_FENCE 143 1 +auto_SLTU auto_MRET 886 1 +auto_SLTU auto_WFI 64 1 +auto_SLTU auto_MUL 287 1 +auto_SLTU auto_MULH 252 1 +auto_SLTU auto_MULHSU 277 1 +auto_SLTU auto_MULHU 368 1 +auto_SLTU auto_DIV 235 1 +auto_SLTU auto_DIVU 253 1 +auto_SLTU auto_REM 229 1 +auto_SLTU auto_REMU 244 1 +auto_SLTU auto_C_ADDI4SPN 99 1 +auto_SLTU auto_C_LW 1 1 +auto_SLTU auto_C_SW 1 1 +auto_SLTU auto_C_NOP 686 1 +auto_SLTU auto_C_ADDI 139 1 +auto_SLTU auto_C_JAL 30 1 +auto_SLTU auto_C_LI 94 1 +auto_SLTU auto_C_ADDI16SP 69 1 +auto_SLTU auto_C_LUI 111 1 +auto_SLTU auto_C_SRLI 85 1 +auto_SLTU auto_C_SRAI 111 1 +auto_SLTU auto_C_ANDI 74 1 +auto_SLTU auto_C_SUB 106 1 +auto_SLTU auto_C_XOR 84 1 +auto_SLTU auto_C_OR 118 1 +auto_SLTU auto_C_AND 100 1 +auto_SLTU auto_C_J 24 1 +auto_SLTU auto_C_BEQZ 41 1 +auto_SLTU auto_C_BNEZ 38 1 +auto_SLTU auto_C_SLLI 115 1 +auto_SLTU auto_C_LWSP 7 1 +auto_SLTU auto_C_JR 5 1 +auto_SLTU auto_C_MV 90 1 +auto_SLTU auto_C_JALR 6 1 +auto_SLTU auto_C_ADD 152 1 +auto_SLTU auto_C_SWSP 4 1 +auto_SLTU auto_SH1ADD 201 1 +auto_SLTU auto_SH2ADD 197 1 +auto_SLTU auto_SH3ADD 196 1 +auto_SLTU auto_CLZ 234 1 +auto_SLTU auto_CTZ 189 1 +auto_SLTU auto_CPOP 216 1 +auto_SLTU auto_MIN 197 1 +auto_SLTU auto_MAX 198 1 +auto_SLTU auto_MINU 207 1 +auto_SLTU auto_MAXU 191 1 +auto_SLTU auto_SEXT_B 200 1 +auto_SLTU auto_SEXT_H 202 1 +auto_SLTU auto_ZEXT_H 193 1 +auto_SLTU auto_ANDN 211 1 +auto_SLTU auto_ORN 206 1 +auto_SLTU auto_XNOR 219 1 +auto_SLTU auto_ROR 189 1 +auto_SLTU auto_RORI 224 1 +auto_SLTU auto_ROL 196 1 +auto_SLTU auto_REV8 224 1 +auto_SLTU auto_ORC_B 177 1 +auto_SLTU auto_CLMUL 190 1 +auto_SLTU auto_CLMULH 168 1 +auto_SLTU auto_CLMULR 209 1 +auto_SLTU auto_BSET 215 1 +auto_SLTU auto_BSETI 187 1 +auto_SLTU auto_BCLR 190 1 +auto_SLTU auto_BCLRI 190 1 +auto_SLTU auto_BINV 225 1 +auto_SLTU auto_BINVI 212 1 +auto_SLTU auto_BEXT 190 1 +auto_SLTU auto_BEXTI 214 1 +auto_SLTU auto_CSRRW 61 1 +auto_SLTU auto_CSRRS 46 1 +auto_SLTU auto_CSRRC 73 1 +auto_SLTU auto_CSRRWI 53 1 +auto_SLTU auto_CSRRSI 59 1 +auto_SLTU auto_CSRRCI 74 1 +auto_SLTU auto_C_LBU 3 1 +auto_SLTU auto_C_LH 1 1 +auto_SLTU auto_C_SH 1 1 +auto_SLTU auto_C_ZEXT_B 95 1 +auto_SLTU auto_C_SEXT_B 100 1 +auto_SLTU auto_C_ZEXT_H 93 1 +auto_SLTU auto_C_SEXT_H 100 1 +auto_SLTU auto_C_NOT 100 1 +auto_SLTU auto_C_MUL 100 1 +auto_XOR auto_LUI 269 1 +auto_XOR auto_AUIPC 268 1 +auto_XOR auto_JAL 66 1 +auto_XOR auto_JALR 16 1 +auto_XOR auto_BEQ 75 1 +auto_XOR auto_BNE 57 1 +auto_XOR auto_BLT 74 1 +auto_XOR auto_BGE 59 1 +auto_XOR auto_BLTU 73 1 +auto_XOR auto_BGEU 76 1 +auto_XOR auto_LB 332 1 +auto_XOR auto_LH 112 1 +auto_XOR auto_LW 54 1 +auto_XOR auto_LBU 372 1 +auto_XOR auto_LHU 104 1 +auto_XOR auto_SB 343 1 +auto_XOR auto_SH 90 1 +auto_XOR auto_SW 166 1 +auto_XOR auto_ADDI 408 1 +auto_XOR auto_SLTI 278 1 +auto_XOR auto_SLTIU 242 1 +auto_XOR auto_XORI 242 1 +auto_XOR auto_ORI 270 1 +auto_XOR auto_ANDI 291 1 +auto_XOR auto_SLLI 231 1 +auto_XOR auto_SRLI 264 1 +auto_XOR auto_SRAI 263 1 +auto_XOR auto_ADD 196 1 +auto_XOR auto_SUB 233 1 +auto_XOR auto_SLL 237 1 +auto_XOR auto_SLT 267 1 +auto_XOR auto_SLTU 273 1 +auto_XOR auto_XOR 195 1 +auto_XOR auto_SRL 230 1 +auto_XOR auto_SRA 243 1 +auto_XOR auto_OR 273 1 +auto_XOR auto_AND 253 1 +auto_XOR auto_FENCE 120 1 +auto_XOR auto_MRET 809 1 +auto_XOR auto_WFI 68 1 +auto_XOR auto_MUL 343 1 +auto_XOR auto_MULH 243 1 +auto_XOR auto_MULHSU 236 1 +auto_XOR auto_MULHU 320 1 +auto_XOR auto_DIV 228 1 +auto_XOR auto_DIVU 272 1 +auto_XOR auto_REM 237 1 +auto_XOR auto_REMU 277 1 +auto_XOR auto_C_ADDI4SPN 82 1 +auto_XOR auto_C_SW 1 1 +auto_XOR auto_C_NOP 677 1 +auto_XOR auto_C_ADDI 121 1 +auto_XOR auto_C_JAL 29 1 +auto_XOR auto_C_LI 106 1 +auto_XOR auto_C_ADDI16SP 92 1 +auto_XOR auto_C_LUI 95 1 +auto_XOR auto_C_SRLI 84 1 +auto_XOR auto_C_SRAI 97 1 +auto_XOR auto_C_ANDI 75 1 +auto_XOR auto_C_SUB 114 1 +auto_XOR auto_C_XOR 94 1 +auto_XOR auto_C_OR 93 1 +auto_XOR auto_C_AND 98 1 +auto_XOR auto_C_J 50 1 +auto_XOR auto_C_BEQZ 55 1 +auto_XOR auto_C_BNEZ 33 1 +auto_XOR auto_C_SLLI 122 1 +auto_XOR auto_C_LWSP 5 1 +auto_XOR auto_C_MV 111 1 +auto_XOR auto_C_JALR 2 1 +auto_XOR auto_C_ADD 117 1 +auto_XOR auto_C_SWSP 4 1 +auto_XOR auto_SH1ADD 178 1 +auto_XOR auto_SH2ADD 181 1 +auto_XOR auto_SH3ADD 183 1 +auto_XOR auto_CLZ 205 1 +auto_XOR auto_CTZ 172 1 +auto_XOR auto_CPOP 189 1 +auto_XOR auto_MIN 187 1 +auto_XOR auto_MAX 187 1 +auto_XOR auto_MINU 173 1 +auto_XOR auto_MAXU 214 1 +auto_XOR auto_SEXT_B 177 1 +auto_XOR auto_SEXT_H 205 1 +auto_XOR auto_ZEXT_H 173 1 +auto_XOR auto_ANDN 200 1 +auto_XOR auto_ORN 192 1 +auto_XOR auto_XNOR 175 1 +auto_XOR auto_ROR 209 1 +auto_XOR auto_RORI 184 1 +auto_XOR auto_ROL 177 1 +auto_XOR auto_REV8 212 1 +auto_XOR auto_ORC_B 186 1 +auto_XOR auto_CLMUL 162 1 +auto_XOR auto_CLMULH 211 1 +auto_XOR auto_CLMULR 207 1 +auto_XOR auto_BSET 197 1 +auto_XOR auto_BSETI 172 1 +auto_XOR auto_BCLR 168 1 +auto_XOR auto_BCLRI 165 1 +auto_XOR auto_BINV 189 1 +auto_XOR auto_BINVI 182 1 +auto_XOR auto_BEXT 211 1 +auto_XOR auto_BEXTI 202 1 +auto_XOR auto_CSRRW 72 1 +auto_XOR auto_CSRRS 63 1 +auto_XOR auto_CSRRC 66 1 +auto_XOR auto_CSRRWI 72 1 +auto_XOR auto_CSRRSI 74 1 +auto_XOR auto_CSRRCI 71 1 +auto_XOR auto_C_LBU 2 1 +auto_XOR auto_C_SB 2 1 +auto_XOR auto_C_ZEXT_B 96 1 +auto_XOR auto_C_SEXT_B 96 1 +auto_XOR auto_C_ZEXT_H 91 1 +auto_XOR auto_C_SEXT_H 105 1 +auto_XOR auto_C_NOT 101 1 +auto_XOR auto_C_MUL 125 1 +auto_SRL auto_LUI 228 1 +auto_SRL auto_AUIPC 257 1 +auto_SRL auto_JAL 78 1 +auto_SRL auto_JALR 47 1 +auto_SRL auto_BEQ 104 1 +auto_SRL auto_BNE 62 1 +auto_SRL auto_BLT 101 1 +auto_SRL auto_BGE 75 1 +auto_SRL auto_BLTU 71 1 +auto_SRL auto_BGEU 54 1 +auto_SRL auto_LB 363 1 +auto_SRL auto_LH 120 1 +auto_SRL auto_LW 70 1 +auto_SRL auto_LBU 362 1 +auto_SRL auto_LHU 106 1 +auto_SRL auto_SB 315 1 +auto_SRL auto_SH 97 1 +auto_SRL auto_SW 53 1 +auto_SRL auto_ADDI 381 1 +auto_SRL auto_SLTI 240 1 +auto_SRL auto_SLTIU 346 1 +auto_SRL auto_XORI 274 1 +auto_SRL auto_ORI 256 1 +auto_SRL auto_ANDI 279 1 +auto_SRL auto_SLLI 283 1 +auto_SRL auto_SRLI 258 1 +auto_SRL auto_SRAI 269 1 +auto_SRL auto_ADD 211 1 +auto_SRL auto_SUB 277 1 +auto_SRL auto_SLL 235 1 +auto_SRL auto_SLT 250 1 +auto_SRL auto_SLTU 240 1 +auto_SRL auto_XOR 251 1 +auto_SRL auto_SRL 277 1 +auto_SRL auto_SRA 229 1 +auto_SRL auto_OR 225 1 +auto_SRL auto_AND 258 1 +auto_SRL auto_FENCE 75 1 +auto_SRL auto_MRET 838 1 +auto_SRL auto_WFI 64 1 +auto_SRL auto_MUL 264 1 +auto_SRL auto_MULH 255 1 +auto_SRL auto_MULHSU 273 1 +auto_SRL auto_MULHU 277 1 +auto_SRL auto_DIV 281 1 +auto_SRL auto_DIVU 341 1 +auto_SRL auto_REM 284 1 +auto_SRL auto_REMU 265 1 +auto_SRL auto_C_ADDI4SPN 61 1 +auto_SRL auto_C_NOP 644 1 +auto_SRL auto_C_ADDI 130 1 +auto_SRL auto_C_JAL 31 1 +auto_SRL auto_C_LI 103 1 +auto_SRL auto_C_ADDI16SP 67 1 +auto_SRL auto_C_LUI 92 1 +auto_SRL auto_C_SRLI 104 1 +auto_SRL auto_C_SRAI 89 1 +auto_SRL auto_C_ANDI 87 1 +auto_SRL auto_C_SUB 96 1 +auto_SRL auto_C_XOR 102 1 +auto_SRL auto_C_OR 109 1 +auto_SRL auto_C_AND 89 1 +auto_SRL auto_C_J 24 1 +auto_SRL auto_C_BEQZ 38 1 +auto_SRL auto_C_BNEZ 32 1 +auto_SRL auto_C_SLLI 97 1 +auto_SRL auto_C_LWSP 1 1 +auto_SRL auto_C_JR 4 1 +auto_SRL auto_C_MV 109 1 +auto_SRL auto_C_JALR 8 1 +auto_SRL auto_C_ADD 131 1 +auto_SRL auto_C_SWSP 4 1 +auto_SRL auto_SH1ADD 201 1 +auto_SRL auto_SH2ADD 185 1 +auto_SRL auto_SH3ADD 178 1 +auto_SRL auto_CLZ 199 1 +auto_SRL auto_CTZ 206 1 +auto_SRL auto_CPOP 193 1 +auto_SRL auto_MIN 207 1 +auto_SRL auto_MAX 183 1 +auto_SRL auto_MINU 170 1 +auto_SRL auto_MAXU 191 1 +auto_SRL auto_SEXT_B 176 1 +auto_SRL auto_SEXT_H 168 1 +auto_SRL auto_ZEXT_H 183 1 +auto_SRL auto_ANDN 203 1 +auto_SRL auto_ORN 201 1 +auto_SRL auto_XNOR 185 1 +auto_SRL auto_ROR 220 1 +auto_SRL auto_RORI 192 1 +auto_SRL auto_ROL 184 1 +auto_SRL auto_REV8 181 1 +auto_SRL auto_ORC_B 208 1 +auto_SRL auto_CLMUL 183 1 +auto_SRL auto_CLMULH 191 1 +auto_SRL auto_CLMULR 185 1 +auto_SRL auto_BSET 210 1 +auto_SRL auto_BSETI 183 1 +auto_SRL auto_BCLR 210 1 +auto_SRL auto_BCLRI 250 1 +auto_SRL auto_BINV 213 1 +auto_SRL auto_BINVI 182 1 +auto_SRL auto_BEXT 197 1 +auto_SRL auto_BEXTI 213 1 +auto_SRL auto_CSRRW 66 1 +auto_SRL auto_CSRRS 70 1 +auto_SRL auto_CSRRC 62 1 +auto_SRL auto_CSRRWI 86 1 +auto_SRL auto_CSRRSI 63 1 +auto_SRL auto_CSRRCI 64 1 +auto_SRL auto_C_LHU 1 1 +auto_SRL auto_C_LH 1 1 +auto_SRL auto_C_SB 2 1 +auto_SRL auto_C_ZEXT_B 93 1 +auto_SRL auto_C_SEXT_B 94 1 +auto_SRL auto_C_ZEXT_H 90 1 +auto_SRL auto_C_SEXT_H 88 1 +auto_SRL auto_C_NOT 85 1 +auto_SRL auto_C_MUL 102 1 +auto_SRA auto_LUI 274 1 +auto_SRA auto_AUIPC 281 1 +auto_SRA auto_JAL 60 1 +auto_SRA auto_JALR 76 1 +auto_SRA auto_BEQ 61 1 +auto_SRA auto_BNE 58 1 +auto_SRA auto_BLT 57 1 +auto_SRA auto_BGE 72 1 +auto_SRA auto_BLTU 71 1 +auto_SRA auto_BGEU 76 1 +auto_SRA auto_LB 306 1 +auto_SRA auto_LH 110 1 +auto_SRA auto_LW 48 1 +auto_SRA auto_LBU 342 1 +auto_SRA auto_LHU 115 1 +auto_SRA auto_SB 345 1 +auto_SRA auto_SH 106 1 +auto_SRA auto_SW 50 1 +auto_SRA auto_ADDI 416 1 +auto_SRA auto_SLTI 249 1 +auto_SRA auto_SLTIU 228 1 +auto_SRA auto_XORI 260 1 +auto_SRA auto_ORI 262 1 +auto_SRA auto_ANDI 327 1 +auto_SRA auto_SLLI 241 1 +auto_SRA auto_SRLI 268 1 +auto_SRA auto_SRAI 268 1 +auto_SRA auto_ADD 236 1 +auto_SRA auto_SUB 239 1 +auto_SRA auto_SLL 262 1 +auto_SRA auto_SLT 258 1 +auto_SRA auto_SLTU 264 1 +auto_SRA auto_XOR 249 1 +auto_SRA auto_SRL 247 1 +auto_SRA auto_SRA 337 1 +auto_SRA auto_OR 229 1 +auto_SRA auto_AND 239 1 +auto_SRA auto_FENCE 107 1 +auto_SRA auto_MRET 802 1 +auto_SRA auto_WFI 85 1 +auto_SRA auto_MUL 264 1 +auto_SRA auto_MULH 249 1 +auto_SRA auto_MULHSU 241 1 +auto_SRA auto_MULHU 292 1 +auto_SRA auto_DIV 354 1 +auto_SRA auto_DIVU 264 1 +auto_SRA auto_REM 259 1 +auto_SRA auto_REMU 286 1 +auto_SRA auto_C_ADDI4SPN 86 1 +auto_SRA auto_C_LW 3 1 +auto_SRA auto_C_SW 1 1 +auto_SRA auto_C_NOP 651 1 +auto_SRA auto_C_ADDI 121 1 +auto_SRA auto_C_JAL 33 1 +auto_SRA auto_C_LI 109 1 +auto_SRA auto_C_ADDI16SP 71 1 +auto_SRA auto_C_LUI 125 1 +auto_SRA auto_C_SRLI 115 1 +auto_SRA auto_C_SRAI 91 1 +auto_SRA auto_C_ANDI 99 1 +auto_SRA auto_C_SUB 100 1 +auto_SRA auto_C_XOR 109 1 +auto_SRA auto_C_OR 98 1 +auto_SRA auto_C_AND 100 1 +auto_SRA auto_C_J 43 1 +auto_SRA auto_C_BEQZ 37 1 +auto_SRA auto_C_BNEZ 57 1 +auto_SRA auto_C_SLLI 127 1 +auto_SRA auto_C_LWSP 4 1 +auto_SRA auto_C_JR 4 1 +auto_SRA auto_C_MV 109 1 +auto_SRA auto_C_ADD 128 1 +auto_SRA auto_C_SWSP 6 1 +auto_SRA auto_SH1ADD 176 1 +auto_SRA auto_SH2ADD 210 1 +auto_SRA auto_SH3ADD 211 1 +auto_SRA auto_CLZ 188 1 +auto_SRA auto_CTZ 202 1 +auto_SRA auto_CPOP 217 1 +auto_SRA auto_MIN 177 1 +auto_SRA auto_MAX 199 1 +auto_SRA auto_MINU 198 1 +auto_SRA auto_MAXU 193 1 +auto_SRA auto_SEXT_B 207 1 +auto_SRA auto_SEXT_H 210 1 +auto_SRA auto_ZEXT_H 212 1 +auto_SRA auto_ANDN 182 1 +auto_SRA auto_ORN 181 1 +auto_SRA auto_XNOR 191 1 +auto_SRA auto_ROR 208 1 +auto_SRA auto_RORI 175 1 +auto_SRA auto_ROL 212 1 +auto_SRA auto_REV8 167 1 +auto_SRA auto_ORC_B 215 1 +auto_SRA auto_CLMUL 224 1 +auto_SRA auto_CLMULH 182 1 +auto_SRA auto_CLMULR 186 1 +auto_SRA auto_BSET 185 1 +auto_SRA auto_BSETI 184 1 +auto_SRA auto_BCLR 202 1 +auto_SRA auto_BCLRI 204 1 +auto_SRA auto_BINV 165 1 +auto_SRA auto_BINVI 176 1 +auto_SRA auto_BEXT 213 1 +auto_SRA auto_BEXTI 208 1 +auto_SRA auto_CSRRW 50 1 +auto_SRA auto_CSRRS 70 1 +auto_SRA auto_CSRRC 77 1 +auto_SRA auto_CSRRWI 73 1 +auto_SRA auto_CSRRSI 59 1 +auto_SRA auto_CSRRCI 58 1 +auto_SRA auto_C_LBU 2 1 +auto_SRA auto_C_SB 2 1 +auto_SRA auto_C_SH 1 1 +auto_SRA auto_C_ZEXT_B 94 1 +auto_SRA auto_C_SEXT_B 101 1 +auto_SRA auto_C_ZEXT_H 87 1 +auto_SRA auto_C_SEXT_H 93 1 +auto_SRA auto_C_NOT 78 1 +auto_SRA auto_C_MUL 96 1 +auto_OR auto_LUI 256 1 +auto_OR auto_AUIPC 295 1 +auto_OR auto_JAL 81 1 +auto_OR auto_JALR 15 1 +auto_OR auto_BEQ 85 1 +auto_OR auto_BNE 83 1 +auto_OR auto_BLT 64 1 +auto_OR auto_BGE 74 1 +auto_OR auto_BLTU 77 1 +auto_OR auto_BGEU 49 1 +auto_OR auto_LB 360 1 +auto_OR auto_LH 99 1 +auto_OR auto_LW 69 1 +auto_OR auto_LBU 346 1 +auto_OR auto_LHU 105 1 +auto_OR auto_SB 370 1 +auto_OR auto_SH 108 1 +auto_OR auto_SW 52 1 +auto_OR auto_ADDI 401 1 +auto_OR auto_SLTI 270 1 +auto_OR auto_SLTIU 283 1 +auto_OR auto_XORI 259 1 +auto_OR auto_ORI 250 1 +auto_OR auto_ANDI 258 1 +auto_OR auto_SLLI 259 1 +auto_OR auto_SRLI 287 1 +auto_OR auto_SRAI 270 1 +auto_OR auto_ADD 179 1 +auto_OR auto_SUB 244 1 +auto_OR auto_SLL 252 1 +auto_OR auto_SLT 276 1 +auto_OR auto_SLTU 239 1 +auto_OR auto_XOR 239 1 +auto_OR auto_SRL 228 1 +auto_OR auto_SRA 252 1 +auto_OR auto_OR 268 1 +auto_OR auto_AND 256 1 +auto_OR auto_FENCE 80 1 +auto_OR auto_MRET 798 1 +auto_OR auto_WFI 73 1 +auto_OR auto_MUL 253 1 +auto_OR auto_MULH 265 1 +auto_OR auto_MULHSU 227 1 +auto_OR auto_MULHU 265 1 +auto_OR auto_DIV 257 1 +auto_OR auto_DIVU 233 1 +auto_OR auto_REM 298 1 +auto_OR auto_REMU 250 1 +auto_OR auto_C_ADDI4SPN 76 1 +auto_OR auto_C_NOP 622 1 +auto_OR auto_C_ADDI 129 1 +auto_OR auto_C_JAL 24 1 +auto_OR auto_C_LI 98 1 +auto_OR auto_C_ADDI16SP 68 1 +auto_OR auto_C_LUI 108 1 +auto_OR auto_C_SRLI 113 1 +auto_OR auto_C_SRAI 87 1 +auto_OR auto_C_ANDI 84 1 +auto_OR auto_C_SUB 124 1 +auto_OR auto_C_XOR 92 1 +auto_OR auto_C_OR 91 1 +auto_OR auto_C_AND 99 1 +auto_OR auto_C_J 42 1 +auto_OR auto_C_BEQZ 49 1 +auto_OR auto_C_BNEZ 39 1 +auto_OR auto_C_SLLI 100 1 +auto_OR auto_C_LWSP 6 1 +auto_OR auto_C_JR 7 1 +auto_OR auto_C_MV 136 1 +auto_OR auto_C_ADD 139 1 +auto_OR auto_C_SWSP 4 1 +auto_OR auto_SH1ADD 194 1 +auto_OR auto_SH2ADD 198 1 +auto_OR auto_SH3ADD 186 1 +auto_OR auto_CLZ 188 1 +auto_OR auto_CTZ 193 1 +auto_OR auto_CPOP 196 1 +auto_OR auto_MIN 217 1 +auto_OR auto_MAX 196 1 +auto_OR auto_MINU 188 1 +auto_OR auto_MAXU 172 1 +auto_OR auto_SEXT_B 194 1 +auto_OR auto_SEXT_H 188 1 +auto_OR auto_ZEXT_H 192 1 +auto_OR auto_ANDN 177 1 +auto_OR auto_ORN 209 1 +auto_OR auto_XNOR 177 1 +auto_OR auto_ROR 219 1 +auto_OR auto_RORI 200 1 +auto_OR auto_ROL 210 1 +auto_OR auto_REV8 193 1 +auto_OR auto_ORC_B 197 1 +auto_OR auto_CLMUL 176 1 +auto_OR auto_CLMULH 185 1 +auto_OR auto_CLMULR 186 1 +auto_OR auto_BSET 196 1 +auto_OR auto_BSETI 239 1 +auto_OR auto_BCLR 199 1 +auto_OR auto_BCLRI 195 1 +auto_OR auto_BINV 183 1 +auto_OR auto_BINVI 186 1 +auto_OR auto_BEXT 201 1 +auto_OR auto_BEXTI 164 1 +auto_OR auto_CSRRW 59 1 +auto_OR auto_CSRRS 53 1 +auto_OR auto_CSRRC 60 1 +auto_OR auto_CSRRWI 61 1 +auto_OR auto_CSRRSI 78 1 +auto_OR auto_CSRRCI 76 1 +auto_OR auto_C_LBU 3 1 +auto_OR auto_C_LH 1 1 +auto_OR auto_C_SB 3 1 +auto_OR auto_C_SH 1 1 +auto_OR auto_C_ZEXT_B 98 1 +auto_OR auto_C_SEXT_B 103 1 +auto_OR auto_C_ZEXT_H 105 1 +auto_OR auto_C_SEXT_H 85 1 +auto_OR auto_C_NOT 89 1 +auto_OR auto_C_MUL 98 1 +auto_AND auto_LUI 245 1 +auto_AND auto_AUIPC 241 1 +auto_AND auto_JAL 80 1 +auto_AND auto_JALR 7 1 +auto_AND auto_BEQ 48 1 +auto_AND auto_BNE 52 1 +auto_AND auto_BLT 77 1 +auto_AND auto_BGE 77 1 +auto_AND auto_BLTU 58 1 +auto_AND auto_BGEU 105 1 +auto_AND auto_LB 372 1 +auto_AND auto_LH 110 1 +auto_AND auto_LW 154 1 +auto_AND auto_LBU 326 1 +auto_AND auto_LHU 101 1 +auto_AND auto_SB 289 1 +auto_AND auto_SH 97 1 +auto_AND auto_SW 54 1 +auto_AND auto_ADDI 386 1 +auto_AND auto_SLTI 239 1 +auto_AND auto_SLTIU 249 1 +auto_AND auto_XORI 226 1 +auto_AND auto_ORI 223 1 +auto_AND auto_ANDI 261 1 +auto_AND auto_SLLI 228 1 +auto_AND auto_SRLI 362 1 +auto_AND auto_SRAI 211 1 +auto_AND auto_ADD 207 1 +auto_AND auto_SUB 340 1 +auto_AND auto_SLL 237 1 +auto_AND auto_SLT 234 1 +auto_AND auto_SLTU 238 1 +auto_AND auto_XOR 204 1 +auto_AND auto_SRL 263 1 +auto_AND auto_SRA 290 1 +auto_AND auto_OR 227 1 +auto_AND auto_AND 333 1 +auto_AND auto_FENCE 87 1 +auto_AND auto_MRET 869 1 +auto_AND auto_WFI 68 1 +auto_AND auto_MUL 307 1 +auto_AND auto_MULH 361 1 +auto_AND auto_MULHSU 251 1 +auto_AND auto_MULHU 279 1 +auto_AND auto_DIV 276 1 +auto_AND auto_DIVU 243 1 +auto_AND auto_REM 287 1 +auto_AND auto_REMU 238 1 +auto_AND auto_C_ADDI4SPN 58 1 +auto_AND auto_C_SW 1 1 +auto_AND auto_C_NOP 703 1 +auto_AND auto_C_ADDI 134 1 +auto_AND auto_C_JAL 39 1 +auto_AND auto_C_LI 56166 1 +auto_AND auto_C_ADDI16SP 83 1 +auto_AND auto_C_LUI 88 1 +auto_AND auto_C_SRLI 99 1 +auto_AND auto_C_SRAI 98 1 +auto_AND auto_C_ANDI 89 1 +auto_AND auto_C_SUB 109 1 +auto_AND auto_C_XOR 85 1 +auto_AND auto_C_OR 102 1 +auto_AND auto_C_AND 87 1 +auto_AND auto_C_J 29 1 +auto_AND auto_C_BEQZ 46 1 +auto_AND auto_C_BNEZ 41 1 +auto_AND auto_C_SLLI 135 1 +auto_AND auto_C_LWSP 5 1 +auto_AND auto_C_JR 1 1 +auto_AND auto_C_MV 94 1 +auto_AND auto_C_JALR 13 1 +auto_AND auto_C_ADD 130 1 +auto_AND auto_C_SWSP 9 1 +auto_AND auto_SH1ADD 202 1 +auto_AND auto_SH2ADD 187 1 +auto_AND auto_SH3ADD 197 1 +auto_AND auto_CLZ 195 1 +auto_AND auto_CTZ 200 1 +auto_AND auto_CPOP 199 1 +auto_AND auto_MIN 192 1 +auto_AND auto_MAX 213 1 +auto_AND auto_MINU 172 1 +auto_AND auto_MAXU 201 1 +auto_AND auto_SEXT_B 200 1 +auto_AND auto_SEXT_H 195 1 +auto_AND auto_ZEXT_H 177 1 +auto_AND auto_ANDN 196 1 +auto_AND auto_ORN 189 1 +auto_AND auto_XNOR 199 1 +auto_AND auto_ROR 199 1 +auto_AND auto_RORI 185 1 +auto_AND auto_ROL 187 1 +auto_AND auto_REV8 179 1 +auto_AND auto_ORC_B 187 1 +auto_AND auto_CLMUL 163 1 +auto_AND auto_CLMULH 183 1 +auto_AND auto_CLMULR 224 1 +auto_AND auto_BSET 193 1 +auto_AND auto_BSETI 220 1 +auto_AND auto_BCLR 206 1 +auto_AND auto_BCLRI 204 1 +auto_AND auto_BINV 208 1 +auto_AND auto_BINVI 190 1 +auto_AND auto_BEXT 205 1 +auto_AND auto_BEXTI 194 1 +auto_AND auto_CSRRW 72 1 +auto_AND auto_CSRRS 77 1 +auto_AND auto_CSRRC 68 1 +auto_AND auto_CSRRWI 76 1 +auto_AND auto_CSRRSI 71 1 +auto_AND auto_CSRRCI 73 1 +auto_AND auto_C_LBU 1 1 +auto_AND auto_C_LH 1 1 +auto_AND auto_C_SB 3 1 +auto_AND auto_C_ZEXT_B 82 1 +auto_AND auto_C_SEXT_B 77 1 +auto_AND auto_C_ZEXT_H 92 1 +auto_AND auto_C_SEXT_H 85 1 +auto_AND auto_C_NOT 81 1 +auto_AND auto_C_MUL 121 1 +auto_FENCE auto_LUI 107 1 +auto_FENCE auto_AUIPC 123 1 +auto_FENCE auto_JAL 68 1 +auto_FENCE auto_JALR 14 1 +auto_FENCE auto_BEQ 71 1 +auto_FENCE auto_BNE 48 1 +auto_FENCE auto_BLT 64 1 +auto_FENCE auto_BGE 74 1 +auto_FENCE auto_BLTU 71 1 +auto_FENCE auto_BGEU 69 1 +auto_FENCE auto_LB 319 1 +auto_FENCE auto_LH 91 1 +auto_FENCE auto_LW 135 1 +auto_FENCE auto_LBU 353 1 +auto_FENCE auto_LHU 107 1 +auto_FENCE auto_SB 318 1 +auto_FENCE auto_SH 108 1 +auto_FENCE auto_SW 62 1 +auto_FENCE auto_ADDI 244 1 +auto_FENCE auto_SLTI 179 1 +auto_FENCE auto_SLTIU 122 1 +auto_FENCE auto_XORI 127 1 +auto_FENCE auto_ORI 168 1 +auto_FENCE auto_ANDI 94 1 +auto_FENCE auto_SLLI 92 1 +auto_FENCE auto_SRLI 124 1 +auto_FENCE auto_SRAI 115 1 +auto_FENCE auto_ADD 104 1 +auto_FENCE auto_SUB 100 1 +auto_FENCE auto_SLL 104 1 +auto_FENCE auto_SLT 122 1 +auto_FENCE auto_SLTU 86 1 +auto_FENCE auto_XOR 110 1 +auto_FENCE auto_SRL 90 1 +auto_FENCE auto_SRA 107 1 +auto_FENCE auto_OR 114 1 +auto_FENCE auto_AND 125 1 +auto_FENCE auto_FENCE 101 1 +auto_FENCE auto_ECALL 1 1 +auto_FENCE auto_MRET 545 1 +auto_FENCE auto_WFI 44 1 +auto_FENCE auto_MUL 101 1 +auto_FENCE auto_MULH 123 1 +auto_FENCE auto_MULHSU 124 1 +auto_FENCE auto_MULHU 107 1 +auto_FENCE auto_DIV 120 1 +auto_FENCE auto_DIVU 118 1 +auto_FENCE auto_REM 114 1 +auto_FENCE auto_REMU 115 1 +auto_FENCE auto_C_ADDI4SPN 59 1 +auto_FENCE auto_C_LW 1 1 +auto_FENCE auto_C_NOP 329 1 +auto_FENCE auto_C_ADDI 78 1 +auto_FENCE auto_C_JAL 23 1 +auto_FENCE auto_C_LI 81 1 +auto_FENCE auto_C_ADDI16SP 61 1 +auto_FENCE auto_C_LUI 63 1 +auto_FENCE auto_C_SRLI 57 1 +auto_FENCE auto_C_SRAI 70 1 +auto_FENCE auto_C_ANDI 72 1 +auto_FENCE auto_C_SUB 74 1 +auto_FENCE auto_C_XOR 69 1 +auto_FENCE auto_C_OR 76 1 +auto_FENCE auto_C_AND 74 1 +auto_FENCE auto_C_J 25 1 +auto_FENCE auto_C_BEQZ 44 1 +auto_FENCE auto_C_BNEZ 40 1 +auto_FENCE auto_C_SLLI 97 1 +auto_FENCE auto_C_LWSP 5 1 +auto_FENCE auto_C_MV 69 1 +auto_FENCE auto_C_ADD 78 1 +auto_FENCE auto_C_SWSP 3 1 +auto_FENCE auto_SH1ADD 94 1 +auto_FENCE auto_SH2ADD 84 1 +auto_FENCE auto_SH3ADD 94 1 +auto_FENCE auto_CLZ 79 1 +auto_FENCE auto_CTZ 87 1 +auto_FENCE auto_CPOP 63 1 +auto_FENCE auto_MIN 80 1 +auto_FENCE auto_MAX 79 1 +auto_FENCE auto_MINU 86 1 +auto_FENCE auto_MAXU 76 1 +auto_FENCE auto_SEXT_B 83 1 +auto_FENCE auto_SEXT_H 66 1 +auto_FENCE auto_ZEXT_H 87 1 +auto_FENCE auto_ANDN 79 1 +auto_FENCE auto_ORN 95 1 +auto_FENCE auto_XNOR 80 1 +auto_FENCE auto_ROR 66 1 +auto_FENCE auto_RORI 78 1 +auto_FENCE auto_ROL 66 1 +auto_FENCE auto_REV8 88 1 +auto_FENCE auto_ORC_B 68 1 +auto_FENCE auto_CLMUL 81 1 +auto_FENCE auto_CLMULH 75 1 +auto_FENCE auto_CLMULR 70 1 +auto_FENCE auto_BSET 60 1 +auto_FENCE auto_BSETI 92 1 +auto_FENCE auto_BCLR 84 1 +auto_FENCE auto_BCLRI 77 1 +auto_FENCE auto_BINV 67 1 +auto_FENCE auto_BINVI 71 1 +auto_FENCE auto_BEXT 87 1 +auto_FENCE auto_BEXTI 92 1 +auto_FENCE auto_CSRRW 249 1 +auto_FENCE auto_CSRRS 70 1 +auto_FENCE auto_CSRRC 66 1 +auto_FENCE auto_CSRRWI 70 1 +auto_FENCE auto_CSRRSI 62 1 +auto_FENCE auto_CSRRCI 59 1 +auto_FENCE auto_C_LBU 2 1 +auto_FENCE auto_C_LH 1 1 +auto_FENCE auto_C_SB 2 1 +auto_FENCE auto_C_SH 1 1 +auto_FENCE auto_C_ZEXT_B 47 1 +auto_FENCE auto_C_SEXT_B 62 1 +auto_FENCE auto_C_ZEXT_H 87 1 +auto_FENCE auto_C_SEXT_H 63 1 +auto_FENCE auto_C_NOT 73 1 +auto_FENCE auto_C_MUL 71 1 +auto_ECALL auto_LUI 9 1 +auto_ECALL auto_AUIPC 2 1 +auto_ECALL auto_LB 147 1 +auto_ECALL auto_LH 43 1 +auto_ECALL auto_LW 10 1 +auto_ECALL auto_LBU 135 1 +auto_ECALL auto_LHU 34 1 +auto_ECALL auto_SB 138 1 +auto_ECALL auto_SH 40 1 +auto_ECALL auto_SW 18 1 +auto_ECALL auto_ADDI 35 1 +auto_ECALL auto_SLTI 8 1 +auto_ECALL auto_SLTIU 7 1 +auto_ECALL auto_XORI 7 1 +auto_ECALL auto_ORI 10 1 +auto_ECALL auto_ANDI 1 1 +auto_ECALL auto_SLLI 8 1 +auto_ECALL auto_SRLI 6 1 +auto_ECALL auto_SRAI 9 1 +auto_ECALL auto_ADD 4 1 +auto_ECALL auto_SUB 7 1 +auto_ECALL auto_SLL 1 1 +auto_ECALL auto_SLT 11 1 +auto_ECALL auto_SLTU 10 1 +auto_ECALL auto_XOR 6 1 +auto_ECALL auto_SRL 6 1 +auto_ECALL auto_SRA 12 1 +auto_ECALL auto_OR 6 1 +auto_ECALL auto_AND 6 1 +auto_ECALL auto_FENCE 7 1 +auto_ECALL auto_MRET 76 1 +auto_ECALL auto_WFI 3 1 +auto_ECALL auto_MUL 11 1 +auto_ECALL auto_MULH 7 1 +auto_ECALL auto_MULHSU 5 1 +auto_ECALL auto_MULHU 7 1 +auto_ECALL auto_DIV 9 1 +auto_ECALL auto_DIVU 5 1 +auto_ECALL auto_REM 7 1 +auto_ECALL auto_REMU 5 1 +auto_ECALL auto_C_ADDI4SPN 3 1 +auto_ECALL auto_C_NOP 28 1 +auto_ECALL auto_C_ADDI 8 1 +auto_ECALL auto_C_LI 9 1 +auto_ECALL auto_C_ADDI16SP 4 1 +auto_ECALL auto_C_LUI 1 1 +auto_ECALL auto_C_SRLI 10 1 +auto_ECALL auto_C_SRAI 15 1 +auto_ECALL auto_C_ANDI 9 1 +auto_ECALL auto_C_SUB 3 1 +auto_ECALL auto_C_XOR 3 1 +auto_ECALL auto_C_OR 5 1 +auto_ECALL auto_C_AND 5 1 +auto_ECALL auto_C_SLLI 9 1 +auto_ECALL auto_C_LWSP 5 1 +auto_ECALL auto_C_MV 5 1 +auto_ECALL auto_C_ADD 12 1 +auto_ECALL auto_C_SWSP 1 1 +auto_ECALL auto_SH1ADD 9 1 +auto_ECALL auto_SH2ADD 8 1 +auto_ECALL auto_SH3ADD 12 1 +auto_ECALL auto_CLZ 3 1 +auto_ECALL auto_CTZ 6 1 +auto_ECALL auto_CPOP 6 1 +auto_ECALL auto_MIN 6 1 +auto_ECALL auto_MAX 9 1 +auto_ECALL auto_MINU 7 1 +auto_ECALL auto_MAXU 3 1 +auto_ECALL auto_SEXT_B 3 1 +auto_ECALL auto_SEXT_H 6 1 +auto_ECALL auto_ZEXT_H 11 1 +auto_ECALL auto_ANDN 1 1 +auto_ECALL auto_ORN 4 1 +auto_ECALL auto_XNOR 8 1 +auto_ECALL auto_ROR 4 1 +auto_ECALL auto_RORI 9 1 +auto_ECALL auto_ROL 7 1 +auto_ECALL auto_REV8 6 1 +auto_ECALL auto_ORC_B 8 1 +auto_ECALL auto_CLMUL 7 1 +auto_ECALL auto_CLMULH 3 1 +auto_ECALL auto_CLMULR 10 1 +auto_ECALL auto_BSET 11 1 +auto_ECALL auto_BSETI 6 1 +auto_ECALL auto_BCLR 6 1 +auto_ECALL auto_BCLRI 7 1 +auto_ECALL auto_BINV 7 1 +auto_ECALL auto_BINVI 7 1 +auto_ECALL auto_BEXT 8 1 +auto_ECALL auto_BEXTI 7 1 +auto_ECALL auto_CSRRW 187 1 +auto_ECALL auto_CSRRS 7 1 +auto_ECALL auto_CSRRC 10 1 +auto_ECALL auto_CSRRWI 8 1 +auto_ECALL auto_CSRRSI 4 1 +auto_ECALL auto_CSRRCI 4 1 +auto_ECALL auto_C_ZEXT_B 4 1 +auto_ECALL auto_C_SEXT_B 4 1 +auto_ECALL auto_C_ZEXT_H 4 1 +auto_ECALL auto_C_SEXT_H 12 1 +auto_ECALL auto_C_NOT 4 1 +auto_ECALL auto_C_MUL 7 1 +auto_EBREAK auto_LUI 48 1 +auto_EBREAK auto_AUIPC 48 1 +auto_EBREAK auto_JAL 18 1 +auto_EBREAK auto_BEQ 38 1 +auto_EBREAK auto_BNE 38 1 +auto_EBREAK auto_BLT 28 1 +auto_EBREAK auto_BGE 31 1 +auto_EBREAK auto_BLTU 28 1 +auto_EBREAK auto_BGEU 29 1 +auto_EBREAK auto_LB 157 1 +auto_EBREAK auto_LH 48 1 +auto_EBREAK auto_LW 15 1 +auto_EBREAK auto_LBU 145 1 +auto_EBREAK auto_LHU 41 1 +auto_EBREAK auto_SB 134 1 +auto_EBREAK auto_SH 41 1 +auto_EBREAK auto_SW 19 1 +auto_EBREAK auto_ADDI 70 1 +auto_EBREAK auto_SLTI 53 1 +auto_EBREAK auto_SLTIU 39 1 +auto_EBREAK auto_XORI 45 1 +auto_EBREAK auto_ORI 42 1 +auto_EBREAK auto_ANDI 50 1 +auto_EBREAK auto_SLLI 49 1 +auto_EBREAK auto_SRLI 55 1 +auto_EBREAK auto_SRAI 35 1 +auto_EBREAK auto_ADD 55 1 +auto_EBREAK auto_SUB 56 1 +auto_EBREAK auto_SLL 49 1 +auto_EBREAK auto_SLT 44 1 +auto_EBREAK auto_SLTU 45 1 +auto_EBREAK auto_XOR 51 1 +auto_EBREAK auto_SRL 61 1 +auto_EBREAK auto_SRA 57 1 +auto_EBREAK auto_OR 59 1 +auto_EBREAK auto_AND 49 1 +auto_EBREAK auto_FENCE 33 1 +auto_EBREAK auto_MRET 160 1 +auto_EBREAK auto_WFI 9 1 +auto_EBREAK auto_MUL 55 1 +auto_EBREAK auto_MULH 41 1 +auto_EBREAK auto_MULHSU 47 1 +auto_EBREAK auto_MULHU 53 1 +auto_EBREAK auto_DIV 51 1 +auto_EBREAK auto_DIVU 40 1 +auto_EBREAK auto_REM 46 1 +auto_EBREAK auto_REMU 53 1 +auto_EBREAK auto_C_ADDI4SPN 45 1 +auto_EBREAK auto_C_LW 1 1 +auto_EBREAK auto_C_SW 2 1 +auto_EBREAK auto_C_NOP 193 1 +auto_EBREAK auto_C_ADDI 32 1 +auto_EBREAK auto_C_JAL 18 1 +auto_EBREAK auto_C_LI 43 1 +auto_EBREAK auto_C_ADDI16SP 30 1 +auto_EBREAK auto_C_LUI 44 1 +auto_EBREAK auto_C_SRLI 39 1 +auto_EBREAK auto_C_SRAI 36 1 +auto_EBREAK auto_C_ANDI 43 1 +auto_EBREAK auto_C_SUB 48 1 +auto_EBREAK auto_C_XOR 39 1 +auto_EBREAK auto_C_OR 44 1 +auto_EBREAK auto_C_AND 41 1 +auto_EBREAK auto_C_J 20 1 +auto_EBREAK auto_C_BEQZ 32 1 +auto_EBREAK auto_C_BNEZ 19 1 +auto_EBREAK auto_C_SLLI 42 1 +auto_EBREAK auto_C_LWSP 2 1 +auto_EBREAK auto_C_MV 41 1 +auto_EBREAK auto_C_ADD 40 1 +auto_EBREAK auto_C_SWSP 4 1 +auto_EBREAK auto_SH1ADD 42 1 +auto_EBREAK auto_SH2ADD 34 1 +auto_EBREAK auto_SH3ADD 33 1 +auto_EBREAK auto_CLZ 37 1 +auto_EBREAK auto_CTZ 43 1 +auto_EBREAK auto_CPOP 46 1 +auto_EBREAK auto_MIN 52 1 +auto_EBREAK auto_MAX 49 1 +auto_EBREAK auto_MINU 28 1 +auto_EBREAK auto_MAXU 36 1 +auto_EBREAK auto_SEXT_B 36 1 +auto_EBREAK auto_SEXT_H 49 1 +auto_EBREAK auto_ZEXT_H 34 1 +auto_EBREAK auto_ANDN 31 1 +auto_EBREAK auto_ORN 36 1 +auto_EBREAK auto_XNOR 44 1 +auto_EBREAK auto_ROR 29 1 +auto_EBREAK auto_RORI 34 1 +auto_EBREAK auto_ROL 35 1 +auto_EBREAK auto_REV8 23 1 +auto_EBREAK auto_ORC_B 33 1 +auto_EBREAK auto_CLMUL 44 1 +auto_EBREAK auto_CLMULH 38 1 +auto_EBREAK auto_CLMULR 47 1 +auto_EBREAK auto_BSET 46 1 +auto_EBREAK auto_BSETI 43 1 +auto_EBREAK auto_BCLR 33 1 +auto_EBREAK auto_BCLRI 46 1 +auto_EBREAK auto_BINV 44 1 +auto_EBREAK auto_BINVI 32 1 +auto_EBREAK auto_BEXT 31 1 +auto_EBREAK auto_BEXTI 47 1 +auto_EBREAK auto_CSRRW 34 1 +auto_EBREAK auto_CSRRS 34 1 +auto_EBREAK auto_CSRRC 42 1 +auto_EBREAK auto_CSRRWI 43 1 +auto_EBREAK auto_CSRRSI 36 1 +auto_EBREAK auto_CSRRCI 40 1 +auto_EBREAK auto_C_LBU 2 1 +auto_EBREAK auto_C_LHU 1 1 +auto_EBREAK auto_C_SB 1 1 +auto_EBREAK auto_C_ZEXT_B 36 1 +auto_EBREAK auto_C_SEXT_B 34 1 +auto_EBREAK auto_C_ZEXT_H 35 1 +auto_EBREAK auto_C_SEXT_H 44 1 +auto_EBREAK auto_C_NOT 42 1 +auto_EBREAK auto_C_MUL 42 1 +auto_MRET auto_BNE 1 1 +auto_MRET auto_LB 1 1 +auto_MRET auto_SB 1 1 +auto_MRET auto_FENCE 1 1 +auto_MRET auto_MRET 1 1 +auto_MRET auto_WFI 1 1 +auto_MRET auto_MULH 1 1 +auto_MRET auto_DIV 1 1 +auto_MRET auto_C_ADDI 265206 1 +auto_MRET auto_C_J 1 1 +auto_MRET auto_CSRRW 14497 1 +auto_MRET auto_CSRRS 182 1 +auto_MRET auto_C_MUL 1 1 +auto_WFI auto_LUI 75 1 +auto_WFI auto_AUIPC 73 1 +auto_WFI auto_JAL 9 1 +auto_WFI auto_JALR 5 1 +auto_WFI auto_BEQ 88 1 +auto_WFI auto_BNE 1 1 +auto_WFI auto_BLT 14 1 +auto_WFI auto_BGE 10 1 +auto_WFI auto_BLTU 43 1 +auto_WFI auto_BGEU 21 1 +auto_WFI auto_LB 16 1 +auto_WFI auto_LH 5 1 +auto_WFI auto_LW 18 1 +auto_WFI auto_LBU 8 1 +auto_WFI auto_LHU 10 1 +auto_WFI auto_SB 12 1 +auto_WFI auto_SH 12 1 +auto_WFI auto_SW 49 1 +auto_WFI auto_ADDI 96 1 +auto_WFI auto_SLTI 81 1 +auto_WFI auto_SLTIU 76 1 +auto_WFI auto_XORI 76 1 +auto_WFI auto_ORI 71 1 +auto_WFI auto_ANDI 76 1 +auto_WFI auto_SLLI 63 1 +auto_WFI auto_SRLI 46 1 +auto_WFI auto_SRAI 59 1 +auto_WFI auto_ADD 47 1 +auto_WFI auto_SUB 38 1 +auto_WFI auto_SLL 56 1 +auto_WFI auto_SLT 67 1 +auto_WFI auto_SLTU 62 1 +auto_WFI auto_XOR 165 1 +auto_WFI auto_SRL 103 1 +auto_WFI auto_SRA 53 1 +auto_WFI auto_OR 68 1 +auto_WFI auto_AND 60 1 +auto_WFI auto_FENCE 26 1 +auto_WFI auto_ECALL 1 1 +auto_WFI auto_MRET 474 1 +auto_WFI auto_WFI 55 1 +auto_WFI auto_MUL 60 1 +auto_WFI auto_MULH 148 1 +auto_WFI auto_MULHSU 44 1 +auto_WFI auto_MULHU 66 1 +auto_WFI auto_DIV 111 1 +auto_WFI auto_DIVU 46 1 +auto_WFI auto_REM 59 1 +auto_WFI auto_REMU 44 1 +auto_WFI auto_C_NOP 108 1 +auto_WFI auto_C_ADDI 13 1 +auto_WFI auto_C_SRLI 7 1 +auto_WFI auto_C_XOR 2 1 +auto_WFI auto_C_SLLI 4 1 +auto_WFI auto_C_MV 6 1 +auto_WFI auto_C_ADD 2 1 +auto_WFI auto_C_SWSP 1 1 +auto_WFI auto_CSRRW 183 1 +auto_MUL auto_LUI 324 1 +auto_MUL auto_AUIPC 225 1 +auto_MUL auto_JAL 79 1 +auto_MUL auto_JALR 9 1 +auto_MUL auto_BEQ 81 1 +auto_MUL auto_BNE 67 1 +auto_MUL auto_BLT 64 1 +auto_MUL auto_BGE 88 1 +auto_MUL auto_BLTU 67 1 +auto_MUL auto_BGEU 79 1 +auto_MUL auto_LB 329 1 +auto_MUL auto_LH 128 1 +auto_MUL auto_LW 87 1 +auto_MUL auto_LBU 311 1 +auto_MUL auto_LHU 99 1 +auto_MUL auto_SB 347 1 +auto_MUL auto_SH 102 1 +auto_MUL auto_SW 177 1 +auto_MUL auto_ADDI 495 1 +auto_MUL auto_SLTI 278 1 +auto_MUL auto_SLTIU 254 1 +auto_MUL auto_XORI 302 1 +auto_MUL auto_ORI 241 1 +auto_MUL auto_ANDI 376 1 +auto_MUL auto_SLLI 245 1 +auto_MUL auto_SRLI 278 1 +auto_MUL auto_SRAI 248 1 +auto_MUL auto_ADD 219 1 +auto_MUL auto_SUB 248 1 +auto_MUL auto_SLL 302 1 +auto_MUL auto_SLT 244 1 +auto_MUL auto_SLTU 250 1 +auto_MUL auto_XOR 276 1 +auto_MUL auto_SRL 287 1 +auto_MUL auto_SRA 256 1 +auto_MUL auto_OR 266 1 +auto_MUL auto_AND 274 1 +auto_MUL auto_FENCE 124 1 +auto_MUL auto_MRET 865 1 +auto_MUL auto_WFI 48 1 +auto_MUL auto_MUL 262 1 +auto_MUL auto_MULH 240 1 +auto_MUL auto_MULHSU 226 1 +auto_MUL auto_MULHU 260 1 +auto_MUL auto_DIV 288 1 +auto_MUL auto_DIVU 364 1 +auto_MUL auto_REM 304 1 +auto_MUL auto_REMU 271 1 +auto_MUL auto_C_ADDI4SPN 76 1 +auto_MUL auto_C_LW 1 1 +auto_MUL auto_C_NOP 648 1 +auto_MUL auto_C_ADDI 139 1 +auto_MUL auto_C_JAL 20 1 +auto_MUL auto_C_LI 97 1 +auto_MUL auto_C_ADDI16SP 81 1 +auto_MUL auto_C_LUI 91 1 +auto_MUL auto_C_SRLI 108 1 +auto_MUL auto_C_SRAI 91 1 +auto_MUL auto_C_ANDI 85 1 +auto_MUL auto_C_SUB 100 1 +auto_MUL auto_C_XOR 75 1 +auto_MUL auto_C_OR 112 1 +auto_MUL auto_C_AND 90 1 +auto_MUL auto_C_J 36 1 +auto_MUL auto_C_BEQZ 39 1 +auto_MUL auto_C_BNEZ 57 1 +auto_MUL auto_C_SLLI 140 1 +auto_MUL auto_C_LWSP 6 1 +auto_MUL auto_C_MV 119 1 +auto_MUL auto_C_JALR 1 1 +auto_MUL auto_C_ADD 131 1 +auto_MUL auto_C_SWSP 4 1 +auto_MUL auto_SH1ADD 172 1 +auto_MUL auto_SH2ADD 206 1 +auto_MUL auto_SH3ADD 197 1 +auto_MUL auto_CLZ 181 1 +auto_MUL auto_CTZ 213 1 +auto_MUL auto_CPOP 163 1 +auto_MUL auto_MIN 184 1 +auto_MUL auto_MAX 195 1 +auto_MUL auto_MINU 211 1 +auto_MUL auto_MAXU 201 1 +auto_MUL auto_SEXT_B 170 1 +auto_MUL auto_SEXT_H 192 1 +auto_MUL auto_ZEXT_H 196 1 +auto_MUL auto_ANDN 180 1 +auto_MUL auto_ORN 199 1 +auto_MUL auto_XNOR 194 1 +auto_MUL auto_ROR 190 1 +auto_MUL auto_RORI 180 1 +auto_MUL auto_ROL 192 1 +auto_MUL auto_REV8 190 1 +auto_MUL auto_ORC_B 174 1 +auto_MUL auto_CLMUL 188 1 +auto_MUL auto_CLMULH 201 1 +auto_MUL auto_CLMULR 203 1 +auto_MUL auto_BSET 201 1 +auto_MUL auto_BSETI 209 1 +auto_MUL auto_BCLR 202 1 +auto_MUL auto_BCLRI 204 1 +auto_MUL auto_BINV 199 1 +auto_MUL auto_BINVI 207 1 +auto_MUL auto_BEXT 179 1 +auto_MUL auto_BEXTI 209 1 +auto_MUL auto_CSRRW 253 1 +auto_MUL auto_CSRRS 86 1 +auto_MUL auto_CSRRC 76 1 +auto_MUL auto_CSRRWI 76 1 +auto_MUL auto_CSRRSI 86 1 +auto_MUL auto_CSRRCI 81 1 +auto_MUL auto_C_LBU 1 1 +auto_MUL auto_C_LHU 2 1 +auto_MUL auto_C_LH 1 1 +auto_MUL auto_C_SB 2 1 +auto_MUL auto_C_ZEXT_B 99 1 +auto_MUL auto_C_SEXT_B 101 1 +auto_MUL auto_C_ZEXT_H 109 1 +auto_MUL auto_C_SEXT_H 95 1 +auto_MUL auto_C_NOT 89 1 +auto_MUL auto_C_MUL 111 1 +auto_MULH auto_LUI 268 1 +auto_MULH auto_AUIPC 254 1 +auto_MULH auto_JAL 62 1 +auto_MULH auto_JALR 12 1 +auto_MULH auto_BEQ 63 1 +auto_MULH auto_BNE 154 1 +auto_MULH auto_BLT 103 1 +auto_MULH auto_BGE 81 1 +auto_MULH auto_BLTU 57 1 +auto_MULH auto_BGEU 65 1 +auto_MULH auto_LB 326 1 +auto_MULH auto_LH 96 1 +auto_MULH auto_LW 79 1 +auto_MULH auto_LBU 344 1 +auto_MULH auto_LHU 117 1 +auto_MULH auto_SB 314 1 +auto_MULH auto_SH 103 1 +auto_MULH auto_SW 93 1 +auto_MULH auto_ADDI 447 1 +auto_MULH auto_SLTI 275 1 +auto_MULH auto_SLTIU 251 1 +auto_MULH auto_XORI 248 1 +auto_MULH auto_ORI 239 1 +auto_MULH auto_ANDI 268 1 +auto_MULH auto_SLLI 261 1 +auto_MULH auto_SRLI 274 1 +auto_MULH auto_SRAI 240 1 +auto_MULH auto_ADD 217 1 +auto_MULH auto_SUB 241 1 +auto_MULH auto_SLL 234 1 +auto_MULH auto_SLT 236 1 +auto_MULH auto_SLTU 411 1 +auto_MULH auto_XOR 236 1 +auto_MULH auto_SRL 330 1 +auto_MULH auto_SRA 316 1 +auto_MULH auto_OR 248 1 +auto_MULH auto_AND 250 1 +auto_MULH auto_FENCE 111 1 +auto_MULH auto_ECALL 1 1 +auto_MULH auto_MRET 787 1 +auto_MULH auto_WFI 55 1 +auto_MULH auto_MUL 258 1 +auto_MULH auto_MULH 237 1 +auto_MULH auto_MULHSU 253 1 +auto_MULH auto_MULHU 230 1 +auto_MULH auto_DIV 225 1 +auto_MULH auto_DIVU 335 1 +auto_MULH auto_REM 253 1 +auto_MULH auto_REMU 252 1 +auto_MULH auto_C_ADDI4SPN 88 1 +auto_MULH auto_C_SW 1 1 +auto_MULH auto_C_NOP 603 1 +auto_MULH auto_C_ADDI 104 1 +auto_MULH auto_C_JAL 23 1 +auto_MULH auto_C_LI 104 1 +auto_MULH auto_C_ADDI16SP 90 1 +auto_MULH auto_C_LUI 108 1 +auto_MULH auto_C_SRLI 105 1 +auto_MULH auto_C_SRAI 105 1 +auto_MULH auto_C_ANDI 93 1 +auto_MULH auto_C_SUB 110 1 +auto_MULH auto_C_XOR 80 1 +auto_MULH auto_C_OR 91 1 +auto_MULH auto_C_AND 107 1 +auto_MULH auto_C_J 30 1 +auto_MULH auto_C_BEQZ 42 1 +auto_MULH auto_C_BNEZ 44 1 +auto_MULH auto_C_SLLI 120 1 +auto_MULH auto_C_LWSP 2 1 +auto_MULH auto_C_JR 3 1 +auto_MULH auto_C_MV 94 1 +auto_MULH auto_C_JALR 3 1 +auto_MULH auto_C_ADD 132 1 +auto_MULH auto_C_SWSP 5 1 +auto_MULH auto_SH1ADD 195 1 +auto_MULH auto_SH2ADD 190 1 +auto_MULH auto_SH3ADD 177 1 +auto_MULH auto_CLZ 188 1 +auto_MULH auto_CTZ 207 1 +auto_MULH auto_CPOP 213 1 +auto_MULH auto_MIN 179 1 +auto_MULH auto_MAX 202 1 +auto_MULH auto_MINU 232 1 +auto_MULH auto_MAXU 221 1 +auto_MULH auto_SEXT_B 225 1 +auto_MULH auto_SEXT_H 212 1 +auto_MULH auto_ZEXT_H 208 1 +auto_MULH auto_ANDN 194 1 +auto_MULH auto_ORN 223 1 +auto_MULH auto_XNOR 180 1 +auto_MULH auto_ROR 172 1 +auto_MULH auto_RORI 185 1 +auto_MULH auto_ROL 207 1 +auto_MULH auto_REV8 188 1 +auto_MULH auto_ORC_B 230 1 +auto_MULH auto_CLMUL 214 1 +auto_MULH auto_CLMULH 200 1 +auto_MULH auto_CLMULR 188 1 +auto_MULH auto_BSET 189 1 +auto_MULH auto_BSETI 191 1 +auto_MULH auto_BCLR 189 1 +auto_MULH auto_BCLRI 182 1 +auto_MULH auto_BINV 194 1 +auto_MULH auto_BINVI 193 1 +auto_MULH auto_BEXT 184 1 +auto_MULH auto_BEXTI 198 1 +auto_MULH auto_CSRRW 257 1 +auto_MULH auto_CSRRS 83 1 +auto_MULH auto_CSRRC 67 1 +auto_MULH auto_CSRRWI 71 1 +auto_MULH auto_CSRRSI 79 1 +auto_MULH auto_CSRRCI 72 1 +auto_MULH auto_C_LBU 2 1 +auto_MULH auto_C_LHU 2 1 +auto_MULH auto_C_SB 1 1 +auto_MULH auto_C_SH 1 1 +auto_MULH auto_C_ZEXT_B 100 1 +auto_MULH auto_C_SEXT_B 90 1 +auto_MULH auto_C_ZEXT_H 77 1 +auto_MULH auto_C_SEXT_H 98 1 +auto_MULH auto_C_NOT 104 1 +auto_MULH auto_C_MUL 102 1 +auto_MULHSU auto_LUI 279 1 +auto_MULHSU auto_AUIPC 263 1 +auto_MULHSU auto_JAL 87 1 +auto_MULHSU auto_JALR 20 1 +auto_MULHSU auto_BEQ 62 1 +auto_MULHSU auto_BNE 60 1 +auto_MULHSU auto_BLT 74 1 +auto_MULHSU auto_BGE 69 1 +auto_MULHSU auto_BLTU 91 1 +auto_MULHSU auto_BGEU 99 1 +auto_MULHSU auto_LB 334 1 +auto_MULHSU auto_LH 126 1 +auto_MULHSU auto_LW 63 1 +auto_MULHSU auto_LBU 318 1 +auto_MULHSU auto_LHU 101 1 +auto_MULHSU auto_SB 343 1 +auto_MULHSU auto_SH 102 1 +auto_MULHSU auto_SW 68 1 +auto_MULHSU auto_ADDI 507 1 +auto_MULHSU auto_SLTI 262 1 +auto_MULHSU auto_SLTIU 298 1 +auto_MULHSU auto_XORI 290 1 +auto_MULHSU auto_ORI 265 1 +auto_MULHSU auto_ANDI 237 1 +auto_MULHSU auto_SLLI 251 1 +auto_MULHSU auto_SRLI 252 1 +auto_MULHSU auto_SRAI 264 1 +auto_MULHSU auto_ADD 234 1 +auto_MULHSU auto_SUB 266 1 +auto_MULHSU auto_SLL 272 1 +auto_MULHSU auto_SLT 257 1 +auto_MULHSU auto_SLTU 292 1 +auto_MULHSU auto_XOR 351 1 +auto_MULHSU auto_SRL 252 1 +auto_MULHSU auto_SRA 260 1 +auto_MULHSU auto_OR 256 1 +auto_MULHSU auto_AND 250 1 +auto_MULHSU auto_FENCE 89 1 +auto_MULHSU auto_MRET 796 1 +auto_MULHSU auto_WFI 52 1 +auto_MULHSU auto_MUL 245 1 +auto_MULHSU auto_MULH 256 1 +auto_MULHSU auto_MULHSU 229 1 +auto_MULHSU auto_MULHU 258 1 +auto_MULHSU auto_DIV 261 1 +auto_MULHSU auto_DIVU 352 1 +auto_MULHSU auto_REM 263 1 +auto_MULHSU auto_REMU 317 1 +auto_MULHSU auto_C_ADDI4SPN 72 1 +auto_MULHSU auto_C_NOP 654 1 +auto_MULHSU auto_C_ADDI 120 1 +auto_MULHSU auto_C_JAL 28 1 +auto_MULHSU auto_C_LI 99 1 +auto_MULHSU auto_C_ADDI16SP 77 1 +auto_MULHSU auto_C_LUI 89 1 +auto_MULHSU auto_C_SRLI 94 1 +auto_MULHSU auto_C_SRAI 105 1 +auto_MULHSU auto_C_ANDI 117 1 +auto_MULHSU auto_C_SUB 94 1 +auto_MULHSU auto_C_XOR 93 1 +auto_MULHSU auto_C_OR 102 1 +auto_MULHSU auto_C_AND 102 1 +auto_MULHSU auto_C_J 31 1 +auto_MULHSU auto_C_BEQZ 50 1 +auto_MULHSU auto_C_BNEZ 44 1 +auto_MULHSU auto_C_SLLI 141 1 +auto_MULHSU auto_C_JR 1 1 +auto_MULHSU auto_C_MV 97 1 +auto_MULHSU auto_C_JALR 2 1 +auto_MULHSU auto_C_ADD 134 1 +auto_MULHSU auto_C_SWSP 3 1 +auto_MULHSU auto_SH1ADD 198 1 +auto_MULHSU auto_SH2ADD 194 1 +auto_MULHSU auto_SH3ADD 183 1 +auto_MULHSU auto_CLZ 198 1 +auto_MULHSU auto_CTZ 181 1 +auto_MULHSU auto_CPOP 209 1 +auto_MULHSU auto_MIN 209 1 +auto_MULHSU auto_MAX 206 1 +auto_MULHSU auto_MINU 203 1 +auto_MULHSU auto_MAXU 184 1 +auto_MULHSU auto_SEXT_B 186 1 +auto_MULHSU auto_SEXT_H 174 1 +auto_MULHSU auto_ZEXT_H 229 1 +auto_MULHSU auto_ANDN 220 1 +auto_MULHSU auto_ORN 189 1 +auto_MULHSU auto_XNOR 198 1 +auto_MULHSU auto_ROR 239 1 +auto_MULHSU auto_RORI 174 1 +auto_MULHSU auto_ROL 221 1 +auto_MULHSU auto_REV8 153 1 +auto_MULHSU auto_ORC_B 216 1 +auto_MULHSU auto_CLMUL 201 1 +auto_MULHSU auto_CLMULH 181 1 +auto_MULHSU auto_CLMULR 204 1 +auto_MULHSU auto_BSET 227 1 +auto_MULHSU auto_BSETI 192 1 +auto_MULHSU auto_BCLR 208 1 +auto_MULHSU auto_BCLRI 194 1 +auto_MULHSU auto_BINV 179 1 +auto_MULHSU auto_BINVI 191 1 +auto_MULHSU auto_BEXT 209 1 +auto_MULHSU auto_BEXTI 187 1 +auto_MULHSU auto_CSRRW 76 1 +auto_MULHSU auto_CSRRS 76 1 +auto_MULHSU auto_CSRRC 59 1 +auto_MULHSU auto_CSRRWI 70 1 +auto_MULHSU auto_CSRRSI 64 1 +auto_MULHSU auto_CSRRCI 82 1 +auto_MULHSU auto_C_LBU 2 1 +auto_MULHSU auto_C_LHU 1 1 +auto_MULHSU auto_C_LH 1 1 +auto_MULHSU auto_C_SB 1 1 +auto_MULHSU auto_C_SH 1 1 +auto_MULHSU auto_C_ZEXT_B 85 1 +auto_MULHSU auto_C_SEXT_B 87 1 +auto_MULHSU auto_C_ZEXT_H 83 1 +auto_MULHSU auto_C_SEXT_H 79 1 +auto_MULHSU auto_C_NOT 93 1 +auto_MULHSU auto_C_MUL 92 1 +auto_MULHU auto_LUI 226 1 +auto_MULHU auto_AUIPC 263 1 +auto_MULHU auto_JAL 61 1 +auto_MULHU auto_JALR 7 1 +auto_MULHU auto_BEQ 76 1 +auto_MULHU auto_BNE 58 1 +auto_MULHU auto_BLT 77 1 +auto_MULHU auto_BGE 67 1 +auto_MULHU auto_BLTU 71 1 +auto_MULHU auto_BGEU 88 1 +auto_MULHU auto_LB 347 1 +auto_MULHU auto_LH 104 1 +auto_MULHU auto_LW 81 1 +auto_MULHU auto_LBU 333 1 +auto_MULHU auto_LHU 112 1 +auto_MULHU auto_SB 354 1 +auto_MULHU auto_SH 114 1 +auto_MULHU auto_SW 69 1 +auto_MULHU auto_ADDI 409 1 +auto_MULHU auto_SLTI 312 1 +auto_MULHU auto_SLTIU 264 1 +auto_MULHU auto_XORI 256 1 +auto_MULHU auto_ORI 276 1 +auto_MULHU auto_ANDI 341 1 +auto_MULHU auto_SLLI 247 1 +auto_MULHU auto_SRLI 277 1 +auto_MULHU auto_SRAI 282 1 +auto_MULHU auto_ADD 247 1 +auto_MULHU auto_SUB 233 1 +auto_MULHU auto_SLL 245 1 +auto_MULHU auto_SLT 270 1 +auto_MULHU auto_SLTU 262 1 +auto_MULHU auto_XOR 240 1 +auto_MULHU auto_SRL 238 1 +auto_MULHU auto_SRA 253 1 +auto_MULHU auto_OR 233 1 +auto_MULHU auto_AND 242 1 +auto_MULHU auto_FENCE 85 1 +auto_MULHU auto_MRET 813 1 +auto_MULHU auto_WFI 63 1 +auto_MULHU auto_MUL 355 1 +auto_MULHU auto_MULH 232 1 +auto_MULHU auto_MULHSU 258 1 +auto_MULHU auto_MULHU 248 1 +auto_MULHU auto_DIV 253 1 +auto_MULHU auto_DIVU 245 1 +auto_MULHU auto_REM 263 1 +auto_MULHU auto_REMU 261 1 +auto_MULHU auto_C_ADDI4SPN 83 1 +auto_MULHU auto_C_NOP 666 1 +auto_MULHU auto_C_ADDI 137 1 +auto_MULHU auto_C_JAL 31 1 +auto_MULHU auto_C_LI 110 1 +auto_MULHU auto_C_ADDI16SP 84 1 +auto_MULHU auto_C_LUI 94 1 +auto_MULHU auto_C_SRLI 99 1 +auto_MULHU auto_C_SRAI 85 1 +auto_MULHU auto_C_ANDI 111 1 +auto_MULHU auto_C_SUB 121 1 +auto_MULHU auto_C_XOR 107 1 +auto_MULHU auto_C_OR 103 1 +auto_MULHU auto_C_AND 98 1 +auto_MULHU auto_C_J 32 1 +auto_MULHU auto_C_BEQZ 55 1 +auto_MULHU auto_C_BNEZ 51 1 +auto_MULHU auto_C_SLLI 131 1 +auto_MULHU auto_C_LWSP 7 1 +auto_MULHU auto_C_MV 98 1 +auto_MULHU auto_C_JALR 3 1 +auto_MULHU auto_C_ADD 129 1 +auto_MULHU auto_C_SWSP 3 1 +auto_MULHU auto_SH1ADD 182 1 +auto_MULHU auto_SH2ADD 206 1 +auto_MULHU auto_SH3ADD 198 1 +auto_MULHU auto_CLZ 199 1 +auto_MULHU auto_CTZ 200 1 +auto_MULHU auto_CPOP 184 1 +auto_MULHU auto_MIN 198 1 +auto_MULHU auto_MAX 194 1 +auto_MULHU auto_MINU 187 1 +auto_MULHU auto_MAXU 192 1 +auto_MULHU auto_SEXT_B 209 1 +auto_MULHU auto_SEXT_H 182 1 +auto_MULHU auto_ZEXT_H 185 1 +auto_MULHU auto_ANDN 196 1 +auto_MULHU auto_ORN 206 1 +auto_MULHU auto_XNOR 205 1 +auto_MULHU auto_ROR 206 1 +auto_MULHU auto_RORI 189 1 +auto_MULHU auto_ROL 203 1 +auto_MULHU auto_REV8 227 1 +auto_MULHU auto_ORC_B 227 1 +auto_MULHU auto_CLMUL 190 1 +auto_MULHU auto_CLMULH 188 1 +auto_MULHU auto_CLMULR 203 1 +auto_MULHU auto_BSET 212 1 +auto_MULHU auto_BSETI 196 1 +auto_MULHU auto_BCLR 196 1 +auto_MULHU auto_BCLRI 181 1 +auto_MULHU auto_BINV 204 1 +auto_MULHU auto_BINVI 174 1 +auto_MULHU auto_BEXT 221 1 +auto_MULHU auto_BEXTI 202 1 +auto_MULHU auto_CSRRW 78 1 +auto_MULHU auto_CSRRS 62 1 +auto_MULHU auto_CSRRC 70 1 +auto_MULHU auto_CSRRWI 86 1 +auto_MULHU auto_CSRRSI 81 1 +auto_MULHU auto_CSRRCI 83 1 +auto_MULHU auto_C_LBU 2 1 +auto_MULHU auto_C_LHU 1 1 +auto_MULHU auto_C_SB 1 1 +auto_MULHU auto_C_SH 1 1 +auto_MULHU auto_C_ZEXT_B 92 1 +auto_MULHU auto_C_SEXT_B 98 1 +auto_MULHU auto_C_ZEXT_H 97 1 +auto_MULHU auto_C_SEXT_H 91 1 +auto_MULHU auto_C_NOT 87 1 +auto_MULHU auto_C_MUL 84 1 +auto_DIV auto_LUI 264 1 +auto_DIV auto_AUIPC 243 1 +auto_DIV auto_JAL 83 1 +auto_DIV auto_JALR 15 1 +auto_DIV auto_BEQ 66 1 +auto_DIV auto_BNE 62 1 +auto_DIV auto_BLT 59 1 +auto_DIV auto_BGE 75 1 +auto_DIV auto_BLTU 69 1 +auto_DIV auto_BGEU 68 1 +auto_DIV auto_LB 358 1 +auto_DIV auto_LH 101 1 +auto_DIV auto_LW 78 1 +auto_DIV auto_LBU 385 1 +auto_DIV auto_LHU 103 1 +auto_DIV auto_SB 336 1 +auto_DIV auto_SH 98 1 +auto_DIV auto_SW 74 1 +auto_DIV auto_ADDI 441 1 +auto_DIV auto_SLTI 345 1 +auto_DIV auto_SLTIU 242 1 +auto_DIV auto_XORI 252 1 +auto_DIV auto_ORI 260 1 +auto_DIV auto_ANDI 256 1 +auto_DIV auto_SLLI 246 1 +auto_DIV auto_SRLI 277 1 +auto_DIV auto_SRAI 250 1 +auto_DIV auto_ADD 190 1 +auto_DIV auto_SUB 246 1 +auto_DIV auto_SLL 246 1 +auto_DIV auto_SLT 283 1 +auto_DIV auto_SLTU 283 1 +auto_DIV auto_XOR 238 1 +auto_DIV auto_SRL 264 1 +auto_DIV auto_SRA 245 1 +auto_DIV auto_OR 243 1 +auto_DIV auto_AND 276 1 +auto_DIV auto_FENCE 93 1 +auto_DIV auto_ECALL 1 1 +auto_DIV auto_MRET 775 1 +auto_DIV auto_WFI 55 1 +auto_DIV auto_MUL 313 1 +auto_DIV auto_MULH 292 1 +auto_DIV auto_MULHSU 258 1 +auto_DIV auto_MULHU 287 1 +auto_DIV auto_DIV 264 1 +auto_DIV auto_DIVU 272 1 +auto_DIV auto_REM 246 1 +auto_DIV auto_REMU 272 1 +auto_DIV auto_C_ADDI4SPN 73 1 +auto_DIV auto_C_NOP 764 1 +auto_DIV auto_C_ADDI 178 1 +auto_DIV auto_C_JAL 38 1 +auto_DIV auto_C_LI 98 1 +auto_DIV auto_C_ADDI16SP 73 1 +auto_DIV auto_C_LUI 104 1 +auto_DIV auto_C_SRLI 116 1 +auto_DIV auto_C_SRAI 93 1 +auto_DIV auto_C_ANDI 82 1 +auto_DIV auto_C_SUB 75 1 +auto_DIV auto_C_XOR 104 1 +auto_DIV auto_C_OR 100 1 +auto_DIV auto_C_AND 86 1 +auto_DIV auto_C_J 36 1 +auto_DIV auto_C_BEQZ 39 1 +auto_DIV auto_C_BNEZ 50 1 +auto_DIV auto_C_SLLI 144 1 +auto_DIV auto_C_LWSP 5 1 +auto_DIV auto_C_JR 1 1 +auto_DIV auto_C_MV 114 1 +auto_DIV auto_C_JALR 1 1 +auto_DIV auto_C_ADD 145 1 +auto_DIV auto_C_SWSP 10 1 +auto_DIV auto_SH1ADD 177 1 +auto_DIV auto_SH2ADD 223 1 +auto_DIV auto_SH3ADD 207 1 +auto_DIV auto_CLZ 174 1 +auto_DIV auto_CTZ 199 1 +auto_DIV auto_CPOP 193 1 +auto_DIV auto_MIN 184 1 +auto_DIV auto_MAX 202 1 +auto_DIV auto_MINU 198 1 +auto_DIV auto_MAXU 190 1 +auto_DIV auto_SEXT_B 204 1 +auto_DIV auto_SEXT_H 187 1 +auto_DIV auto_ZEXT_H 228 1 +auto_DIV auto_ANDN 174 1 +auto_DIV auto_ORN 220 1 +auto_DIV auto_XNOR 189 1 +auto_DIV auto_ROR 177 1 +auto_DIV auto_RORI 214 1 +auto_DIV auto_ROL 183 1 +auto_DIV auto_REV8 198 1 +auto_DIV auto_ORC_B 212 1 +auto_DIV auto_CLMUL 179 1 +auto_DIV auto_CLMULH 197 1 +auto_DIV auto_CLMULR 227 1 +auto_DIV auto_BSET 198 1 +auto_DIV auto_BSETI 175 1 +auto_DIV auto_BCLR 180 1 +auto_DIV auto_BCLRI 216 1 +auto_DIV auto_BINV 190 1 +auto_DIV auto_BINVI 209 1 +auto_DIV auto_BEXT 201 1 +auto_DIV auto_BEXTI 207 1 +auto_DIV auto_CSRRW 250 1 +auto_DIV auto_CSRRS 58 1 +auto_DIV auto_CSRRC 60 1 +auto_DIV auto_CSRRWI 64 1 +auto_DIV auto_CSRRSI 71 1 +auto_DIV auto_CSRRCI 67 1 +auto_DIV auto_C_LBU 1 1 +auto_DIV auto_C_LHU 1 1 +auto_DIV auto_C_LH 1 1 +auto_DIV auto_C_SB 3 1 +auto_DIV auto_C_SH 1 1 +auto_DIV auto_C_ZEXT_B 80 1 +auto_DIV auto_C_SEXT_B 98 1 +auto_DIV auto_C_ZEXT_H 73 1 +auto_DIV auto_C_SEXT_H 103 1 +auto_DIV auto_C_NOT 79 1 +auto_DIV auto_C_MUL 93 1 +auto_DIVU auto_LUI 236 1 +auto_DIVU auto_AUIPC 256 1 +auto_DIVU auto_JAL 145 1 +auto_DIVU auto_JALR 40 1 +auto_DIVU auto_BEQ 68 1 +auto_DIVU auto_BNE 90 1 +auto_DIVU auto_BLT 71 1 +auto_DIVU auto_BGE 71 1 +auto_DIVU auto_BLTU 79 1 +auto_DIVU auto_BGEU 56 1 +auto_DIVU auto_LB 368 1 +auto_DIVU auto_LH 109 1 +auto_DIVU auto_LW 64 1 +auto_DIVU auto_LBU 349 1 +auto_DIVU auto_LHU 100 1 +auto_DIVU auto_SB 384 1 +auto_DIVU auto_SH 86 1 +auto_DIVU auto_SW 73 1 +auto_DIVU auto_ADDI 426 1 +auto_DIVU auto_SLTI 263 1 +auto_DIVU auto_SLTIU 284 1 +auto_DIVU auto_XORI 395 1 +auto_DIVU auto_ORI 249 1 +auto_DIVU auto_ANDI 308 1 +auto_DIVU auto_SLLI 228 1 +auto_DIVU auto_SRLI 272 1 +auto_DIVU auto_SRAI 403 1 +auto_DIVU auto_ADD 200 1 +auto_DIVU auto_SUB 226 1 +auto_DIVU auto_SLL 264 1 +auto_DIVU auto_SLT 237 1 +auto_DIVU auto_SLTU 342 1 +auto_DIVU auto_XOR 240 1 +auto_DIVU auto_SRL 324 1 +auto_DIVU auto_SRA 239 1 +auto_DIVU auto_OR 260 1 +auto_DIVU auto_AND 267 1 +auto_DIVU auto_FENCE 83 1 +auto_DIVU auto_MRET 815 1 +auto_DIVU auto_WFI 77 1 +auto_DIVU auto_MUL 255 1 +auto_DIVU auto_MULH 241 1 +auto_DIVU auto_MULHSU 307 1 +auto_DIVU auto_MULHU 286 1 +auto_DIVU auto_DIV 249 1 +auto_DIVU auto_DIVU 247 1 +auto_DIVU auto_REM 299 1 +auto_DIVU auto_REMU 354 1 +auto_DIVU auto_C_ADDI4SPN 92 1 +auto_DIVU auto_C_LW 1 1 +auto_DIVU auto_C_NOP 685 1 +auto_DIVU auto_C_ADDI 117 1 +auto_DIVU auto_C_JAL 29 1 +auto_DIVU auto_C_LI 106 1 +auto_DIVU auto_C_ADDI16SP 75 1 +auto_DIVU auto_C_LUI 111 1 +auto_DIVU auto_C_SRLI 89 1 +auto_DIVU auto_C_SRAI 78 1 +auto_DIVU auto_C_ANDI 77 1 +auto_DIVU auto_C_SUB 111 1 +auto_DIVU auto_C_XOR 83 1 +auto_DIVU auto_C_OR 96 1 +auto_DIVU auto_C_AND 99 1 +auto_DIVU auto_C_J 30 1 +auto_DIVU auto_C_BEQZ 39 1 +auto_DIVU auto_C_BNEZ 41 1 +auto_DIVU auto_C_SLLI 104 1 +auto_DIVU auto_C_LWSP 11 1 +auto_DIVU auto_C_JR 7 1 +auto_DIVU auto_C_MV 113 1 +auto_DIVU auto_C_ADD 121 1 +auto_DIVU auto_C_SWSP 4 1 +auto_DIVU auto_SH1ADD 188 1 +auto_DIVU auto_SH2ADD 219 1 +auto_DIVU auto_SH3ADD 189 1 +auto_DIVU auto_CLZ 196 1 +auto_DIVU auto_CTZ 200 1 +auto_DIVU auto_CPOP 209 1 +auto_DIVU auto_MIN 172 1 +auto_DIVU auto_MAX 192 1 +auto_DIVU auto_MINU 205 1 +auto_DIVU auto_MAXU 214 1 +auto_DIVU auto_SEXT_B 192 1 +auto_DIVU auto_SEXT_H 203 1 +auto_DIVU auto_ZEXT_H 218 1 +auto_DIVU auto_ANDN 189 1 +auto_DIVU auto_ORN 225 1 +auto_DIVU auto_XNOR 210 1 +auto_DIVU auto_ROR 205 1 +auto_DIVU auto_RORI 200 1 +auto_DIVU auto_ROL 207 1 +auto_DIVU auto_REV8 185 1 +auto_DIVU auto_ORC_B 196 1 +auto_DIVU auto_CLMUL 204 1 +auto_DIVU auto_CLMULH 195 1 +auto_DIVU auto_CLMULR 177 1 +auto_DIVU auto_BSET 189 1 +auto_DIVU auto_BSETI 205 1 +auto_DIVU auto_BCLR 195 1 +auto_DIVU auto_BCLRI 191 1 +auto_DIVU auto_BINV 212 1 +auto_DIVU auto_BINVI 177 1 +auto_DIVU auto_BEXT 207 1 +auto_DIVU auto_BEXTI 217 1 +auto_DIVU auto_CSRRW 69 1 +auto_DIVU auto_CSRRS 85 1 +auto_DIVU auto_CSRRC 66 1 +auto_DIVU auto_CSRRWI 71 1 +auto_DIVU auto_CSRRSI 80 1 +auto_DIVU auto_CSRRCI 47 1 +auto_DIVU auto_C_LBU 3 1 +auto_DIVU auto_C_LHU 1 1 +auto_DIVU auto_C_LH 1 1 +auto_DIVU auto_C_SB 1 1 +auto_DIVU auto_C_ZEXT_B 107 1 +auto_DIVU auto_C_SEXT_B 93 1 +auto_DIVU auto_C_ZEXT_H 89 1 +auto_DIVU auto_C_SEXT_H 98 1 +auto_DIVU auto_C_NOT 73 1 +auto_DIVU auto_C_MUL 90 1 +auto_REM auto_LUI 289 1 +auto_REM auto_AUIPC 240 1 +auto_REM auto_JAL 75 1 +auto_REM auto_JALR 12 1 +auto_REM auto_BEQ 52 1 +auto_REM auto_BNE 59 1 +auto_REM auto_BLT 56 1 +auto_REM auto_BGE 75 1 +auto_REM auto_BLTU 64 1 +auto_REM auto_BGEU 62 1 +auto_REM auto_LB 357 1 +auto_REM auto_LH 105 1 +auto_REM auto_LW 67 1 +auto_REM auto_LBU 353 1 +auto_REM auto_LHU 108 1 +auto_REM auto_SB 310 1 +auto_REM auto_SH 90 1 +auto_REM auto_SW 53 1 +auto_REM auto_ADDI 426 1 +auto_REM auto_SLTI 242 1 +auto_REM auto_SLTIU 266 1 +auto_REM auto_XORI 268 1 +auto_REM auto_ORI 253 1 +auto_REM auto_ANDI 293 1 +auto_REM auto_SLLI 242 1 +auto_REM auto_SRLI 261 1 +auto_REM auto_SRAI 275 1 +auto_REM auto_ADD 229 1 +auto_REM auto_SUB 268 1 +auto_REM auto_SLL 286 1 +auto_REM auto_SLT 246 1 +auto_REM auto_SLTU 259 1 +auto_REM auto_XOR 248 1 +auto_REM auto_SRL 244 1 +auto_REM auto_SRA 202 1 +auto_REM auto_OR 256 1 +auto_REM auto_AND 251 1 +auto_REM auto_FENCE 90 1 +auto_REM auto_MRET 809 1 +auto_REM auto_WFI 55 1 +auto_REM auto_MUL 269 1 +auto_REM auto_MULH 249 1 +auto_REM auto_MULHSU 253 1 +auto_REM auto_MULHU 272 1 +auto_REM auto_DIV 284 1 +auto_REM auto_DIVU 241 1 +auto_REM auto_REM 215 1 +auto_REM auto_REMU 266 1 +auto_REM auto_C_ADDI4SPN 92 1 +auto_REM auto_C_LW 2 1 +auto_REM auto_C_SW 1 1 +auto_REM auto_C_NOP 688 1 +auto_REM auto_C_ADDI 118 1 +auto_REM auto_C_JAL 30 1 +auto_REM auto_C_LI 113 1 +auto_REM auto_C_ADDI16SP 96 1 +auto_REM auto_C_LUI 126 1 +auto_REM auto_C_SRLI 109 1 +auto_REM auto_C_SRAI 130 1 +auto_REM auto_C_ANDI 73 1 +auto_REM auto_C_SUB 87 1 +auto_REM auto_C_XOR 83 1 +auto_REM auto_C_OR 93 1 +auto_REM auto_C_AND 110 1 +auto_REM auto_C_J 27 1 +auto_REM auto_C_BEQZ 42 1 +auto_REM auto_C_BNEZ 55 1 +auto_REM auto_C_SLLI 133 1 +auto_REM auto_C_LWSP 5 1 +auto_REM auto_C_JR 2 1 +auto_REM auto_C_MV 116 1 +auto_REM auto_C_ADD 161 1 +auto_REM auto_C_SWSP 6 1 +auto_REM auto_SH1ADD 176 1 +auto_REM auto_SH2ADD 234 1 +auto_REM auto_SH3ADD 200 1 +auto_REM auto_CLZ 211 1 +auto_REM auto_CTZ 183 1 +auto_REM auto_CPOP 185 1 +auto_REM auto_MIN 210 1 +auto_REM auto_MAX 178 1 +auto_REM auto_MINU 199 1 +auto_REM auto_MAXU 219 1 +auto_REM auto_SEXT_B 186 1 +auto_REM auto_SEXT_H 204 1 +auto_REM auto_ZEXT_H 186 1 +auto_REM auto_ANDN 184 1 +auto_REM auto_ORN 203 1 +auto_REM auto_XNOR 206 1 +auto_REM auto_ROR 197 1 +auto_REM auto_RORI 196 1 +auto_REM auto_ROL 191 1 +auto_REM auto_REV8 170 1 +auto_REM auto_ORC_B 199 1 +auto_REM auto_CLMUL 176 1 +auto_REM auto_CLMULH 176 1 +auto_REM auto_CLMULR 208 1 +auto_REM auto_BSET 204 1 +auto_REM auto_BSETI 198 1 +auto_REM auto_BCLR 186 1 +auto_REM auto_BCLRI 201 1 +auto_REM auto_BINV 188 1 +auto_REM auto_BINVI 204 1 +auto_REM auto_BEXT 192 1 +auto_REM auto_BEXTI 192 1 +auto_REM auto_CSRRW 77 1 +auto_REM auto_CSRRS 77 1 +auto_REM auto_CSRRC 67 1 +auto_REM auto_CSRRWI 82 1 +auto_REM auto_CSRRSI 69 1 +auto_REM auto_CSRRCI 74 1 +auto_REM auto_C_LBU 3 1 +auto_REM auto_C_LH 2 1 +auto_REM auto_C_SB 2 1 +auto_REM auto_C_SH 1 1 +auto_REM auto_C_ZEXT_B 91 1 +auto_REM auto_C_SEXT_B 104 1 +auto_REM auto_C_ZEXT_H 86 1 +auto_REM auto_C_SEXT_H 99 1 +auto_REM auto_C_NOT 84 1 +auto_REM auto_C_MUL 100 1 +auto_REMU auto_LUI 261 1 +auto_REMU auto_AUIPC 305 1 +auto_REMU auto_JAL 82 1 +auto_REMU auto_JALR 15 1 +auto_REMU auto_BEQ 101 1 +auto_REMU auto_BNE 65 1 +auto_REMU auto_BLT 59 1 +auto_REMU auto_BGE 68 1 +auto_REMU auto_BLTU 157 1 +auto_REMU auto_BGEU 92 1 +auto_REMU auto_LB 371 1 +auto_REMU auto_LH 107 1 +auto_REMU auto_LW 63 1 +auto_REMU auto_LBU 338 1 +auto_REMU auto_LHU 115 1 +auto_REMU auto_SB 359 1 +auto_REMU auto_SH 107 1 +auto_REMU auto_SW 80 1 +auto_REMU auto_ADDI 405 1 +auto_REMU auto_SLTI 234 1 +auto_REMU auto_SLTIU 239 1 +auto_REMU auto_XORI 240 1 +auto_REMU auto_ORI 237 1 +auto_REMU auto_ANDI 273 1 +auto_REMU auto_SLLI 263 1 +auto_REMU auto_SRLI 271 1 +auto_REMU auto_SRAI 280 1 +auto_REMU auto_ADD 209 1 +auto_REMU auto_SUB 349 1 +auto_REMU auto_SLL 241 1 +auto_REMU auto_SLT 253 1 +auto_REMU auto_SLTU 314 1 +auto_REMU auto_XOR 226 1 +auto_REMU auto_SRL 238 1 +auto_REMU auto_SRA 266 1 +auto_REMU auto_OR 264 1 +auto_REMU auto_AND 222 1 +auto_REMU auto_FENCE 115 1 +auto_REMU auto_MRET 899 1 +auto_REMU auto_WFI 110 1 +auto_REMU auto_MUL 395 1 +auto_REMU auto_MULH 283 1 +auto_REMU auto_MULHSU 267 1 +auto_REMU auto_MULHU 285 1 +auto_REMU auto_DIV 265 1 +auto_REMU auto_DIVU 251 1 +auto_REMU auto_REM 210 1 +auto_REMU auto_REMU 268 1 +auto_REMU auto_C_ADDI4SPN 83 1 +auto_REMU auto_C_NOP 677 1 +auto_REMU auto_C_ADDI 202 1 +auto_REMU auto_C_JAL 27 1 +auto_REMU auto_C_LI 94 1 +auto_REMU auto_C_ADDI16SP 79 1 +auto_REMU auto_C_LUI 99 1 +auto_REMU auto_C_SRLI 87 1 +auto_REMU auto_C_SRAI 109 1 +auto_REMU auto_C_ANDI 76 1 +auto_REMU auto_C_SUB 92 1 +auto_REMU auto_C_XOR 118 1 +auto_REMU auto_C_OR 127 1 +auto_REMU auto_C_AND 98 1 +auto_REMU auto_C_J 31 1 +auto_REMU auto_C_BEQZ 47 1 +auto_REMU auto_C_BNEZ 51 1 +auto_REMU auto_C_SLLI 117 1 +auto_REMU auto_C_LWSP 5 1 +auto_REMU auto_C_JR 1 1 +auto_REMU auto_C_MV 122 1 +auto_REMU auto_C_JALR 2 1 +auto_REMU auto_C_ADD 124 1 +auto_REMU auto_C_SWSP 4 1 +auto_REMU auto_SH1ADD 209 1 +auto_REMU auto_SH2ADD 217 1 +auto_REMU auto_SH3ADD 201 1 +auto_REMU auto_CLZ 203 1 +auto_REMU auto_CTZ 210 1 +auto_REMU auto_CPOP 206 1 +auto_REMU auto_MIN 204 1 +auto_REMU auto_MAX 209 1 +auto_REMU auto_MINU 213 1 +auto_REMU auto_MAXU 207 1 +auto_REMU auto_SEXT_B 182 1 +auto_REMU auto_SEXT_H 211 1 +auto_REMU auto_ZEXT_H 197 1 +auto_REMU auto_ANDN 191 1 +auto_REMU auto_ORN 216 1 +auto_REMU auto_XNOR 210 1 +auto_REMU auto_ROR 200 1 +auto_REMU auto_RORI 206 1 +auto_REMU auto_ROL 214 1 +auto_REMU auto_REV8 180 1 +auto_REMU auto_ORC_B 191 1 +auto_REMU auto_CLMUL 179 1 +auto_REMU auto_CLMULH 194 1 +auto_REMU auto_CLMULR 225 1 +auto_REMU auto_BSET 208 1 +auto_REMU auto_BSETI 232 1 +auto_REMU auto_BCLR 204 1 +auto_REMU auto_BCLRI 191 1 +auto_REMU auto_BINV 209 1 +auto_REMU auto_BINVI 208 1 +auto_REMU auto_BEXT 211 1 +auto_REMU auto_BEXTI 211 1 +auto_REMU auto_CSRRW 64 1 +auto_REMU auto_CSRRS 71 1 +auto_REMU auto_CSRRC 73 1 +auto_REMU auto_CSRRWI 82 1 +auto_REMU auto_CSRRSI 57 1 +auto_REMU auto_CSRRCI 76 1 +auto_REMU auto_C_LBU 1 1 +auto_REMU auto_C_LHU 1 1 +auto_REMU auto_C_LH 1 1 +auto_REMU auto_C_SB 2 1 +auto_REMU auto_C_SH 1 1 +auto_REMU auto_C_ZEXT_B 72 1 +auto_REMU auto_C_SEXT_B 112 1 +auto_REMU auto_C_ZEXT_H 88 1 +auto_REMU auto_C_SEXT_H 97 1 +auto_REMU auto_C_NOT 101 1 +auto_REMU auto_C_MUL 99 1 +auto_C_ADDI4SPN auto_LUI 94 1 +auto_C_ADDI4SPN auto_AUIPC 89 1 +auto_C_ADDI4SPN auto_JAL 26 1 +auto_C_ADDI4SPN auto_BEQ 28 1 +auto_C_ADDI4SPN auto_BNE 46 1 +auto_C_ADDI4SPN auto_BLT 62 1 +auto_C_ADDI4SPN auto_BGE 42 1 +auto_C_ADDI4SPN auto_BLTU 42 1 +auto_C_ADDI4SPN auto_BGEU 28 1 +auto_C_ADDI4SPN auto_LB 160 1 +auto_C_ADDI4SPN auto_LH 59 1 +auto_C_ADDI4SPN auto_LW 53 1 +auto_C_ADDI4SPN auto_LBU 159 1 +auto_C_ADDI4SPN auto_LHU 50 1 +auto_C_ADDI4SPN auto_SB 152 1 +auto_C_ADDI4SPN auto_SH 47 1 +auto_C_ADDI4SPN auto_SW 50 1 +auto_C_ADDI4SPN auto_ADDI 177 1 +auto_C_ADDI4SPN auto_SLTI 83 1 +auto_C_ADDI4SPN auto_SLTIU 78 1 +auto_C_ADDI4SPN auto_XORI 77 1 +auto_C_ADDI4SPN auto_ORI 72 1 +auto_C_ADDI4SPN auto_ANDI 68 1 +auto_C_ADDI4SPN auto_SLLI 81 1 +auto_C_ADDI4SPN auto_SRLI 58 1 +auto_C_ADDI4SPN auto_SRAI 90 1 +auto_C_ADDI4SPN auto_ADD 75 1 +auto_C_ADDI4SPN auto_SUB 77 1 +auto_C_ADDI4SPN auto_SLL 77 1 +auto_C_ADDI4SPN auto_SLT 78 1 +auto_C_ADDI4SPN auto_SLTU 92 1 +auto_C_ADDI4SPN auto_XOR 84 1 +auto_C_ADDI4SPN auto_SRL 79 1 +auto_C_ADDI4SPN auto_SRA 97 1 +auto_C_ADDI4SPN auto_OR 72 1 +auto_C_ADDI4SPN auto_AND 74 1 +auto_C_ADDI4SPN auto_FENCE 49 1 +auto_C_ADDI4SPN auto_MRET 245 1 +auto_C_ADDI4SPN auto_MUL 55 1 +auto_C_ADDI4SPN auto_MULH 77 1 +auto_C_ADDI4SPN auto_MULHSU 100 1 +auto_C_ADDI4SPN auto_MULHU 69 1 +auto_C_ADDI4SPN auto_DIV 73 1 +auto_C_ADDI4SPN auto_DIVU 87 1 +auto_C_ADDI4SPN auto_REM 74 1 +auto_C_ADDI4SPN auto_REMU 64 1 +auto_C_ADDI4SPN auto_C_ADDI4SPN 72 1 +auto_C_ADDI4SPN auto_C_SW 1 1 +auto_C_ADDI4SPN auto_C_NOP 244 1 +auto_C_ADDI4SPN auto_C_ADDI 79 1 +auto_C_ADDI4SPN auto_C_JAL 32 1 +auto_C_ADDI4SPN auto_C_LI 57 1 +auto_C_ADDI4SPN auto_C_ADDI16SP 80 1 +auto_C_ADDI4SPN auto_C_LUI 77 1 +auto_C_ADDI4SPN auto_C_SRLI 95 1 +auto_C_ADDI4SPN auto_C_SRAI 78 1 +auto_C_ADDI4SPN auto_C_ANDI 75 1 +auto_C_ADDI4SPN auto_C_SUB 68 1 +auto_C_ADDI4SPN auto_C_XOR 61 1 +auto_C_ADDI4SPN auto_C_OR 77 1 +auto_C_ADDI4SPN auto_C_AND 64 1 +auto_C_ADDI4SPN auto_C_J 27 1 +auto_C_ADDI4SPN auto_C_BEQZ 58 1 +auto_C_ADDI4SPN auto_C_BNEZ 44 1 +auto_C_ADDI4SPN auto_C_SLLI 115 1 +auto_C_ADDI4SPN auto_C_MV 93 1 +auto_C_ADDI4SPN auto_C_JALR 1 1 +auto_C_ADDI4SPN auto_C_ADD 86 1 +auto_C_ADDI4SPN auto_C_SWSP 85 1 +auto_C_ADDI4SPN auto_SH1ADD 97 1 +auto_C_ADDI4SPN auto_SH2ADD 78 1 +auto_C_ADDI4SPN auto_SH3ADD 88 1 +auto_C_ADDI4SPN auto_CLZ 77 1 +auto_C_ADDI4SPN auto_CTZ 68 1 +auto_C_ADDI4SPN auto_CPOP 68 1 +auto_C_ADDI4SPN auto_MIN 79 1 +auto_C_ADDI4SPN auto_MAX 79 1 +auto_C_ADDI4SPN auto_MINU 70 1 +auto_C_ADDI4SPN auto_MAXU 67 1 +auto_C_ADDI4SPN auto_SEXT_B 63 1 +auto_C_ADDI4SPN auto_SEXT_H 90 1 +auto_C_ADDI4SPN auto_ZEXT_H 58 1 +auto_C_ADDI4SPN auto_ANDN 73 1 +auto_C_ADDI4SPN auto_ORN 67 1 +auto_C_ADDI4SPN auto_XNOR 81 1 +auto_C_ADDI4SPN auto_ROR 86 1 +auto_C_ADDI4SPN auto_RORI 71 1 +auto_C_ADDI4SPN auto_ROL 107 1 +auto_C_ADDI4SPN auto_REV8 78 1 +auto_C_ADDI4SPN auto_ORC_B 77 1 +auto_C_ADDI4SPN auto_CLMUL 76 1 +auto_C_ADDI4SPN auto_CLMULH 68 1 +auto_C_ADDI4SPN auto_CLMULR 104 1 +auto_C_ADDI4SPN auto_BSET 88 1 +auto_C_ADDI4SPN auto_BSETI 94 1 +auto_C_ADDI4SPN auto_BCLR 71 1 +auto_C_ADDI4SPN auto_BCLRI 81 1 +auto_C_ADDI4SPN auto_BINV 86 1 +auto_C_ADDI4SPN auto_BINVI 79 1 +auto_C_ADDI4SPN auto_BEXT 67 1 +auto_C_ADDI4SPN auto_BEXTI 75 1 +auto_C_ADDI4SPN auto_CSRRW 40 1 +auto_C_ADDI4SPN auto_CSRRS 46 1 +auto_C_ADDI4SPN auto_CSRRC 48 1 +auto_C_ADDI4SPN auto_CSRRWI 47 1 +auto_C_ADDI4SPN auto_CSRRSI 49 1 +auto_C_ADDI4SPN auto_CSRRCI 46 1 +auto_C_ADDI4SPN auto_C_LH 2 1 +auto_C_ADDI4SPN auto_C_SB 2 1 +auto_C_ADDI4SPN auto_C_SH 1 1 +auto_C_ADDI4SPN auto_C_ZEXT_B 78 1 +auto_C_ADDI4SPN auto_C_SEXT_B 78 1 +auto_C_ADDI4SPN auto_C_ZEXT_H 84 1 +auto_C_ADDI4SPN auto_C_SEXT_H 83 1 +auto_C_ADDI4SPN auto_C_NOT 71 1 +auto_C_ADDI4SPN auto_C_MUL 69 1 +auto_C_LW auto_LUI 2 1 +auto_C_LW auto_LB 26 1 +auto_C_LW auto_LH 4 1 +auto_C_LW auto_LW 16812 1 +auto_C_LW auto_LBU 24 1 +auto_C_LW auto_LHU 3 1 +auto_C_LW auto_SB 25 1 +auto_C_LW auto_SH 10 1 +auto_C_LW auto_SW 5 1 +auto_C_LW auto_ADDI 7 1 +auto_C_LW auto_SLTIU 2 1 +auto_C_LW auto_XORI 2 1 +auto_C_LW auto_ORI 3 1 +auto_C_LW auto_SRLI 1 1 +auto_C_LW auto_SRAI 1 1 +auto_C_LW auto_ADD 37885 1 +auto_C_LW auto_SUB 1 1 +auto_C_LW auto_SLTU 1 1 +auto_C_LW auto_XOR 2 1 +auto_C_LW auto_SRL 1 1 +auto_C_LW auto_SRA 1 1 +auto_C_LW auto_AND 1 1 +auto_C_LW auto_FENCE 3 1 +auto_C_LW auto_MRET 2 1 +auto_C_LW auto_MUL 1 1 +auto_C_LW auto_MULH 1 1 +auto_C_LW auto_DIV 1 1 +auto_C_LW auto_DIVU 3 1 +auto_C_LW auto_REM 1 1 +auto_C_LW auto_REMU 1 1 +auto_C_LW auto_C_LW 8498 1 +auto_C_LW auto_C_SW 8 1 +auto_C_LW auto_C_NOP 3 1 +auto_C_LW auto_C_ADDI 1 1 +auto_C_LW auto_C_LI 3 1 +auto_C_LW auto_C_ADDI16SP 1 1 +auto_C_LW auto_C_SUB 1 1 +auto_C_LW auto_C_XOR 1 1 +auto_C_LW auto_C_OR 1 1 +auto_C_LW auto_C_SLLI 1 1 +auto_C_LW auto_C_MV 2 1 +auto_C_LW auto_C_ADD 1 1 +auto_C_LW auto_SH1ADD 1 1 +auto_C_LW auto_SH2ADD 1 1 +auto_C_LW auto_CTZ 2 1 +auto_C_LW auto_CPOP 1 1 +auto_C_LW auto_MIN 3 1 +auto_C_LW auto_MAXU 2 1 +auto_C_LW auto_ZEXT_H 1 1 +auto_C_LW auto_ORN 1 1 +auto_C_LW auto_XNOR 2 1 +auto_C_LW auto_ROR 1 1 +auto_C_LW auto_ORC_B 1 1 +auto_C_LW auto_CLMUL 2 1 +auto_C_LW auto_BSETI 1 1 +auto_C_LW auto_BCLR 1 1 +auto_C_LW auto_BCLRI 2 1 +auto_C_LW auto_BEXT 1 1 +auto_C_LW auto_CSRRW 1 1 +auto_C_LW auto_CSRRS 1 1 +auto_C_LW auto_C_LBU 1 1 +auto_C_LW auto_C_LHU 1 1 +auto_C_LW auto_C_LH 2 1 +auto_C_LW auto_C_SH 1 1 +auto_C_LW auto_C_SEXT_B 1 1 +auto_C_LW auto_C_MUL 2 1 +auto_C_SW auto_LB 23 1 +auto_C_SW auto_LH 8 1 +auto_C_SW auto_LW 3 1 +auto_C_SW auto_LBU 30 1 +auto_C_SW auto_LHU 8 1 +auto_C_SW auto_SB 30 1 +auto_C_SW auto_SH 12 1 +auto_C_SW auto_SW 17303 1 +auto_C_SW auto_ADDI 19015 1 +auto_C_SW auto_SLTIU 1 1 +auto_C_SW auto_ORI 1 1 +auto_C_SW auto_ANDI 2 1 +auto_C_SW auto_SLLI 1 1 +auto_C_SW auto_SRLI 1 1 +auto_C_SW auto_SUB 1 1 +auto_C_SW auto_SLT 2 1 +auto_C_SW auto_SLTU 2 1 +auto_C_SW auto_SRA 1 1 +auto_C_SW auto_OR 1 1 +auto_C_SW auto_AND 1 1 +auto_C_SW auto_FENCE 2 1 +auto_C_SW auto_MRET 6 1 +auto_C_SW auto_DIV 1 1 +auto_C_SW auto_REM 1 1 +auto_C_SW auto_REMU 1 1 +auto_C_SW auto_C_ADDI4SPN 1 1 +auto_C_SW auto_C_LW 4 1 +auto_C_SW auto_C_SW 8480 1 +auto_C_SW auto_C_NOP 3 1 +auto_C_SW auto_C_ADDI 18880 1 +auto_C_SW auto_C_XOR 1 1 +auto_C_SW auto_C_OR 1 1 +auto_C_SW auto_SH1ADD 1 1 +auto_C_SW auto_CTZ 1 1 +auto_C_SW auto_MAX 1 1 +auto_C_SW auto_MINU 2 1 +auto_C_SW auto_SEXT_H 1 1 +auto_C_SW auto_ZEXT_H 1 1 +auto_C_SW auto_ORN 2 1 +auto_C_SW auto_ORC_B 1 1 +auto_C_SW auto_CLMULH 1 1 +auto_C_SW auto_CLMULR 2 1 +auto_C_SW auto_BSET 2 1 +auto_C_SW auto_BSETI 2 1 +auto_C_SW auto_BCLRI 1 1 +auto_C_SW auto_BINV 2 1 +auto_C_SW auto_BEXT 2 1 +auto_C_SW auto_CSRRW 2 1 +auto_C_SW auto_CSRRS 1 1 +auto_C_SW auto_C_LBU 2 1 +auto_C_SW auto_C_LHU 2 1 +auto_C_SW auto_C_SH 5 1 +auto_C_SW auto_C_ZEXT_B 2 1 +auto_C_SW auto_C_SEXT_H 1 1 +auto_C_SW auto_C_NOT 1 1 +auto_C_SW auto_C_MUL 1 1 +auto_C_NOP auto_LUI 1113 1 +auto_C_NOP auto_AUIPC 622 1 +auto_C_NOP auto_JAL 191 1 +auto_C_NOP auto_JALR 51 1 +auto_C_NOP auto_BEQ 173 1 +auto_C_NOP auto_BNE 179 1 +auto_C_NOP auto_BLT 185 1 +auto_C_NOP auto_BGE 162 1 +auto_C_NOP auto_BLTU 164 1 +auto_C_NOP auto_BGEU 190 1 +auto_C_NOP auto_LB 962 1 +auto_C_NOP auto_LH 299 1 +auto_C_NOP auto_LW 192 1 +auto_C_NOP auto_LBU 934 1 +auto_C_NOP auto_LHU 292 1 +auto_C_NOP auto_SB 985 1 +auto_C_NOP auto_SH 331 1 +auto_C_NOP auto_SW 203 1 +auto_C_NOP auto_ADDI 1135 1 +auto_C_NOP auto_SLTI 647 1 +auto_C_NOP auto_SLTIU 633 1 +auto_C_NOP auto_XORI 583 1 +auto_C_NOP auto_ORI 609 1 +auto_C_NOP auto_ANDI 598 1 +auto_C_NOP auto_SLLI 572 1 +auto_C_NOP auto_SRLI 616 1 +auto_C_NOP auto_SRAI 602 1 +auto_C_NOP auto_ADD 665 1 +auto_C_NOP auto_SUB 651 1 +auto_C_NOP auto_SLL 593 1 +auto_C_NOP auto_SLT 605 1 +auto_C_NOP auto_SLTU 616 1 +auto_C_NOP auto_XOR 613 1 +auto_C_NOP auto_SRL 661 1 +auto_C_NOP auto_SRA 568 1 +auto_C_NOP auto_OR 580 1 +auto_C_NOP auto_AND 641 1 +auto_C_NOP auto_FENCE 284 1 +auto_C_NOP auto_MRET 6680 1 +auto_C_NOP auto_WFI 121 1 +auto_C_NOP auto_MUL 669 1 +auto_C_NOP auto_MULH 607 1 +auto_C_NOP auto_MULHSU 642 1 +auto_C_NOP auto_MULHU 624 1 +auto_C_NOP auto_DIV 662 1 +auto_C_NOP auto_DIVU 625 1 +auto_C_NOP auto_REM 609 1 +auto_C_NOP auto_REMU 636 1 +auto_C_NOP auto_C_ADDI4SPN 191 1 +auto_C_NOP auto_C_LW 1 1 +auto_C_NOP auto_C_SW 3 1 +auto_C_NOP auto_C_NOP 5621 1 +auto_C_NOP auto_C_ADDI 335 1 +auto_C_NOP auto_C_JAL 110 1 +auto_C_NOP auto_C_LI 307 1 +auto_C_NOP auto_C_ADDI16SP 246 1 +auto_C_NOP auto_C_LUI 278 1 +auto_C_NOP auto_C_SRLI 303 1 +auto_C_NOP auto_C_SRAI 305 1 +auto_C_NOP auto_C_ANDI 303 1 +auto_C_NOP auto_C_SUB 308 1 +auto_C_NOP auto_C_XOR 247 1 +auto_C_NOP auto_C_OR 283 1 +auto_C_NOP auto_C_AND 288 1 +auto_C_NOP auto_C_J 114 1 +auto_C_NOP auto_C_BEQZ 114 1 +auto_C_NOP auto_C_BNEZ 126 1 +auto_C_NOP auto_C_SLLI 374 1 +auto_C_NOP auto_C_LWSP 17 1 +auto_C_NOP auto_C_JR 58 1 +auto_C_NOP auto_C_MV 346 1 +auto_C_NOP auto_C_JALR 5 1 +auto_C_NOP auto_C_ADD 384 1 +auto_C_NOP auto_C_SWSP 10 1 +auto_C_NOP auto_SH1ADD 470 1 +auto_C_NOP auto_SH2ADD 465 1 +auto_C_NOP auto_SH3ADD 479 1 +auto_C_NOP auto_CLZ 484 1 +auto_C_NOP auto_CTZ 465 1 +auto_C_NOP auto_CPOP 508 1 +auto_C_NOP auto_MIN 470 1 +auto_C_NOP auto_MAX 504 1 +auto_C_NOP auto_MINU 526 1 +auto_C_NOP auto_MAXU 469 1 +auto_C_NOP auto_SEXT_B 452 1 +auto_C_NOP auto_SEXT_H 462 1 +auto_C_NOP auto_ZEXT_H 485 1 +auto_C_NOP auto_ANDN 476 1 +auto_C_NOP auto_ORN 471 1 +auto_C_NOP auto_XNOR 491 1 +auto_C_NOP auto_ROR 486 1 +auto_C_NOP auto_RORI 478 1 +auto_C_NOP auto_ROL 450 1 +auto_C_NOP auto_REV8 484 1 +auto_C_NOP auto_ORC_B 519 1 +auto_C_NOP auto_CLMUL 474 1 +auto_C_NOP auto_CLMULH 518 1 +auto_C_NOP auto_CLMULR 474 1 +auto_C_NOP auto_BSET 540 1 +auto_C_NOP auto_BSETI 520 1 +auto_C_NOP auto_BCLR 536 1 +auto_C_NOP auto_BCLRI 492 1 +auto_C_NOP auto_BINV 528 1 +auto_C_NOP auto_BINVI 502 1 +auto_C_NOP auto_BEXT 497 1 +auto_C_NOP auto_BEXTI 486 1 +auto_C_NOP auto_CSRRW 2536 1 +auto_C_NOP auto_CSRRS 196 1 +auto_C_NOP auto_CSRRC 192 1 +auto_C_NOP auto_CSRRWI 186 1 +auto_C_NOP auto_CSRRSI 206 1 +auto_C_NOP auto_CSRRCI 185 1 +auto_C_NOP auto_C_LBU 5 1 +auto_C_NOP auto_C_LHU 1 1 +auto_C_NOP auto_C_SB 8 1 +auto_C_NOP auto_C_SH 7 1 +auto_C_NOP auto_C_ZEXT_B 283 1 +auto_C_NOP auto_C_SEXT_B 293 1 +auto_C_NOP auto_C_ZEXT_H 276 1 +auto_C_NOP auto_C_SEXT_H 256 1 +auto_C_NOP auto_C_NOT 295 1 +auto_C_NOP auto_C_MUL 282 1 +auto_C_ADDI auto_LUI 412016 1 +auto_C_ADDI auto_AUIPC 981 1 +auto_C_ADDI auto_JAL 217 1 +auto_C_ADDI auto_JALR 693 1 +auto_C_ADDI auto_BEQ 321 1 +auto_C_ADDI auto_BNE 60256 1 +auto_C_ADDI auto_BLT 302 1 +auto_C_ADDI auto_BGE 400 1 +auto_C_ADDI auto_BLTU 363 1 +auto_C_ADDI auto_BGEU 323 1 +auto_C_ADDI auto_LB 1960 1 +auto_C_ADDI auto_LH 651 1 +auto_C_ADDI auto_LW 241932 1 +auto_C_ADDI auto_LBU 1917 1 +auto_C_ADDI auto_LHU 708 1 +auto_C_ADDI auto_SB 1860 1 +auto_C_ADDI auto_SH 728 1 +auto_C_ADDI auto_SW 422 1 +auto_C_ADDI auto_ADDI 2231 1 +auto_C_ADDI auto_SLTI 841 1 +auto_C_ADDI auto_SLTIU 850 1 +auto_C_ADDI auto_XORI 934 1 +auto_C_ADDI auto_ORI 767 1 +auto_C_ADDI auto_ANDI 875 1 +auto_C_ADDI auto_SLLI 832 1 +auto_C_ADDI auto_SRLI 881 1 +auto_C_ADDI auto_SRAI 830 1 +auto_C_ADDI auto_ADD 812 1 +auto_C_ADDI auto_SUB 894 1 +auto_C_ADDI auto_SLL 830 1 +auto_C_ADDI auto_SLT 883 1 +auto_C_ADDI auto_SLTU 808 1 +auto_C_ADDI auto_XOR 901 1 +auto_C_ADDI auto_SRL 832 1 +auto_C_ADDI auto_SRA 913 1 +auto_C_ADDI auto_OR 833 1 +auto_C_ADDI auto_AND 828 1 +auto_C_ADDI auto_FENCE 1235 1 +auto_C_ADDI auto_ECALL 1291 1 +auto_C_ADDI auto_EBREAK 5057 1 +auto_C_ADDI auto_MRET 201477 1 +auto_C_ADDI auto_WFI 443 1 +auto_C_ADDI auto_MUL 925 1 +auto_C_ADDI auto_MULH 777 1 +auto_C_ADDI auto_MULHSU 880 1 +auto_C_ADDI auto_MULHU 793 1 +auto_C_ADDI auto_DIV 739 1 +auto_C_ADDI auto_DIVU 846 1 +auto_C_ADDI auto_REM 788 1 +auto_C_ADDI auto_REMU 899 1 +auto_C_ADDI auto_C_ADDI4SPN 348 1 +auto_C_ADDI auto_C_LW 18882 1 +auto_C_ADDI auto_C_SW 7 1 +auto_C_ADDI auto_C_NOP 2198 1 +auto_C_ADDI auto_C_ADDI 47960 1 +auto_C_ADDI auto_C_JAL 91 1 +auto_C_ADDI auto_C_LI 205882 1 +auto_C_ADDI auto_C_ADDI16SP 284 1 +auto_C_ADDI auto_C_LUI 518 1 +auto_C_ADDI auto_C_SRLI 445 1 +auto_C_ADDI auto_C_SRAI 453 1 +auto_C_ADDI auto_C_ANDI 400 1 +auto_C_ADDI auto_C_SUB 388 1 +auto_C_ADDI auto_C_XOR 414 1 +auto_C_ADDI auto_C_OR 435 1 +auto_C_ADDI auto_C_AND 384 1 +auto_C_ADDI auto_C_J 56 1 +auto_C_ADDI auto_C_BEQZ 91 1 +auto_C_ADDI auto_C_BNEZ 100 1 +auto_C_ADDI auto_C_SLLI 703 1 +auto_C_ADDI auto_C_LWSP 4892 1 +auto_C_ADDI auto_C_JR 3 1 +auto_C_ADDI auto_C_MV 577 1 +auto_C_ADDI auto_C_EBREAK 4674 1 +auto_C_ADDI auto_C_JALR 18 1 +auto_C_ADDI auto_C_ADD 592 1 +auto_C_ADDI auto_C_SWSP 31 1 +auto_C_ADDI auto_SH1ADD 419 1 +auto_C_ADDI auto_SH2ADD 400 1 +auto_C_ADDI auto_SH3ADD 420 1 +auto_C_ADDI auto_CLZ 395 1 +auto_C_ADDI auto_CTZ 404 1 +auto_C_ADDI auto_CPOP 407 1 +auto_C_ADDI auto_MIN 418 1 +auto_C_ADDI auto_MAX 438 1 +auto_C_ADDI auto_MINU 370 1 +auto_C_ADDI auto_MAXU 393 1 +auto_C_ADDI auto_SEXT_B 420 1 +auto_C_ADDI auto_SEXT_H 391 1 +auto_C_ADDI auto_ZEXT_H 412 1 +auto_C_ADDI auto_ANDN 419 1 +auto_C_ADDI auto_ORN 412 1 +auto_C_ADDI auto_XNOR 392 1 +auto_C_ADDI auto_ROR 447 1 +auto_C_ADDI auto_RORI 407 1 +auto_C_ADDI auto_ROL 415 1 +auto_C_ADDI auto_REV8 376 1 +auto_C_ADDI auto_ORC_B 393 1 +auto_C_ADDI auto_CLMUL 419 1 +auto_C_ADDI auto_CLMULH 431 1 +auto_C_ADDI auto_CLMULR 387 1 +auto_C_ADDI auto_BSET 399 1 +auto_C_ADDI auto_BSETI 413 1 +auto_C_ADDI auto_BCLR 370 1 +auto_C_ADDI auto_BCLRI 410 1 +auto_C_ADDI auto_BINV 427 1 +auto_C_ADDI auto_BINVI 379 1 +auto_C_ADDI auto_BEXT 412 1 +auto_C_ADDI auto_BEXTI 399 1 +auto_C_ADDI auto_CSRRW 374 1 +auto_C_ADDI auto_CSRRS 12137 1 +auto_C_ADDI auto_CSRRC 179 1 +auto_C_ADDI auto_CSRRWI 175 1 +auto_C_ADDI auto_CSRRSI 179 1 +auto_C_ADDI auto_CSRRCI 147 1 +auto_C_ADDI auto_C_LBU 21 1 +auto_C_ADDI auto_C_LHU 2 1 +auto_C_ADDI auto_C_LH 5 1 +auto_C_ADDI auto_C_SB 9 1 +auto_C_ADDI auto_C_SH 3 1 +auto_C_ADDI auto_C_ZEXT_B 431 1 +auto_C_ADDI auto_C_SEXT_B 437 1 +auto_C_ADDI auto_C_ZEXT_H 464 1 +auto_C_ADDI auto_C_SEXT_H 380 1 +auto_C_ADDI auto_C_NOT 425 1 +auto_C_ADDI auto_C_MUL 427 1 +auto_C_JAL auto_JAL 21481 1 +auto_C_JAL auto_BLTU 1 1 +auto_C_JAL auto_LW 17 1 +auto_C_JAL auto_SW 1 1 +auto_C_JAL auto_C_JAL 18770 1 +auto_C_JAL auto_C_LI 17 1 +auto_C_JAL auto_C_J 18847 1 +auto_C_JAL auto_C_LWSP 17 1 +auto_C_JAL auto_C_JR 1 1 +auto_C_JAL auto_C_MV 8 1 +auto_C_LI auto_LUI 5265 1 +auto_C_LI auto_AUIPC 103 1 +auto_C_LI auto_JAL 46 1 +auto_C_LI auto_JALR 9 1 +auto_C_LI auto_BEQ 54 1 +auto_C_LI auto_BNE 51 1 +auto_C_LI auto_BLT 39 1 +auto_C_LI auto_BGE 50 1 +auto_C_LI auto_BLTU 61 1 +auto_C_LI auto_BGEU 45 1 +auto_C_LI auto_LB 296 1 +auto_C_LI auto_LH 75 1 +auto_C_LI auto_LW 55 1 +auto_C_LI auto_LBU 55978 1 +auto_C_LI auto_LHU 84 1 +auto_C_LI auto_SB 295 1 +auto_C_LI auto_SH 77 1 +auto_C_LI auto_SW 59 1 +auto_C_LI auto_ADDI 11245 1 +auto_C_LI auto_SLTI 112 1 +auto_C_LI auto_SLTIU 109 1 +auto_C_LI auto_XORI 115 1 +auto_C_LI auto_ORI 88 1 +auto_C_LI auto_ANDI 112 1 +auto_C_LI auto_SLLI 94 1 +auto_C_LI auto_SRLI 88 1 +auto_C_LI auto_SRAI 88 1 +auto_C_LI auto_ADD 105 1 +auto_C_LI auto_SUB 105 1 +auto_C_LI auto_SLL 80 1 +auto_C_LI auto_SLT 99 1 +auto_C_LI auto_SLTU 95 1 +auto_C_LI auto_XOR 115 1 +auto_C_LI auto_SRL 98 1 +auto_C_LI auto_SRA 105 1 +auto_C_LI auto_OR 100 1 +auto_C_LI auto_AND 95 1 +auto_C_LI auto_FENCE 68 1 +auto_C_LI auto_MRET 534 1 +auto_C_LI auto_MUL 115 1 +auto_C_LI auto_MULH 95 1 +auto_C_LI auto_MULHSU 110 1 +auto_C_LI auto_MULHU 91 1 +auto_C_LI auto_DIV 103 1 +auto_C_LI auto_DIVU 115 1 +auto_C_LI auto_REM 103 1 +auto_C_LI auto_REMU 97 1 +auto_C_LI auto_C_ADDI4SPN 84 1 +auto_C_LI auto_C_LW 2 1 +auto_C_LI auto_C_SW 2 1 +auto_C_LI auto_C_NOP 557 1 +auto_C_LI auto_C_ADDI 347 1 +auto_C_LI auto_C_JAL 39 1 +auto_C_LI auto_C_LI 11145 1 +auto_C_LI auto_C_ADDI16SP 92 1 +auto_C_LI auto_C_LUI 147 1 +auto_C_LI auto_C_SRLI 130 1 +auto_C_LI auto_C_SRAI 120 1 +auto_C_LI auto_C_ANDI 98 1 +auto_C_LI auto_C_SUB 108 1 +auto_C_LI auto_C_XOR 93 1 +auto_C_LI auto_C_OR 107 1 +auto_C_LI auto_C_AND 99 1 +auto_C_LI auto_C_J 2364 1 +auto_C_LI auto_C_BEQZ 57 1 +auto_C_LI auto_C_BNEZ 43 1 +auto_C_LI auto_C_SLLI 169 1 +auto_C_LI auto_C_LWSP 5 1 +auto_C_LI auto_C_JR 23 1 +auto_C_LI auto_C_MV 150 1 +auto_C_LI auto_C_JALR 5 1 +auto_C_LI auto_C_ADD 164 1 +auto_C_LI auto_C_SWSP 6 1 +auto_C_LI auto_SH1ADD 97 1 +auto_C_LI auto_SH2ADD 105 1 +auto_C_LI auto_SH3ADD 112 1 +auto_C_LI auto_CLZ 87 1 +auto_C_LI auto_CTZ 98 1 +auto_C_LI auto_CPOP 110 1 +auto_C_LI auto_MIN 108 1 +auto_C_LI auto_MAX 90 1 +auto_C_LI auto_MINU 106 1 +auto_C_LI auto_MAXU 148 1 +auto_C_LI auto_SEXT_B 93 1 +auto_C_LI auto_SEXT_H 106 1 +auto_C_LI auto_ZEXT_H 104 1 +auto_C_LI auto_ANDN 134 1 +auto_C_LI auto_ORN 96 1 +auto_C_LI auto_XNOR 91 1 +auto_C_LI auto_ROR 101 1 +auto_C_LI auto_RORI 116 1 +auto_C_LI auto_ROL 96 1 +auto_C_LI auto_REV8 112 1 +auto_C_LI auto_ORC_B 111 1 +auto_C_LI auto_CLMUL 106 1 +auto_C_LI auto_CLMULH 103 1 +auto_C_LI auto_CLMULR 96 1 +auto_C_LI auto_BSET 95 1 +auto_C_LI auto_BSETI 94 1 +auto_C_LI auto_BCLR 105 1 +auto_C_LI auto_BCLRI 96 1 +auto_C_LI auto_BINV 112 1 +auto_C_LI auto_BINVI 135 1 +auto_C_LI auto_BEXT 98 1 +auto_C_LI auto_BEXTI 110 1 +auto_C_LI auto_CSRRW 2265 1 +auto_C_LI auto_CSRRS 209121 1 +auto_C_LI auto_CSRRC 674 1 +auto_C_LI auto_CSRRWI 65 1 +auto_C_LI auto_CSRRSI 52 1 +auto_C_LI auto_CSRRCI 71 1 +auto_C_LI auto_C_LBU 4238 1 +auto_C_LI auto_C_LHU 1 1 +auto_C_LI auto_C_SB 4 1 +auto_C_LI auto_C_ZEXT_B 113 1 +auto_C_LI auto_C_SEXT_B 132 1 +auto_C_LI auto_C_ZEXT_H 120 1 +auto_C_LI auto_C_SEXT_H 109 1 +auto_C_LI auto_C_NOT 128 1 +auto_C_LI auto_C_MUL 109 1 +auto_C_ADDI16SP auto_LUI 79 1 +auto_C_ADDI16SP auto_AUIPC 68 1 +auto_C_ADDI16SP auto_JAL 52 1 +auto_C_ADDI16SP auto_JALR 1 1 +auto_C_ADDI16SP auto_BEQ 34 1 +auto_C_ADDI16SP auto_BNE 40 1 +auto_C_ADDI16SP auto_BLT 41 1 +auto_C_ADDI16SP auto_BGE 55 1 +auto_C_ADDI16SP auto_BLTU 35 1 +auto_C_ADDI16SP auto_BGEU 33 1 +auto_C_ADDI16SP auto_LB 153 1 +auto_C_ADDI16SP auto_LH 48 1 +auto_C_ADDI16SP auto_LW 18 1 +auto_C_ADDI16SP auto_LBU 144 1 +auto_C_ADDI16SP auto_LHU 39 1 +auto_C_ADDI16SP auto_SB 171 1 +auto_C_ADDI16SP auto_SH 44 1 +auto_C_ADDI16SP auto_SW 31 1 +auto_C_ADDI16SP auto_ADDI 153 1 +auto_C_ADDI16SP auto_SLTI 68 1 +auto_C_ADDI16SP auto_SLTIU 74 1 +auto_C_ADDI16SP auto_XORI 77 1 +auto_C_ADDI16SP auto_ORI 102 1 +auto_C_ADDI16SP auto_ANDI 69 1 +auto_C_ADDI16SP auto_SLLI 71 1 +auto_C_ADDI16SP auto_SRLI 80 1 +auto_C_ADDI16SP auto_SRAI 73 1 +auto_C_ADDI16SP auto_ADD 3014 1 +auto_C_ADDI16SP auto_SUB 67 1 +auto_C_ADDI16SP auto_SLL 94 1 +auto_C_ADDI16SP auto_SLT 82 1 +auto_C_ADDI16SP auto_SLTU 86 1 +auto_C_ADDI16SP auto_XOR 97 1 +auto_C_ADDI16SP auto_SRL 64 1 +auto_C_ADDI16SP auto_SRA 86 1 +auto_C_ADDI16SP auto_OR 74 1 +auto_C_ADDI16SP auto_AND 80 1 +auto_C_ADDI16SP auto_FENCE 55 1 +auto_C_ADDI16SP auto_MRET 287 1 +auto_C_ADDI16SP auto_MUL 64 1 +auto_C_ADDI16SP auto_MULH 69 1 +auto_C_ADDI16SP auto_MULHSU 57 1 +auto_C_ADDI16SP auto_MULHU 72 1 +auto_C_ADDI16SP auto_DIV 74 1 +auto_C_ADDI16SP auto_DIVU 71 1 +auto_C_ADDI16SP auto_REM 74 1 +auto_C_ADDI16SP auto_REMU 78 1 +auto_C_ADDI16SP auto_C_ADDI4SPN 96 1 +auto_C_ADDI16SP auto_C_NOP 289 1 +auto_C_ADDI16SP auto_C_ADDI 104 1 +auto_C_ADDI16SP auto_C_JAL 43 1 +auto_C_ADDI16SP auto_C_LI 103 1 +auto_C_ADDI16SP auto_C_ADDI16SP 82 1 +auto_C_ADDI16SP auto_C_LUI 86 1 +auto_C_ADDI16SP auto_C_SRLI 94 1 +auto_C_ADDI16SP auto_C_SRAI 90 1 +auto_C_ADDI16SP auto_C_ANDI 78 1 +auto_C_ADDI16SP auto_C_SUB 74 1 +auto_C_ADDI16SP auto_C_XOR 90 1 +auto_C_ADDI16SP auto_C_OR 75 1 +auto_C_ADDI16SP auto_C_AND 84 1 +auto_C_ADDI16SP auto_C_J 29 1 +auto_C_ADDI16SP auto_C_BEQZ 32 1 +auto_C_ADDI16SP auto_C_BNEZ 39 1 +auto_C_ADDI16SP auto_C_SLLI 98 1 +auto_C_ADDI16SP auto_C_LWSP 2991 1 +auto_C_ADDI16SP auto_C_MV 88 1 +auto_C_ADDI16SP auto_C_JALR 3 1 +auto_C_ADDI16SP auto_C_ADD 96 1 +auto_C_ADDI16SP auto_SH1ADD 74 1 +auto_C_ADDI16SP auto_SH2ADD 82 1 +auto_C_ADDI16SP auto_SH3ADD 73 1 +auto_C_ADDI16SP auto_CLZ 80 1 +auto_C_ADDI16SP auto_CTZ 73 1 +auto_C_ADDI16SP auto_CPOP 75 1 +auto_C_ADDI16SP auto_MIN 72 1 +auto_C_ADDI16SP auto_MAX 66 1 +auto_C_ADDI16SP auto_MINU 84 1 +auto_C_ADDI16SP auto_MAXU 56 1 +auto_C_ADDI16SP auto_SEXT_B 82 1 +auto_C_ADDI16SP auto_SEXT_H 79 1 +auto_C_ADDI16SP auto_ZEXT_H 73 1 +auto_C_ADDI16SP auto_ANDN 83 1 +auto_C_ADDI16SP auto_ORN 69 1 +auto_C_ADDI16SP auto_XNOR 78 1 +auto_C_ADDI16SP auto_ROR 61 1 +auto_C_ADDI16SP auto_RORI 79 1 +auto_C_ADDI16SP auto_ROL 73 1 +auto_C_ADDI16SP auto_REV8 83 1 +auto_C_ADDI16SP auto_ORC_B 78 1 +auto_C_ADDI16SP auto_CLMUL 71 1 +auto_C_ADDI16SP auto_CLMULH 67 1 +auto_C_ADDI16SP auto_CLMULR 87 1 +auto_C_ADDI16SP auto_BSET 85 1 +auto_C_ADDI16SP auto_BSETI 73 1 +auto_C_ADDI16SP auto_BCLR 83 1 +auto_C_ADDI16SP auto_BCLRI 76 1 +auto_C_ADDI16SP auto_BINV 54 1 +auto_C_ADDI16SP auto_BINVI 74 1 +auto_C_ADDI16SP auto_BEXT 65 1 +auto_C_ADDI16SP auto_BEXTI 79 1 +auto_C_ADDI16SP auto_CSRRW 45 1 +auto_C_ADDI16SP auto_CSRRS 43 1 +auto_C_ADDI16SP auto_CSRRC 47 1 +auto_C_ADDI16SP auto_CSRRWI 44 1 +auto_C_ADDI16SP auto_CSRRSI 39 1 +auto_C_ADDI16SP auto_CSRRCI 50 1 +auto_C_ADDI16SP auto_C_LBU 1 1 +auto_C_ADDI16SP auto_C_ZEXT_B 80 1 +auto_C_ADDI16SP auto_C_SEXT_B 87 1 +auto_C_ADDI16SP auto_C_ZEXT_H 86 1 +auto_C_ADDI16SP auto_C_SEXT_H 72 1 +auto_C_ADDI16SP auto_C_NOT 75 1 +auto_C_ADDI16SP auto_C_MUL 78 1 +auto_C_LUI auto_LUI 96 1 +auto_C_LUI auto_AUIPC 89 1 +auto_C_LUI auto_JAL 36 1 +auto_C_LUI auto_JALR 9 1 +auto_C_LUI auto_BEQ 70 1 +auto_C_LUI auto_BNE 34 1 +auto_C_LUI auto_BLT 53 1 +auto_C_LUI auto_BGE 51 1 +auto_C_LUI auto_BLTU 43 1 +auto_C_LUI auto_BGEU 44 1 +auto_C_LUI auto_LB 295 1 +auto_C_LUI auto_LH 82 1 +auto_C_LUI auto_LW 38 1 +auto_C_LUI auto_LBU 287 1 +auto_C_LUI auto_LHU 92 1 +auto_C_LUI auto_SB 273 1 +auto_C_LUI auto_SH 80 1 +auto_C_LUI auto_SW 58 1 +auto_C_LUI auto_ADDI 183 1 +auto_C_LUI auto_SLTI 112 1 +auto_C_LUI auto_SLTIU 111 1 +auto_C_LUI auto_XORI 100 1 +auto_C_LUI auto_ORI 95 1 +auto_C_LUI auto_ANDI 99 1 +auto_C_LUI auto_SLLI 109 1 +auto_C_LUI auto_SRLI 94 1 +auto_C_LUI auto_SRAI 92 1 +auto_C_LUI auto_ADD 97 1 +auto_C_LUI auto_SUB 111 1 +auto_C_LUI auto_SLL 110 1 +auto_C_LUI auto_SLT 93 1 +auto_C_LUI auto_SLTU 107 1 +auto_C_LUI auto_XOR 112 1 +auto_C_LUI auto_SRL 101 1 +auto_C_LUI auto_SRA 95 1 +auto_C_LUI auto_OR 91 1 +auto_C_LUI auto_AND 82 1 +auto_C_LUI auto_FENCE 71 1 +auto_C_LUI auto_MRET 385 1 +auto_C_LUI auto_MUL 123 1 +auto_C_LUI auto_MULH 99 1 +auto_C_LUI auto_MULHSU 109 1 +auto_C_LUI auto_MULHU 95 1 +auto_C_LUI auto_DIV 106 1 +auto_C_LUI auto_DIVU 110 1 +auto_C_LUI auto_REM 96 1 +auto_C_LUI auto_REMU 123 1 +auto_C_LUI auto_C_ADDI4SPN 83 1 +auto_C_LUI auto_C_LW 1 1 +auto_C_LUI auto_C_NOP 2609 1 +auto_C_LUI auto_C_ADDI 152 1 +auto_C_LUI auto_C_JAL 30 1 +auto_C_LUI auto_C_LI 149 1 +auto_C_LUI auto_C_ADDI16SP 83 1 +auto_C_LUI auto_C_LUI 121 1 +auto_C_LUI auto_C_SRLI 129 1 +auto_C_LUI auto_C_SRAI 112 1 +auto_C_LUI auto_C_ANDI 90 1 +auto_C_LUI auto_C_SUB 103 1 +auto_C_LUI auto_C_XOR 95 1 +auto_C_LUI auto_C_OR 97 1 +auto_C_LUI auto_C_AND 99 1 +auto_C_LUI auto_C_J 27 1 +auto_C_LUI auto_C_BEQZ 46 1 +auto_C_LUI auto_C_BNEZ 38 1 +auto_C_LUI auto_C_SLLI 192 1 +auto_C_LUI auto_C_LWSP 3 1 +auto_C_LUI auto_C_JR 1 1 +auto_C_LUI auto_C_MV 118 1 +auto_C_LUI auto_C_ADD 139 1 +auto_C_LUI auto_C_SWSP 5 1 +auto_C_LUI auto_SH1ADD 84 1 +auto_C_LUI auto_SH2ADD 111 1 +auto_C_LUI auto_SH3ADD 110 1 +auto_C_LUI auto_CLZ 98 1 +auto_C_LUI auto_CTZ 122 1 +auto_C_LUI auto_CPOP 97 1 +auto_C_LUI auto_MIN 97 1 +auto_C_LUI auto_MAX 99 1 +auto_C_LUI auto_MINU 94 1 +auto_C_LUI auto_MAXU 111 1 +auto_C_LUI auto_SEXT_B 105 1 +auto_C_LUI auto_SEXT_H 115 1 +auto_C_LUI auto_ZEXT_H 113 1 +auto_C_LUI auto_ANDN 100 1 +auto_C_LUI auto_ORN 113 1 +auto_C_LUI auto_XNOR 106 1 +auto_C_LUI auto_ROR 90 1 +auto_C_LUI auto_RORI 107 1 +auto_C_LUI auto_ROL 102 1 +auto_C_LUI auto_REV8 111 1 +auto_C_LUI auto_ORC_B 112 1 +auto_C_LUI auto_CLMUL 106 1 +auto_C_LUI auto_CLMULH 86 1 +auto_C_LUI auto_CLMULR 86 1 +auto_C_LUI auto_BSET 107 1 +auto_C_LUI auto_BSETI 79 1 +auto_C_LUI auto_BCLR 107 1 +auto_C_LUI auto_BCLRI 100 1 +auto_C_LUI auto_BINV 91 1 +auto_C_LUI auto_BINVI 121 1 +auto_C_LUI auto_BEXT 83 1 +auto_C_LUI auto_BEXTI 117 1 +auto_C_LUI auto_CSRRW 205 1 +auto_C_LUI auto_CSRRS 91 1 +auto_C_LUI auto_CSRRC 67 1 +auto_C_LUI auto_CSRRWI 57 1 +auto_C_LUI auto_CSRRSI 77 1 +auto_C_LUI auto_CSRRCI 58 1 +auto_C_LUI auto_C_LBU 4 1 +auto_C_LUI auto_C_SB 3 1 +auto_C_LUI auto_C_ZEXT_B 108 1 +auto_C_LUI auto_C_SEXT_B 86 1 +auto_C_LUI auto_C_ZEXT_H 87 1 +auto_C_LUI auto_C_SEXT_H 106 1 +auto_C_LUI auto_C_NOT 113 1 +auto_C_LUI auto_C_MUL 100 1 +auto_C_SRLI auto_LUI 98 1 +auto_C_SRLI auto_AUIPC 117 1 +auto_C_SRLI auto_JAL 29 1 +auto_C_SRLI auto_JALR 2 1 +auto_C_SRLI auto_BEQ 52 1 +auto_C_SRLI auto_BNE 47 1 +auto_C_SRLI auto_BLT 41 1 +auto_C_SRLI auto_BGE 42 1 +auto_C_SRLI auto_BLTU 40 1 +auto_C_SRLI auto_BGEU 45 1 +auto_C_SRLI auto_LB 270 1 +auto_C_SRLI auto_LH 69 1 +auto_C_SRLI auto_LW 55 1 +auto_C_SRLI auto_LBU 260 1 +auto_C_SRLI auto_LHU 82 1 +auto_C_SRLI auto_SB 249 1 +auto_C_SRLI auto_SH 90 1 +auto_C_SRLI auto_SW 27 1 +auto_C_SRLI auto_ADDI 169 1 +auto_C_SRLI auto_SLTI 101 1 +auto_C_SRLI auto_SLTIU 82 1 +auto_C_SRLI auto_XORI 112 1 +auto_C_SRLI auto_ORI 106 1 +auto_C_SRLI auto_ANDI 101 1 +auto_C_SRLI auto_SLLI 106 1 +auto_C_SRLI auto_SRLI 98 1 +auto_C_SRLI auto_SRAI 110 1 +auto_C_SRLI auto_ADD 74 1 +auto_C_SRLI auto_SUB 94 1 +auto_C_SRLI auto_SLL 104 1 +auto_C_SRLI auto_SLT 95 1 +auto_C_SRLI auto_SLTU 91 1 +auto_C_SRLI auto_XOR 100 1 +auto_C_SRLI auto_SRL 107 1 +auto_C_SRLI auto_SRA 106 1 +auto_C_SRLI auto_OR 94 1 +auto_C_SRLI auto_AND 100 1 +auto_C_SRLI auto_FENCE 53 1 +auto_C_SRLI auto_MRET 434 1 +auto_C_SRLI auto_WFI 1 1 +auto_C_SRLI auto_MUL 87 1 +auto_C_SRLI auto_MULH 89 1 +auto_C_SRLI auto_MULHSU 109 1 +auto_C_SRLI auto_MULHU 98 1 +auto_C_SRLI auto_DIV 99 1 +auto_C_SRLI auto_DIVU 99 1 +auto_C_SRLI auto_REM 88 1 +auto_C_SRLI auto_REMU 97 1 +auto_C_SRLI auto_C_ADDI4SPN 75 1 +auto_C_SRLI auto_C_LW 4 1 +auto_C_SRLI auto_C_SW 1 1 +auto_C_SRLI auto_C_NOP 348 1 +auto_C_SRLI auto_C_ADDI 137 1 +auto_C_SRLI auto_C_JAL 36 1 +auto_C_SRLI auto_C_LI 106 1 +auto_C_SRLI auto_C_ADDI16SP 87 1 +auto_C_SRLI auto_C_LUI 106 1 +auto_C_SRLI auto_C_SRLI 93 1 +auto_C_SRLI auto_C_SRAI 100 1 +auto_C_SRLI auto_C_ANDI 87 1 +auto_C_SRLI auto_C_SUB 87 1 +auto_C_SRLI auto_C_XOR 98 1 +auto_C_SRLI auto_C_OR 101 1 +auto_C_SRLI auto_C_AND 111 1 +auto_C_SRLI auto_C_J 36 1 +auto_C_SRLI auto_C_BEQZ 47 1 +auto_C_SRLI auto_C_BNEZ 44 1 +auto_C_SRLI auto_C_SLLI 170 1 +auto_C_SRLI auto_C_LWSP 2 1 +auto_C_SRLI auto_C_JR 2 1 +auto_C_SRLI auto_C_MV 120 1 +auto_C_SRLI auto_C_JALR 1 1 +auto_C_SRLI auto_C_ADD 130 1 +auto_C_SRLI auto_C_SWSP 5 1 +auto_C_SRLI auto_SH1ADD 84 1 +auto_C_SRLI auto_SH2ADD 106 1 +auto_C_SRLI auto_SH3ADD 92 1 +auto_C_SRLI auto_CLZ 118 1 +auto_C_SRLI auto_CTZ 109 1 +auto_C_SRLI auto_CPOP 96 1 +auto_C_SRLI auto_MIN 97 1 +auto_C_SRLI auto_MAX 105 1 +auto_C_SRLI auto_MINU 101 1 +auto_C_SRLI auto_MAXU 101 1 +auto_C_SRLI auto_SEXT_B 100 1 +auto_C_SRLI auto_SEXT_H 105 1 +auto_C_SRLI auto_ZEXT_H 77 1 +auto_C_SRLI auto_ANDN 92 1 +auto_C_SRLI auto_ORN 91 1 +auto_C_SRLI auto_XNOR 90 1 +auto_C_SRLI auto_ROR 96 1 +auto_C_SRLI auto_RORI 109 1 +auto_C_SRLI auto_ROL 102 1 +auto_C_SRLI auto_REV8 108 1 +auto_C_SRLI auto_ORC_B 96 1 +auto_C_SRLI auto_CLMUL 96 1 +auto_C_SRLI auto_CLMULH 118 1 +auto_C_SRLI auto_CLMULR 92 1 +auto_C_SRLI auto_BSET 90 1 +auto_C_SRLI auto_BSETI 90 1 +auto_C_SRLI auto_BCLR 106 1 +auto_C_SRLI auto_BCLRI 93 1 +auto_C_SRLI auto_BINV 104 1 +auto_C_SRLI auto_BINVI 104 1 +auto_C_SRLI auto_BEXT 107 1 +auto_C_SRLI auto_BEXTI 99 1 +auto_C_SRLI auto_CSRRW 69 1 +auto_C_SRLI auto_CSRRS 65126 1 +auto_C_SRLI auto_CSRRC 57 1 +auto_C_SRLI auto_CSRRWI 50 1 +auto_C_SRLI auto_CSRRSI 59 1 +auto_C_SRLI auto_CSRRCI 57 1 +auto_C_SRLI auto_C_LBU 1 1 +auto_C_SRLI auto_C_LHU 2 1 +auto_C_SRLI auto_C_SH 1 1 +auto_C_SRLI auto_C_ZEXT_B 100 1 +auto_C_SRLI auto_C_SEXT_B 82 1 +auto_C_SRLI auto_C_ZEXT_H 95 1 +auto_C_SRLI auto_C_SEXT_H 90 1 +auto_C_SRLI auto_C_NOT 114 1 +auto_C_SRLI auto_C_MUL 120 1 +auto_C_SRAI auto_LUI 80 1 +auto_C_SRAI auto_AUIPC 93 1 +auto_C_SRAI auto_JAL 31 1 +auto_C_SRAI auto_JALR 6 1 +auto_C_SRAI auto_BEQ 58 1 +auto_C_SRAI auto_BNE 44 1 +auto_C_SRAI auto_BLT 40 1 +auto_C_SRAI auto_BGE 38 1 +auto_C_SRAI auto_BLTU 49 1 +auto_C_SRAI auto_BGEU 48 1 +auto_C_SRAI auto_LB 242 1 +auto_C_SRAI auto_LH 77 1 +auto_C_SRAI auto_LW 37 1 +auto_C_SRAI auto_LBU 228 1 +auto_C_SRAI auto_LHU 83 1 +auto_C_SRAI auto_SB 271 1 +auto_C_SRAI auto_SH 79 1 +auto_C_SRAI auto_SW 39 1 +auto_C_SRAI auto_ADDI 200 1 +auto_C_SRAI auto_SLTI 88 1 +auto_C_SRAI auto_SLTIU 106 1 +auto_C_SRAI auto_XORI 106 1 +auto_C_SRAI auto_ORI 90 1 +auto_C_SRAI auto_ANDI 113 1 +auto_C_SRAI auto_SLLI 107 1 +auto_C_SRAI auto_SRLI 111 1 +auto_C_SRAI auto_SRAI 101 1 +auto_C_SRAI auto_ADD 105 1 +auto_C_SRAI auto_SUB 109 1 +auto_C_SRAI auto_SLL 91 1 +auto_C_SRAI auto_SLT 123 1 +auto_C_SRAI auto_SLTU 102 1 +auto_C_SRAI auto_XOR 113 1 +auto_C_SRAI auto_SRL 87 1 +auto_C_SRAI auto_SRA 102 1 +auto_C_SRAI auto_OR 92 1 +auto_C_SRAI auto_AND 80 1 +auto_C_SRAI auto_FENCE 65 1 +auto_C_SRAI auto_MRET 421 1 +auto_C_SRAI auto_MUL 103 1 +auto_C_SRAI auto_MULH 95 1 +auto_C_SRAI auto_MULHSU 103 1 +auto_C_SRAI auto_MULHU 95 1 +auto_C_SRAI auto_DIV 107 1 +auto_C_SRAI auto_DIVU 104 1 +auto_C_SRAI auto_REM 77 1 +auto_C_SRAI auto_REMU 86 1 +auto_C_SRAI auto_C_ADDI4SPN 89 1 +auto_C_SRAI auto_C_LW 1 1 +auto_C_SRAI auto_C_NOP 334 1 +auto_C_SRAI auto_C_ADDI 118 1 +auto_C_SRAI auto_C_JAL 32 1 +auto_C_SRAI auto_C_LI 135 1 +auto_C_SRAI auto_C_ADDI16SP 83 1 +auto_C_SRAI auto_C_LUI 116 1 +auto_C_SRAI auto_C_SRLI 125 1 +auto_C_SRAI auto_C_SRAI 111 1 +auto_C_SRAI auto_C_ANDI 91 1 +auto_C_SRAI auto_C_SUB 105 1 +auto_C_SRAI auto_C_XOR 113 1 +auto_C_SRAI auto_C_OR 82 1 +auto_C_SRAI auto_C_AND 111 1 +auto_C_SRAI auto_C_J 38 1 +auto_C_SRAI auto_C_BEQZ 45 1 +auto_C_SRAI auto_C_BNEZ 61 1 +auto_C_SRAI auto_C_SLLI 157 1 +auto_C_SRAI auto_C_LWSP 5 1 +auto_C_SRAI auto_C_MV 126 1 +auto_C_SRAI auto_C_JALR 1 1 +auto_C_SRAI auto_C_ADD 129 1 +auto_C_SRAI auto_C_SWSP 5 1 +auto_C_SRAI auto_SH1ADD 106 1 +auto_C_SRAI auto_SH2ADD 101 1 +auto_C_SRAI auto_SH3ADD 97 1 +auto_C_SRAI auto_CLZ 99 1 +auto_C_SRAI auto_CTZ 110 1 +auto_C_SRAI auto_CPOP 93 1 +auto_C_SRAI auto_MIN 84 1 +auto_C_SRAI auto_MAX 96 1 +auto_C_SRAI auto_MINU 100 1 +auto_C_SRAI auto_MAXU 97 1 +auto_C_SRAI auto_SEXT_B 109 1 +auto_C_SRAI auto_SEXT_H 98 1 +auto_C_SRAI auto_ZEXT_H 110 1 +auto_C_SRAI auto_ANDN 100 1 +auto_C_SRAI auto_ORN 101 1 +auto_C_SRAI auto_XNOR 90 1 +auto_C_SRAI auto_ROR 99 1 +auto_C_SRAI auto_RORI 90 1 +auto_C_SRAI auto_ROL 72 1 +auto_C_SRAI auto_REV8 92 1 +auto_C_SRAI auto_ORC_B 84 1 +auto_C_SRAI auto_CLMUL 96 1 +auto_C_SRAI auto_CLMULH 107 1 +auto_C_SRAI auto_CLMULR 95 1 +auto_C_SRAI auto_BSET 97 1 +auto_C_SRAI auto_BSETI 96 1 +auto_C_SRAI auto_BCLR 87 1 +auto_C_SRAI auto_BCLRI 90 1 +auto_C_SRAI auto_BINV 107 1 +auto_C_SRAI auto_BINVI 102 1 +auto_C_SRAI auto_BEXT 107 1 +auto_C_SRAI auto_BEXTI 93 1 +auto_C_SRAI auto_CSRRW 67 1 +auto_C_SRAI auto_CSRRS 67 1 +auto_C_SRAI auto_CSRRC 47 1 +auto_C_SRAI auto_CSRRWI 57 1 +auto_C_SRAI auto_CSRRSI 67 1 +auto_C_SRAI auto_CSRRCI 59 1 +auto_C_SRAI auto_C_LBU 2 1 +auto_C_SRAI auto_C_LHU 1 1 +auto_C_SRAI auto_C_SB 3 1 +auto_C_SRAI auto_C_SH 2 1 +auto_C_SRAI auto_C_ZEXT_B 98 1 +auto_C_SRAI auto_C_SEXT_B 97 1 +auto_C_SRAI auto_C_ZEXT_H 100 1 +auto_C_SRAI auto_C_SEXT_H 97 1 +auto_C_SRAI auto_C_NOT 85 1 +auto_C_SRAI auto_C_MUL 99 1 +auto_C_ANDI auto_LUI 87 1 +auto_C_ANDI auto_AUIPC 96 1 +auto_C_ANDI auto_JAL 30 1 +auto_C_ANDI auto_JALR 8 1 +auto_C_ANDI auto_BEQ 45 1 +auto_C_ANDI auto_BNE 35 1 +auto_C_ANDI auto_BLT 46 1 +auto_C_ANDI auto_BGE 44 1 +auto_C_ANDI auto_BLTU 43 1 +auto_C_ANDI auto_BGEU 34 1 +auto_C_ANDI auto_LB 278 1 +auto_C_ANDI auto_LH 78 1 +auto_C_ANDI auto_LW 37 1 +auto_C_ANDI auto_LBU 269 1 +auto_C_ANDI auto_LHU 84 1 +auto_C_ANDI auto_SB 306 1 +auto_C_ANDI auto_SH 84 1 +auto_C_ANDI auto_SW 34 1 +auto_C_ANDI auto_ADDI 171 1 +auto_C_ANDI auto_SLTI 87 1 +auto_C_ANDI auto_SLTIU 101 1 +auto_C_ANDI auto_XORI 86 1 +auto_C_ANDI auto_ORI 112 1 +auto_C_ANDI auto_ANDI 105 1 +auto_C_ANDI auto_SLLI 71 1 +auto_C_ANDI auto_SRLI 86 1 +auto_C_ANDI auto_SRAI 79 1 +auto_C_ANDI auto_ADD 85 1 +auto_C_ANDI auto_SUB 78 1 +auto_C_ANDI auto_SLL 98 1 +auto_C_ANDI auto_SLT 88 1 +auto_C_ANDI auto_SLTU 77 1 +auto_C_ANDI auto_XOR 91 1 +auto_C_ANDI auto_SRL 89 1 +auto_C_ANDI auto_SRA 86 1 +auto_C_ANDI auto_OR 110 1 +auto_C_ANDI auto_AND 102 1 +auto_C_ANDI auto_FENCE 48 1 +auto_C_ANDI auto_MRET 329 1 +auto_C_ANDI auto_MUL 80 1 +auto_C_ANDI auto_MULH 99 1 +auto_C_ANDI auto_MULHSU 95 1 +auto_C_ANDI auto_MULHU 89 1 +auto_C_ANDI auto_DIV 91 1 +auto_C_ANDI auto_DIVU 86 1 +auto_C_ANDI auto_REM 79 1 +auto_C_ANDI auto_REMU 97 1 +auto_C_ANDI auto_C_ADDI4SPN 67 1 +auto_C_ANDI auto_C_LW 1 1 +auto_C_ANDI auto_C_NOP 323 1 +auto_C_ANDI auto_C_ADDI 133 1 +auto_C_ANDI auto_C_JAL 24 1 +auto_C_ANDI auto_C_LI 90 1 +auto_C_ANDI auto_C_ADDI16SP 78 1 +auto_C_ANDI auto_C_LUI 95 1 +auto_C_ANDI auto_C_SRLI 99 1 +auto_C_ANDI auto_C_SRAI 100 1 +auto_C_ANDI auto_C_ANDI 79 1 +auto_C_ANDI auto_C_SUB 99 1 +auto_C_ANDI auto_C_XOR 100 1 +auto_C_ANDI auto_C_OR 147 1 +auto_C_ANDI auto_C_AND 112 1 +auto_C_ANDI auto_C_J 26 1 +auto_C_ANDI auto_C_BEQZ 51 1 +auto_C_ANDI auto_C_BNEZ 42 1 +auto_C_ANDI auto_C_SLLI 105 1 +auto_C_ANDI auto_C_LWSP 5 1 +auto_C_ANDI auto_C_JR 3 1 +auto_C_ANDI auto_C_MV 91 1 +auto_C_ANDI auto_C_JALR 3 1 +auto_C_ANDI auto_C_ADD 99 1 +auto_C_ANDI auto_C_SWSP 6 1 +auto_C_ANDI auto_SH1ADD 83 1 +auto_C_ANDI auto_SH2ADD 87 1 +auto_C_ANDI auto_SH3ADD 84 1 +auto_C_ANDI auto_CLZ 92 1 +auto_C_ANDI auto_CTZ 85 1 +auto_C_ANDI auto_CPOP 94 1 +auto_C_ANDI auto_MIN 100 1 +auto_C_ANDI auto_MAX 97 1 +auto_C_ANDI auto_MINU 89 1 +auto_C_ANDI auto_MAXU 68 1 +auto_C_ANDI auto_SEXT_B 118 1 +auto_C_ANDI auto_SEXT_H 87 1 +auto_C_ANDI auto_ZEXT_H 86 1 +auto_C_ANDI auto_ANDN 107 1 +auto_C_ANDI auto_ORN 82 1 +auto_C_ANDI auto_XNOR 88 1 +auto_C_ANDI auto_ROR 87 1 +auto_C_ANDI auto_RORI 112 1 +auto_C_ANDI auto_ROL 103 1 +auto_C_ANDI auto_REV8 87 1 +auto_C_ANDI auto_ORC_B 81 1 +auto_C_ANDI auto_CLMUL 75 1 +auto_C_ANDI auto_CLMULH 87 1 +auto_C_ANDI auto_CLMULR 66 1 +auto_C_ANDI auto_BSET 101 1 +auto_C_ANDI auto_BSETI 93 1 +auto_C_ANDI auto_BCLR 91 1 +auto_C_ANDI auto_BCLRI 105 1 +auto_C_ANDI auto_BINV 84 1 +auto_C_ANDI auto_BINVI 107 1 +auto_C_ANDI auto_BEXT 93 1 +auto_C_ANDI auto_BEXTI 76 1 +auto_C_ANDI auto_CSRRW 39 1 +auto_C_ANDI auto_CSRRS 64 1 +auto_C_ANDI auto_CSRRC 57 1 +auto_C_ANDI auto_CSRRWI 53 1 +auto_C_ANDI auto_CSRRSI 55 1 +auto_C_ANDI auto_CSRRCI 53 1 +auto_C_ANDI auto_C_LBU 5 1 +auto_C_ANDI auto_C_LHU 2 1 +auto_C_ANDI auto_C_SB 4 1 +auto_C_ANDI auto_C_SH 1 1 +auto_C_ANDI auto_C_ZEXT_B 84 1 +auto_C_ANDI auto_C_SEXT_B 103 1 +auto_C_ANDI auto_C_ZEXT_H 76 1 +auto_C_ANDI auto_C_SEXT_H 90 1 +auto_C_ANDI auto_C_NOT 88 1 +auto_C_ANDI auto_C_MUL 82 1 +auto_C_SUB auto_LUI 102 1 +auto_C_SUB auto_AUIPC 93 1 +auto_C_SUB auto_JAL 30 1 +auto_C_SUB auto_BEQ 46 1 +auto_C_SUB auto_BNE 45 1 +auto_C_SUB auto_BLT 45 1 +auto_C_SUB auto_BGE 35 1 +auto_C_SUB auto_BLTU 41 1 +auto_C_SUB auto_BGEU 47 1 +auto_C_SUB auto_LB 275 1 +auto_C_SUB auto_LH 84 1 +auto_C_SUB auto_LW 44 1 +auto_C_SUB auto_LBU 261 1 +auto_C_SUB auto_LHU 69 1 +auto_C_SUB auto_SB 269 1 +auto_C_SUB auto_SH 79 1 +auto_C_SUB auto_SW 62 1 +auto_C_SUB auto_ADDI 233 1 +auto_C_SUB auto_SLTI 98 1 +auto_C_SUB auto_SLTIU 88 1 +auto_C_SUB auto_XORI 91 1 +auto_C_SUB auto_ORI 92 1 +auto_C_SUB auto_ANDI 99 1 +auto_C_SUB auto_SLLI 66 1 +auto_C_SUB auto_SRLI 92 1 +auto_C_SUB auto_SRAI 100 1 +auto_C_SUB auto_ADD 76 1 +auto_C_SUB auto_SUB 96 1 +auto_C_SUB auto_SLL 92 1 +auto_C_SUB auto_SLT 91 1 +auto_C_SUB auto_SLTU 90 1 +auto_C_SUB auto_XOR 86 1 +auto_C_SUB auto_SRL 91 1 +auto_C_SUB auto_SRA 102 1 +auto_C_SUB auto_OR 100 1 +auto_C_SUB auto_AND 102 1 +auto_C_SUB auto_FENCE 73 1 +auto_C_SUB auto_MRET 392 1 +auto_C_SUB auto_MUL 108 1 +auto_C_SUB auto_MULH 95 1 +auto_C_SUB auto_MULHSU 118 1 +auto_C_SUB auto_MULHU 99 1 +auto_C_SUB auto_DIV 91 1 +auto_C_SUB auto_DIVU 104 1 +auto_C_SUB auto_REM 96 1 +auto_C_SUB auto_REMU 93 1 +auto_C_SUB auto_C_ADDI4SPN 83 1 +auto_C_SUB auto_C_NOP 348 1 +auto_C_SUB auto_C_ADDI 98 1 +auto_C_SUB auto_C_JAL 27 1 +auto_C_SUB auto_C_LI 103 1 +auto_C_SUB auto_C_ADDI16SP 74 1 +auto_C_SUB auto_C_LUI 105 1 +auto_C_SUB auto_C_SRLI 104 1 +auto_C_SUB auto_C_SRAI 80 1 +auto_C_SUB auto_C_ANDI 104 1 +auto_C_SUB auto_C_SUB 99 1 +auto_C_SUB auto_C_XOR 83 1 +auto_C_SUB auto_C_OR 91 1 +auto_C_SUB auto_C_AND 123 1 +auto_C_SUB auto_C_J 30 1 +auto_C_SUB auto_C_BEQZ 49 1 +auto_C_SUB auto_C_BNEZ 44 1 +auto_C_SUB auto_C_SLLI 105 1 +auto_C_SUB auto_C_LWSP 3 1 +auto_C_SUB auto_C_MV 99 1 +auto_C_SUB auto_C_JALR 6 1 +auto_C_SUB auto_C_ADD 132 1 +auto_C_SUB auto_C_SWSP 1 1 +auto_C_SUB auto_SH1ADD 113 1 +auto_C_SUB auto_SH2ADD 102 1 +auto_C_SUB auto_SH3ADD 94 1 +auto_C_SUB auto_CLZ 111 1 +auto_C_SUB auto_CTZ 107 1 +auto_C_SUB auto_CPOP 79 1 +auto_C_SUB auto_MIN 88 1 +auto_C_SUB auto_MAX 116 1 +auto_C_SUB auto_MINU 112 1 +auto_C_SUB auto_MAXU 107 1 +auto_C_SUB auto_SEXT_B 104 1 +auto_C_SUB auto_SEXT_H 84 1 +auto_C_SUB auto_ZEXT_H 89 1 +auto_C_SUB auto_ANDN 88 1 +auto_C_SUB auto_ORN 103 1 +auto_C_SUB auto_XNOR 103 1 +auto_C_SUB auto_ROR 99 1 +auto_C_SUB auto_RORI 108 1 +auto_C_SUB auto_ROL 87 1 +auto_C_SUB auto_REV8 97 1 +auto_C_SUB auto_ORC_B 79 1 +auto_C_SUB auto_CLMUL 85 1 +auto_C_SUB auto_CLMULH 109 1 +auto_C_SUB auto_CLMULR 109 1 +auto_C_SUB auto_BSET 106 1 +auto_C_SUB auto_BSETI 113 1 +auto_C_SUB auto_BCLR 99 1 +auto_C_SUB auto_BCLRI 110 1 +auto_C_SUB auto_BINV 107 1 +auto_C_SUB auto_BINVI 87 1 +auto_C_SUB auto_BEXT 108 1 +auto_C_SUB auto_BEXTI 77 1 +auto_C_SUB auto_CSRRW 66 1 +auto_C_SUB auto_CSRRS 67 1 +auto_C_SUB auto_CSRRC 64 1 +auto_C_SUB auto_CSRRWI 64 1 +auto_C_SUB auto_CSRRSI 43 1 +auto_C_SUB auto_CSRRCI 59 1 +auto_C_SUB auto_C_LBU 3 1 +auto_C_SUB auto_C_SB 2 1 +auto_C_SUB auto_C_ZEXT_B 97 1 +auto_C_SUB auto_C_SEXT_B 82 1 +auto_C_SUB auto_C_ZEXT_H 90 1 +auto_C_SUB auto_C_SEXT_H 86 1 +auto_C_SUB auto_C_NOT 87 1 +auto_C_SUB auto_C_MUL 81 1 +auto_C_XOR auto_LUI 95 1 +auto_C_XOR auto_AUIPC 97 1 +auto_C_XOR auto_JAL 52 1 +auto_C_XOR auto_JALR 3 1 +auto_C_XOR auto_BEQ 44 1 +auto_C_XOR auto_BNE 62 1 +auto_C_XOR auto_BLT 43 1 +auto_C_XOR auto_BGE 42 1 +auto_C_XOR auto_BLTU 50 1 +auto_C_XOR auto_BGEU 46 1 +auto_C_XOR auto_LB 285 1 +auto_C_XOR auto_LH 71 1 +auto_C_XOR auto_LW 44 1 +auto_C_XOR auto_LBU 265 1 +auto_C_XOR auto_LHU 82 1 +auto_C_XOR auto_SB 259 1 +auto_C_XOR auto_SH 73 1 +auto_C_XOR auto_SW 49 1 +auto_C_XOR auto_ADDI 185 1 +auto_C_XOR auto_SLTI 93 1 +auto_C_XOR auto_SLTIU 89 1 +auto_C_XOR auto_XORI 118 1 +auto_C_XOR auto_ORI 101 1 +auto_C_XOR auto_ANDI 135 1 +auto_C_XOR auto_SLLI 112 1 +auto_C_XOR auto_SRLI 80 1 +auto_C_XOR auto_SRAI 102 1 +auto_C_XOR auto_ADD 70 1 +auto_C_XOR auto_SUB 72 1 +auto_C_XOR auto_SLL 94 1 +auto_C_XOR auto_SLT 105 1 +auto_C_XOR auto_SLTU 114 1 +auto_C_XOR auto_XOR 100 1 +auto_C_XOR auto_SRL 87 1 +auto_C_XOR auto_SRA 91 1 +auto_C_XOR auto_OR 93 1 +auto_C_XOR auto_AND 108 1 +auto_C_XOR auto_FENCE 83 1 +auto_C_XOR auto_MRET 328 1 +auto_C_XOR auto_WFI 1 1 +auto_C_XOR auto_MUL 96 1 +auto_C_XOR auto_MULH 123 1 +auto_C_XOR auto_MULHSU 109 1 +auto_C_XOR auto_MULHU 99 1 +auto_C_XOR auto_DIV 101 1 +auto_C_XOR auto_DIVU 98 1 +auto_C_XOR auto_REM 88 1 +auto_C_XOR auto_REMU 114 1 +auto_C_XOR auto_C_ADDI4SPN 69 1 +auto_C_XOR auto_C_NOP 355 1 +auto_C_XOR auto_C_ADDI 110 1 +auto_C_XOR auto_C_JAL 19 1 +auto_C_XOR auto_C_LI 96 1 +auto_C_XOR auto_C_ADDI16SP 72 1 +auto_C_XOR auto_C_LUI 100 1 +auto_C_XOR auto_C_SRLI 80 1 +auto_C_XOR auto_C_SRAI 99 1 +auto_C_XOR auto_C_ANDI 86 1 +auto_C_XOR auto_C_SUB 88 1 +auto_C_XOR auto_C_XOR 95 1 +auto_C_XOR auto_C_OR 78 1 +auto_C_XOR auto_C_AND 98 1 +auto_C_XOR auto_C_J 32 1 +auto_C_XOR auto_C_BEQZ 44 1 +auto_C_XOR auto_C_BNEZ 47 1 +auto_C_XOR auto_C_SLLI 107 1 +auto_C_XOR auto_C_LWSP 6 1 +auto_C_XOR auto_C_JR 2 1 +auto_C_XOR auto_C_MV 122 1 +auto_C_XOR auto_C_JALR 1 1 +auto_C_XOR auto_C_ADD 134 1 +auto_C_XOR auto_C_SWSP 5 1 +auto_C_XOR auto_SH1ADD 98 1 +auto_C_XOR auto_SH2ADD 107 1 +auto_C_XOR auto_SH3ADD 106 1 +auto_C_XOR auto_CLZ 112 1 +auto_C_XOR auto_CTZ 97 1 +auto_C_XOR auto_CPOP 113 1 +auto_C_XOR auto_MIN 103 1 +auto_C_XOR auto_MAX 82 1 +auto_C_XOR auto_MINU 82 1 +auto_C_XOR auto_MAXU 98 1 +auto_C_XOR auto_SEXT_B 83 1 +auto_C_XOR auto_SEXT_H 83 1 +auto_C_XOR auto_ZEXT_H 109 1 +auto_C_XOR auto_ANDN 114 1 +auto_C_XOR auto_ORN 102 1 +auto_C_XOR auto_XNOR 89 1 +auto_C_XOR auto_ROR 101 1 +auto_C_XOR auto_RORI 103 1 +auto_C_XOR auto_ROL 108 1 +auto_C_XOR auto_REV8 90 1 +auto_C_XOR auto_ORC_B 95 1 +auto_C_XOR auto_CLMUL 106 1 +auto_C_XOR auto_CLMULH 101 1 +auto_C_XOR auto_CLMULR 101 1 +auto_C_XOR auto_BSET 84 1 +auto_C_XOR auto_BSETI 93 1 +auto_C_XOR auto_BCLR 108 1 +auto_C_XOR auto_BCLRI 104 1 +auto_C_XOR auto_BINV 88 1 +auto_C_XOR auto_BINVI 106 1 +auto_C_XOR auto_BEXT 92 1 +auto_C_XOR auto_BEXTI 85 1 +auto_C_XOR auto_CSRRW 53 1 +auto_C_XOR auto_CSRRS 53 1 +auto_C_XOR auto_CSRRC 64 1 +auto_C_XOR auto_CSRRWI 61 1 +auto_C_XOR auto_CSRRSI 52 1 +auto_C_XOR auto_CSRRCI 59 1 +auto_C_XOR auto_C_LBU 2 1 +auto_C_XOR auto_C_ZEXT_B 77 1 +auto_C_XOR auto_C_SEXT_B 80 1 +auto_C_XOR auto_C_ZEXT_H 103 1 +auto_C_XOR auto_C_SEXT_H 99 1 +auto_C_XOR auto_C_NOT 104 1 +auto_C_XOR auto_C_MUL 88 1 +auto_C_OR auto_LUI 98 1 +auto_C_OR auto_AUIPC 86 1 +auto_C_OR auto_JAL 35 1 +auto_C_OR auto_JALR 1 1 +auto_C_OR auto_BEQ 51 1 +auto_C_OR auto_BNE 59 1 +auto_C_OR auto_BLT 51 1 +auto_C_OR auto_BGE 37 1 +auto_C_OR auto_BLTU 53 1 +auto_C_OR auto_BGEU 46 1 +auto_C_OR auto_LB 287 1 +auto_C_OR auto_LH 83 1 +auto_C_OR auto_LW 99 1 +auto_C_OR auto_LBU 255 1 +auto_C_OR auto_LHU 93 1 +auto_C_OR auto_SB 246 1 +auto_C_OR auto_SH 79 1 +auto_C_OR auto_SW 44 1 +auto_C_OR auto_ADDI 170 1 +auto_C_OR auto_SLTI 112 1 +auto_C_OR auto_SLTIU 101 1 +auto_C_OR auto_XORI 92 1 +auto_C_OR auto_ORI 112 1 +auto_C_OR auto_ANDI 86 1 +auto_C_OR auto_SLLI 127 1 +auto_C_OR auto_SRLI 128 1 +auto_C_OR auto_SRAI 84 1 +auto_C_OR auto_ADD 78 1 +auto_C_OR auto_SUB 95 1 +auto_C_OR auto_SLL 96 1 +auto_C_OR auto_SLT 105 1 +auto_C_OR auto_SLTU 108 1 +auto_C_OR auto_XOR 103 1 +auto_C_OR auto_SRL 83 1 +auto_C_OR auto_SRA 93 1 +auto_C_OR auto_OR 81 1 +auto_C_OR auto_AND 89 1 +auto_C_OR auto_FENCE 60 1 +auto_C_OR auto_MRET 366 1 +auto_C_OR auto_MUL 97 1 +auto_C_OR auto_MULH 106 1 +auto_C_OR auto_MULHSU 95 1 +auto_C_OR auto_MULHU 97 1 +auto_C_OR auto_DIV 105 1 +auto_C_OR auto_DIVU 89 1 +auto_C_OR auto_REM 88 1 +auto_C_OR auto_REMU 91 1 +auto_C_OR auto_C_ADDI4SPN 72 1 +auto_C_OR auto_C_SW 1 1 +auto_C_OR auto_C_NOP 347 1 +auto_C_OR auto_C_ADDI 144 1 +auto_C_OR auto_C_JAL 29 1 +auto_C_OR auto_C_LI 85 1 +auto_C_OR auto_C_ADDI16SP 108 1 +auto_C_OR auto_C_LUI 93 1 +auto_C_OR auto_C_SRLI 114 1 +auto_C_OR auto_C_SRAI 91 1 +auto_C_OR auto_C_ANDI 92 1 +auto_C_OR auto_C_SUB 90 1 +auto_C_OR auto_C_XOR 122 1 +auto_C_OR auto_C_OR 102 1 +auto_C_OR auto_C_AND 103 1 +auto_C_OR auto_C_J 33 1 +auto_C_OR auto_C_BEQZ 41 1 +auto_C_OR auto_C_BNEZ 49 1 +auto_C_OR auto_C_SLLI 116 1 +auto_C_OR auto_C_LWSP 2 1 +auto_C_OR auto_C_MV 132 1 +auto_C_OR auto_C_JALR 2 1 +auto_C_OR auto_C_ADD 126 1 +auto_C_OR auto_C_SWSP 8 1 +auto_C_OR auto_SH1ADD 88 1 +auto_C_OR auto_SH2ADD 106 1 +auto_C_OR auto_SH3ADD 110 1 +auto_C_OR auto_CLZ 95 1 +auto_C_OR auto_CTZ 87 1 +auto_C_OR auto_CPOP 96 1 +auto_C_OR auto_MIN 106 1 +auto_C_OR auto_MAX 86 1 +auto_C_OR auto_MINU 91 1 +auto_C_OR auto_MAXU 96 1 +auto_C_OR auto_SEXT_B 117 1 +auto_C_OR auto_SEXT_H 102 1 +auto_C_OR auto_ZEXT_H 106 1 +auto_C_OR auto_ANDN 99 1 +auto_C_OR auto_ORN 94 1 +auto_C_OR auto_XNOR 83 1 +auto_C_OR auto_ROR 114 1 +auto_C_OR auto_RORI 114 1 +auto_C_OR auto_ROL 94 1 +auto_C_OR auto_REV8 90 1 +auto_C_OR auto_ORC_B 103 1 +auto_C_OR auto_CLMUL 99 1 +auto_C_OR auto_CLMULH 104 1 +auto_C_OR auto_CLMULR 84 1 +auto_C_OR auto_BSET 86 1 +auto_C_OR auto_BSETI 90 1 +auto_C_OR auto_BCLR 93 1 +auto_C_OR auto_BCLRI 98 1 +auto_C_OR auto_BINV 110 1 +auto_C_OR auto_BINVI 126 1 +auto_C_OR auto_BEXT 94 1 +auto_C_OR auto_BEXTI 78 1 +auto_C_OR auto_CSRRW 55 1 +auto_C_OR auto_CSRRS 53 1 +auto_C_OR auto_CSRRC 58 1 +auto_C_OR auto_CSRRWI 59 1 +auto_C_OR auto_CSRRSI 42 1 +auto_C_OR auto_CSRRCI 61 1 +auto_C_OR auto_C_LBU 2 1 +auto_C_OR auto_C_LHU 1 1 +auto_C_OR auto_C_LH 2 1 +auto_C_OR auto_C_SB 3 1 +auto_C_OR auto_C_SH 1 1 +auto_C_OR auto_C_ZEXT_B 91 1 +auto_C_OR auto_C_SEXT_B 92 1 +auto_C_OR auto_C_ZEXT_H 92 1 +auto_C_OR auto_C_SEXT_H 103 1 +auto_C_OR auto_C_NOT 87 1 +auto_C_OR auto_C_MUL 83 1 +auto_C_AND auto_LUI 109 1 +auto_C_AND auto_AUIPC 108 1 +auto_C_AND auto_JAL 29 1 +auto_C_AND auto_JALR 14 1 +auto_C_AND auto_BEQ 53 1 +auto_C_AND auto_BNE 53 1 +auto_C_AND auto_BLT 42 1 +auto_C_AND auto_BGE 52 1 +auto_C_AND auto_BLTU 47 1 +auto_C_AND auto_BGEU 46 1 +auto_C_AND auto_LB 270 1 +auto_C_AND auto_LH 99 1 +auto_C_AND auto_LW 37 1 +auto_C_AND auto_LBU 272 1 +auto_C_AND auto_LHU 91 1 +auto_C_AND auto_SB 288 1 +auto_C_AND auto_SH 92 1 +auto_C_AND auto_SW 39 1 +auto_C_AND auto_ADDI 174 1 +auto_C_AND auto_SLTI 115 1 +auto_C_AND auto_SLTIU 97 1 +auto_C_AND auto_XORI 106 1 +auto_C_AND auto_ORI 94 1 +auto_C_AND auto_ANDI 97 1 +auto_C_AND auto_SLLI 81 1 +auto_C_AND auto_SRLI 96 1 +auto_C_AND auto_SRAI 79 1 +auto_C_AND auto_ADD 71 1 +auto_C_AND auto_SUB 90 1 +auto_C_AND auto_SLL 107 1 +auto_C_AND auto_SLT 110 1 +auto_C_AND auto_SLTU 111 1 +auto_C_AND auto_XOR 92 1 +auto_C_AND auto_SRL 84 1 +auto_C_AND auto_SRA 111 1 +auto_C_AND auto_OR 110 1 +auto_C_AND auto_AND 89 1 +auto_C_AND auto_FENCE 74 1 +auto_C_AND auto_MRET 293 1 +auto_C_AND auto_WFI 1 1 +auto_C_AND auto_MUL 83 1 +auto_C_AND auto_MULH 111 1 +auto_C_AND auto_MULHSU 90 1 +auto_C_AND auto_MULHU 86 1 +auto_C_AND auto_DIV 87 1 +auto_C_AND auto_DIVU 84 1 +auto_C_AND auto_REM 85 1 +auto_C_AND auto_REMU 101 1 +auto_C_AND auto_C_ADDI4SPN 78 1 +auto_C_AND auto_C_SW 1 1 +auto_C_AND auto_C_NOP 333 1 +auto_C_AND auto_C_ADDI 134 1 +auto_C_AND auto_C_JAL 29 1 +auto_C_AND auto_C_LI 3996 1 +auto_C_AND auto_C_ADDI16SP 74 1 +auto_C_AND auto_C_LUI 83 1 +auto_C_AND auto_C_SRLI 94 1 +auto_C_AND auto_C_SRAI 103 1 +auto_C_AND auto_C_ANDI 100 1 +auto_C_AND auto_C_SUB 92 1 +auto_C_AND auto_C_XOR 111 1 +auto_C_AND auto_C_OR 109 1 +auto_C_AND auto_C_AND 94 1 +auto_C_AND auto_C_J 18 1 +auto_C_AND auto_C_BEQZ 47 1 +auto_C_AND auto_C_BNEZ 48 1 +auto_C_AND auto_C_SLLI 128 1 +auto_C_AND auto_C_LWSP 5 1 +auto_C_AND auto_C_MV 102 1 +auto_C_AND auto_C_JALR 3 1 +auto_C_AND auto_C_ADD 119 1 +auto_C_AND auto_C_SWSP 3 1 +auto_C_AND auto_SH1ADD 96 1 +auto_C_AND auto_SH2ADD 96 1 +auto_C_AND auto_SH3ADD 94 1 +auto_C_AND auto_CLZ 86 1 +auto_C_AND auto_CTZ 106 1 +auto_C_AND auto_CPOP 114 1 +auto_C_AND auto_MIN 105 1 +auto_C_AND auto_MAX 94 1 +auto_C_AND auto_MINU 113 1 +auto_C_AND auto_MAXU 102 1 +auto_C_AND auto_SEXT_B 89 1 +auto_C_AND auto_SEXT_H 100 1 +auto_C_AND auto_ZEXT_H 107 1 +auto_C_AND auto_ANDN 97 1 +auto_C_AND auto_ORN 94 1 +auto_C_AND auto_XNOR 92 1 +auto_C_AND auto_ROR 95 1 +auto_C_AND auto_RORI 114 1 +auto_C_AND auto_ROL 72 1 +auto_C_AND auto_REV8 86 1 +auto_C_AND auto_ORC_B 113 1 +auto_C_AND auto_CLMUL 112 1 +auto_C_AND auto_CLMULH 88 1 +auto_C_AND auto_CLMULR 108 1 +auto_C_AND auto_BSET 87 1 +auto_C_AND auto_BSETI 112 1 +auto_C_AND auto_BCLR 111 1 +auto_C_AND auto_BCLRI 114 1 +auto_C_AND auto_BINV 100 1 +auto_C_AND auto_BINVI 104 1 +auto_C_AND auto_BEXT 96 1 +auto_C_AND auto_BEXTI 83 1 +auto_C_AND auto_CSRRW 53 1 +auto_C_AND auto_CSRRS 59 1 +auto_C_AND auto_CSRRC 48 1 +auto_C_AND auto_CSRRWI 51 1 +auto_C_AND auto_CSRRSI 51 1 +auto_C_AND auto_CSRRCI 64 1 +auto_C_AND auto_C_LBU 2 1 +auto_C_AND auto_C_LHU 2 1 +auto_C_AND auto_C_SH 1 1 +auto_C_AND auto_C_ZEXT_B 96 1 +auto_C_AND auto_C_SEXT_B 94 1 +auto_C_AND auto_C_ZEXT_H 106 1 +auto_C_AND auto_C_SEXT_H 97 1 +auto_C_AND auto_C_NOT 105 1 +auto_C_AND auto_C_MUL 85 1 +auto_C_J auto_LUI 40 1 +auto_C_J auto_AUIPC 23 1 +auto_C_J auto_JAL 21823 1 +auto_C_J auto_BEQ 2340 1 +auto_C_J auto_BNE 4 1 +auto_C_J auto_BLT 1 1 +auto_C_J auto_BGE 1 1 +auto_C_J auto_BGEU 528 1 +auto_C_J auto_SW 2383 1 +auto_C_J auto_ADDI 32 1 +auto_C_J auto_SLTI 29 1 +auto_C_J auto_SLTIU 29 1 +auto_C_J auto_XORI 28 1 +auto_C_J auto_ORI 28 1 +auto_C_J auto_ANDI 26 1 +auto_C_J auto_SLLI 22 1 +auto_C_J auto_SRLI 31 1 +auto_C_J auto_SRAI 17 1 +auto_C_J auto_ADD 28 1 +auto_C_J auto_SUB 30 1 +auto_C_J auto_SLL 22 1 +auto_C_J auto_SLT 33 1 +auto_C_J auto_SLTU 27 1 +auto_C_J auto_XOR 26 1 +auto_C_J auto_SRL 26 1 +auto_C_J auto_SRA 22 1 +auto_C_J auto_OR 34 1 +auto_C_J auto_AND 23 1 +auto_C_J auto_FENCE 19 1 +auto_C_J auto_ECALL 1 1 +auto_C_J auto_MRET 80 1 +auto_C_J auto_WFI 5 1 +auto_C_J auto_MUL 28 1 +auto_C_J auto_MULH 37 1 +auto_C_J auto_MULHSU 31 1 +auto_C_J auto_MULHU 31 1 +auto_C_J auto_DIV 21 1 +auto_C_J auto_DIVU 35 1 +auto_C_J auto_REM 27 1 +auto_C_J auto_REMU 26 1 +auto_C_J auto_C_ADDI4SPN 17 1 +auto_C_J auto_C_SW 494 1 +auto_C_J auto_C_NOP 187 1 +auto_C_J auto_C_ADDI 17 1 +auto_C_J auto_C_JAL 18733 1 +auto_C_J auto_C_LI 22 1 +auto_C_J auto_C_ADDI16SP 17 1 +auto_C_J auto_C_LUI 26 1 +auto_C_J auto_C_SRLI 18 1 +auto_C_J auto_C_SRAI 16 1 +auto_C_J auto_C_ANDI 10 1 +auto_C_J auto_C_SUB 24 1 +auto_C_J auto_C_XOR 23 1 +auto_C_J auto_C_OR 18 1 +auto_C_J auto_C_AND 16 1 +auto_C_J auto_C_J 19055 1 +auto_C_J auto_C_BNEZ 35 1 +auto_C_J auto_C_SLLI 23 1 +auto_C_J auto_C_LWSP 1 1 +auto_C_J auto_C_JR 2 1 +auto_C_J auto_C_MV 12 1 +auto_C_J auto_C_ADD 23 1 +auto_C_J auto_SH1ADD 27 1 +auto_C_J auto_SH2ADD 27 1 +auto_C_J auto_SH3ADD 29 1 +auto_C_J auto_CLZ 30 1 +auto_C_J auto_CTZ 28 1 +auto_C_J auto_CPOP 23 1 +auto_C_J auto_MIN 21 1 +auto_C_J auto_MAX 17 1 +auto_C_J auto_MINU 24 1 +auto_C_J auto_MAXU 24 1 +auto_C_J auto_SEXT_B 25 1 +auto_C_J auto_SEXT_H 20 1 +auto_C_J auto_ZEXT_H 23 1 +auto_C_J auto_ANDN 19 1 +auto_C_J auto_ORN 29 1 +auto_C_J auto_XNOR 22 1 +auto_C_J auto_ROR 33 1 +auto_C_J auto_RORI 26 1 +auto_C_J auto_ROL 21 1 +auto_C_J auto_REV8 32 1 +auto_C_J auto_ORC_B 15 1 +auto_C_J auto_CLMUL 25 1 +auto_C_J auto_CLMULH 25 1 +auto_C_J auto_CLMULR 35 1 +auto_C_J auto_BSET 20 1 +auto_C_J auto_BSETI 24 1 +auto_C_J auto_BCLR 23 1 +auto_C_J auto_BCLRI 18 1 +auto_C_J auto_BINV 28 1 +auto_C_J auto_BINVI 24 1 +auto_C_J auto_BEXT 23 1 +auto_C_J auto_BEXTI 26 1 +auto_C_J auto_CSRRW 13 1 +auto_C_J auto_CSRRS 10 1 +auto_C_J auto_CSRRC 6 1 +auto_C_J auto_CSRRWI 15 1 +auto_C_J auto_CSRRSI 11 1 +auto_C_J auto_CSRRCI 16 1 +auto_C_J auto_C_ZEXT_B 16 1 +auto_C_J auto_C_SEXT_B 15 1 +auto_C_J auto_C_ZEXT_H 20 1 +auto_C_J auto_C_SEXT_H 20 1 +auto_C_J auto_C_NOT 14 1 +auto_C_J auto_C_MUL 12 1 +auto_C_BEQZ auto_LUI 51 1 +auto_C_BEQZ auto_AUIPC 38 1 +auto_C_BEQZ auto_BEQ 53 1 +auto_C_BEQZ auto_BNE 58 1 +auto_C_BEQZ auto_BLT 37 1 +auto_C_BEQZ auto_BGE 49 1 +auto_C_BEQZ auto_BLTU 53 1 +auto_C_BEQZ auto_BGEU 51 1 +auto_C_BEQZ auto_LB 9 1 +auto_C_BEQZ auto_LH 1 1 +auto_C_BEQZ auto_LW 17 1 +auto_C_BEQZ auto_LBU 2 1 +auto_C_BEQZ auto_SB 9 1 +auto_C_BEQZ auto_SH 1 1 +auto_C_BEQZ auto_ADDI 50 1 +auto_C_BEQZ auto_SLTI 36 1 +auto_C_BEQZ auto_SLTIU 55 1 +auto_C_BEQZ auto_XORI 45 1 +auto_C_BEQZ auto_ORI 45 1 +auto_C_BEQZ auto_ANDI 58 1 +auto_C_BEQZ auto_SLLI 53 1 +auto_C_BEQZ auto_SRLI 45 1 +auto_C_BEQZ auto_SRAI 36 1 +auto_C_BEQZ auto_ADD 52 1 +auto_C_BEQZ auto_SUB 43 1 +auto_C_BEQZ auto_SLL 35 1 +auto_C_BEQZ auto_SLT 43 1 +auto_C_BEQZ auto_SLTU 62 1 +auto_C_BEQZ auto_XOR 50 1 +auto_C_BEQZ auto_SRL 50 1 +auto_C_BEQZ auto_SRA 40 1 +auto_C_BEQZ auto_OR 40 1 +auto_C_BEQZ auto_AND 55 1 +auto_C_BEQZ auto_FENCE 36 1 +auto_C_BEQZ auto_MRET 96 1 +auto_C_BEQZ auto_MUL 55 1 +auto_C_BEQZ auto_MULH 41 1 +auto_C_BEQZ auto_MULHSU 45 1 +auto_C_BEQZ auto_MULHU 53 1 +auto_C_BEQZ auto_DIV 48 1 +auto_C_BEQZ auto_DIVU 53 1 +auto_C_BEQZ auto_REM 41 1 +auto_C_BEQZ auto_REMU 48 1 +auto_C_BEQZ auto_C_ADDI4SPN 51 1 +auto_C_BEQZ auto_C_NOP 142 1 +auto_C_BEQZ auto_C_ADDI 42 1 +auto_C_BEQZ auto_C_LI 49 1 +auto_C_BEQZ auto_C_ADDI16SP 36 1 +auto_C_BEQZ auto_C_LUI 60 1 +auto_C_BEQZ auto_C_SRLI 49 1 +auto_C_BEQZ auto_C_SRAI 43 1 +auto_C_BEQZ auto_C_ANDI 44 1 +auto_C_BEQZ auto_C_SUB 48 1 +auto_C_BEQZ auto_C_XOR 41 1 +auto_C_BEQZ auto_C_OR 36 1 +auto_C_BEQZ auto_C_AND 45 1 +auto_C_BEQZ auto_C_J 1 1 +auto_C_BEQZ auto_C_BEQZ 48 1 +auto_C_BEQZ auto_C_BNEZ 45 1 +auto_C_BEQZ auto_C_SLLI 53 1 +auto_C_BEQZ auto_C_MV 44 1 +auto_C_BEQZ auto_C_ADD 59 1 +auto_C_BEQZ auto_SH1ADD 41 1 +auto_C_BEQZ auto_SH2ADD 51 1 +auto_C_BEQZ auto_SH3ADD 49 1 +auto_C_BEQZ auto_CLZ 56 1 +auto_C_BEQZ auto_CTZ 50 1 +auto_C_BEQZ auto_CPOP 42 1 +auto_C_BEQZ auto_MIN 45 1 +auto_C_BEQZ auto_MAX 47 1 +auto_C_BEQZ auto_MINU 47 1 +auto_C_BEQZ auto_MAXU 44 1 +auto_C_BEQZ auto_SEXT_B 39 1 +auto_C_BEQZ auto_SEXT_H 47 1 +auto_C_BEQZ auto_ZEXT_H 47 1 +auto_C_BEQZ auto_ANDN 42 1 +auto_C_BEQZ auto_ORN 32 1 +auto_C_BEQZ auto_XNOR 45 1 +auto_C_BEQZ auto_ROR 47 1 +auto_C_BEQZ auto_RORI 51 1 +auto_C_BEQZ auto_ROL 46 1 +auto_C_BEQZ auto_REV8 50 1 +auto_C_BEQZ auto_ORC_B 46 1 +auto_C_BEQZ auto_CLMUL 52 1 +auto_C_BEQZ auto_CLMULH 40 1 +auto_C_BEQZ auto_CLMULR 50 1 +auto_C_BEQZ auto_BSET 44 1 +auto_C_BEQZ auto_BSETI 42 1 +auto_C_BEQZ auto_BCLR 42 1 +auto_C_BEQZ auto_BCLRI 62 1 +auto_C_BEQZ auto_BINV 57 1 +auto_C_BEQZ auto_BINVI 44 1 +auto_C_BEQZ auto_BEXT 43 1 +auto_C_BEQZ auto_BEXTI 44 1 +auto_C_BEQZ auto_CSRRW 40 1 +auto_C_BEQZ auto_CSRRS 23 1 +auto_C_BEQZ auto_CSRRC 50 1 +auto_C_BEQZ auto_CSRRWI 45 1 +auto_C_BEQZ auto_CSRRSI 53 1 +auto_C_BEQZ auto_CSRRCI 51 1 +auto_C_BEQZ auto_C_ZEXT_B 55 1 +auto_C_BEQZ auto_C_SEXT_B 50 1 +auto_C_BEQZ auto_C_ZEXT_H 42 1 +auto_C_BEQZ auto_C_SEXT_H 69 1 +auto_C_BEQZ auto_C_NOT 58 1 +auto_C_BEQZ auto_C_MUL 44 1 +auto_C_BNEZ auto_LUI 46 1 +auto_C_BNEZ auto_AUIPC 26 1 +auto_C_BNEZ auto_BEQ 49 1 +auto_C_BNEZ auto_BNE 40 1 +auto_C_BNEZ auto_BLT 47 1 +auto_C_BNEZ auto_BGE 55 1 +auto_C_BNEZ auto_BLTU 43 1 +auto_C_BNEZ auto_BGEU 32 1 +auto_C_BNEZ auto_LB 5 1 +auto_C_BNEZ auto_LH 2 1 +auto_C_BNEZ auto_LW 1 1 +auto_C_BNEZ auto_LBU 4 1 +auto_C_BNEZ auto_SB 3 1 +auto_C_BNEZ auto_ADDI 36 1 +auto_C_BNEZ auto_SLTI 47 1 +auto_C_BNEZ auto_SLTIU 35 1 +auto_C_BNEZ auto_XORI 56 1 +auto_C_BNEZ auto_ORI 55 1 +auto_C_BNEZ auto_ANDI 39 1 +auto_C_BNEZ auto_SLLI 35 1 +auto_C_BNEZ auto_SRLI 38 1 +auto_C_BNEZ auto_SRAI 45 1 +auto_C_BNEZ auto_ADD 47 1 +auto_C_BNEZ auto_SUB 41 1 +auto_C_BNEZ auto_SLL 61 1 +auto_C_BNEZ auto_SLT 44 1 +auto_C_BNEZ auto_SLTU 47 1 +auto_C_BNEZ auto_XOR 43 1 +auto_C_BNEZ auto_SRL 38 1 +auto_C_BNEZ auto_SRA 44 1 +auto_C_BNEZ auto_OR 49 1 +auto_C_BNEZ auto_AND 32 1 +auto_C_BNEZ auto_FENCE 41 1 +auto_C_BNEZ auto_MRET 92 1 +auto_C_BNEZ auto_MUL 48 1 +auto_C_BNEZ auto_MULH 38 1 +auto_C_BNEZ auto_MULHSU 42 1 +auto_C_BNEZ auto_MULHU 46 1 +auto_C_BNEZ auto_DIV 37 1 +auto_C_BNEZ auto_DIVU 46 1 +auto_C_BNEZ auto_REM 38 1 +auto_C_BNEZ auto_REMU 50 1 +auto_C_BNEZ auto_C_ADDI4SPN 52 1 +auto_C_BNEZ auto_C_NOP 149 1 +auto_C_BNEZ auto_C_ADDI 54 1 +auto_C_BNEZ auto_C_LI 54 1 +auto_C_BNEZ auto_C_ADDI16SP 37 1 +auto_C_BNEZ auto_C_LUI 55 1 +auto_C_BNEZ auto_C_SRLI 65136 1 +auto_C_BNEZ auto_C_SRAI 37 1 +auto_C_BNEZ auto_C_ANDI 74 1 +auto_C_BNEZ auto_C_SUB 42 1 +auto_C_BNEZ auto_C_XOR 42 1 +auto_C_BNEZ auto_C_OR 47 1 +auto_C_BNEZ auto_C_AND 46 1 +auto_C_BNEZ auto_C_BEQZ 47 1 +auto_C_BNEZ auto_C_BNEZ 38 1 +auto_C_BNEZ auto_C_SLLI 53 1 +auto_C_BNEZ auto_C_LWSP 1 1 +auto_C_BNEZ auto_C_MV 62 1 +auto_C_BNEZ auto_C_ADD 59 1 +auto_C_BNEZ auto_SH1ADD 55 1 +auto_C_BNEZ auto_SH2ADD 54 1 +auto_C_BNEZ auto_SH3ADD 40 1 +auto_C_BNEZ auto_CLZ 49 1 +auto_C_BNEZ auto_CTZ 58 1 +auto_C_BNEZ auto_CPOP 38 1 +auto_C_BNEZ auto_MIN 42 1 +auto_C_BNEZ auto_MAX 51 1 +auto_C_BNEZ auto_MINU 46 1 +auto_C_BNEZ auto_MAXU 56 1 +auto_C_BNEZ auto_SEXT_B 44 1 +auto_C_BNEZ auto_SEXT_H 57 1 +auto_C_BNEZ auto_ZEXT_H 101 1 +auto_C_BNEZ auto_ANDN 49 1 +auto_C_BNEZ auto_ORN 42 1 +auto_C_BNEZ auto_XNOR 43 1 +auto_C_BNEZ auto_ROR 61 1 +auto_C_BNEZ auto_RORI 46 1 +auto_C_BNEZ auto_ROL 44 1 +auto_C_BNEZ auto_REV8 51 1 +auto_C_BNEZ auto_ORC_B 36 1 +auto_C_BNEZ auto_CLMUL 47 1 +auto_C_BNEZ auto_CLMULH 55 1 +auto_C_BNEZ auto_CLMULR 46 1 +auto_C_BNEZ auto_BSET 41 1 +auto_C_BNEZ auto_BSETI 39 1 +auto_C_BNEZ auto_BCLR 43 1 +auto_C_BNEZ auto_BCLRI 49 1 +auto_C_BNEZ auto_BINV 51 1 +auto_C_BNEZ auto_BINVI 49 1 +auto_C_BNEZ auto_BEXT 44 1 +auto_C_BNEZ auto_BEXTI 56 1 +auto_C_BNEZ auto_CSRRW 229 1 +auto_C_BNEZ auto_CSRRS 40 1 +auto_C_BNEZ auto_CSRRC 40 1 +auto_C_BNEZ auto_CSRRWI 36 1 +auto_C_BNEZ auto_CSRRSI 40 1 +auto_C_BNEZ auto_CSRRCI 42 1 +auto_C_BNEZ auto_C_ZEXT_B 38 1 +auto_C_BNEZ auto_C_SEXT_B 40 1 +auto_C_BNEZ auto_C_ZEXT_H 39 1 +auto_C_BNEZ auto_C_SEXT_H 58 1 +auto_C_BNEZ auto_C_NOT 41 1 +auto_C_BNEZ auto_C_MUL 41 1 +auto_C_SLLI auto_LUI 98 1 +auto_C_SLLI auto_AUIPC 124 1 +auto_C_SLLI auto_JAL 28 1 +auto_C_SLLI auto_JALR 2 1 +auto_C_SLLI auto_BEQ 71 1 +auto_C_SLLI auto_BNE 43 1 +auto_C_SLLI auto_BLT 48 1 +auto_C_SLLI auto_BGE 35 1 +auto_C_SLLI auto_BLTU 47 1 +auto_C_SLLI auto_BGEU 47 1 +auto_C_SLLI auto_LB 280 1 +auto_C_SLLI auto_LH 85 1 +auto_C_SLLI auto_LW 31 1 +auto_C_SLLI auto_LBU 274 1 +auto_C_SLLI auto_LHU 89 1 +auto_C_SLLI auto_SB 249 1 +auto_C_SLLI auto_SH 96 1 +auto_C_SLLI auto_SW 47 1 +auto_C_SLLI auto_ADDI 227 1 +auto_C_SLLI auto_SLTI 104 1 +auto_C_SLLI auto_SLTIU 111 1 +auto_C_SLLI auto_XORI 125 1 +auto_C_SLLI auto_ORI 138 1 +auto_C_SLLI auto_ANDI 119 1 +auto_C_SLLI auto_SLLI 90 1 +auto_C_SLLI auto_SRLI 127 1 +auto_C_SLLI auto_SRAI 101 1 +auto_C_SLLI auto_ADD 120 1 +auto_C_SLLI auto_SUB 122 1 +auto_C_SLLI auto_SLL 108 1 +auto_C_SLLI auto_SLT 118 1 +auto_C_SLLI auto_SLTU 115 1 +auto_C_SLLI auto_XOR 123 1 +auto_C_SLLI auto_SRL 134 1 +auto_C_SLLI auto_SRA 124 1 +auto_C_SLLI auto_OR 143 1 +auto_C_SLLI auto_AND 128 1 +auto_C_SLLI auto_FENCE 70 1 +auto_C_SLLI auto_MRET 602 1 +auto_C_SLLI auto_WFI 1 1 +auto_C_SLLI auto_MUL 127 1 +auto_C_SLLI auto_MULH 128 1 +auto_C_SLLI auto_MULHSU 105 1 +auto_C_SLLI auto_MULHU 123 1 +auto_C_SLLI auto_DIV 140 1 +auto_C_SLLI auto_DIVU 134 1 +auto_C_SLLI auto_REM 120 1 +auto_C_SLLI auto_REMU 115 1 +auto_C_SLLI auto_C_ADDI4SPN 106 1 +auto_C_SLLI auto_C_SW 1 1 +auto_C_SLLI auto_C_NOP 437 1 +auto_C_SLLI auto_C_ADDI 165 1 +auto_C_SLLI auto_C_JAL 35 1 +auto_C_SLLI auto_C_LI 227 1 +auto_C_SLLI auto_C_ADDI16SP 108 1 +auto_C_SLLI auto_C_LUI 182 1 +auto_C_SLLI auto_C_SRLI 180 1 +auto_C_SLLI auto_C_SRAI 133 1 +auto_C_SLLI auto_C_ANDI 111 1 +auto_C_SLLI auto_C_SUB 124 1 +auto_C_SLLI auto_C_XOR 124 1 +auto_C_SLLI auto_C_OR 123 1 +auto_C_SLLI auto_C_AND 134 1 +auto_C_SLLI auto_C_J 32 1 +auto_C_SLLI auto_C_BEQZ 61 1 +auto_C_SLLI auto_C_BNEZ 66 1 +auto_C_SLLI auto_C_SLLI 261 1 +auto_C_SLLI auto_C_LWSP 3 1 +auto_C_SLLI auto_C_JR 5 1 +auto_C_SLLI auto_C_MV 181 1 +auto_C_SLLI auto_C_ADD 176 1 +auto_C_SLLI auto_C_SWSP 3 1 +auto_C_SLLI auto_SH1ADD 119 1 +auto_C_SLLI auto_SH2ADD 106 1 +auto_C_SLLI auto_SH3ADD 125 1 +auto_C_SLLI auto_CLZ 123 1 +auto_C_SLLI auto_CTZ 120 1 +auto_C_SLLI auto_CPOP 116 1 +auto_C_SLLI auto_MIN 109 1 +auto_C_SLLI auto_MAX 143 1 +auto_C_SLLI auto_MINU 131 1 +auto_C_SLLI auto_MAXU 128 1 +auto_C_SLLI auto_SEXT_B 128 1 +auto_C_SLLI auto_SEXT_H 135 1 +auto_C_SLLI auto_ZEXT_H 137 1 +auto_C_SLLI auto_ANDN 126 1 +auto_C_SLLI auto_ORN 128 1 +auto_C_SLLI auto_XNOR 115 1 +auto_C_SLLI auto_ROR 130 1 +auto_C_SLLI auto_RORI 126 1 +auto_C_SLLI auto_ROL 139 1 +auto_C_SLLI auto_REV8 125 1 +auto_C_SLLI auto_ORC_B 130 1 +auto_C_SLLI auto_CLMUL 136 1 +auto_C_SLLI auto_CLMULH 131 1 +auto_C_SLLI auto_CLMULR 135 1 +auto_C_SLLI auto_BSET 110 1 +auto_C_SLLI auto_BSETI 117 1 +auto_C_SLLI auto_BCLR 130 1 +auto_C_SLLI auto_BCLRI 126 1 +auto_C_SLLI auto_BINV 127 1 +auto_C_SLLI auto_BINVI 109 1 +auto_C_SLLI auto_BEXT 124 1 +auto_C_SLLI auto_BEXTI 123 1 +auto_C_SLLI auto_CSRRW 68 1 +auto_C_SLLI auto_CSRRS 60 1 +auto_C_SLLI auto_CSRRC 64 1 +auto_C_SLLI auto_CSRRWI 81 1 +auto_C_SLLI auto_CSRRSI 58 1 +auto_C_SLLI auto_CSRRCI 71 1 +auto_C_SLLI auto_C_LBU 1 1 +auto_C_SLLI auto_C_SB 3 1 +auto_C_SLLI auto_C_ZEXT_B 130 1 +auto_C_SLLI auto_C_SEXT_B 124 1 +auto_C_SLLI auto_C_ZEXT_H 137 1 +auto_C_SLLI auto_C_SEXT_H 139 1 +auto_C_SLLI auto_C_NOT 122 1 +auto_C_SLLI auto_C_MUL 123 1 +auto_C_LWSP auto_LUI 2 1 +auto_C_LWSP auto_AUIPC 5 1 +auto_C_LWSP auto_LB 132 1 +auto_C_LWSP auto_LH 27 1 +auto_C_LWSP auto_LW 40 1 +auto_C_LWSP auto_LBU 139 1 +auto_C_LWSP auto_LHU 39 1 +auto_C_LWSP auto_SB 127 1 +auto_C_LWSP auto_SH 35 1 +auto_C_LWSP auto_SW 7 1 +auto_C_LWSP auto_ADDI 59 1 +auto_C_LWSP auto_SLTI 2 1 +auto_C_LWSP auto_SLTIU 3 1 +auto_C_LWSP auto_XORI 6 1 +auto_C_LWSP auto_ORI 4 1 +auto_C_LWSP auto_ANDI 5 1 +auto_C_LWSP auto_SLLI 3 1 +auto_C_LWSP auto_SRLI 6 1 +auto_C_LWSP auto_SRAI 7 1 +auto_C_LWSP auto_ADD 7791 1 +auto_C_LWSP auto_SUB 4 1 +auto_C_LWSP auto_SLL 3 1 +auto_C_LWSP auto_SLT 4 1 +auto_C_LWSP auto_SLTU 9 1 +auto_C_LWSP auto_XOR 6 1 +auto_C_LWSP auto_SRL 6 1 +auto_C_LWSP auto_SRA 3 1 +auto_C_LWSP auto_OR 5 1 +auto_C_LWSP auto_AND 7 1 +auto_C_LWSP auto_FENCE 6 1 +auto_C_LWSP auto_MRET 29 1 +auto_C_LWSP auto_MUL 2 1 +auto_C_LWSP auto_MULH 5 1 +auto_C_LWSP auto_MULHSU 3 1 +auto_C_LWSP auto_MULHU 6 1 +auto_C_LWSP auto_DIV 7 1 +auto_C_LWSP auto_DIVU 5 1 +auto_C_LWSP auto_REM 3 1 +auto_C_LWSP auto_REMU 5 1 +auto_C_LWSP auto_C_NOP 23 1 +auto_C_LWSP auto_C_ADDI 6 1 +auto_C_LWSP auto_C_LI 3 1 +auto_C_LWSP auto_C_LUI 6 1 +auto_C_LWSP auto_C_SRLI 4 1 +auto_C_LWSP auto_C_SRAI 1 1 +auto_C_LWSP auto_C_ANDI 5 1 +auto_C_LWSP auto_C_SUB 7 1 +auto_C_LWSP auto_C_XOR 6 1 +auto_C_LWSP auto_C_OR 7 1 +auto_C_LWSP auto_C_AND 4 1 +auto_C_LWSP auto_C_BEQZ 17 1 +auto_C_LWSP auto_C_SLLI 2 1 +auto_C_LWSP auto_C_LWSP 6109 1 +auto_C_LWSP auto_C_JR 34 1 +auto_C_LWSP auto_C_MV 4 1 +auto_C_LWSP auto_C_ADD 1 1 +auto_C_LWSP auto_C_SWSP 150 1 +auto_C_LWSP auto_SH1ADD 4 1 +auto_C_LWSP auto_SH2ADD 8 1 +auto_C_LWSP auto_SH3ADD 5 1 +auto_C_LWSP auto_CLZ 3 1 +auto_C_LWSP auto_CTZ 7 1 +auto_C_LWSP auto_CPOP 5 1 +auto_C_LWSP auto_MIN 5 1 +auto_C_LWSP auto_MAX 1 1 +auto_C_LWSP auto_MINU 4 1 +auto_C_LWSP auto_MAXU 3 1 +auto_C_LWSP auto_SEXT_B 9 1 +auto_C_LWSP auto_SEXT_H 7 1 +auto_C_LWSP auto_ZEXT_H 4 1 +auto_C_LWSP auto_ANDN 3 1 +auto_C_LWSP auto_ORN 5 1 +auto_C_LWSP auto_XNOR 4 1 +auto_C_LWSP auto_ROR 3 1 +auto_C_LWSP auto_RORI 1 1 +auto_C_LWSP auto_ROL 4 1 +auto_C_LWSP auto_REV8 9 1 +auto_C_LWSP auto_ORC_B 7 1 +auto_C_LWSP auto_CLMUL 8 1 +auto_C_LWSP auto_CLMULH 5 1 +auto_C_LWSP auto_CLMULR 1 1 +auto_C_LWSP auto_BSET 7 1 +auto_C_LWSP auto_BSETI 4 1 +auto_C_LWSP auto_BCLR 6 1 +auto_C_LWSP auto_BCLRI 6 1 +auto_C_LWSP auto_BINV 4 1 +auto_C_LWSP auto_BINVI 4 1 +auto_C_LWSP auto_BEXT 5 1 +auto_C_LWSP auto_BEXTI 9 1 +auto_C_LWSP auto_CSRRW 1 1 +auto_C_LWSP auto_CSRRS 6 1 +auto_C_LWSP auto_CSRRC 2 1 +auto_C_LWSP auto_CSRRWI 8 1 +auto_C_LWSP auto_CSRRSI 6 1 +auto_C_LWSP auto_CSRRCI 5 1 +auto_C_LWSP auto_C_ZEXT_B 1 1 +auto_C_LWSP auto_C_SEXT_B 9 1 +auto_C_LWSP auto_C_ZEXT_H 5 1 +auto_C_LWSP auto_C_SEXT_H 4 1 +auto_C_LWSP auto_C_NOT 7 1 +auto_C_LWSP auto_C_MUL 6 1 +auto_C_JR auto_ADDI 159 1 +auto_C_JR auto_C_SW 1 1 +auto_C_JR auto_C_ADDI 22 1 +auto_C_JR auto_C_ADDI16SP 51 1 +auto_C_JR auto_C_MV 120 1 +auto_C_JR auto_CSRRS 6 1 +auto_C_JR auto_CSRRWI 1 1 +auto_C_JR auto_CSRRCI 1 1 +auto_C_MV auto_LUI 117 1 +auto_C_MV auto_AUIPC 138 1 +auto_C_MV auto_JAL 33 1 +auto_C_MV auto_JALR 2 1 +auto_C_MV auto_BEQ 63 1 +auto_C_MV auto_BNE 51 1 +auto_C_MV auto_BLT 56 1 +auto_C_MV auto_BGE 48 1 +auto_C_MV auto_BLTU 46 1 +auto_C_MV auto_BGEU 44 1 +auto_C_MV auto_LB 269 1 +auto_C_MV auto_LH 88 1 +auto_C_MV auto_LW 27 1 +auto_C_MV auto_LBU 287 1 +auto_C_MV auto_LHU 89 1 +auto_C_MV auto_SB 278 1 +auto_C_MV auto_SH 90 1 +auto_C_MV auto_SW 48 1 +auto_C_MV auto_ADDI 237 1 +auto_C_MV auto_SLTI 114 1 +auto_C_MV auto_SLTIU 117 1 +auto_C_MV auto_XORI 133 1 +auto_C_MV auto_ORI 105 1 +auto_C_MV auto_ANDI 117 1 +auto_C_MV auto_SLLI 103 1 +auto_C_MV auto_SRLI 148 1 +auto_C_MV auto_SRAI 124 1 +auto_C_MV auto_ADD 99 1 +auto_C_MV auto_SUB 135 1 +auto_C_MV auto_SLL 129 1 +auto_C_MV auto_SLT 121 1 +auto_C_MV auto_SLTU 103 1 +auto_C_MV auto_XOR 117 1 +auto_C_MV auto_SRL 128 1 +auto_C_MV auto_SRA 126 1 +auto_C_MV auto_OR 148 1 +auto_C_MV auto_AND 110 1 +auto_C_MV auto_FENCE 75 1 +auto_C_MV auto_MRET 513 1 +auto_C_MV auto_WFI 33 1 +auto_C_MV auto_MUL 119 1 +auto_C_MV auto_MULH 126 1 +auto_C_MV auto_MULHSU 102 1 +auto_C_MV auto_MULHU 123 1 +auto_C_MV auto_DIV 129 1 +auto_C_MV auto_DIVU 117 1 +auto_C_MV auto_REM 102 1 +auto_C_MV auto_REMU 136 1 +auto_C_MV auto_C_ADDI4SPN 98 1 +auto_C_MV auto_C_LW 2 1 +auto_C_MV auto_C_NOP 373 1 +auto_C_MV auto_C_ADDI 167 1 +auto_C_MV auto_C_JAL 23 1 +auto_C_MV auto_C_LI 153 1 +auto_C_MV auto_C_ADDI16SP 88 1 +auto_C_MV auto_C_LUI 131 1 +auto_C_MV auto_C_SRLI 134 1 +auto_C_MV auto_C_SRAI 132 1 +auto_C_MV auto_C_ANDI 118 1 +auto_C_MV auto_C_SUB 120 1 +auto_C_MV auto_C_XOR 120 1 +auto_C_MV auto_C_OR 117 1 +auto_C_MV auto_C_AND 97 1 +auto_C_MV auto_C_J 20 1 +auto_C_MV auto_C_BEQZ 68 1 +auto_C_MV auto_C_BNEZ 40 1 +auto_C_MV auto_C_SLLI 189 1 +auto_C_MV auto_C_LWSP 6 1 +auto_C_MV auto_C_MV 134 1 +auto_C_MV auto_C_JALR 8 1 +auto_C_MV auto_C_ADD 158 1 +auto_C_MV auto_C_SWSP 20 1 +auto_C_MV auto_SH1ADD 102 1 +auto_C_MV auto_SH2ADD 110 1 +auto_C_MV auto_SH3ADD 109 1 +auto_C_MV auto_CLZ 112 1 +auto_C_MV auto_CTZ 97 1 +auto_C_MV auto_CPOP 95 1 +auto_C_MV auto_MIN 126 1 +auto_C_MV auto_MAX 105 1 +auto_C_MV auto_MINU 99 1 +auto_C_MV auto_MAXU 93 1 +auto_C_MV auto_SEXT_B 115 1 +auto_C_MV auto_SEXT_H 101 1 +auto_C_MV auto_ZEXT_H 123 1 +auto_C_MV auto_ANDN 124 1 +auto_C_MV auto_ORN 117 1 +auto_C_MV auto_XNOR 104 1 +auto_C_MV auto_ROR 108 1 +auto_C_MV auto_RORI 109 1 +auto_C_MV auto_ROL 139 1 +auto_C_MV auto_REV8 108 1 +auto_C_MV auto_ORC_B 113 1 +auto_C_MV auto_CLMUL 147 1 +auto_C_MV auto_CLMULH 116 1 +auto_C_MV auto_CLMULR 122 1 +auto_C_MV auto_BSET 112 1 +auto_C_MV auto_BSETI 115 1 +auto_C_MV auto_BCLR 134 1 +auto_C_MV auto_BCLRI 122 1 +auto_C_MV auto_BINV 137 1 +auto_C_MV auto_BINVI 113 1 +auto_C_MV auto_BEXT 119 1 +auto_C_MV auto_BEXTI 133 1 +auto_C_MV auto_CSRRW 67 1 +auto_C_MV auto_CSRRS 57 1 +auto_C_MV auto_CSRRC 64 1 +auto_C_MV auto_CSRRWI 58 1 +auto_C_MV auto_CSRRSI 50 1 +auto_C_MV auto_CSRRCI 58 1 +auto_C_MV auto_C_LBU 2 1 +auto_C_MV auto_C_LHU 1 1 +auto_C_MV auto_C_LH 1 1 +auto_C_MV auto_C_SB 2 1 +auto_C_MV auto_C_ZEXT_B 129 1 +auto_C_MV auto_C_SEXT_B 106 1 +auto_C_MV auto_C_ZEXT_H 100 1 +auto_C_MV auto_C_SEXT_H 105 1 +auto_C_MV auto_C_NOT 113 1 +auto_C_MV auto_C_MUL 109 1 +auto_C_EBREAK auto_LUI 36 1 +auto_C_EBREAK auto_AUIPC 34 1 +auto_C_EBREAK auto_JAL 11 1 +auto_C_EBREAK auto_BEQ 23 1 +auto_C_EBREAK auto_BNE 43 1 +auto_C_EBREAK auto_BLT 34 1 +auto_C_EBREAK auto_BGE 27 1 +auto_C_EBREAK auto_BLTU 34 1 +auto_C_EBREAK auto_BGEU 35 1 +auto_C_EBREAK auto_LB 121 1 +auto_C_EBREAK auto_LH 61 1 +auto_C_EBREAK auto_LW 17 1 +auto_C_EBREAK auto_LBU 137 1 +auto_C_EBREAK auto_LHU 39 1 +auto_C_EBREAK auto_SB 152 1 +auto_C_EBREAK auto_SH 36 1 +auto_C_EBREAK auto_SW 17 1 +auto_C_EBREAK auto_ADDI 80 1 +auto_C_EBREAK auto_SLTI 43 1 +auto_C_EBREAK auto_SLTIU 36 1 +auto_C_EBREAK auto_XORI 44 1 +auto_C_EBREAK auto_ORI 42 1 +auto_C_EBREAK auto_ANDI 46 1 +auto_C_EBREAK auto_SLLI 44 1 +auto_C_EBREAK auto_SRLI 36 1 +auto_C_EBREAK auto_SRAI 35 1 +auto_C_EBREAK auto_ADD 36 1 +auto_C_EBREAK auto_SUB 33 1 +auto_C_EBREAK auto_SLL 40 1 +auto_C_EBREAK auto_SLT 45 1 +auto_C_EBREAK auto_SLTU 38 1 +auto_C_EBREAK auto_XOR 27 1 +auto_C_EBREAK auto_SRL 33 1 +auto_C_EBREAK auto_SRA 45 1 +auto_C_EBREAK auto_OR 38 1 +auto_C_EBREAK auto_AND 34 1 +auto_C_EBREAK auto_FENCE 36 1 +auto_C_EBREAK auto_MRET 99 1 +auto_C_EBREAK auto_MUL 41 1 +auto_C_EBREAK auto_MULH 42 1 +auto_C_EBREAK auto_MULHSU 30 1 +auto_C_EBREAK auto_MULHU 38 1 +auto_C_EBREAK auto_DIV 33 1 +auto_C_EBREAK auto_DIVU 34 1 +auto_C_EBREAK auto_REM 36 1 +auto_C_EBREAK auto_REMU 35 1 +auto_C_EBREAK auto_C_ADDI4SPN 42 1 +auto_C_EBREAK auto_C_NOP 158 1 +auto_C_EBREAK auto_C_ADDI 41 1 +auto_C_EBREAK auto_C_JAL 13 1 +auto_C_EBREAK auto_C_LI 31 1 +auto_C_EBREAK auto_C_ADDI16SP 34 1 +auto_C_EBREAK auto_C_LUI 40 1 +auto_C_EBREAK auto_C_SRLI 36 1 +auto_C_EBREAK auto_C_SRAI 46 1 +auto_C_EBREAK auto_C_ANDI 33 1 +auto_C_EBREAK auto_C_SUB 41 1 +auto_C_EBREAK auto_C_XOR 45 1 +auto_C_EBREAK auto_C_OR 44 1 +auto_C_EBREAK auto_C_AND 42 1 +auto_C_EBREAK auto_C_J 21 1 +auto_C_EBREAK auto_C_BEQZ 38 1 +auto_C_EBREAK auto_C_BNEZ 23 1 +auto_C_EBREAK auto_C_SLLI 49 1 +auto_C_EBREAK auto_C_LWSP 2 1 +auto_C_EBREAK auto_C_MV 29 1 +auto_C_EBREAK auto_C_ADD 43 1 +auto_C_EBREAK auto_C_SWSP 2 1 +auto_C_EBREAK auto_SH1ADD 36 1 +auto_C_EBREAK auto_SH2ADD 41 1 +auto_C_EBREAK auto_SH3ADD 42 1 +auto_C_EBREAK auto_CLZ 38 1 +auto_C_EBREAK auto_CTZ 40 1 +auto_C_EBREAK auto_CPOP 22 1 +auto_C_EBREAK auto_MIN 40 1 +auto_C_EBREAK auto_MAX 51 1 +auto_C_EBREAK auto_MINU 32 1 +auto_C_EBREAK auto_MAXU 46 1 +auto_C_EBREAK auto_SEXT_B 31 1 +auto_C_EBREAK auto_SEXT_H 31 1 +auto_C_EBREAK auto_ZEXT_H 60 1 +auto_C_EBREAK auto_ANDN 44 1 +auto_C_EBREAK auto_ORN 43 1 +auto_C_EBREAK auto_XNOR 36 1 +auto_C_EBREAK auto_ROR 44 1 +auto_C_EBREAK auto_RORI 42 1 +auto_C_EBREAK auto_ROL 30 1 +auto_C_EBREAK auto_REV8 42 1 +auto_C_EBREAK auto_ORC_B 40 1 +auto_C_EBREAK auto_CLMUL 41 1 +auto_C_EBREAK auto_CLMULH 45 1 +auto_C_EBREAK auto_CLMULR 46 1 +auto_C_EBREAK auto_BSET 45 1 +auto_C_EBREAK auto_BSETI 46 1 +auto_C_EBREAK auto_BCLR 36 1 +auto_C_EBREAK auto_BCLRI 43 1 +auto_C_EBREAK auto_BINV 41 1 +auto_C_EBREAK auto_BINVI 37 1 +auto_C_EBREAK auto_BEXT 37 1 +auto_C_EBREAK auto_BEXTI 46 1 +auto_C_EBREAK auto_CSRRW 52 1 +auto_C_EBREAK auto_CSRRS 38 1 +auto_C_EBREAK auto_CSRRC 40 1 +auto_C_EBREAK auto_CSRRWI 34 1 +auto_C_EBREAK auto_CSRRSI 36 1 +auto_C_EBREAK auto_CSRRCI 45 1 +auto_C_EBREAK auto_C_LBU 1 1 +auto_C_EBREAK auto_C_ZEXT_B 35 1 +auto_C_EBREAK auto_C_SEXT_B 41 1 +auto_C_EBREAK auto_C_ZEXT_H 36 1 +auto_C_EBREAK auto_C_SEXT_H 34 1 +auto_C_EBREAK auto_C_NOT 43 1 +auto_C_EBREAK auto_C_MUL 36 1 +auto_C_JALR auto_BLT 1 1 +auto_C_JALR auto_BGE 8 1 +auto_C_JALR auto_BLTU 1 1 +auto_C_JALR auto_BGEU 9 1 +auto_C_JALR auto_ADDI 133 1 +auto_C_JALR auto_SLTI 3 1 +auto_C_JALR auto_ORI 2 1 +auto_C_JALR auto_ANDI 1 1 +auto_C_JALR auto_SLLI 1 1 +auto_C_JALR auto_SUB 8 1 +auto_C_JALR auto_XOR 2 1 +auto_C_JALR auto_SRA 1 1 +auto_C_JALR auto_OR 2 1 +auto_C_JALR auto_AND 3 1 +auto_C_JALR auto_MULH 1 1 +auto_C_JALR auto_DIV 2 1 +auto_C_JALR auto_REMU 1 1 +auto_C_JALR auto_C_ADDI 1 1 +auto_C_JALR auto_C_LI 1 1 +auto_C_JALR auto_C_MV 103 1 +auto_C_JALR auto_SH2ADD 7 1 +auto_C_JALR auto_CTZ 1 1 +auto_C_JALR auto_MINU 2 1 +auto_C_JALR auto_ORC_B 2 1 +auto_C_JALR auto_BINVI 2 1 +auto_C_JALR auto_C_NOT 4 1 +auto_C_ADD auto_LUI 135 1 +auto_C_ADD auto_AUIPC 126 1 +auto_C_ADD auto_JAL 46 1 +auto_C_ADD auto_JALR 12 1 +auto_C_ADD auto_BEQ 41 1 +auto_C_ADD auto_BNE 52 1 +auto_C_ADD auto_BLT 48 1 +auto_C_ADD auto_BGE 46 1 +auto_C_ADD auto_BLTU 55 1 +auto_C_ADD auto_BGEU 45 1 +auto_C_ADD auto_LB 305 1 +auto_C_ADD auto_LH 92 1 +auto_C_ADD auto_LW 604 1 +auto_C_ADD auto_LBU 276 1 +auto_C_ADD auto_LHU 84 1 +auto_C_ADD auto_SB 286 1 +auto_C_ADD auto_SH 83 1 +auto_C_ADD auto_SW 29 1 +auto_C_ADD auto_ADDI 257 1 +auto_C_ADD auto_SLTI 152 1 +auto_C_ADD auto_SLTIU 158 1 +auto_C_ADD auto_XORI 158 1 +auto_C_ADD auto_ORI 143 1 +auto_C_ADD auto_ANDI 165 1 +auto_C_ADD auto_SLLI 171 1 +auto_C_ADD auto_SRLI 135 1 +auto_C_ADD auto_SRAI 156 1 +auto_C_ADD auto_ADD 111 1 +auto_C_ADD auto_SUB 128 1 +auto_C_ADD auto_SLL 141 1 +auto_C_ADD auto_SLT 125 1 +auto_C_ADD auto_SLTU 169 1 +auto_C_ADD auto_XOR 129 1 +auto_C_ADD auto_SRL 161 1 +auto_C_ADD auto_SRA 141 1 +auto_C_ADD auto_OR 111 1 +auto_C_ADD auto_AND 140 1 +auto_C_ADD auto_FENCE 74 1 +auto_C_ADD auto_MRET 474 1 +auto_C_ADD auto_WFI 1 1 +auto_C_ADD auto_MUL 137 1 +auto_C_ADD auto_MULH 132 1 +auto_C_ADD auto_MULHSU 145 1 +auto_C_ADD auto_MULHU 149 1 +auto_C_ADD auto_DIV 141 1 +auto_C_ADD auto_DIVU 134 1 +auto_C_ADD auto_REM 146 1 +auto_C_ADD auto_REMU 145 1 +auto_C_ADD auto_C_ADDI4SPN 104 1 +auto_C_ADD auto_C_SW 1 1 +auto_C_ADD auto_C_NOP 419 1 +auto_C_ADD auto_C_ADDI 158 1 +auto_C_ADD auto_C_JAL 29 1 +auto_C_ADD auto_C_LI 150 1 +auto_C_ADD auto_C_ADDI16SP 82 1 +auto_C_ADD auto_C_LUI 137 1 +auto_C_ADD auto_C_SRLI 111 1 +auto_C_ADD auto_C_SRAI 123 1 +auto_C_ADD auto_C_ANDI 97 1 +auto_C_ADD auto_C_SUB 120 1 +auto_C_ADD auto_C_XOR 129 1 +auto_C_ADD auto_C_OR 117 1 +auto_C_ADD auto_C_AND 124 1 +auto_C_ADD auto_C_J 43 1 +auto_C_ADD auto_C_BEQZ 56 1 +auto_C_ADD auto_C_BNEZ 53 1 +auto_C_ADD auto_C_SLLI 210 1 +auto_C_ADD auto_C_LWSP 9 1 +auto_C_ADD auto_C_MV 157 1 +auto_C_ADD auto_C_JALR 7 1 +auto_C_ADD auto_C_ADD 190 1 +auto_C_ADD auto_C_SWSP 3 1 +auto_C_ADD auto_SH1ADD 136 1 +auto_C_ADD auto_SH2ADD 118 1 +auto_C_ADD auto_SH3ADD 123 1 +auto_C_ADD auto_CLZ 144 1 +auto_C_ADD auto_CTZ 143 1 +auto_C_ADD auto_CPOP 118 1 +auto_C_ADD auto_MIN 137 1 +auto_C_ADD auto_MAX 145 1 +auto_C_ADD auto_MINU 129 1 +auto_C_ADD auto_MAXU 163 1 +auto_C_ADD auto_SEXT_B 124 1 +auto_C_ADD auto_SEXT_H 136 1 +auto_C_ADD auto_ZEXT_H 183 1 +auto_C_ADD auto_ANDN 148 1 +auto_C_ADD auto_ORN 137 1 +auto_C_ADD auto_XNOR 119 1 +auto_C_ADD auto_ROR 156 1 +auto_C_ADD auto_RORI 148 1 +auto_C_ADD auto_ROL 132 1 +auto_C_ADD auto_REV8 149 1 +auto_C_ADD auto_ORC_B 128 1 +auto_C_ADD auto_CLMUL 134 1 +auto_C_ADD auto_CLMULH 162 1 +auto_C_ADD auto_CLMULR 140 1 +auto_C_ADD auto_BSET 146 1 +auto_C_ADD auto_BSETI 144 1 +auto_C_ADD auto_BCLR 140 1 +auto_C_ADD auto_BCLRI 131 1 +auto_C_ADD auto_BINV 148 1 +auto_C_ADD auto_BINVI 123 1 +auto_C_ADD auto_BEXT 149 1 +auto_C_ADD auto_BEXTI 134 1 +auto_C_ADD auto_CSRRW 56 1 +auto_C_ADD auto_CSRRS 69 1 +auto_C_ADD auto_CSRRC 86 1 +auto_C_ADD auto_CSRRWI 74 1 +auto_C_ADD auto_CSRRSI 60 1 +auto_C_ADD auto_CSRRCI 62 1 +auto_C_ADD auto_C_LBU 2 1 +auto_C_ADD auto_C_SB 1 1 +auto_C_ADD auto_C_ZEXT_B 106 1 +auto_C_ADD auto_C_SEXT_B 109 1 +auto_C_ADD auto_C_ZEXT_H 107 1 +auto_C_ADD auto_C_SEXT_H 115 1 +auto_C_ADD auto_C_NOT 104 1 +auto_C_ADD auto_C_MUL 123 1 +auto_C_SWSP auto_LUI 3 1 +auto_C_SWSP auto_AUIPC 6 1 +auto_C_SWSP auto_LB 107 1 +auto_C_SWSP auto_LH 40 1 +auto_C_SWSP auto_LW 7 1 +auto_C_SWSP auto_LBU 117 1 +auto_C_SWSP auto_LHU 31 1 +auto_C_SWSP auto_SB 120 1 +auto_C_SWSP auto_SH 29 1 +auto_C_SWSP auto_SW 9 1 +auto_C_SWSP auto_ADDI 55 1 +auto_C_SWSP auto_SLTI 5 1 +auto_C_SWSP auto_SLTIU 5 1 +auto_C_SWSP auto_XORI 6 1 +auto_C_SWSP auto_ORI 2 1 +auto_C_SWSP auto_ANDI 26 1 +auto_C_SWSP auto_SLLI 7 1 +auto_C_SWSP auto_SRLI 7 1 +auto_C_SWSP auto_SRAI 7 1 +auto_C_SWSP auto_ADD 3 1 +auto_C_SWSP auto_SUB 9 1 +auto_C_SWSP auto_SLL 2 1 +auto_C_SWSP auto_SLT 4 1 +auto_C_SWSP auto_SLTU 5 1 +auto_C_SWSP auto_XOR 9 1 +auto_C_SWSP auto_SRL 2 1 +auto_C_SWSP auto_SRA 6 1 +auto_C_SWSP auto_OR 9 1 +auto_C_SWSP auto_AND 4 1 +auto_C_SWSP auto_FENCE 5 1 +auto_C_SWSP auto_MRET 28 1 +auto_C_SWSP auto_MUL 9 1 +auto_C_SWSP auto_MULH 9 1 +auto_C_SWSP auto_MULHSU 10 1 +auto_C_SWSP auto_MULHU 2 1 +auto_C_SWSP auto_DIV 7 1 +auto_C_SWSP auto_DIVU 5 1 +auto_C_SWSP auto_REM 6 1 +auto_C_SWSP auto_REMU 2 1 +auto_C_SWSP auto_C_NOP 11 1 +auto_C_SWSP auto_C_ADDI 4905 1 +auto_C_SWSP auto_C_LI 20 1 +auto_C_SWSP auto_C_ADDI16SP 2975 1 +auto_C_SWSP auto_C_LUI 3 1 +auto_C_SWSP auto_C_SRLI 6 1 +auto_C_SWSP auto_C_SRAI 8 1 +auto_C_SWSP auto_C_ANDI 4 1 +auto_C_SWSP auto_C_SUB 2 1 +auto_C_SWSP auto_C_XOR 2 1 +auto_C_SWSP auto_C_OR 8 1 +auto_C_SWSP auto_C_AND 3 1 +auto_C_SWSP auto_C_SLLI 4 1 +auto_C_SWSP auto_C_LWSP 126 1 +auto_C_SWSP auto_C_MV 5 1 +auto_C_SWSP auto_C_ADD 4 1 +auto_C_SWSP auto_C_SWSP 6125 1 +auto_C_SWSP auto_SH1ADD 5 1 +auto_C_SWSP auto_SH2ADD 9 1 +auto_C_SWSP auto_SH3ADD 8 1 +auto_C_SWSP auto_CLZ 6 1 +auto_C_SWSP auto_CTZ 2 1 +auto_C_SWSP auto_CPOP 5 1 +auto_C_SWSP auto_MIN 7 1 +auto_C_SWSP auto_MAX 7 1 +auto_C_SWSP auto_MINU 5 1 +auto_C_SWSP auto_MAXU 5 1 +auto_C_SWSP auto_SEXT_B 2 1 +auto_C_SWSP auto_SEXT_H 3 1 +auto_C_SWSP auto_ZEXT_H 8 1 +auto_C_SWSP auto_ANDN 3 1 +auto_C_SWSP auto_ORN 4 1 +auto_C_SWSP auto_XNOR 3 1 +auto_C_SWSP auto_ROR 5 1 +auto_C_SWSP auto_RORI 2 1 +auto_C_SWSP auto_ROL 6 1 +auto_C_SWSP auto_REV8 8 1 +auto_C_SWSP auto_ORC_B 4 1 +auto_C_SWSP auto_CLMUL 4 1 +auto_C_SWSP auto_CLMULH 2 1 +auto_C_SWSP auto_CLMULR 5 1 +auto_C_SWSP auto_BSET 12 1 +auto_C_SWSP auto_BSETI 4 1 +auto_C_SWSP auto_BCLR 6 1 +auto_C_SWSP auto_BCLRI 6 1 +auto_C_SWSP auto_BINV 6 1 +auto_C_SWSP auto_BINVI 6 1 +auto_C_SWSP auto_BEXT 7 1 +auto_C_SWSP auto_BEXTI 11 1 +auto_C_SWSP auto_CSRRW 4 1 +auto_C_SWSP auto_CSRRS 2 1 +auto_C_SWSP auto_CSRRC 6 1 +auto_C_SWSP auto_CSRRWI 4 1 +auto_C_SWSP auto_CSRRSI 3 1 +auto_C_SWSP auto_CSRRCI 4 1 +auto_C_SWSP auto_C_ZEXT_B 3 1 +auto_C_SWSP auto_C_SEXT_B 2 1 +auto_C_SWSP auto_C_ZEXT_H 4 1 +auto_C_SWSP auto_C_SEXT_H 4 1 +auto_C_SWSP auto_C_NOT 4 1 +auto_C_SWSP auto_C_MUL 7 1 +auto_SH1ADD auto_LUI 200 1 +auto_SH1ADD auto_AUIPC 204 1 +auto_SH1ADD auto_JAL 92 1 +auto_SH1ADD auto_JALR 4 1 +auto_SH1ADD auto_BEQ 55 1 +auto_SH1ADD auto_BNE 60 1 +auto_SH1ADD auto_BLT 61 1 +auto_SH1ADD auto_BGE 85 1 +auto_SH1ADD auto_BLTU 62 1 +auto_SH1ADD auto_BGEU 63 1 +auto_SH1ADD auto_LB 318 1 +auto_SH1ADD auto_LH 107 1 +auto_SH1ADD auto_LW 50 1 +auto_SH1ADD auto_LBU 319 1 +auto_SH1ADD auto_LHU 109 1 +auto_SH1ADD auto_SB 333 1 +auto_SH1ADD auto_SH 95 1 +auto_SH1ADD auto_SW 47 1 +auto_SH1ADD auto_ADDI 271 1 +auto_SH1ADD auto_SLTI 181 1 +auto_SH1ADD auto_SLTIU 204 1 +auto_SH1ADD auto_XORI 196 1 +auto_SH1ADD auto_ORI 196 1 +auto_SH1ADD auto_ANDI 207 1 +auto_SH1ADD auto_SLLI 180 1 +auto_SH1ADD auto_SRLI 197 1 +auto_SH1ADD auto_SRAI 182 1 +auto_SH1ADD auto_ADD 144 1 +auto_SH1ADD auto_SUB 189 1 +auto_SH1ADD auto_SLL 195 1 +auto_SH1ADD auto_SLT 195 1 +auto_SH1ADD auto_SLTU 220 1 +auto_SH1ADD auto_XOR 189 1 +auto_SH1ADD auto_SRL 191 1 +auto_SH1ADD auto_SRA 186 1 +auto_SH1ADD auto_OR 186 1 +auto_SH1ADD auto_AND 200 1 +auto_SH1ADD auto_FENCE 89 1 +auto_SH1ADD auto_MRET 373 1 +auto_SH1ADD auto_MUL 169 1 +auto_SH1ADD auto_MULH 183 1 +auto_SH1ADD auto_MULHSU 173 1 +auto_SH1ADD auto_MULHU 187 1 +auto_SH1ADD auto_DIV 193 1 +auto_SH1ADD auto_DIVU 198 1 +auto_SH1ADD auto_REM 222 1 +auto_SH1ADD auto_REMU 197 1 +auto_SH1ADD auto_C_ADDI4SPN 65 1 +auto_SH1ADD auto_C_LW 2 1 +auto_SH1ADD auto_C_NOP 505 1 +auto_SH1ADD auto_C_ADDI 95 1 +auto_SH1ADD auto_C_JAL 26 1 +auto_SH1ADD auto_C_LI 100 1 +auto_SH1ADD auto_C_ADDI16SP 72 1 +auto_SH1ADD auto_C_LUI 95 1 +auto_SH1ADD auto_C_SRLI 80 1 +auto_SH1ADD auto_C_SRAI 93 1 +auto_SH1ADD auto_C_ANDI 87 1 +auto_SH1ADD auto_C_SUB 94 1 +auto_SH1ADD auto_C_XOR 129 1 +auto_SH1ADD auto_C_OR 96 1 +auto_SH1ADD auto_C_AND 84 1 +auto_SH1ADD auto_C_J 40 1 +auto_SH1ADD auto_C_BEQZ 59 1 +auto_SH1ADD auto_C_BNEZ 49 1 +auto_SH1ADD auto_C_SLLI 109 1 +auto_SH1ADD auto_C_LWSP 2 1 +auto_SH1ADD auto_C_JR 2 1 +auto_SH1ADD auto_C_MV 117 1 +auto_SH1ADD auto_C_ADD 127 1 +auto_SH1ADD auto_C_SWSP 3 1 +auto_SH1ADD auto_SH1ADD 206 1 +auto_SH1ADD auto_SH2ADD 207 1 +auto_SH1ADD auto_SH3ADD 175 1 +auto_SH1ADD auto_CLZ 193 1 +auto_SH1ADD auto_CTZ 212 1 +auto_SH1ADD auto_CPOP 183 1 +auto_SH1ADD auto_MIN 215 1 +auto_SH1ADD auto_MAX 187 1 +auto_SH1ADD auto_MINU 175 1 +auto_SH1ADD auto_MAXU 199 1 +auto_SH1ADD auto_SEXT_B 199 1 +auto_SH1ADD auto_SEXT_H 214 1 +auto_SH1ADD auto_ZEXT_H 219 1 +auto_SH1ADD auto_ANDN 196 1 +auto_SH1ADD auto_ORN 198 1 +auto_SH1ADD auto_XNOR 205 1 +auto_SH1ADD auto_ROR 196 1 +auto_SH1ADD auto_RORI 186 1 +auto_SH1ADD auto_ROL 225 1 +auto_SH1ADD auto_REV8 233 1 +auto_SH1ADD auto_ORC_B 212 1 +auto_SH1ADD auto_CLMUL 224 1 +auto_SH1ADD auto_CLMULH 171 1 +auto_SH1ADD auto_CLMULR 221 1 +auto_SH1ADD auto_BSET 214 1 +auto_SH1ADD auto_BSETI 184 1 +auto_SH1ADD auto_BCLR 181 1 +auto_SH1ADD auto_BCLRI 183 1 +auto_SH1ADD auto_BINV 192 1 +auto_SH1ADD auto_BINVI 199 1 +auto_SH1ADD auto_BEXT 211 1 +auto_SH1ADD auto_BEXTI 230 1 +auto_SH1ADD auto_CSRRW 58 1 +auto_SH1ADD auto_CSRRS 68 1 +auto_SH1ADD auto_CSRRC 59 1 +auto_SH1ADD auto_CSRRWI 74 1 +auto_SH1ADD auto_CSRRSI 58 1 +auto_SH1ADD auto_CSRRCI 62 1 +auto_SH1ADD auto_C_LBU 4 1 +auto_SH1ADD auto_C_LHU 2 1 +auto_SH1ADD auto_C_SB 3 1 +auto_SH1ADD auto_C_SH 1 1 +auto_SH1ADD auto_C_ZEXT_B 76 1 +auto_SH1ADD auto_C_SEXT_B 93 1 +auto_SH1ADD auto_C_ZEXT_H 80 1 +auto_SH1ADD auto_C_SEXT_H 90 1 +auto_SH1ADD auto_C_NOT 93 1 +auto_SH1ADD auto_C_MUL 126 1 +auto_SH2ADD auto_LUI 220 1 +auto_SH2ADD auto_AUIPC 225 1 +auto_SH2ADD auto_JAL 67 1 +auto_SH2ADD auto_JALR 3 1 +auto_SH2ADD auto_BEQ 47 1 +auto_SH2ADD auto_BNE 59 1 +auto_SH2ADD auto_BLT 57 1 +auto_SH2ADD auto_BGE 55 1 +auto_SH2ADD auto_BLTU 58 1 +auto_SH2ADD auto_BGEU 67 1 +auto_SH2ADD auto_LB 334 1 +auto_SH2ADD auto_LH 99 1 +auto_SH2ADD auto_LW 43 1 +auto_SH2ADD auto_LBU 325 1 +auto_SH2ADD auto_LHU 96 1 +auto_SH2ADD auto_SB 313 1 +auto_SH2ADD auto_SH 115 1 +auto_SH2ADD auto_SW 48 1 +auto_SH2ADD auto_ADDI 303 1 +auto_SH2ADD auto_SLTI 175 1 +auto_SH2ADD auto_SLTIU 207 1 +auto_SH2ADD auto_XORI 213 1 +auto_SH2ADD auto_ORI 169 1 +auto_SH2ADD auto_ANDI 196 1 +auto_SH2ADD auto_SLLI 201 1 +auto_SH2ADD auto_SRLI 205 1 +auto_SH2ADD auto_SRAI 206 1 +auto_SH2ADD auto_ADD 159 1 +auto_SH2ADD auto_SUB 172 1 +auto_SH2ADD auto_SLL 216 1 +auto_SH2ADD auto_SLT 207 1 +auto_SH2ADD auto_SLTU 234 1 +auto_SH2ADD auto_XOR 185 1 +auto_SH2ADD auto_SRL 214 1 +auto_SH2ADD auto_SRA 198 1 +auto_SH2ADD auto_OR 199 1 +auto_SH2ADD auto_AND 184 1 +auto_SH2ADD auto_FENCE 69 1 +auto_SH2ADD auto_MRET 370 1 +auto_SH2ADD auto_MUL 187 1 +auto_SH2ADD auto_MULH 204 1 +auto_SH2ADD auto_MULHSU 175 1 +auto_SH2ADD auto_MULHU 203 1 +auto_SH2ADD auto_DIV 205 1 +auto_SH2ADD auto_DIVU 179 1 +auto_SH2ADD auto_REM 182 1 +auto_SH2ADD auto_REMU 194 1 +auto_SH2ADD auto_C_ADDI4SPN 81 1 +auto_SH2ADD auto_C_LW 3 1 +auto_SH2ADD auto_C_SW 3 1 +auto_SH2ADD auto_C_NOP 516 1 +auto_SH2ADD auto_C_ADDI 101 1 +auto_SH2ADD auto_C_JAL 30 1 +auto_SH2ADD auto_C_LI 118 1 +auto_SH2ADD auto_C_ADDI16SP 97 1 +auto_SH2ADD auto_C_LUI 110 1 +auto_SH2ADD auto_C_SRLI 78 1 +auto_SH2ADD auto_C_SRAI 95 1 +auto_SH2ADD auto_C_ANDI 84 1 +auto_SH2ADD auto_C_SUB 102 1 +auto_SH2ADD auto_C_XOR 93 1 +auto_SH2ADD auto_C_OR 91 1 +auto_SH2ADD auto_C_AND 92 1 +auto_SH2ADD auto_C_J 29 1 +auto_SH2ADD auto_C_BEQZ 45 1 +auto_SH2ADD auto_C_BNEZ 47 1 +auto_SH2ADD auto_C_SLLI 94 1 +auto_SH2ADD auto_C_LWSP 9 1 +auto_SH2ADD auto_C_JR 4 1 +auto_SH2ADD auto_C_MV 109 1 +auto_SH2ADD auto_C_JALR 2 1 +auto_SH2ADD auto_C_ADD 128 1 +auto_SH2ADD auto_C_SWSP 6 1 +auto_SH2ADD auto_SH1ADD 184 1 +auto_SH2ADD auto_SH2ADD 182 1 +auto_SH2ADD auto_SH3ADD 202 1 +auto_SH2ADD auto_CLZ 172 1 +auto_SH2ADD auto_CTZ 178 1 +auto_SH2ADD auto_CPOP 193 1 +auto_SH2ADD auto_MIN 197 1 +auto_SH2ADD auto_MAX 201 1 +auto_SH2ADD auto_MINU 177 1 +auto_SH2ADD auto_MAXU 206 1 +auto_SH2ADD auto_SEXT_B 209 1 +auto_SH2ADD auto_SEXT_H 205 1 +auto_SH2ADD auto_ZEXT_H 198 1 +auto_SH2ADD auto_ANDN 195 1 +auto_SH2ADD auto_ORN 206 1 +auto_SH2ADD auto_XNOR 208 1 +auto_SH2ADD auto_ROR 208 1 +auto_SH2ADD auto_RORI 234 1 +auto_SH2ADD auto_ROL 202 1 +auto_SH2ADD auto_REV8 182 1 +auto_SH2ADD auto_ORC_B 208 1 +auto_SH2ADD auto_CLMUL 190 1 +auto_SH2ADD auto_CLMULH 231 1 +auto_SH2ADD auto_CLMULR 215 1 +auto_SH2ADD auto_BSET 213 1 +auto_SH2ADD auto_BSETI 193 1 +auto_SH2ADD auto_BCLR 202 1 +auto_SH2ADD auto_BCLRI 207 1 +auto_SH2ADD auto_BINV 206 1 +auto_SH2ADD auto_BINVI 219 1 +auto_SH2ADD auto_BEXT 190 1 +auto_SH2ADD auto_BEXTI 204 1 +auto_SH2ADD auto_CSRRW 74 1 +auto_SH2ADD auto_CSRRS 85 1 +auto_SH2ADD auto_CSRRC 74 1 +auto_SH2ADD auto_CSRRWI 67 1 +auto_SH2ADD auto_CSRRSI 62 1 +auto_SH2ADD auto_CSRRCI 63 1 +auto_SH2ADD auto_C_LBU 2 1 +auto_SH2ADD auto_C_SB 1 1 +auto_SH2ADD auto_C_ZEXT_B 89 1 +auto_SH2ADD auto_C_SEXT_B 103 1 +auto_SH2ADD auto_C_ZEXT_H 82 1 +auto_SH2ADD auto_C_SEXT_H 100 1 +auto_SH2ADD auto_C_NOT 104 1 +auto_SH2ADD auto_C_MUL 94 1 +auto_SH3ADD auto_LUI 194 1 +auto_SH3ADD auto_AUIPC 201 1 +auto_SH3ADD auto_JAL 77 1 +auto_SH3ADD auto_JALR 2 1 +auto_SH3ADD auto_BEQ 74 1 +auto_SH3ADD auto_BNE 56 1 +auto_SH3ADD auto_BLT 51 1 +auto_SH3ADD auto_BGE 62 1 +auto_SH3ADD auto_BLTU 58 1 +auto_SH3ADD auto_BGEU 50 1 +auto_SH3ADD auto_LB 338 1 +auto_SH3ADD auto_LH 124 1 +auto_SH3ADD auto_LW 50 1 +auto_SH3ADD auto_LBU 334 1 +auto_SH3ADD auto_LHU 120 1 +auto_SH3ADD auto_SB 329 1 +auto_SH3ADD auto_SH 94 1 +auto_SH3ADD auto_SW 41 1 +auto_SH3ADD auto_ADDI 262 1 +auto_SH3ADD auto_SLTI 219 1 +auto_SH3ADD auto_SLTIU 190 1 +auto_SH3ADD auto_XORI 203 1 +auto_SH3ADD auto_ORI 190 1 +auto_SH3ADD auto_ANDI 213 1 +auto_SH3ADD auto_SLLI 189 1 +auto_SH3ADD auto_SRLI 193 1 +auto_SH3ADD auto_SRAI 194 1 +auto_SH3ADD auto_ADD 165 1 +auto_SH3ADD auto_SUB 176 1 +auto_SH3ADD auto_SLL 172 1 +auto_SH3ADD auto_SLT 207 1 +auto_SH3ADD auto_SLTU 211 1 +auto_SH3ADD auto_XOR 183 1 +auto_SH3ADD auto_SRL 197 1 +auto_SH3ADD auto_SRA 201 1 +auto_SH3ADD auto_OR 187 1 +auto_SH3ADD auto_AND 172 1 +auto_SH3ADD auto_FENCE 69 1 +auto_SH3ADD auto_MRET 322 1 +auto_SH3ADD auto_MUL 192 1 +auto_SH3ADD auto_MULH 177 1 +auto_SH3ADD auto_MULHSU 186 1 +auto_SH3ADD auto_MULHU 205 1 +auto_SH3ADD auto_DIV 180 1 +auto_SH3ADD auto_DIVU 183 1 +auto_SH3ADD auto_REM 197 1 +auto_SH3ADD auto_REMU 181 1 +auto_SH3ADD auto_C_ADDI4SPN 75 1 +auto_SH3ADD auto_C_SW 2 1 +auto_SH3ADD auto_C_NOP 526 1 +auto_SH3ADD auto_C_ADDI 107 1 +auto_SH3ADD auto_C_JAL 45 1 +auto_SH3ADD auto_C_LI 94 1 +auto_SH3ADD auto_C_ADDI16SP 66 1 +auto_SH3ADD auto_C_LUI 113 1 +auto_SH3ADD auto_C_SRLI 107 1 +auto_SH3ADD auto_C_SRAI 92 1 +auto_SH3ADD auto_C_ANDI 93 1 +auto_SH3ADD auto_C_SUB 100 1 +auto_SH3ADD auto_C_XOR 89 1 +auto_SH3ADD auto_C_OR 100 1 +auto_SH3ADD auto_C_AND 88 1 +auto_SH3ADD auto_C_J 30 1 +auto_SH3ADD auto_C_BEQZ 36 1 +auto_SH3ADD auto_C_BNEZ 45 1 +auto_SH3ADD auto_C_SLLI 119 1 +auto_SH3ADD auto_C_LWSP 5 1 +auto_SH3ADD auto_C_MV 147 1 +auto_SH3ADD auto_C_JALR 3 1 +auto_SH3ADD auto_C_ADD 143 1 +auto_SH3ADD auto_C_SWSP 3 1 +auto_SH3ADD auto_SH1ADD 182 1 +auto_SH3ADD auto_SH2ADD 200 1 +auto_SH3ADD auto_SH3ADD 206 1 +auto_SH3ADD auto_CLZ 219 1 +auto_SH3ADD auto_CTZ 213 1 +auto_SH3ADD auto_CPOP 193 1 +auto_SH3ADD auto_MIN 208 1 +auto_SH3ADD auto_MAX 204 1 +auto_SH3ADD auto_MINU 191 1 +auto_SH3ADD auto_MAXU 187 1 +auto_SH3ADD auto_SEXT_B 171 1 +auto_SH3ADD auto_SEXT_H 200 1 +auto_SH3ADD auto_ZEXT_H 183 1 +auto_SH3ADD auto_ANDN 193 1 +auto_SH3ADD auto_ORN 210 1 +auto_SH3ADD auto_XNOR 207 1 +auto_SH3ADD auto_ROR 196 1 +auto_SH3ADD auto_RORI 181 1 +auto_SH3ADD auto_ROL 215 1 +auto_SH3ADD auto_REV8 203 1 +auto_SH3ADD auto_ORC_B 188 1 +auto_SH3ADD auto_CLMUL 192 1 +auto_SH3ADD auto_CLMULH 192 1 +auto_SH3ADD auto_CLMULR 215 1 +auto_SH3ADD auto_BSET 209 1 +auto_SH3ADD auto_BSETI 210 1 +auto_SH3ADD auto_BCLR 176 1 +auto_SH3ADD auto_BCLRI 207 1 +auto_SH3ADD auto_BINV 200 1 +auto_SH3ADD auto_BINVI 206 1 +auto_SH3ADD auto_BEXT 204 1 +auto_SH3ADD auto_BEXTI 221 1 +auto_SH3ADD auto_CSRRW 67 1 +auto_SH3ADD auto_CSRRS 64 1 +auto_SH3ADD auto_CSRRC 76 1 +auto_SH3ADD auto_CSRRWI 72 1 +auto_SH3ADD auto_CSRRSI 60 1 +auto_SH3ADD auto_CSRRCI 69 1 +auto_SH3ADD auto_C_LBU 4 1 +auto_SH3ADD auto_C_SB 1 1 +auto_SH3ADD auto_C_SH 2 1 +auto_SH3ADD auto_C_ZEXT_B 97 1 +auto_SH3ADD auto_C_SEXT_B 102 1 +auto_SH3ADD auto_C_ZEXT_H 92 1 +auto_SH3ADD auto_C_SEXT_H 96 1 +auto_SH3ADD auto_C_NOT 76 1 +auto_SH3ADD auto_C_MUL 101 1 +auto_CLZ auto_LUI 209 1 +auto_CLZ auto_AUIPC 218 1 +auto_CLZ auto_JAL 69 1 +auto_CLZ auto_JALR 1 1 +auto_CLZ auto_BEQ 71 1 +auto_CLZ auto_BNE 60 1 +auto_CLZ auto_BLT 72 1 +auto_CLZ auto_BGE 72 1 +auto_CLZ auto_BLTU 46 1 +auto_CLZ auto_BGEU 70 1 +auto_CLZ auto_LB 307 1 +auto_CLZ auto_LH 110 1 +auto_CLZ auto_LW 56 1 +auto_CLZ auto_LBU 322 1 +auto_CLZ auto_LHU 114 1 +auto_CLZ auto_SB 325 1 +auto_CLZ auto_SH 106 1 +auto_CLZ auto_SW 43 1 +auto_CLZ auto_ADDI 309 1 +auto_CLZ auto_SLTI 209 1 +auto_CLZ auto_SLTIU 180 1 +auto_CLZ auto_XORI 165 1 +auto_CLZ auto_ORI 203 1 +auto_CLZ auto_ANDI 197 1 +auto_CLZ auto_SLLI 207 1 +auto_CLZ auto_SRLI 186 1 +auto_CLZ auto_SRAI 176 1 +auto_CLZ auto_ADD 164 1 +auto_CLZ auto_SUB 166 1 +auto_CLZ auto_SLL 187 1 +auto_CLZ auto_SLT 199 1 +auto_CLZ auto_SLTU 190 1 +auto_CLZ auto_XOR 200 1 +auto_CLZ auto_SRL 223 1 +auto_CLZ auto_SRA 205 1 +auto_CLZ auto_OR 200 1 +auto_CLZ auto_AND 211 1 +auto_CLZ auto_FENCE 68 1 +auto_CLZ auto_MRET 367 1 +auto_CLZ auto_MUL 219 1 +auto_CLZ auto_MULH 220 1 +auto_CLZ auto_MULHSU 211 1 +auto_CLZ auto_MULHU 184 1 +auto_CLZ auto_DIV 197 1 +auto_CLZ auto_DIVU 176 1 +auto_CLZ auto_REM 175 1 +auto_CLZ auto_REMU 199 1 +auto_CLZ auto_C_ADDI4SPN 89 1 +auto_CLZ auto_C_SW 1 1 +auto_CLZ auto_C_NOP 565 1 +auto_CLZ auto_C_ADDI 89 1 +auto_CLZ auto_C_JAL 34 1 +auto_CLZ auto_C_LI 97 1 +auto_CLZ auto_C_ADDI16SP 83 1 +auto_CLZ auto_C_LUI 105 1 +auto_CLZ auto_C_SRLI 102 1 +auto_CLZ auto_C_SRAI 99 1 +auto_CLZ auto_C_ANDI 87 1 +auto_CLZ auto_C_SUB 93 1 +auto_CLZ auto_C_XOR 101 1 +auto_CLZ auto_C_OR 106 1 +auto_CLZ auto_C_AND 100 1 +auto_CLZ auto_C_J 23 1 +auto_CLZ auto_C_BEQZ 38 1 +auto_CLZ auto_C_BNEZ 49 1 +auto_CLZ auto_C_SLLI 108 1 +auto_CLZ auto_C_LWSP 4 1 +auto_CLZ auto_C_JR 6 1 +auto_CLZ auto_C_MV 104 1 +auto_CLZ auto_C_JALR 4 1 +auto_CLZ auto_C_ADD 139 1 +auto_CLZ auto_C_SWSP 4 1 +auto_CLZ auto_SH1ADD 200 1 +auto_CLZ auto_SH2ADD 204 1 +auto_CLZ auto_SH3ADD 200 1 +auto_CLZ auto_CLZ 173 1 +auto_CLZ auto_CTZ 183 1 +auto_CLZ auto_CPOP 211 1 +auto_CLZ auto_MIN 189 1 +auto_CLZ auto_MAX 192 1 +auto_CLZ auto_MINU 184 1 +auto_CLZ auto_MAXU 215 1 +auto_CLZ auto_SEXT_B 206 1 +auto_CLZ auto_SEXT_H 220 1 +auto_CLZ auto_ZEXT_H 214 1 +auto_CLZ auto_ANDN 230 1 +auto_CLZ auto_ORN 214 1 +auto_CLZ auto_XNOR 221 1 +auto_CLZ auto_ROR 212 1 +auto_CLZ auto_RORI 175 1 +auto_CLZ auto_ROL 200 1 +auto_CLZ auto_REV8 176 1 +auto_CLZ auto_ORC_B 195 1 +auto_CLZ auto_CLMUL 185 1 +auto_CLZ auto_CLMULH 179 1 +auto_CLZ auto_CLMULR 207 1 +auto_CLZ auto_BSET 200 1 +auto_CLZ auto_BSETI 196 1 +auto_CLZ auto_BCLR 200 1 +auto_CLZ auto_BCLRI 207 1 +auto_CLZ auto_BINV 194 1 +auto_CLZ auto_BINVI 205 1 +auto_CLZ auto_BEXT 175 1 +auto_CLZ auto_BEXTI 222 1 +auto_CLZ auto_CSRRW 80 1 +auto_CLZ auto_CSRRS 66 1 +auto_CLZ auto_CSRRC 65 1 +auto_CLZ auto_CSRRWI 67 1 +auto_CLZ auto_CSRRSI 59 1 +auto_CLZ auto_CSRRCI 62 1 +auto_CLZ auto_C_LBU 3 1 +auto_CLZ auto_C_SB 2 1 +auto_CLZ auto_C_SH 2 1 +auto_CLZ auto_C_ZEXT_B 89 1 +auto_CLZ auto_C_SEXT_B 104 1 +auto_CLZ auto_C_ZEXT_H 101 1 +auto_CLZ auto_C_SEXT_H 84 1 +auto_CLZ auto_C_NOT 91 1 +auto_CLZ auto_C_MUL 75 1 +auto_CTZ auto_LUI 203 1 +auto_CTZ auto_AUIPC 206 1 +auto_CTZ auto_JAL 60 1 +auto_CTZ auto_JALR 9 1 +auto_CTZ auto_BEQ 49 1 +auto_CTZ auto_BNE 52 1 +auto_CTZ auto_BLT 54 1 +auto_CTZ auto_BGE 58 1 +auto_CTZ auto_BLTU 62 1 +auto_CTZ auto_BGEU 43 1 +auto_CTZ auto_LB 342 1 +auto_CTZ auto_LH 109 1 +auto_CTZ auto_LW 52 1 +auto_CTZ auto_LBU 291 1 +auto_CTZ auto_LHU 103 1 +auto_CTZ auto_SB 288 1 +auto_CTZ auto_SH 99 1 +auto_CTZ auto_SW 49 1 +auto_CTZ auto_ADDI 303 1 +auto_CTZ auto_SLTI 195 1 +auto_CTZ auto_SLTIU 190 1 +auto_CTZ auto_XORI 196 1 +auto_CTZ auto_ORI 174 1 +auto_CTZ auto_ANDI 208 1 +auto_CTZ auto_SLLI 183 1 +auto_CTZ auto_SRLI 194 1 +auto_CTZ auto_SRAI 174 1 +auto_CTZ auto_ADD 161 1 +auto_CTZ auto_SUB 191 1 +auto_CTZ auto_SLL 216 1 +auto_CTZ auto_SLT 198 1 +auto_CTZ auto_SLTU 182 1 +auto_CTZ auto_XOR 180 1 +auto_CTZ auto_SRL 195 1 +auto_CTZ auto_SRA 202 1 +auto_CTZ auto_OR 186 1 +auto_CTZ auto_AND 193 1 +auto_CTZ auto_FENCE 79 1 +auto_CTZ auto_MRET 371 1 +auto_CTZ auto_MUL 205 1 +auto_CTZ auto_MULH 237 1 +auto_CTZ auto_MULHSU 202 1 +auto_CTZ auto_MULHU 178 1 +auto_CTZ auto_DIV 220 1 +auto_CTZ auto_DIVU 214 1 +auto_CTZ auto_REM 192 1 +auto_CTZ auto_REMU 183 1 +auto_CTZ auto_C_ADDI4SPN 78 1 +auto_CTZ auto_C_SW 1 1 +auto_CTZ auto_C_NOP 525 1 +auto_CTZ auto_C_ADDI 124 1 +auto_CTZ auto_C_JAL 33 1 +auto_CTZ auto_C_LI 108 1 +auto_CTZ auto_C_ADDI16SP 74 1 +auto_CTZ auto_C_LUI 90 1 +auto_CTZ auto_C_SRLI 103 1 +auto_CTZ auto_C_SRAI 99 1 +auto_CTZ auto_C_ANDI 89 1 +auto_CTZ auto_C_SUB 95 1 +auto_CTZ auto_C_XOR 74 1 +auto_CTZ auto_C_OR 103 1 +auto_CTZ auto_C_AND 92 1 +auto_CTZ auto_C_J 44 1 +auto_CTZ auto_C_BEQZ 37 1 +auto_CTZ auto_C_BNEZ 52 1 +auto_CTZ auto_C_SLLI 108 1 +auto_CTZ auto_C_LWSP 10 1 +auto_CTZ auto_C_MV 105 1 +auto_CTZ auto_C_JALR 2 1 +auto_CTZ auto_C_ADD 158 1 +auto_CTZ auto_C_SWSP 4 1 +auto_CTZ auto_SH1ADD 195 1 +auto_CTZ auto_SH2ADD 197 1 +auto_CTZ auto_SH3ADD 181 1 +auto_CTZ auto_CLZ 211 1 +auto_CTZ auto_CTZ 193 1 +auto_CTZ auto_CPOP 224 1 +auto_CTZ auto_MIN 189 1 +auto_CTZ auto_MAX 197 1 +auto_CTZ auto_MINU 201 1 +auto_CTZ auto_MAXU 197 1 +auto_CTZ auto_SEXT_B 194 1 +auto_CTZ auto_SEXT_H 204 1 +auto_CTZ auto_ZEXT_H 223 1 +auto_CTZ auto_ANDN 199 1 +auto_CTZ auto_ORN 200 1 +auto_CTZ auto_XNOR 181 1 +auto_CTZ auto_ROR 181 1 +auto_CTZ auto_RORI 190 1 +auto_CTZ auto_ROL 186 1 +auto_CTZ auto_REV8 193 1 +auto_CTZ auto_ORC_B 184 1 +auto_CTZ auto_CLMUL 192 1 +auto_CTZ auto_CLMULH 185 1 +auto_CTZ auto_CLMULR 185 1 +auto_CTZ auto_BSET 204 1 +auto_CTZ auto_BSETI 185 1 +auto_CTZ auto_BCLR 225 1 +auto_CTZ auto_BCLRI 241 1 +auto_CTZ auto_BINV 191 1 +auto_CTZ auto_BINVI 191 1 +auto_CTZ auto_BEXT 211 1 +auto_CTZ auto_BEXTI 205 1 +auto_CTZ auto_CSRRW 80 1 +auto_CTZ auto_CSRRS 85 1 +auto_CTZ auto_CSRRC 67 1 +auto_CTZ auto_CSRRWI 75 1 +auto_CTZ auto_CSRRSI 68 1 +auto_CTZ auto_CSRRCI 72 1 +auto_CTZ auto_C_LBU 2 1 +auto_CTZ auto_C_LH 1 1 +auto_CTZ auto_C_SB 1 1 +auto_CTZ auto_C_SH 1 1 +auto_CTZ auto_C_ZEXT_B 91 1 +auto_CTZ auto_C_SEXT_B 85 1 +auto_CTZ auto_C_ZEXT_H 103 1 +auto_CTZ auto_C_SEXT_H 92 1 +auto_CTZ auto_C_NOT 106 1 +auto_CTZ auto_C_MUL 91 1 +auto_CPOP auto_LUI 211 1 +auto_CPOP auto_AUIPC 234 1 +auto_CPOP auto_JAL 80 1 +auto_CPOP auto_BEQ 57 1 +auto_CPOP auto_BNE 77 1 +auto_CPOP auto_BLT 47 1 +auto_CPOP auto_BGE 60 1 +auto_CPOP auto_BLTU 55 1 +auto_CPOP auto_BGEU 58 1 +auto_CPOP auto_LB 304 1 +auto_CPOP auto_LH 99 1 +auto_CPOP auto_LW 43 1 +auto_CPOP auto_LBU 332 1 +auto_CPOP auto_LHU 95 1 +auto_CPOP auto_SB 324 1 +auto_CPOP auto_SH 108 1 +auto_CPOP auto_SW 53 1 +auto_CPOP auto_ADDI 297 1 +auto_CPOP auto_SLTI 209 1 +auto_CPOP auto_SLTIU 200 1 +auto_CPOP auto_XORI 184 1 +auto_CPOP auto_ORI 220 1 +auto_CPOP auto_ANDI 192 1 +auto_CPOP auto_SLLI 204 1 +auto_CPOP auto_SRLI 190 1 +auto_CPOP auto_SRAI 210 1 +auto_CPOP auto_ADD 213 1 +auto_CPOP auto_SUB 194 1 +auto_CPOP auto_SLL 198 1 +auto_CPOP auto_SLT 188 1 +auto_CPOP auto_SLTU 196 1 +auto_CPOP auto_XOR 204 1 +auto_CPOP auto_SRL 209 1 +auto_CPOP auto_SRA 209 1 +auto_CPOP auto_OR 191 1 +auto_CPOP auto_AND 214 1 +auto_CPOP auto_FENCE 85 1 +auto_CPOP auto_MRET 319 1 +auto_CPOP auto_MUL 206 1 +auto_CPOP auto_MULH 184 1 +auto_CPOP auto_MULHSU 197 1 +auto_CPOP auto_MULHU 195 1 +auto_CPOP auto_DIV 192 1 +auto_CPOP auto_DIVU 195 1 +auto_CPOP auto_REM 200 1 +auto_CPOP auto_REMU 186 1 +auto_CPOP auto_C_ADDI4SPN 73 1 +auto_CPOP auto_C_LW 1 1 +auto_CPOP auto_C_SW 1 1 +auto_CPOP auto_C_NOP 532 1 +auto_CPOP auto_C_ADDI 110 1 +auto_CPOP auto_C_JAL 24 1 +auto_CPOP auto_C_LI 90 1 +auto_CPOP auto_C_ADDI16SP 87 1 +auto_CPOP auto_C_LUI 98 1 +auto_CPOP auto_C_SRLI 99 1 +auto_CPOP auto_C_SRAI 90 1 +auto_CPOP auto_C_ANDI 95 1 +auto_CPOP auto_C_SUB 107 1 +auto_CPOP auto_C_XOR 101 1 +auto_CPOP auto_C_OR 92 1 +auto_CPOP auto_C_AND 93 1 +auto_CPOP auto_C_J 32 1 +auto_CPOP auto_C_BEQZ 32 1 +auto_CPOP auto_C_BNEZ 52 1 +auto_CPOP auto_C_SLLI 132 1 +auto_CPOP auto_C_LWSP 5 1 +auto_CPOP auto_C_MV 103 1 +auto_CPOP auto_C_JALR 1 1 +auto_CPOP auto_C_ADD 139 1 +auto_CPOP auto_C_SWSP 5 1 +auto_CPOP auto_SH1ADD 205 1 +auto_CPOP auto_SH2ADD 194 1 +auto_CPOP auto_SH3ADD 212 1 +auto_CPOP auto_CLZ 178 1 +auto_CPOP auto_CTZ 200 1 +auto_CPOP auto_CPOP 206 1 +auto_CPOP auto_MIN 208 1 +auto_CPOP auto_MAX 197 1 +auto_CPOP auto_MINU 199 1 +auto_CPOP auto_MAXU 240 1 +auto_CPOP auto_SEXT_B 208 1 +auto_CPOP auto_SEXT_H 215 1 +auto_CPOP auto_ZEXT_H 201 1 +auto_CPOP auto_ANDN 220 1 +auto_CPOP auto_ORN 188 1 +auto_CPOP auto_XNOR 176 1 +auto_CPOP auto_ROR 198 1 +auto_CPOP auto_RORI 190 1 +auto_CPOP auto_ROL 199 1 +auto_CPOP auto_REV8 187 1 +auto_CPOP auto_ORC_B 229 1 +auto_CPOP auto_CLMUL 197 1 +auto_CPOP auto_CLMULH 188 1 +auto_CPOP auto_CLMULR 242 1 +auto_CPOP auto_BSET 181 1 +auto_CPOP auto_BSETI 197 1 +auto_CPOP auto_BCLR 200 1 +auto_CPOP auto_BCLRI 219 1 +auto_CPOP auto_BINV 182 1 +auto_CPOP auto_BINVI 225 1 +auto_CPOP auto_BEXT 187 1 +auto_CPOP auto_BEXTI 189 1 +auto_CPOP auto_CSRRW 70 1 +auto_CPOP auto_CSRRS 70 1 +auto_CPOP auto_CSRRC 72 1 +auto_CPOP auto_CSRRWI 62 1 +auto_CPOP auto_CSRRSI 71 1 +auto_CPOP auto_CSRRCI 79 1 +auto_CPOP auto_C_LBU 1 1 +auto_CPOP auto_C_SH 1 1 +auto_CPOP auto_C_ZEXT_B 96 1 +auto_CPOP auto_C_SEXT_B 100 1 +auto_CPOP auto_C_ZEXT_H 86 1 +auto_CPOP auto_C_SEXT_H 99 1 +auto_CPOP auto_C_NOT 90 1 +auto_CPOP auto_C_MUL 101 1 +auto_MIN auto_LUI 211 1 +auto_MIN auto_AUIPC 180 1 +auto_MIN auto_JAL 66 1 +auto_MIN auto_JALR 2 1 +auto_MIN auto_BEQ 62 1 +auto_MIN auto_BNE 38 1 +auto_MIN auto_BLT 64 1 +auto_MIN auto_BGE 52 1 +auto_MIN auto_BLTU 46 1 +auto_MIN auto_BGEU 63 1 +auto_MIN auto_LB 329 1 +auto_MIN auto_LH 100 1 +auto_MIN auto_LW 51 1 +auto_MIN auto_LBU 333 1 +auto_MIN auto_LHU 118 1 +auto_MIN auto_SB 319 1 +auto_MIN auto_SH 95 1 +auto_MIN auto_SW 44 1 +auto_MIN auto_ADDI 298 1 +auto_MIN auto_SLTI 189 1 +auto_MIN auto_SLTIU 196 1 +auto_MIN auto_XORI 200 1 +auto_MIN auto_ORI 189 1 +auto_MIN auto_ANDI 191 1 +auto_MIN auto_SLLI 186 1 +auto_MIN auto_SRLI 191 1 +auto_MIN auto_SRAI 168 1 +auto_MIN auto_ADD 167 1 +auto_MIN auto_SUB 184 1 +auto_MIN auto_SLL 201 1 +auto_MIN auto_SLT 218 1 +auto_MIN auto_SLTU 204 1 +auto_MIN auto_XOR 194 1 +auto_MIN auto_SRL 172 1 +auto_MIN auto_SRA 206 1 +auto_MIN auto_OR 169 1 +auto_MIN auto_AND 187 1 +auto_MIN auto_FENCE 80 1 +auto_MIN auto_MRET 363 1 +auto_MIN auto_MUL 187 1 +auto_MIN auto_MULH 199 1 +auto_MIN auto_MULHSU 181 1 +auto_MIN auto_MULHU 212 1 +auto_MIN auto_DIV 170 1 +auto_MIN auto_DIVU 208 1 +auto_MIN auto_REM 214 1 +auto_MIN auto_REMU 205 1 +auto_MIN auto_C_ADDI4SPN 67 1 +auto_MIN auto_C_LW 2 1 +auto_MIN auto_C_SW 1 1 +auto_MIN auto_C_NOP 534 1 +auto_MIN auto_C_ADDI 123 1 +auto_MIN auto_C_JAL 32 1 +auto_MIN auto_C_LI 116 1 +auto_MIN auto_C_ADDI16SP 89 1 +auto_MIN auto_C_LUI 91 1 +auto_MIN auto_C_SRLI 86 1 +auto_MIN auto_C_SRAI 109 1 +auto_MIN auto_C_ANDI 92 1 +auto_MIN auto_C_SUB 95 1 +auto_MIN auto_C_XOR 106 1 +auto_MIN auto_C_OR 105 1 +auto_MIN auto_C_AND 85 1 +auto_MIN auto_C_J 26 1 +auto_MIN auto_C_BEQZ 56 1 +auto_MIN auto_C_BNEZ 48 1 +auto_MIN auto_C_SLLI 128 1 +auto_MIN auto_C_LWSP 5 1 +auto_MIN auto_C_JR 3 1 +auto_MIN auto_C_MV 106 1 +auto_MIN auto_C_ADD 109 1 +auto_MIN auto_C_SWSP 4 1 +auto_MIN auto_SH1ADD 191 1 +auto_MIN auto_SH2ADD 208 1 +auto_MIN auto_SH3ADD 192 1 +auto_MIN auto_CLZ 198 1 +auto_MIN auto_CTZ 191 1 +auto_MIN auto_CPOP 193 1 +auto_MIN auto_MIN 197 1 +auto_MIN auto_MAX 212 1 +auto_MIN auto_MINU 205 1 +auto_MIN auto_MAXU 206 1 +auto_MIN auto_SEXT_B 193 1 +auto_MIN auto_SEXT_H 185 1 +auto_MIN auto_ZEXT_H 212 1 +auto_MIN auto_ANDN 199 1 +auto_MIN auto_ORN 203 1 +auto_MIN auto_XNOR 212 1 +auto_MIN auto_ROR 188 1 +auto_MIN auto_RORI 196 1 +auto_MIN auto_ROL 176 1 +auto_MIN auto_REV8 224 1 +auto_MIN auto_ORC_B 204 1 +auto_MIN auto_CLMUL 232 1 +auto_MIN auto_CLMULH 204 1 +auto_MIN auto_CLMULR 195 1 +auto_MIN auto_BSET 199 1 +auto_MIN auto_BSETI 203 1 +auto_MIN auto_BCLR 189 1 +auto_MIN auto_BCLRI 193 1 +auto_MIN auto_BINV 242 1 +auto_MIN auto_BINVI 208 1 +auto_MIN auto_BEXT 208 1 +auto_MIN auto_BEXTI 200 1 +auto_MIN auto_CSRRW 76 1 +auto_MIN auto_CSRRS 85 1 +auto_MIN auto_CSRRC 66 1 +auto_MIN auto_CSRRWI 88 1 +auto_MIN auto_CSRRSI 69 1 +auto_MIN auto_CSRRCI 62 1 +auto_MIN auto_C_LBU 4 1 +auto_MIN auto_C_LHU 2 1 +auto_MIN auto_C_SH 1 1 +auto_MIN auto_C_ZEXT_B 95 1 +auto_MIN auto_C_SEXT_B 91 1 +auto_MIN auto_C_ZEXT_H 111 1 +auto_MIN auto_C_SEXT_H 87 1 +auto_MIN auto_C_NOT 98 1 +auto_MIN auto_C_MUL 96 1 +auto_MAX auto_LUI 162 1 +auto_MAX auto_AUIPC 194 1 +auto_MAX auto_JAL 45 1 +auto_MAX auto_JALR 6 1 +auto_MAX auto_BEQ 55 1 +auto_MAX auto_BNE 55 1 +auto_MAX auto_BLT 53 1 +auto_MAX auto_BGE 52 1 +auto_MAX auto_BLTU 73 1 +auto_MAX auto_BGEU 56 1 +auto_MAX auto_LB 337 1 +auto_MAX auto_LH 108 1 +auto_MAX auto_LW 44 1 +auto_MAX auto_LBU 356 1 +auto_MAX auto_LHU 95 1 +auto_MAX auto_SB 319 1 +auto_MAX auto_SH 94 1 +auto_MAX auto_SW 53 1 +auto_MAX auto_ADDI 317 1 +auto_MAX auto_SLTI 208 1 +auto_MAX auto_SLTIU 187 1 +auto_MAX auto_XORI 212 1 +auto_MAX auto_ORI 221 1 +auto_MAX auto_ANDI 231 1 +auto_MAX auto_SLLI 196 1 +auto_MAX auto_SRLI 176 1 +auto_MAX auto_SRAI 203 1 +auto_MAX auto_ADD 151 1 +auto_MAX auto_SUB 200 1 +auto_MAX auto_SLL 200 1 +auto_MAX auto_SLT 202 1 +auto_MAX auto_SLTU 177 1 +auto_MAX auto_XOR 195 1 +auto_MAX auto_SRL 226 1 +auto_MAX auto_SRA 196 1 +auto_MAX auto_OR 193 1 +auto_MAX auto_AND 192 1 +auto_MAX auto_FENCE 74 1 +auto_MAX auto_MRET 360 1 +auto_MAX auto_MUL 219 1 +auto_MAX auto_MULH 192 1 +auto_MAX auto_MULHSU 199 1 +auto_MAX auto_MULHU 186 1 +auto_MAX auto_DIV 201 1 +auto_MAX auto_DIVU 199 1 +auto_MAX auto_REM 211 1 +auto_MAX auto_REMU 201 1 +auto_MAX auto_C_ADDI4SPN 73 1 +auto_MAX auto_C_SW 1 1 +auto_MAX auto_C_NOP 541 1 +auto_MAX auto_C_ADDI 91 1 +auto_MAX auto_C_JAL 31 1 +auto_MAX auto_C_LI 100 1 +auto_MAX auto_C_ADDI16SP 85 1 +auto_MAX auto_C_LUI 102 1 +auto_MAX auto_C_SRLI 93 1 +auto_MAX auto_C_SRAI 96 1 +auto_MAX auto_C_ANDI 94 1 +auto_MAX auto_C_SUB 104 1 +auto_MAX auto_C_XOR 96 1 +auto_MAX auto_C_OR 106 1 +auto_MAX auto_C_AND 87 1 +auto_MAX auto_C_J 28 1 +auto_MAX auto_C_BEQZ 38 1 +auto_MAX auto_C_BNEZ 55 1 +auto_MAX auto_C_SLLI 123 1 +auto_MAX auto_C_LWSP 12 1 +auto_MAX auto_C_JR 2 1 +auto_MAX auto_C_MV 84 1 +auto_MAX auto_C_JALR 6 1 +auto_MAX auto_C_ADD 160 1 +auto_MAX auto_C_SWSP 7 1 +auto_MAX auto_SH1ADD 188 1 +auto_MAX auto_SH2ADD 211 1 +auto_MAX auto_SH3ADD 202 1 +auto_MAX auto_CLZ 186 1 +auto_MAX auto_CTZ 204 1 +auto_MAX auto_CPOP 190 1 +auto_MAX auto_MIN 242 1 +auto_MAX auto_MAX 217 1 +auto_MAX auto_MINU 199 1 +auto_MAX auto_MAXU 187 1 +auto_MAX auto_SEXT_B 223 1 +auto_MAX auto_SEXT_H 186 1 +auto_MAX auto_ZEXT_H 183 1 +auto_MAX auto_ANDN 191 1 +auto_MAX auto_ORN 203 1 +auto_MAX auto_XNOR 202 1 +auto_MAX auto_ROR 195 1 +auto_MAX auto_RORI 190 1 +auto_MAX auto_ROL 198 1 +auto_MAX auto_REV8 205 1 +auto_MAX auto_ORC_B 188 1 +auto_MAX auto_CLMUL 243 1 +auto_MAX auto_CLMULH 203 1 +auto_MAX auto_CLMULR 218 1 +auto_MAX auto_BSET 178 1 +auto_MAX auto_BSETI 181 1 +auto_MAX auto_BCLR 183 1 +auto_MAX auto_BCLRI 190 1 +auto_MAX auto_BINV 169 1 +auto_MAX auto_BINVI 192 1 +auto_MAX auto_BEXT 179 1 +auto_MAX auto_BEXTI 230 1 +auto_MAX auto_CSRRW 69 1 +auto_MAX auto_CSRRS 67 1 +auto_MAX auto_CSRRC 82 1 +auto_MAX auto_CSRRWI 70 1 +auto_MAX auto_CSRRSI 78 1 +auto_MAX auto_CSRRCI 69 1 +auto_MAX auto_C_LBU 4 1 +auto_MAX auto_C_LH 1 1 +auto_MAX auto_C_SB 1 1 +auto_MAX auto_C_ZEXT_B 87 1 +auto_MAX auto_C_SEXT_B 92 1 +auto_MAX auto_C_ZEXT_H 86 1 +auto_MAX auto_C_SEXT_H 75 1 +auto_MAX auto_C_NOT 94 1 +auto_MAX auto_C_MUL 79 1 +auto_MINU auto_LUI 202 1 +auto_MINU auto_AUIPC 196 1 +auto_MINU auto_JAL 70 1 +auto_MINU auto_JALR 1 1 +auto_MINU auto_BEQ 75 1 +auto_MINU auto_BNE 55 1 +auto_MINU auto_BLT 63 1 +auto_MINU auto_BGE 55 1 +auto_MINU auto_BLTU 58 1 +auto_MINU auto_BGEU 76 1 +auto_MINU auto_LB 297 1 +auto_MINU auto_LH 94 1 +auto_MINU auto_LW 53 1 +auto_MINU auto_LBU 304 1 +auto_MINU auto_LHU 83 1 +auto_MINU auto_SB 361 1 +auto_MINU auto_SH 97 1 +auto_MINU auto_SW 54 1 +auto_MINU auto_ADDI 338 1 +auto_MINU auto_SLTI 209 1 +auto_MINU auto_SLTIU 189 1 +auto_MINU auto_XORI 199 1 +auto_MINU auto_ORI 183 1 +auto_MINU auto_ANDI 194 1 +auto_MINU auto_SLLI 199 1 +auto_MINU auto_SRLI 184 1 +auto_MINU auto_SRAI 192 1 +auto_MINU auto_ADD 156 1 +auto_MINU auto_SUB 184 1 +auto_MINU auto_SLL 179 1 +auto_MINU auto_SLT 211 1 +auto_MINU auto_SLTU 215 1 +auto_MINU auto_XOR 186 1 +auto_MINU auto_SRL 187 1 +auto_MINU auto_SRA 203 1 +auto_MINU auto_OR 207 1 +auto_MINU auto_AND 169 1 +auto_MINU auto_FENCE 68 1 +auto_MINU auto_MRET 345 1 +auto_MINU auto_MUL 219 1 +auto_MINU auto_MULH 193 1 +auto_MINU auto_MULHSU 179 1 +auto_MINU auto_MULHU 216 1 +auto_MINU auto_DIV 227 1 +auto_MINU auto_DIVU 229 1 +auto_MINU auto_REM 205 1 +auto_MINU auto_REMU 163 1 +auto_MINU auto_C_ADDI4SPN 73 1 +auto_MINU auto_C_NOP 488 1 +auto_MINU auto_C_ADDI 103 1 +auto_MINU auto_C_JAL 23 1 +auto_MINU auto_C_LI 130 1 +auto_MINU auto_C_ADDI16SP 78 1 +auto_MINU auto_C_LUI 89 1 +auto_MINU auto_C_SRLI 95 1 +auto_MINU auto_C_SRAI 88 1 +auto_MINU auto_C_ANDI 103 1 +auto_MINU auto_C_SUB 91 1 +auto_MINU auto_C_XOR 97 1 +auto_MINU auto_C_OR 81 1 +auto_MINU auto_C_AND 99 1 +auto_MINU auto_C_J 32 1 +auto_MINU auto_C_BEQZ 50 1 +auto_MINU auto_C_BNEZ 44 1 +auto_MINU auto_C_SLLI 123 1 +auto_MINU auto_C_LWSP 10 1 +auto_MINU auto_C_JR 9 1 +auto_MINU auto_C_MV 91 1 +auto_MINU auto_C_JALR 2 1 +auto_MINU auto_C_ADD 142 1 +auto_MINU auto_C_SWSP 4 1 +auto_MINU auto_SH1ADD 177 1 +auto_MINU auto_SH2ADD 197 1 +auto_MINU auto_SH3ADD 185 1 +auto_MINU auto_CLZ 194 1 +auto_MINU auto_CTZ 187 1 +auto_MINU auto_CPOP 200 1 +auto_MINU auto_MIN 199 1 +auto_MINU auto_MAX 211 1 +auto_MINU auto_MINU 192 1 +auto_MINU auto_MAXU 191 1 +auto_MINU auto_SEXT_B 175 1 +auto_MINU auto_SEXT_H 214 1 +auto_MINU auto_ZEXT_H 211 1 +auto_MINU auto_ANDN 184 1 +auto_MINU auto_ORN 204 1 +auto_MINU auto_XNOR 201 1 +auto_MINU auto_ROR 206 1 +auto_MINU auto_RORI 184 1 +auto_MINU auto_ROL 181 1 +auto_MINU auto_REV8 225 1 +auto_MINU auto_ORC_B 211 1 +auto_MINU auto_CLMUL 175 1 +auto_MINU auto_CLMULH 191 1 +auto_MINU auto_CLMULR 188 1 +auto_MINU auto_BSET 225 1 +auto_MINU auto_BSETI 179 1 +auto_MINU auto_BCLR 198 1 +auto_MINU auto_BCLRI 186 1 +auto_MINU auto_BINV 178 1 +auto_MINU auto_BINVI 187 1 +auto_MINU auto_BEXT 191 1 +auto_MINU auto_BEXTI 211 1 +auto_MINU auto_CSRRW 64 1 +auto_MINU auto_CSRRS 56 1 +auto_MINU auto_CSRRC 61 1 +auto_MINU auto_CSRRWI 63 1 +auto_MINU auto_CSRRSI 72 1 +auto_MINU auto_CSRRCI 61 1 +auto_MINU auto_C_LBU 1 1 +auto_MINU auto_C_SB 3 1 +auto_MINU auto_C_ZEXT_B 84 1 +auto_MINU auto_C_SEXT_B 85 1 +auto_MINU auto_C_ZEXT_H 106 1 +auto_MINU auto_C_SEXT_H 98 1 +auto_MINU auto_C_NOT 95 1 +auto_MINU auto_C_MUL 94 1 +auto_MAXU auto_LUI 200 1 +auto_MAXU auto_AUIPC 185 1 +auto_MAXU auto_JAL 70 1 +auto_MAXU auto_JALR 4 1 +auto_MAXU auto_BEQ 62 1 +auto_MAXU auto_BNE 52 1 +auto_MAXU auto_BLT 58 1 +auto_MAXU auto_BGE 66 1 +auto_MAXU auto_BLTU 69 1 +auto_MAXU auto_BGEU 42 1 +auto_MAXU auto_LB 315 1 +auto_MAXU auto_LH 97 1 +auto_MAXU auto_LW 63 1 +auto_MAXU auto_LBU 328 1 +auto_MAXU auto_LHU 119 1 +auto_MAXU auto_SB 300 1 +auto_MAXU auto_SH 105 1 +auto_MAXU auto_SW 50 1 +auto_MAXU auto_ADDI 313 1 +auto_MAXU auto_SLTI 192 1 +auto_MAXU auto_SLTIU 223 1 +auto_MAXU auto_XORI 227 1 +auto_MAXU auto_ORI 194 1 +auto_MAXU auto_ANDI 184 1 +auto_MAXU auto_SLLI 195 1 +auto_MAXU auto_SRLI 202 1 +auto_MAXU auto_SRAI 211 1 +auto_MAXU auto_ADD 149 1 +auto_MAXU auto_SUB 180 1 +auto_MAXU auto_SLL 188 1 +auto_MAXU auto_SLT 204 1 +auto_MAXU auto_SLTU 185 1 +auto_MAXU auto_XOR 181 1 +auto_MAXU auto_SRL 197 1 +auto_MAXU auto_SRA 194 1 +auto_MAXU auto_OR 228 1 +auto_MAXU auto_AND 189 1 +auto_MAXU auto_FENCE 91 1 +auto_MAXU auto_MRET 349 1 +auto_MAXU auto_MUL 196 1 +auto_MAXU auto_MULH 174 1 +auto_MAXU auto_MULHSU 201 1 +auto_MAXU auto_MULHU 194 1 +auto_MAXU auto_DIV 191 1 +auto_MAXU auto_DIVU 231 1 +auto_MAXU auto_REM 188 1 +auto_MAXU auto_REMU 198 1 +auto_MAXU auto_C_ADDI4SPN 77 1 +auto_MAXU auto_C_LW 1 1 +auto_MAXU auto_C_NOP 508 1 +auto_MAXU auto_C_ADDI 93 1 +auto_MAXU auto_C_JAL 30 1 +auto_MAXU auto_C_LI 110 1 +auto_MAXU auto_C_ADDI16SP 88 1 +auto_MAXU auto_C_LUI 106 1 +auto_MAXU auto_C_SRLI 110 1 +auto_MAXU auto_C_SRAI 86 1 +auto_MAXU auto_C_ANDI 64 1 +auto_MAXU auto_C_SUB 95 1 +auto_MAXU auto_C_XOR 108 1 +auto_MAXU auto_C_OR 85 1 +auto_MAXU auto_C_AND 93 1 +auto_MAXU auto_C_J 32 1 +auto_MAXU auto_C_BEQZ 58 1 +auto_MAXU auto_C_BNEZ 39 1 +auto_MAXU auto_C_SLLI 104 1 +auto_MAXU auto_C_LWSP 4 1 +auto_MAXU auto_C_MV 106 1 +auto_MAXU auto_C_JALR 2 1 +auto_MAXU auto_C_ADD 136 1 +auto_MAXU auto_C_SWSP 3 1 +auto_MAXU auto_SH1ADD 196 1 +auto_MAXU auto_SH2ADD 167 1 +auto_MAXU auto_SH3ADD 196 1 +auto_MAXU auto_CLZ 192 1 +auto_MAXU auto_CTZ 212 1 +auto_MAXU auto_CPOP 200 1 +auto_MAXU auto_MIN 192 1 +auto_MAXU auto_MAX 190 1 +auto_MAXU auto_MINU 186 1 +auto_MAXU auto_MAXU 182 1 +auto_MAXU auto_SEXT_B 226 1 +auto_MAXU auto_SEXT_H 194 1 +auto_MAXU auto_ZEXT_H 208 1 +auto_MAXU auto_ANDN 268 1 +auto_MAXU auto_ORN 191 1 +auto_MAXU auto_XNOR 205 1 +auto_MAXU auto_ROR 246 1 +auto_MAXU auto_RORI 209 1 +auto_MAXU auto_ROL 193 1 +auto_MAXU auto_REV8 225 1 +auto_MAXU auto_ORC_B 185 1 +auto_MAXU auto_CLMUL 202 1 +auto_MAXU auto_CLMULH 208 1 +auto_MAXU auto_CLMULR 187 1 +auto_MAXU auto_BSET 223 1 +auto_MAXU auto_BSETI 212 1 +auto_MAXU auto_BCLR 209 1 +auto_MAXU auto_BCLRI 208 1 +auto_MAXU auto_BINV 223 1 +auto_MAXU auto_BINVI 190 1 +auto_MAXU auto_BEXT 199 1 +auto_MAXU auto_BEXTI 198 1 +auto_MAXU auto_CSRRW 90 1 +auto_MAXU auto_CSRRS 68 1 +auto_MAXU auto_CSRRC 82 1 +auto_MAXU auto_CSRRWI 65 1 +auto_MAXU auto_CSRRSI 68 1 +auto_MAXU auto_CSRRCI 76 1 +auto_MAXU auto_C_LBU 1 1 +auto_MAXU auto_C_LH 1 1 +auto_MAXU auto_C_SB 1 1 +auto_MAXU auto_C_SH 1 1 +auto_MAXU auto_C_ZEXT_B 88 1 +auto_MAXU auto_C_SEXT_B 91 1 +auto_MAXU auto_C_ZEXT_H 78 1 +auto_MAXU auto_C_SEXT_H 100 1 +auto_MAXU auto_C_NOT 82 1 +auto_MAXU auto_C_MUL 104 1 +auto_SEXT_B auto_LUI 196 1 +auto_SEXT_B auto_AUIPC 169 1 +auto_SEXT_B auto_JAL 84 1 +auto_SEXT_B auto_BEQ 57 1 +auto_SEXT_B auto_BNE 65 1 +auto_SEXT_B auto_BLT 59 1 +auto_SEXT_B auto_BGE 62 1 +auto_SEXT_B auto_BLTU 59 1 +auto_SEXT_B auto_BGEU 50 1 +auto_SEXT_B auto_LB 310 1 +auto_SEXT_B auto_LH 104 1 +auto_SEXT_B auto_LW 42 1 +auto_SEXT_B auto_LBU 346 1 +auto_SEXT_B auto_LHU 112 1 +auto_SEXT_B auto_SB 334 1 +auto_SEXT_B auto_SH 106 1 +auto_SEXT_B auto_SW 45 1 +auto_SEXT_B auto_ADDI 293 1 +auto_SEXT_B auto_SLTI 194 1 +auto_SEXT_B auto_SLTIU 201 1 +auto_SEXT_B auto_XORI 215 1 +auto_SEXT_B auto_ORI 177 1 +auto_SEXT_B auto_ANDI 220 1 +auto_SEXT_B auto_SLLI 177 1 +auto_SEXT_B auto_SRLI 177 1 +auto_SEXT_B auto_SRAI 200 1 +auto_SEXT_B auto_ADD 175 1 +auto_SEXT_B auto_SUB 201 1 +auto_SEXT_B auto_SLL 178 1 +auto_SEXT_B auto_SLT 216 1 +auto_SEXT_B auto_SLTU 190 1 +auto_SEXT_B auto_XOR 194 1 +auto_SEXT_B auto_SRL 203 1 +auto_SEXT_B auto_SRA 209 1 +auto_SEXT_B auto_OR 202 1 +auto_SEXT_B auto_AND 192 1 +auto_SEXT_B auto_FENCE 101 1 +auto_SEXT_B auto_MRET 366 1 +auto_SEXT_B auto_MUL 186 1 +auto_SEXT_B auto_MULH 215 1 +auto_SEXT_B auto_MULHSU 187 1 +auto_SEXT_B auto_MULHU 191 1 +auto_SEXT_B auto_DIV 218 1 +auto_SEXT_B auto_DIVU 176 1 +auto_SEXT_B auto_REM 188 1 +auto_SEXT_B auto_REMU 184 1 +auto_SEXT_B auto_C_ADDI4SPN 68 1 +auto_SEXT_B auto_C_NOP 519 1 +auto_SEXT_B auto_C_ADDI 118 1 +auto_SEXT_B auto_C_JAL 33 1 +auto_SEXT_B auto_C_LI 104 1 +auto_SEXT_B auto_C_ADDI16SP 71 1 +auto_SEXT_B auto_C_LUI 119 1 +auto_SEXT_B auto_C_SRLI 101 1 +auto_SEXT_B auto_C_SRAI 91 1 +auto_SEXT_B auto_C_ANDI 84 1 +auto_SEXT_B auto_C_SUB 93 1 +auto_SEXT_B auto_C_XOR 98 1 +auto_SEXT_B auto_C_OR 95 1 +auto_SEXT_B auto_C_AND 92 1 +auto_SEXT_B auto_C_J 29 1 +auto_SEXT_B auto_C_BEQZ 35 1 +auto_SEXT_B auto_C_BNEZ 43 1 +auto_SEXT_B auto_C_SLLI 101 1 +auto_SEXT_B auto_C_LWSP 9 1 +auto_SEXT_B auto_C_MV 111 1 +auto_SEXT_B auto_C_JALR 4 1 +auto_SEXT_B auto_C_ADD 112 1 +auto_SEXT_B auto_C_SWSP 5 1 +auto_SEXT_B auto_SH1ADD 188 1 +auto_SEXT_B auto_SH2ADD 210 1 +auto_SEXT_B auto_SH3ADD 199 1 +auto_SEXT_B auto_CLZ 184 1 +auto_SEXT_B auto_CTZ 200 1 +auto_SEXT_B auto_CPOP 199 1 +auto_SEXT_B auto_MIN 202 1 +auto_SEXT_B auto_MAX 189 1 +auto_SEXT_B auto_MINU 192 1 +auto_SEXT_B auto_MAXU 205 1 +auto_SEXT_B auto_SEXT_B 183 1 +auto_SEXT_B auto_SEXT_H 217 1 +auto_SEXT_B auto_ZEXT_H 194 1 +auto_SEXT_B auto_ANDN 213 1 +auto_SEXT_B auto_ORN 202 1 +auto_SEXT_B auto_XNOR 208 1 +auto_SEXT_B auto_ROR 203 1 +auto_SEXT_B auto_RORI 172 1 +auto_SEXT_B auto_ROL 209 1 +auto_SEXT_B auto_REV8 217 1 +auto_SEXT_B auto_ORC_B 195 1 +auto_SEXT_B auto_CLMUL 197 1 +auto_SEXT_B auto_CLMULH 206 1 +auto_SEXT_B auto_CLMULR 197 1 +auto_SEXT_B auto_BSET 184 1 +auto_SEXT_B auto_BSETI 172 1 +auto_SEXT_B auto_BCLR 196 1 +auto_SEXT_B auto_BCLRI 210 1 +auto_SEXT_B auto_BINV 207 1 +auto_SEXT_B auto_BINVI 181 1 +auto_SEXT_B auto_BEXT 194 1 +auto_SEXT_B auto_BEXTI 192 1 +auto_SEXT_B auto_CSRRW 75 1 +auto_SEXT_B auto_CSRRS 68 1 +auto_SEXT_B auto_CSRRC 69 1 +auto_SEXT_B auto_CSRRWI 74 1 +auto_SEXT_B auto_CSRRSI 74 1 +auto_SEXT_B auto_CSRRCI 69 1 +auto_SEXT_B auto_C_LBU 1 1 +auto_SEXT_B auto_C_LHU 1 1 +auto_SEXT_B auto_C_SB 3 1 +auto_SEXT_B auto_C_SH 1 1 +auto_SEXT_B auto_C_ZEXT_B 103 1 +auto_SEXT_B auto_C_SEXT_B 94 1 +auto_SEXT_B auto_C_ZEXT_H 79 1 +auto_SEXT_B auto_C_SEXT_H 101 1 +auto_SEXT_B auto_C_NOT 88 1 +auto_SEXT_B auto_C_MUL 123 1 +auto_SEXT_H auto_LUI 200 1 +auto_SEXT_H auto_AUIPC 217 1 +auto_SEXT_H auto_JAL 62 1 +auto_SEXT_H auto_JALR 4 1 +auto_SEXT_H auto_BEQ 61 1 +auto_SEXT_H auto_BNE 51 1 +auto_SEXT_H auto_BLT 50 1 +auto_SEXT_H auto_BGE 48 1 +auto_SEXT_H auto_BLTU 75 1 +auto_SEXT_H auto_BGEU 51 1 +auto_SEXT_H auto_LB 349 1 +auto_SEXT_H auto_LH 96 1 +auto_SEXT_H auto_LW 47 1 +auto_SEXT_H auto_LBU 298 1 +auto_SEXT_H auto_LHU 82 1 +auto_SEXT_H auto_SB 329 1 +auto_SEXT_H auto_SH 91 1 +auto_SEXT_H auto_SW 44 1 +auto_SEXT_H auto_ADDI 292 1 +auto_SEXT_H auto_SLTI 211 1 +auto_SEXT_H auto_SLTIU 192 1 +auto_SEXT_H auto_XORI 208 1 +auto_SEXT_H auto_ORI 186 1 +auto_SEXT_H auto_ANDI 181 1 +auto_SEXT_H auto_SLLI 190 1 +auto_SEXT_H auto_SRLI 198 1 +auto_SEXT_H auto_SRAI 171 1 +auto_SEXT_H auto_ADD 173 1 +auto_SEXT_H auto_SUB 183 1 +auto_SEXT_H auto_SLL 191 1 +auto_SEXT_H auto_SLT 194 1 +auto_SEXT_H auto_SLTU 195 1 +auto_SEXT_H auto_XOR 176 1 +auto_SEXT_H auto_SRL 184 1 +auto_SEXT_H auto_SRA 229 1 +auto_SEXT_H auto_OR 203 1 +auto_SEXT_H auto_AND 198 1 +auto_SEXT_H auto_FENCE 71 1 +auto_SEXT_H auto_MRET 320 1 +auto_SEXT_H auto_MUL 183 1 +auto_SEXT_H auto_MULH 192 1 +auto_SEXT_H auto_MULHSU 208 1 +auto_SEXT_H auto_MULHU 213 1 +auto_SEXT_H auto_DIV 189 1 +auto_SEXT_H auto_DIVU 179 1 +auto_SEXT_H auto_REM 188 1 +auto_SEXT_H auto_REMU 205 1 +auto_SEXT_H auto_C_ADDI4SPN 100 1 +auto_SEXT_H auto_C_LW 2 1 +auto_SEXT_H auto_C_SW 2 1 +auto_SEXT_H auto_C_NOP 550 1 +auto_SEXT_H auto_C_ADDI 90 1 +auto_SEXT_H auto_C_JAL 31 1 +auto_SEXT_H auto_C_LI 84 1 +auto_SEXT_H auto_C_ADDI16SP 80 1 +auto_SEXT_H auto_C_LUI 95 1 +auto_SEXT_H auto_C_SRLI 108 1 +auto_SEXT_H auto_C_SRAI 101 1 +auto_SEXT_H auto_C_ANDI 68 1 +auto_SEXT_H auto_C_SUB 107 1 +auto_SEXT_H auto_C_XOR 108 1 +auto_SEXT_H auto_C_OR 96 1 +auto_SEXT_H auto_C_AND 87 1 +auto_SEXT_H auto_C_J 29 1 +auto_SEXT_H auto_C_BEQZ 59 1 +auto_SEXT_H auto_C_BNEZ 47 1 +auto_SEXT_H auto_C_SLLI 120 1 +auto_SEXT_H auto_C_LWSP 3 1 +auto_SEXT_H auto_C_JR 7 1 +auto_SEXT_H auto_C_MV 85 1 +auto_SEXT_H auto_C_JALR 2 1 +auto_SEXT_H auto_C_ADD 161 1 +auto_SEXT_H auto_C_SWSP 3 1 +auto_SEXT_H auto_SH1ADD 175 1 +auto_SEXT_H auto_SH2ADD 195 1 +auto_SEXT_H auto_SH3ADD 209 1 +auto_SEXT_H auto_CLZ 207 1 +auto_SEXT_H auto_CTZ 194 1 +auto_SEXT_H auto_CPOP 216 1 +auto_SEXT_H auto_MIN 187 1 +auto_SEXT_H auto_MAX 184 1 +auto_SEXT_H auto_MINU 182 1 +auto_SEXT_H auto_MAXU 190 1 +auto_SEXT_H auto_SEXT_B 181 1 +auto_SEXT_H auto_SEXT_H 185 1 +auto_SEXT_H auto_ZEXT_H 197 1 +auto_SEXT_H auto_ANDN 179 1 +auto_SEXT_H auto_ORN 184 1 +auto_SEXT_H auto_XNOR 177 1 +auto_SEXT_H auto_ROR 203 1 +auto_SEXT_H auto_RORI 213 1 +auto_SEXT_H auto_ROL 215 1 +auto_SEXT_H auto_REV8 207 1 +auto_SEXT_H auto_ORC_B 205 1 +auto_SEXT_H auto_CLMUL 180 1 +auto_SEXT_H auto_CLMULH 207 1 +auto_SEXT_H auto_CLMULR 198 1 +auto_SEXT_H auto_BSET 211 1 +auto_SEXT_H auto_BSETI 181 1 +auto_SEXT_H auto_BCLR 196 1 +auto_SEXT_H auto_BCLRI 226 1 +auto_SEXT_H auto_BINV 195 1 +auto_SEXT_H auto_BINVI 178 1 +auto_SEXT_H auto_BEXT 191 1 +auto_SEXT_H auto_BEXTI 210 1 +auto_SEXT_H auto_CSRRW 74 1 +auto_SEXT_H auto_CSRRS 75 1 +auto_SEXT_H auto_CSRRC 81 1 +auto_SEXT_H auto_CSRRWI 86 1 +auto_SEXT_H auto_CSRRSI 62 1 +auto_SEXT_H auto_CSRRCI 61 1 +auto_SEXT_H auto_C_LH 2 1 +auto_SEXT_H auto_C_SB 1 1 +auto_SEXT_H auto_C_SH 1 1 +auto_SEXT_H auto_C_ZEXT_B 77 1 +auto_SEXT_H auto_C_SEXT_B 92 1 +auto_SEXT_H auto_C_ZEXT_H 76 1 +auto_SEXT_H auto_C_SEXT_H 89 1 +auto_SEXT_H auto_C_NOT 103 1 +auto_SEXT_H auto_C_MUL 114 1 +auto_ZEXT_H auto_LUI 210 1 +auto_ZEXT_H auto_AUIPC 211 1 +auto_ZEXT_H auto_JAL 64 1 +auto_ZEXT_H auto_JALR 10 1 +auto_ZEXT_H auto_BEQ 62 1 +auto_ZEXT_H auto_BNE 62 1 +auto_ZEXT_H auto_BLT 62 1 +auto_ZEXT_H auto_BGE 53 1 +auto_ZEXT_H auto_BLTU 46 1 +auto_ZEXT_H auto_BGEU 69 1 +auto_ZEXT_H auto_LB 349 1 +auto_ZEXT_H auto_LH 97 1 +auto_ZEXT_H auto_LW 52 1 +auto_ZEXT_H auto_LBU 288 1 +auto_ZEXT_H auto_LHU 109 1 +auto_ZEXT_H auto_SB 338 1 +auto_ZEXT_H auto_SH 119 1 +auto_ZEXT_H auto_SW 42 1 +auto_ZEXT_H auto_ADDI 296 1 +auto_ZEXT_H auto_SLTI 214 1 +auto_ZEXT_H auto_SLTIU 202 1 +auto_ZEXT_H auto_XORI 206 1 +auto_ZEXT_H auto_ORI 218 1 +auto_ZEXT_H auto_ANDI 213 1 +auto_ZEXT_H auto_SLLI 180 1 +auto_ZEXT_H auto_SRLI 168 1 +auto_ZEXT_H auto_SRAI 214 1 +auto_ZEXT_H auto_ADD 159 1 +auto_ZEXT_H auto_SUB 205 1 +auto_ZEXT_H auto_SLL 190 1 +auto_ZEXT_H auto_SLT 201 1 +auto_ZEXT_H auto_SLTU 174 1 +auto_ZEXT_H auto_XOR 190 1 +auto_ZEXT_H auto_SRL 210 1 +auto_ZEXT_H auto_SRA 197 1 +auto_ZEXT_H auto_OR 222 1 +auto_ZEXT_H auto_AND 201 1 +auto_ZEXT_H auto_FENCE 95 1 +auto_ZEXT_H auto_MRET 342 1 +auto_ZEXT_H auto_MUL 195 1 +auto_ZEXT_H auto_MULH 209 1 +auto_ZEXT_H auto_MULHSU 206 1 +auto_ZEXT_H auto_MULHU 222 1 +auto_ZEXT_H auto_DIV 224 1 +auto_ZEXT_H auto_DIVU 188 1 +auto_ZEXT_H auto_REM 220 1 +auto_ZEXT_H auto_REMU 189 1 +auto_ZEXT_H auto_C_ADDI4SPN 80 1 +auto_ZEXT_H auto_C_LW 1 1 +auto_ZEXT_H auto_C_SW 1 1 +auto_ZEXT_H auto_C_NOP 526 1 +auto_ZEXT_H auto_C_ADDI 118 1 +auto_ZEXT_H auto_C_JAL 42 1 +auto_ZEXT_H auto_C_LI 106 1 +auto_ZEXT_H auto_C_ADDI16SP 72 1 +auto_ZEXT_H auto_C_LUI 82 1 +auto_ZEXT_H auto_C_SRLI 89 1 +auto_ZEXT_H auto_C_SRAI 83 1 +auto_ZEXT_H auto_C_ANDI 78 1 +auto_ZEXT_H auto_C_SUB 104 1 +auto_ZEXT_H auto_C_XOR 104 1 +auto_ZEXT_H auto_C_OR 87 1 +auto_ZEXT_H auto_C_AND 107 1 +auto_ZEXT_H auto_C_J 49 1 +auto_ZEXT_H auto_C_BEQZ 53 1 +auto_ZEXT_H auto_C_BNEZ 43 1 +auto_ZEXT_H auto_C_SLLI 120 1 +auto_ZEXT_H auto_C_LWSP 3 1 +auto_ZEXT_H auto_C_MV 116 1 +auto_ZEXT_H auto_C_ADD 154 1 +auto_ZEXT_H auto_C_SWSP 4 1 +auto_ZEXT_H auto_SH1ADD 195 1 +auto_ZEXT_H auto_SH2ADD 187 1 +auto_ZEXT_H auto_SH3ADD 197 1 +auto_ZEXT_H auto_CLZ 229 1 +auto_ZEXT_H auto_CTZ 212 1 +auto_ZEXT_H auto_CPOP 180 1 +auto_ZEXT_H auto_MIN 205 1 +auto_ZEXT_H auto_MAX 217 1 +auto_ZEXT_H auto_MINU 221 1 +auto_ZEXT_H auto_MAXU 191 1 +auto_ZEXT_H auto_SEXT_B 228 1 +auto_ZEXT_H auto_SEXT_H 191 1 +auto_ZEXT_H auto_ZEXT_H 198 1 +auto_ZEXT_H auto_ANDN 179 1 +auto_ZEXT_H auto_ORN 212 1 +auto_ZEXT_H auto_XNOR 179 1 +auto_ZEXT_H auto_ROR 198 1 +auto_ZEXT_H auto_RORI 209 1 +auto_ZEXT_H auto_ROL 163 1 +auto_ZEXT_H auto_REV8 169 1 +auto_ZEXT_H auto_ORC_B 214 1 +auto_ZEXT_H auto_CLMUL 211 1 +auto_ZEXT_H auto_CLMULH 251 1 +auto_ZEXT_H auto_CLMULR 173 1 +auto_ZEXT_H auto_BSET 201 1 +auto_ZEXT_H auto_BSETI 193 1 +auto_ZEXT_H auto_BCLR 208 1 +auto_ZEXT_H auto_BCLRI 193 1 +auto_ZEXT_H auto_BINV 168 1 +auto_ZEXT_H auto_BINVI 191 1 +auto_ZEXT_H auto_BEXT 187 1 +auto_ZEXT_H auto_BEXTI 178 1 +auto_ZEXT_H auto_CSRRW 66 1 +auto_ZEXT_H auto_CSRRS 62 1 +auto_ZEXT_H auto_CSRRC 77 1 +auto_ZEXT_H auto_CSRRWI 57 1 +auto_ZEXT_H auto_CSRRSI 58 1 +auto_ZEXT_H auto_CSRRCI 83 1 +auto_ZEXT_H auto_C_LHU 2 1 +auto_ZEXT_H auto_C_SB 4 1 +auto_ZEXT_H auto_C_SH 1 1 +auto_ZEXT_H auto_C_ZEXT_B 86 1 +auto_ZEXT_H auto_C_SEXT_B 92 1 +auto_ZEXT_H auto_C_ZEXT_H 123 1 +auto_ZEXT_H auto_C_SEXT_H 93 1 +auto_ZEXT_H auto_C_NOT 112 1 +auto_ZEXT_H auto_C_MUL 88 1 +auto_ANDN auto_LUI 191 1 +auto_ANDN auto_AUIPC 199 1 +auto_ANDN auto_JAL 58 1 +auto_ANDN auto_JALR 2 1 +auto_ANDN auto_BEQ 52 1 +auto_ANDN auto_BNE 71 1 +auto_ANDN auto_BLT 60 1 +auto_ANDN auto_BGE 59 1 +auto_ANDN auto_BLTU 45 1 +auto_ANDN auto_BGEU 60 1 +auto_ANDN auto_LB 305 1 +auto_ANDN auto_LH 106 1 +auto_ANDN auto_LW 87 1 +auto_ANDN auto_LBU 322 1 +auto_ANDN auto_LHU 85 1 +auto_ANDN auto_SB 333 1 +auto_ANDN auto_SH 105 1 +auto_ANDN auto_SW 43 1 +auto_ANDN auto_ADDI 260 1 +auto_ANDN auto_SLTI 183 1 +auto_ANDN auto_SLTIU 214 1 +auto_ANDN auto_XORI 210 1 +auto_ANDN auto_ORI 198 1 +auto_ANDN auto_ANDI 213 1 +auto_ANDN auto_SLLI 202 1 +auto_ANDN auto_SRLI 214 1 +auto_ANDN auto_SRAI 187 1 +auto_ANDN auto_ADD 170 1 +auto_ANDN auto_SUB 206 1 +auto_ANDN auto_SLL 184 1 +auto_ANDN auto_SLT 191 1 +auto_ANDN auto_SLTU 171 1 +auto_ANDN auto_XOR 214 1 +auto_ANDN auto_SRL 183 1 +auto_ANDN auto_SRA 183 1 +auto_ANDN auto_OR 195 1 +auto_ANDN auto_AND 209 1 +auto_ANDN auto_FENCE 93 1 +auto_ANDN auto_MRET 327 1 +auto_ANDN auto_MUL 170 1 +auto_ANDN auto_MULH 228 1 +auto_ANDN auto_MULHSU 178 1 +auto_ANDN auto_MULHU 189 1 +auto_ANDN auto_DIV 210 1 +auto_ANDN auto_DIVU 207 1 +auto_ANDN auto_REM 182 1 +auto_ANDN auto_REMU 201 1 +auto_ANDN auto_C_ADDI4SPN 78 1 +auto_ANDN auto_C_NOP 558 1 +auto_ANDN auto_C_ADDI 111 1 +auto_ANDN auto_C_JAL 25 1 +auto_ANDN auto_C_LI 93 1 +auto_ANDN auto_C_ADDI16SP 64 1 +auto_ANDN auto_C_LUI 102 1 +auto_ANDN auto_C_SRLI 91 1 +auto_ANDN auto_C_SRAI 95 1 +auto_ANDN auto_C_ANDI 79 1 +auto_ANDN auto_C_SUB 111 1 +auto_ANDN auto_C_XOR 101 1 +auto_ANDN auto_C_OR 83 1 +auto_ANDN auto_C_AND 100 1 +auto_ANDN auto_C_J 24 1 +auto_ANDN auto_C_BEQZ 57 1 +auto_ANDN auto_C_BNEZ 52 1 +auto_ANDN auto_C_SLLI 125 1 +auto_ANDN auto_C_LWSP 10 1 +auto_ANDN auto_C_MV 128 1 +auto_ANDN auto_C_ADD 156 1 +auto_ANDN auto_C_SWSP 1 1 +auto_ANDN auto_SH1ADD 209 1 +auto_ANDN auto_SH2ADD 189 1 +auto_ANDN auto_SH3ADD 198 1 +auto_ANDN auto_CLZ 191 1 +auto_ANDN auto_CTZ 214 1 +auto_ANDN auto_CPOP 204 1 +auto_ANDN auto_MIN 207 1 +auto_ANDN auto_MAX 210 1 +auto_ANDN auto_MINU 192 1 +auto_ANDN auto_MAXU 180 1 +auto_ANDN auto_SEXT_B 187 1 +auto_ANDN auto_SEXT_H 187 1 +auto_ANDN auto_ZEXT_H 220 1 +auto_ANDN auto_ANDN 177 1 +auto_ANDN auto_ORN 254 1 +auto_ANDN auto_XNOR 222 1 +auto_ANDN auto_ROR 190 1 +auto_ANDN auto_RORI 197 1 +auto_ANDN auto_ROL 215 1 +auto_ANDN auto_REV8 204 1 +auto_ANDN auto_ORC_B 191 1 +auto_ANDN auto_CLMUL 185 1 +auto_ANDN auto_CLMULH 187 1 +auto_ANDN auto_CLMULR 189 1 +auto_ANDN auto_BSET 208 1 +auto_ANDN auto_BSETI 205 1 +auto_ANDN auto_BCLR 193 1 +auto_ANDN auto_BCLRI 203 1 +auto_ANDN auto_BINV 200 1 +auto_ANDN auto_BINVI 196 1 +auto_ANDN auto_BEXT 186 1 +auto_ANDN auto_BEXTI 197 1 +auto_ANDN auto_CSRRW 66 1 +auto_ANDN auto_CSRRS 62 1 +auto_ANDN auto_CSRRC 67 1 +auto_ANDN auto_CSRRWI 65 1 +auto_ANDN auto_CSRRSI 62 1 +auto_ANDN auto_CSRRCI 66 1 +auto_ANDN auto_C_LBU 2 1 +auto_ANDN auto_C_LHU 1 1 +auto_ANDN auto_C_LH 1 1 +auto_ANDN auto_C_ZEXT_B 95 1 +auto_ANDN auto_C_SEXT_B 96 1 +auto_ANDN auto_C_ZEXT_H 97 1 +auto_ANDN auto_C_SEXT_H 102 1 +auto_ANDN auto_C_NOT 104 1 +auto_ANDN auto_C_MUL 94 1 +auto_ORN auto_LUI 196 1 +auto_ORN auto_AUIPC 215 1 +auto_ORN auto_JAL 57 1 +auto_ORN auto_JALR 4 1 +auto_ORN auto_BEQ 53 1 +auto_ORN auto_BNE 57 1 +auto_ORN auto_BLT 65 1 +auto_ORN auto_BGE 63 1 +auto_ORN auto_BLTU 57 1 +auto_ORN auto_BGEU 63 1 +auto_ORN auto_LB 305 1 +auto_ORN auto_LH 108 1 +auto_ORN auto_LW 54 1 +auto_ORN auto_LBU 337 1 +auto_ORN auto_LHU 103 1 +auto_ORN auto_SB 329 1 +auto_ORN auto_SH 83 1 +auto_ORN auto_SW 44 1 +auto_ORN auto_ADDI 310 1 +auto_ORN auto_SLTI 190 1 +auto_ORN auto_SLTIU 182 1 +auto_ORN auto_XORI 222 1 +auto_ORN auto_ORI 212 1 +auto_ORN auto_ANDI 207 1 +auto_ORN auto_SLLI 223 1 +auto_ORN auto_SRLI 212 1 +auto_ORN auto_SRAI 225 1 +auto_ORN auto_ADD 164 1 +auto_ORN auto_SUB 205 1 +auto_ORN auto_SLL 205 1 +auto_ORN auto_SLT 173 1 +auto_ORN auto_SLTU 209 1 +auto_ORN auto_XOR 220 1 +auto_ORN auto_SRL 188 1 +auto_ORN auto_SRA 204 1 +auto_ORN auto_OR 203 1 +auto_ORN auto_AND 209 1 +auto_ORN auto_FENCE 84 1 +auto_ORN auto_MRET 331 1 +auto_ORN auto_MUL 182 1 +auto_ORN auto_MULH 203 1 +auto_ORN auto_MULHSU 185 1 +auto_ORN auto_MULHU 207 1 +auto_ORN auto_DIV 201 1 +auto_ORN auto_DIVU 188 1 +auto_ORN auto_REM 193 1 +auto_ORN auto_REMU 206 1 +auto_ORN auto_C_ADDI4SPN 63 1 +auto_ORN auto_C_SW 1 1 +auto_ORN auto_C_NOP 543 1 +auto_ORN auto_C_ADDI 101 1 +auto_ORN auto_C_JAL 36 1 +auto_ORN auto_C_LI 106 1 +auto_ORN auto_C_ADDI16SP 91 1 +auto_ORN auto_C_LUI 89 1 +auto_ORN auto_C_SRLI 98 1 +auto_ORN auto_C_SRAI 93 1 +auto_ORN auto_C_ANDI 113 1 +auto_ORN auto_C_SUB 86 1 +auto_ORN auto_C_XOR 133 1 +auto_ORN auto_C_OR 109 1 +auto_ORN auto_C_AND 90 1 +auto_ORN auto_C_J 23 1 +auto_ORN auto_C_BEQZ 46 1 +auto_ORN auto_C_BNEZ 84 1 +auto_ORN auto_C_SLLI 118 1 +auto_ORN auto_C_LWSP 4 1 +auto_ORN auto_C_JR 2 1 +auto_ORN auto_C_MV 92 1 +auto_ORN auto_C_JALR 9 1 +auto_ORN auto_C_ADD 160 1 +auto_ORN auto_C_SWSP 4 1 +auto_ORN auto_SH1ADD 194 1 +auto_ORN auto_SH2ADD 211 1 +auto_ORN auto_SH3ADD 227 1 +auto_ORN auto_CLZ 200 1 +auto_ORN auto_CTZ 214 1 +auto_ORN auto_CPOP 191 1 +auto_ORN auto_MIN 198 1 +auto_ORN auto_MAX 217 1 +auto_ORN auto_MINU 205 1 +auto_ORN auto_MAXU 248 1 +auto_ORN auto_SEXT_B 174 1 +auto_ORN auto_SEXT_H 194 1 +auto_ORN auto_ZEXT_H 220 1 +auto_ORN auto_ANDN 189 1 +auto_ORN auto_ORN 234 1 +auto_ORN auto_XNOR 176 1 +auto_ORN auto_ROR 175 1 +auto_ORN auto_RORI 182 1 +auto_ORN auto_ROL 199 1 +auto_ORN auto_REV8 200 1 +auto_ORN auto_ORC_B 200 1 +auto_ORN auto_CLMUL 198 1 +auto_ORN auto_CLMULH 216 1 +auto_ORN auto_CLMULR 182 1 +auto_ORN auto_BSET 218 1 +auto_ORN auto_BSETI 193 1 +auto_ORN auto_BCLR 197 1 +auto_ORN auto_BCLRI 210 1 +auto_ORN auto_BINV 209 1 +auto_ORN auto_BINVI 190 1 +auto_ORN auto_BEXT 185 1 +auto_ORN auto_BEXTI 194 1 +auto_ORN auto_CSRRW 77 1 +auto_ORN auto_CSRRS 68 1 +auto_ORN auto_CSRRC 67 1 +auto_ORN auto_CSRRWI 80 1 +auto_ORN auto_CSRRSI 85 1 +auto_ORN auto_CSRRCI 63 1 +auto_ORN auto_C_LBU 1 1 +auto_ORN auto_C_ZEXT_B 96 1 +auto_ORN auto_C_SEXT_B 93 1 +auto_ORN auto_C_ZEXT_H 85 1 +auto_ORN auto_C_SEXT_H 103 1 +auto_ORN auto_C_NOT 94 1 +auto_ORN auto_C_MUL 115 1 +auto_XNOR auto_LUI 192 1 +auto_XNOR auto_AUIPC 204 1 +auto_XNOR auto_JAL 73 1 +auto_XNOR auto_JALR 4 1 +auto_XNOR auto_BEQ 73 1 +auto_XNOR auto_BNE 71 1 +auto_XNOR auto_BLT 61 1 +auto_XNOR auto_BGE 54 1 +auto_XNOR auto_BLTU 58 1 +auto_XNOR auto_BGEU 67 1 +auto_XNOR auto_LB 323 1 +auto_XNOR auto_LH 104 1 +auto_XNOR auto_LW 29 1 +auto_XNOR auto_LBU 337 1 +auto_XNOR auto_LHU 110 1 +auto_XNOR auto_SB 297 1 +auto_XNOR auto_SH 114 1 +auto_XNOR auto_SW 50 1 +auto_XNOR auto_ADDI 291 1 +auto_XNOR auto_SLTI 223 1 +auto_XNOR auto_SLTIU 190 1 +auto_XNOR auto_XORI 203 1 +auto_XNOR auto_ORI 187 1 +auto_XNOR auto_ANDI 187 1 +auto_XNOR auto_SLLI 157 1 +auto_XNOR auto_SRLI 211 1 +auto_XNOR auto_SRAI 199 1 +auto_XNOR auto_ADD 159 1 +auto_XNOR auto_SUB 204 1 +auto_XNOR auto_SLL 191 1 +auto_XNOR auto_SLT 218 1 +auto_XNOR auto_SLTU 186 1 +auto_XNOR auto_XOR 184 1 +auto_XNOR auto_SRL 172 1 +auto_XNOR auto_SRA 200 1 +auto_XNOR auto_OR 179 1 +auto_XNOR auto_AND 194 1 +auto_XNOR auto_FENCE 68 1 +auto_XNOR auto_MRET 355 1 +auto_XNOR auto_MUL 213 1 +auto_XNOR auto_MULH 197 1 +auto_XNOR auto_MULHSU 203 1 +auto_XNOR auto_MULHU 236 1 +auto_XNOR auto_DIV 200 1 +auto_XNOR auto_DIVU 180 1 +auto_XNOR auto_REM 213 1 +auto_XNOR auto_REMU 190 1 +auto_XNOR auto_C_ADDI4SPN 66 1 +auto_XNOR auto_C_NOP 560 1 +auto_XNOR auto_C_ADDI 101 1 +auto_XNOR auto_C_JAL 34 1 +auto_XNOR auto_C_LI 115 1 +auto_XNOR auto_C_ADDI16SP 78 1 +auto_XNOR auto_C_LUI 100 1 +auto_XNOR auto_C_SRLI 83 1 +auto_XNOR auto_C_SRAI 101 1 +auto_XNOR auto_C_ANDI 88 1 +auto_XNOR auto_C_SUB 113 1 +auto_XNOR auto_C_XOR 93 1 +auto_XNOR auto_C_OR 83 1 +auto_XNOR auto_C_AND 97 1 +auto_XNOR auto_C_J 21 1 +auto_XNOR auto_C_BEQZ 45 1 +auto_XNOR auto_C_BNEZ 42 1 +auto_XNOR auto_C_SLLI 130 1 +auto_XNOR auto_C_LWSP 8 1 +auto_XNOR auto_C_JR 2 1 +auto_XNOR auto_C_MV 102 1 +auto_XNOR auto_C_JALR 8 1 +auto_XNOR auto_C_ADD 139 1 +auto_XNOR auto_C_SWSP 5 1 +auto_XNOR auto_SH1ADD 212 1 +auto_XNOR auto_SH2ADD 212 1 +auto_XNOR auto_SH3ADD 168 1 +auto_XNOR auto_CLZ 186 1 +auto_XNOR auto_CTZ 205 1 +auto_XNOR auto_CPOP 238 1 +auto_XNOR auto_MIN 185 1 +auto_XNOR auto_MAX 187 1 +auto_XNOR auto_MINU 183 1 +auto_XNOR auto_MAXU 230 1 +auto_XNOR auto_SEXT_B 173 1 +auto_XNOR auto_SEXT_H 215 1 +auto_XNOR auto_ZEXT_H 218 1 +auto_XNOR auto_ANDN 210 1 +auto_XNOR auto_ORN 213 1 +auto_XNOR auto_XNOR 213 1 +auto_XNOR auto_ROR 180 1 +auto_XNOR auto_RORI 188 1 +auto_XNOR auto_ROL 229 1 +auto_XNOR auto_REV8 188 1 +auto_XNOR auto_ORC_B 229 1 +auto_XNOR auto_CLMUL 175 1 +auto_XNOR auto_CLMULH 195 1 +auto_XNOR auto_CLMULR 217 1 +auto_XNOR auto_BSET 183 1 +auto_XNOR auto_BSETI 191 1 +auto_XNOR auto_BCLR 204 1 +auto_XNOR auto_BCLRI 205 1 +auto_XNOR auto_BINV 211 1 +auto_XNOR auto_BINVI 180 1 +auto_XNOR auto_BEXT 201 1 +auto_XNOR auto_BEXTI 192 1 +auto_XNOR auto_CSRRW 74 1 +auto_XNOR auto_CSRRS 75 1 +auto_XNOR auto_CSRRC 66 1 +auto_XNOR auto_CSRRWI 72 1 +auto_XNOR auto_CSRRSI 73 1 +auto_XNOR auto_CSRRCI 76 1 +auto_XNOR auto_C_LHU 1 1 +auto_XNOR auto_C_SB 1 1 +auto_XNOR auto_C_ZEXT_B 78 1 +auto_XNOR auto_C_SEXT_B 80 1 +auto_XNOR auto_C_ZEXT_H 77 1 +auto_XNOR auto_C_SEXT_H 91 1 +auto_XNOR auto_C_NOT 82 1 +auto_XNOR auto_C_MUL 113 1 +auto_ROR auto_LUI 199 1 +auto_ROR auto_AUIPC 208 1 +auto_ROR auto_JAL 76 1 +auto_ROR auto_JALR 7 1 +auto_ROR auto_BEQ 51 1 +auto_ROR auto_BNE 67 1 +auto_ROR auto_BLT 48 1 +auto_ROR auto_BGE 48 1 +auto_ROR auto_BLTU 59 1 +auto_ROR auto_BGEU 52 1 +auto_ROR auto_LB 341 1 +auto_ROR auto_LH 98 1 +auto_ROR auto_LW 70 1 +auto_ROR auto_LBU 330 1 +auto_ROR auto_LHU 104 1 +auto_ROR auto_SB 335 1 +auto_ROR auto_SH 105 1 +auto_ROR auto_SW 51 1 +auto_ROR auto_ADDI 344 1 +auto_ROR auto_SLTI 189 1 +auto_ROR auto_SLTIU 188 1 +auto_ROR auto_XORI 194 1 +auto_ROR auto_ORI 186 1 +auto_ROR auto_ANDI 214 1 +auto_ROR auto_SLLI 185 1 +auto_ROR auto_SRLI 199 1 +auto_ROR auto_SRAI 202 1 +auto_ROR auto_ADD 170 1 +auto_ROR auto_SUB 188 1 +auto_ROR auto_SLL 234 1 +auto_ROR auto_SLT 200 1 +auto_ROR auto_SLTU 217 1 +auto_ROR auto_XOR 187 1 +auto_ROR auto_SRL 204 1 +auto_ROR auto_SRA 172 1 +auto_ROR auto_OR 198 1 +auto_ROR auto_AND 212 1 +auto_ROR auto_FENCE 78 1 +auto_ROR auto_MRET 393 1 +auto_ROR auto_MUL 203 1 +auto_ROR auto_MULH 185 1 +auto_ROR auto_MULHSU 187 1 +auto_ROR auto_MULHU 210 1 +auto_ROR auto_DIV 214 1 +auto_ROR auto_DIVU 196 1 +auto_ROR auto_REM 219 1 +auto_ROR auto_REMU 218 1 +auto_ROR auto_C_ADDI4SPN 65 1 +auto_ROR auto_C_NOP 563 1 +auto_ROR auto_C_ADDI 91 1 +auto_ROR auto_C_JAL 42 1 +auto_ROR auto_C_LI 82 1 +auto_ROR auto_C_ADDI16SP 80 1 +auto_ROR auto_C_LUI 120 1 +auto_ROR auto_C_SRLI 102 1 +auto_ROR auto_C_SRAI 98 1 +auto_ROR auto_C_ANDI 100 1 +auto_ROR auto_C_SUB 98 1 +auto_ROR auto_C_XOR 109 1 +auto_ROR auto_C_OR 88 1 +auto_ROR auto_C_AND 114 1 +auto_ROR auto_C_J 27 1 +auto_ROR auto_C_BEQZ 44 1 +auto_ROR auto_C_BNEZ 45 1 +auto_ROR auto_C_SLLI 117 1 +auto_ROR auto_C_LWSP 1 1 +auto_ROR auto_C_JR 1 1 +auto_ROR auto_C_MV 108 1 +auto_ROR auto_C_JALR 4 1 +auto_ROR auto_C_ADD 129 1 +auto_ROR auto_C_SWSP 6 1 +auto_ROR auto_SH1ADD 191 1 +auto_ROR auto_SH2ADD 209 1 +auto_ROR auto_SH3ADD 179 1 +auto_ROR auto_CLZ 209 1 +auto_ROR auto_CTZ 206 1 +auto_ROR auto_CPOP 193 1 +auto_ROR auto_MIN 197 1 +auto_ROR auto_MAX 210 1 +auto_ROR auto_MINU 188 1 +auto_ROR auto_MAXU 199 1 +auto_ROR auto_SEXT_B 220 1 +auto_ROR auto_SEXT_H 197 1 +auto_ROR auto_ZEXT_H 182 1 +auto_ROR auto_ANDN 202 1 +auto_ROR auto_ORN 191 1 +auto_ROR auto_XNOR 185 1 +auto_ROR auto_ROR 232 1 +auto_ROR auto_RORI 179 1 +auto_ROR auto_ROL 180 1 +auto_ROR auto_REV8 208 1 +auto_ROR auto_ORC_B 188 1 +auto_ROR auto_CLMUL 197 1 +auto_ROR auto_CLMULH 203 1 +auto_ROR auto_CLMULR 212 1 +auto_ROR auto_BSET 180 1 +auto_ROR auto_BSETI 194 1 +auto_ROR auto_BCLR 219 1 +auto_ROR auto_BCLRI 199 1 +auto_ROR auto_BINV 211 1 +auto_ROR auto_BINVI 181 1 +auto_ROR auto_BEXT 177 1 +auto_ROR auto_BEXTI 179 1 +auto_ROR auto_CSRRW 84 1 +auto_ROR auto_CSRRS 67 1 +auto_ROR auto_CSRRC 59 1 +auto_ROR auto_CSRRWI 71 1 +auto_ROR auto_CSRRSI 62 1 +auto_ROR auto_CSRRCI 78 1 +auto_ROR auto_C_LBU 2 1 +auto_ROR auto_C_LHU 1 1 +auto_ROR auto_C_SB 4 1 +auto_ROR auto_C_ZEXT_B 99 1 +auto_ROR auto_C_SEXT_B 88 1 +auto_ROR auto_C_ZEXT_H 76 1 +auto_ROR auto_C_SEXT_H 72 1 +auto_ROR auto_C_NOT 71 1 +auto_ROR auto_C_MUL 117 1 +auto_RORI auto_LUI 197 1 +auto_RORI auto_AUIPC 210 1 +auto_RORI auto_JAL 55 1 +auto_RORI auto_JALR 3 1 +auto_RORI auto_BEQ 61 1 +auto_RORI auto_BNE 61 1 +auto_RORI auto_BLT 43 1 +auto_RORI auto_BGE 63 1 +auto_RORI auto_BLTU 50 1 +auto_RORI auto_BGEU 64 1 +auto_RORI auto_LB 316 1 +auto_RORI auto_LH 80 1 +auto_RORI auto_LW 54 1 +auto_RORI auto_LBU 331 1 +auto_RORI auto_LHU 93 1 +auto_RORI auto_SB 341 1 +auto_RORI auto_SH 89 1 +auto_RORI auto_SW 66 1 +auto_RORI auto_ADDI 293 1 +auto_RORI auto_SLTI 212 1 +auto_RORI auto_SLTIU 197 1 +auto_RORI auto_XORI 170 1 +auto_RORI auto_ORI 177 1 +auto_RORI auto_ANDI 175 1 +auto_RORI auto_SLLI 221 1 +auto_RORI auto_SRLI 193 1 +auto_RORI auto_SRAI 202 1 +auto_RORI auto_ADD 170 1 +auto_RORI auto_SUB 191 1 +auto_RORI auto_SLL 191 1 +auto_RORI auto_SLT 200 1 +auto_RORI auto_SLTU 198 1 +auto_RORI auto_XOR 183 1 +auto_RORI auto_SRL 190 1 +auto_RORI auto_SRA 194 1 +auto_RORI auto_OR 190 1 +auto_RORI auto_AND 179 1 +auto_RORI auto_FENCE 76 1 +auto_RORI auto_MRET 333 1 +auto_RORI auto_MUL 184 1 +auto_RORI auto_MULH 182 1 +auto_RORI auto_MULHSU 198 1 +auto_RORI auto_MULHU 166 1 +auto_RORI auto_DIV 209 1 +auto_RORI auto_DIVU 205 1 +auto_RORI auto_REM 213 1 +auto_RORI auto_REMU 182 1 +auto_RORI auto_C_ADDI4SPN 89 1 +auto_RORI auto_C_LW 2 1 +auto_RORI auto_C_SW 1 1 +auto_RORI auto_C_NOP 549 1 +auto_RORI auto_C_ADDI 122 1 +auto_RORI auto_C_JAL 19 1 +auto_RORI auto_C_LI 102 1 +auto_RORI auto_C_ADDI16SP 76 1 +auto_RORI auto_C_LUI 100 1 +auto_RORI auto_C_SRLI 96 1 +auto_RORI auto_C_SRAI 82 1 +auto_RORI auto_C_ANDI 103 1 +auto_RORI auto_C_SUB 86 1 +auto_RORI auto_C_XOR 110 1 +auto_RORI auto_C_OR 110 1 +auto_RORI auto_C_AND 90 1 +auto_RORI auto_C_J 31 1 +auto_RORI auto_C_BEQZ 39 1 +auto_RORI auto_C_BNEZ 33 1 +auto_RORI auto_C_SLLI 134 1 +auto_RORI auto_C_LWSP 6 1 +auto_RORI auto_C_JR 4 1 +auto_RORI auto_C_MV 101 1 +auto_RORI auto_C_JALR 1 1 +auto_RORI auto_C_ADD 139 1 +auto_RORI auto_C_SWSP 3 1 +auto_RORI auto_SH1ADD 210 1 +auto_RORI auto_SH2ADD 207 1 +auto_RORI auto_SH3ADD 225 1 +auto_RORI auto_CLZ 229 1 +auto_RORI auto_CTZ 212 1 +auto_RORI auto_CPOP 199 1 +auto_RORI auto_MIN 183 1 +auto_RORI auto_MAX 198 1 +auto_RORI auto_MINU 192 1 +auto_RORI auto_MAXU 210 1 +auto_RORI auto_SEXT_B 195 1 +auto_RORI auto_SEXT_H 194 1 +auto_RORI auto_ZEXT_H 206 1 +auto_RORI auto_ANDN 180 1 +auto_RORI auto_ORN 186 1 +auto_RORI auto_XNOR 189 1 +auto_RORI auto_ROR 208 1 +auto_RORI auto_RORI 187 1 +auto_RORI auto_ROL 196 1 +auto_RORI auto_REV8 192 1 +auto_RORI auto_ORC_B 192 1 +auto_RORI auto_CLMUL 205 1 +auto_RORI auto_CLMULH 250 1 +auto_RORI auto_CLMULR 196 1 +auto_RORI auto_BSET 205 1 +auto_RORI auto_BSETI 205 1 +auto_RORI auto_BCLR 185 1 +auto_RORI auto_BCLRI 187 1 +auto_RORI auto_BINV 198 1 +auto_RORI auto_BINVI 225 1 +auto_RORI auto_BEXT 197 1 +auto_RORI auto_BEXTI 181 1 +auto_RORI auto_CSRRW 76 1 +auto_RORI auto_CSRRS 71 1 +auto_RORI auto_CSRRC 67 1 +auto_RORI auto_CSRRWI 77 1 +auto_RORI auto_CSRRSI 64 1 +auto_RORI auto_CSRRCI 79 1 +auto_RORI auto_C_LBU 2 1 +auto_RORI auto_C_LH 1 1 +auto_RORI auto_C_SB 4 1 +auto_RORI auto_C_ZEXT_B 84 1 +auto_RORI auto_C_SEXT_B 121 1 +auto_RORI auto_C_ZEXT_H 94 1 +auto_RORI auto_C_SEXT_H 113 1 +auto_RORI auto_C_NOT 90 1 +auto_RORI auto_C_MUL 96 1 +auto_ROL auto_LUI 204 1 +auto_ROL auto_AUIPC 199 1 +auto_ROL auto_JAL 60 1 +auto_ROL auto_JALR 6 1 +auto_ROL auto_BEQ 61 1 +auto_ROL auto_BNE 67 1 +auto_ROL auto_BLT 58 1 +auto_ROL auto_BGE 73 1 +auto_ROL auto_BLTU 63 1 +auto_ROL auto_BGEU 61 1 +auto_ROL auto_LB 323 1 +auto_ROL auto_LH 111 1 +auto_ROL auto_LW 44 1 +auto_ROL auto_LBU 339 1 +auto_ROL auto_LHU 103 1 +auto_ROL auto_SB 310 1 +auto_ROL auto_SH 112 1 +auto_ROL auto_SW 53 1 +auto_ROL auto_ADDI 305 1 +auto_ROL auto_SLTI 184 1 +auto_ROL auto_SLTIU 194 1 +auto_ROL auto_XORI 183 1 +auto_ROL auto_ORI 187 1 +auto_ROL auto_ANDI 209 1 +auto_ROL auto_SLLI 192 1 +auto_ROL auto_SRLI 206 1 +auto_ROL auto_SRAI 186 1 +auto_ROL auto_ADD 178 1 +auto_ROL auto_SUB 209 1 +auto_ROL auto_SLL 194 1 +auto_ROL auto_SLT 197 1 +auto_ROL auto_SLTU 206 1 +auto_ROL auto_XOR 194 1 +auto_ROL auto_SRL 202 1 +auto_ROL auto_SRA 203 1 +auto_ROL auto_OR 189 1 +auto_ROL auto_AND 183 1 +auto_ROL auto_FENCE 72 1 +auto_ROL auto_MRET 354 1 +auto_ROL auto_MUL 194 1 +auto_ROL auto_MULH 210 1 +auto_ROL auto_MULHSU 192 1 +auto_ROL auto_MULHU 204 1 +auto_ROL auto_DIV 202 1 +auto_ROL auto_DIVU 194 1 +auto_ROL auto_REM 206 1 +auto_ROL auto_REMU 194 1 +auto_ROL auto_C_ADDI4SPN 58 1 +auto_ROL auto_C_LW 1 1 +auto_ROL auto_C_NOP 494 1 +auto_ROL auto_C_ADDI 120 1 +auto_ROL auto_C_JAL 26 1 +auto_ROL auto_C_LI 111 1 +auto_ROL auto_C_ADDI16SP 76 1 +auto_ROL auto_C_LUI 119 1 +auto_ROL auto_C_SRLI 101 1 +auto_ROL auto_C_SRAI 118 1 +auto_ROL auto_C_ANDI 85 1 +auto_ROL auto_C_SUB 82 1 +auto_ROL auto_C_XOR 79 1 +auto_ROL auto_C_OR 113 1 +auto_ROL auto_C_AND 101 1 +auto_ROL auto_C_J 29 1 +auto_ROL auto_C_BEQZ 45 1 +auto_ROL auto_C_BNEZ 35 1 +auto_ROL auto_C_SLLI 117 1 +auto_ROL auto_C_LWSP 1 1 +auto_ROL auto_C_MV 101 1 +auto_ROL auto_C_ADD 159 1 +auto_ROL auto_C_SWSP 4 1 +auto_ROL auto_SH1ADD 203 1 +auto_ROL auto_SH2ADD 183 1 +auto_ROL auto_SH3ADD 186 1 +auto_ROL auto_CLZ 213 1 +auto_ROL auto_CTZ 191 1 +auto_ROL auto_CPOP 205 1 +auto_ROL auto_MIN 187 1 +auto_ROL auto_MAX 165 1 +auto_ROL auto_MINU 212 1 +auto_ROL auto_MAXU 212 1 +auto_ROL auto_SEXT_B 203 1 +auto_ROL auto_SEXT_H 190 1 +auto_ROL auto_ZEXT_H 196 1 +auto_ROL auto_ANDN 215 1 +auto_ROL auto_ORN 203 1 +auto_ROL auto_XNOR 199 1 +auto_ROL auto_ROR 179 1 +auto_ROL auto_RORI 195 1 +auto_ROL auto_ROL 200 1 +auto_ROL auto_REV8 180 1 +auto_ROL auto_ORC_B 211 1 +auto_ROL auto_CLMUL 210 1 +auto_ROL auto_CLMULH 218 1 +auto_ROL auto_CLMULR 195 1 +auto_ROL auto_BSET 188 1 +auto_ROL auto_BSETI 215 1 +auto_ROL auto_BCLR 209 1 +auto_ROL auto_BCLRI 215 1 +auto_ROL auto_BINV 203 1 +auto_ROL auto_BINVI 211 1 +auto_ROL auto_BEXT 189 1 +auto_ROL auto_BEXTI 209 1 +auto_ROL auto_CSRRW 81 1 +auto_ROL auto_CSRRS 72 1 +auto_ROL auto_CSRRC 69 1 +auto_ROL auto_CSRRWI 70 1 +auto_ROL auto_CSRRSI 64 1 +auto_ROL auto_CSRRCI 77 1 +auto_ROL auto_C_LBU 3 1 +auto_ROL auto_C_LHU 2 1 +auto_ROL auto_C_SB 1 1 +auto_ROL auto_C_ZEXT_B 98 1 +auto_ROL auto_C_SEXT_B 86 1 +auto_ROL auto_C_ZEXT_H 88 1 +auto_ROL auto_C_SEXT_H 118 1 +auto_ROL auto_C_NOT 90 1 +auto_ROL auto_C_MUL 85 1 +auto_REV8 auto_LUI 196 1 +auto_REV8 auto_AUIPC 217 1 +auto_REV8 auto_JAL 70 1 +auto_REV8 auto_JALR 12 1 +auto_REV8 auto_BEQ 62 1 +auto_REV8 auto_BNE 55 1 +auto_REV8 auto_BLT 58 1 +auto_REV8 auto_BGE 58 1 +auto_REV8 auto_BLTU 52 1 +auto_REV8 auto_BGEU 52 1 +auto_REV8 auto_LB 314 1 +auto_REV8 auto_LH 113 1 +auto_REV8 auto_LW 50 1 +auto_REV8 auto_LBU 299 1 +auto_REV8 auto_LHU 98 1 +auto_REV8 auto_SB 317 1 +auto_REV8 auto_SH 111 1 +auto_REV8 auto_SW 69 1 +auto_REV8 auto_ADDI 301 1 +auto_REV8 auto_SLTI 188 1 +auto_REV8 auto_SLTIU 189 1 +auto_REV8 auto_XORI 209 1 +auto_REV8 auto_ORI 172 1 +auto_REV8 auto_ANDI 201 1 +auto_REV8 auto_SLLI 204 1 +auto_REV8 auto_SRLI 200 1 +auto_REV8 auto_SRAI 196 1 +auto_REV8 auto_ADD 151 1 +auto_REV8 auto_SUB 190 1 +auto_REV8 auto_SLL 197 1 +auto_REV8 auto_SLT 186 1 +auto_REV8 auto_SLTU 192 1 +auto_REV8 auto_XOR 179 1 +auto_REV8 auto_SRL 182 1 +auto_REV8 auto_SRA 171 1 +auto_REV8 auto_OR 179 1 +auto_REV8 auto_AND 201 1 +auto_REV8 auto_FENCE 82 1 +auto_REV8 auto_MRET 322 1 +auto_REV8 auto_MUL 179 1 +auto_REV8 auto_MULH 222 1 +auto_REV8 auto_MULHSU 177 1 +auto_REV8 auto_MULHU 191 1 +auto_REV8 auto_DIV 193 1 +auto_REV8 auto_DIVU 204 1 +auto_REV8 auto_REM 183 1 +auto_REV8 auto_REMU 197 1 +auto_REV8 auto_C_ADDI4SPN 75 1 +auto_REV8 auto_C_NOP 512 1 +auto_REV8 auto_C_ADDI 110 1 +auto_REV8 auto_C_JAL 27 1 +auto_REV8 auto_C_LI 107 1 +auto_REV8 auto_C_ADDI16SP 85 1 +auto_REV8 auto_C_LUI 104 1 +auto_REV8 auto_C_SRLI 79 1 +auto_REV8 auto_C_SRAI 99 1 +auto_REV8 auto_C_ANDI 93 1 +auto_REV8 auto_C_SUB 98 1 +auto_REV8 auto_C_XOR 88 1 +auto_REV8 auto_C_OR 101 1 +auto_REV8 auto_C_AND 92 1 +auto_REV8 auto_C_J 33 1 +auto_REV8 auto_C_BEQZ 47 1 +auto_REV8 auto_C_BNEZ 41 1 +auto_REV8 auto_C_SLLI 122 1 +auto_REV8 auto_C_LWSP 5 1 +auto_REV8 auto_C_JR 1 1 +auto_REV8 auto_C_MV 96 1 +auto_REV8 auto_C_JALR 3 1 +auto_REV8 auto_C_ADD 145 1 +auto_REV8 auto_C_SWSP 2 1 +auto_REV8 auto_SH1ADD 209 1 +auto_REV8 auto_SH2ADD 213 1 +auto_REV8 auto_SH3ADD 181 1 +auto_REV8 auto_CLZ 186 1 +auto_REV8 auto_CTZ 198 1 +auto_REV8 auto_CPOP 205 1 +auto_REV8 auto_MIN 200 1 +auto_REV8 auto_MAX 210 1 +auto_REV8 auto_MINU 212 1 +auto_REV8 auto_MAXU 181 1 +auto_REV8 auto_SEXT_B 202 1 +auto_REV8 auto_SEXT_H 177 1 +auto_REV8 auto_ZEXT_H 202 1 +auto_REV8 auto_ANDN 192 1 +auto_REV8 auto_ORN 198 1 +auto_REV8 auto_XNOR 193 1 +auto_REV8 auto_ROR 204 1 +auto_REV8 auto_RORI 206 1 +auto_REV8 auto_ROL 183 1 +auto_REV8 auto_REV8 181 1 +auto_REV8 auto_ORC_B 170 1 +auto_REV8 auto_CLMUL 191 1 +auto_REV8 auto_CLMULH 191 1 +auto_REV8 auto_CLMULR 199 1 +auto_REV8 auto_BSET 212 1 +auto_REV8 auto_BSETI 220 1 +auto_REV8 auto_BCLR 195 1 +auto_REV8 auto_BCLRI 240 1 +auto_REV8 auto_BINV 201 1 +auto_REV8 auto_BINVI 198 1 +auto_REV8 auto_BEXT 216 1 +auto_REV8 auto_BEXTI 192 1 +auto_REV8 auto_CSRRW 86 1 +auto_REV8 auto_CSRRS 80 1 +auto_REV8 auto_CSRRC 69 1 +auto_REV8 auto_CSRRWI 67 1 +auto_REV8 auto_CSRRSI 68 1 +auto_REV8 auto_CSRRCI 76 1 +auto_REV8 auto_C_LBU 4 1 +auto_REV8 auto_C_LHU 1 1 +auto_REV8 auto_C_LH 2 1 +auto_REV8 auto_C_SB 1 1 +auto_REV8 auto_C_SH 2 1 +auto_REV8 auto_C_ZEXT_B 97 1 +auto_REV8 auto_C_SEXT_B 83 1 +auto_REV8 auto_C_ZEXT_H 94 1 +auto_REV8 auto_C_SEXT_H 86 1 +auto_REV8 auto_C_NOT 98 1 +auto_REV8 auto_C_MUL 109 1 +auto_ORC_B auto_LUI 193 1 +auto_ORC_B auto_AUIPC 190 1 +auto_ORC_B auto_JAL 65 1 +auto_ORC_B auto_JALR 7 1 +auto_ORC_B auto_BEQ 65 1 +auto_ORC_B auto_BNE 58 1 +auto_ORC_B auto_BLT 59 1 +auto_ORC_B auto_BGE 45 1 +auto_ORC_B auto_BLTU 47 1 +auto_ORC_B auto_BGEU 65 1 +auto_ORC_B auto_LB 321 1 +auto_ORC_B auto_LH 118 1 +auto_ORC_B auto_LW 43 1 +auto_ORC_B auto_LBU 331 1 +auto_ORC_B auto_LHU 97 1 +auto_ORC_B auto_SB 329 1 +auto_ORC_B auto_SH 110 1 +auto_ORC_B auto_SW 70 1 +auto_ORC_B auto_ADDI 334 1 +auto_ORC_B auto_SLTI 200 1 +auto_ORC_B auto_SLTIU 198 1 +auto_ORC_B auto_XORI 197 1 +auto_ORC_B auto_ORI 190 1 +auto_ORC_B auto_ANDI 153 1 +auto_ORC_B auto_SLLI 204 1 +auto_ORC_B auto_SRLI 211 1 +auto_ORC_B auto_SRAI 190 1 +auto_ORC_B auto_ADD 154 1 +auto_ORC_B auto_SUB 206 1 +auto_ORC_B auto_SLL 214 1 +auto_ORC_B auto_SLT 190 1 +auto_ORC_B auto_SLTU 202 1 +auto_ORC_B auto_XOR 206 1 +auto_ORC_B auto_SRL 212 1 +auto_ORC_B auto_SRA 201 1 +auto_ORC_B auto_OR 199 1 +auto_ORC_B auto_AND 205 1 +auto_ORC_B auto_FENCE 71 1 +auto_ORC_B auto_MRET 340 1 +auto_ORC_B auto_MUL 196 1 +auto_ORC_B auto_MULH 193 1 +auto_ORC_B auto_MULHSU 225 1 +auto_ORC_B auto_MULHU 202 1 +auto_ORC_B auto_DIV 210 1 +auto_ORC_B auto_DIVU 170 1 +auto_ORC_B auto_REM 218 1 +auto_ORC_B auto_REMU 198 1 +auto_ORC_B auto_C_ADDI4SPN 79 1 +auto_ORC_B auto_C_LW 1 1 +auto_ORC_B auto_C_NOP 560 1 +auto_ORC_B auto_C_ADDI 101 1 +auto_ORC_B auto_C_JAL 25 1 +auto_ORC_B auto_C_LI 114 1 +auto_ORC_B auto_C_ADDI16SP 78 1 +auto_ORC_B auto_C_LUI 102 1 +auto_ORC_B auto_C_SRLI 85 1 +auto_ORC_B auto_C_SRAI 112 1 +auto_ORC_B auto_C_ANDI 98 1 +auto_ORC_B auto_C_SUB 105 1 +auto_ORC_B auto_C_XOR 101 1 +auto_ORC_B auto_C_OR 99 1 +auto_ORC_B auto_C_AND 94 1 +auto_ORC_B auto_C_J 31 1 +auto_ORC_B auto_C_BEQZ 53 1 +auto_ORC_B auto_C_BNEZ 50 1 +auto_ORC_B auto_C_SLLI 122 1 +auto_ORC_B auto_C_LWSP 4 1 +auto_ORC_B auto_C_JR 1 1 +auto_ORC_B auto_C_MV 104 1 +auto_ORC_B auto_C_JALR 2 1 +auto_ORC_B auto_C_ADD 139 1 +auto_ORC_B auto_C_SWSP 5 1 +auto_ORC_B auto_SH1ADD 198 1 +auto_ORC_B auto_SH2ADD 189 1 +auto_ORC_B auto_SH3ADD 175 1 +auto_ORC_B auto_CLZ 204 1 +auto_ORC_B auto_CTZ 207 1 +auto_ORC_B auto_CPOP 191 1 +auto_ORC_B auto_MIN 203 1 +auto_ORC_B auto_MAX 205 1 +auto_ORC_B auto_MINU 195 1 +auto_ORC_B auto_MAXU 177 1 +auto_ORC_B auto_SEXT_B 224 1 +auto_ORC_B auto_SEXT_H 205 1 +auto_ORC_B auto_ZEXT_H 204 1 +auto_ORC_B auto_ANDN 207 1 +auto_ORC_B auto_ORN 209 1 +auto_ORC_B auto_XNOR 204 1 +auto_ORC_B auto_ROR 221 1 +auto_ORC_B auto_RORI 185 1 +auto_ORC_B auto_ROL 206 1 +auto_ORC_B auto_REV8 223 1 +auto_ORC_B auto_ORC_B 158 1 +auto_ORC_B auto_CLMUL 214 1 +auto_ORC_B auto_CLMULH 201 1 +auto_ORC_B auto_CLMULR 198 1 +auto_ORC_B auto_BSET 202 1 +auto_ORC_B auto_BSETI 205 1 +auto_ORC_B auto_BCLR 202 1 +auto_ORC_B auto_BCLRI 201 1 +auto_ORC_B auto_BINV 193 1 +auto_ORC_B auto_BINVI 191 1 +auto_ORC_B auto_BEXT 205 1 +auto_ORC_B auto_BEXTI 190 1 +auto_ORC_B auto_CSRRW 70 1 +auto_ORC_B auto_CSRRS 69 1 +auto_ORC_B auto_CSRRC 57 1 +auto_ORC_B auto_CSRRWI 77 1 +auto_ORC_B auto_CSRRSI 64 1 +auto_ORC_B auto_CSRRCI 58 1 +auto_ORC_B auto_C_LBU 2 1 +auto_ORC_B auto_C_LHU 1 1 +auto_ORC_B auto_C_SB 1 1 +auto_ORC_B auto_C_ZEXT_B 90 1 +auto_ORC_B auto_C_SEXT_B 115 1 +auto_ORC_B auto_C_ZEXT_H 107 1 +auto_ORC_B auto_C_SEXT_H 109 1 +auto_ORC_B auto_C_NOT 76 1 +auto_ORC_B auto_C_MUL 110 1 +auto_CLMUL auto_LUI 186 1 +auto_CLMUL auto_AUIPC 198 1 +auto_CLMUL auto_JAL 79 1 +auto_CLMUL auto_JALR 4 1 +auto_CLMUL auto_BEQ 53 1 +auto_CLMUL auto_BNE 45 1 +auto_CLMUL auto_BLT 46 1 +auto_CLMUL auto_BGE 58 1 +auto_CLMUL auto_BLTU 68 1 +auto_CLMUL auto_BGEU 57 1 +auto_CLMUL auto_LB 336 1 +auto_CLMUL auto_LH 105 1 +auto_CLMUL auto_LW 59 1 +auto_CLMUL auto_LBU 339 1 +auto_CLMUL auto_LHU 103 1 +auto_CLMUL auto_SB 303 1 +auto_CLMUL auto_SH 101 1 +auto_CLMUL auto_SW 47 1 +auto_CLMUL auto_ADDI 305 1 +auto_CLMUL auto_SLTI 195 1 +auto_CLMUL auto_SLTIU 223 1 +auto_CLMUL auto_XORI 205 1 +auto_CLMUL auto_ORI 207 1 +auto_CLMUL auto_ANDI 196 1 +auto_CLMUL auto_SLLI 182 1 +auto_CLMUL auto_SRLI 198 1 +auto_CLMUL auto_SRAI 182 1 +auto_CLMUL auto_ADD 165 1 +auto_CLMUL auto_SUB 180 1 +auto_CLMUL auto_SLL 214 1 +auto_CLMUL auto_SLT 196 1 +auto_CLMUL auto_SLTU 195 1 +auto_CLMUL auto_XOR 177 1 +auto_CLMUL auto_SRL 192 1 +auto_CLMUL auto_SRA 186 1 +auto_CLMUL auto_OR 191 1 +auto_CLMUL auto_AND 169 1 +auto_CLMUL auto_FENCE 82 1 +auto_CLMUL auto_MRET 320 1 +auto_CLMUL auto_MUL 213 1 +auto_CLMUL auto_MULH 194 1 +auto_CLMUL auto_MULHSU 217 1 +auto_CLMUL auto_MULHU 195 1 +auto_CLMUL auto_DIV 205 1 +auto_CLMUL auto_DIVU 203 1 +auto_CLMUL auto_REM 201 1 +auto_CLMUL auto_REMU 197 1 +auto_CLMUL auto_C_ADDI4SPN 84 1 +auto_CLMUL auto_C_LW 1 1 +auto_CLMUL auto_C_NOP 520 1 +auto_CLMUL auto_C_ADDI 112 1 +auto_CLMUL auto_C_JAL 26 1 +auto_CLMUL auto_C_LI 119 1 +auto_CLMUL auto_C_ADDI16SP 83 1 +auto_CLMUL auto_C_LUI 108 1 +auto_CLMUL auto_C_SRLI 101 1 +auto_CLMUL auto_C_SRAI 92 1 +auto_CLMUL auto_C_ANDI 93 1 +auto_CLMUL auto_C_SUB 96 1 +auto_CLMUL auto_C_XOR 84 1 +auto_CLMUL auto_C_OR 103 1 +auto_CLMUL auto_C_AND 100 1 +auto_CLMUL auto_C_J 27 1 +auto_CLMUL auto_C_BEQZ 46 1 +auto_CLMUL auto_C_BNEZ 44 1 +auto_CLMUL auto_C_SLLI 109 1 +auto_CLMUL auto_C_LWSP 4 1 +auto_CLMUL auto_C_JR 3 1 +auto_CLMUL auto_C_MV 105 1 +auto_CLMUL auto_C_ADD 144 1 +auto_CLMUL auto_C_SWSP 3 1 +auto_CLMUL auto_SH1ADD 218 1 +auto_CLMUL auto_SH2ADD 207 1 +auto_CLMUL auto_SH3ADD 202 1 +auto_CLMUL auto_CLZ 193 1 +auto_CLMUL auto_CTZ 206 1 +auto_CLMUL auto_CPOP 207 1 +auto_CLMUL auto_MIN 221 1 +auto_CLMUL auto_MAX 206 1 +auto_CLMUL auto_MINU 186 1 +auto_CLMUL auto_MAXU 222 1 +auto_CLMUL auto_SEXT_B 158 1 +auto_CLMUL auto_SEXT_H 170 1 +auto_CLMUL auto_ZEXT_H 198 1 +auto_CLMUL auto_ANDN 240 1 +auto_CLMUL auto_ORN 200 1 +auto_CLMUL auto_XNOR 185 1 +auto_CLMUL auto_ROR 190 1 +auto_CLMUL auto_RORI 192 1 +auto_CLMUL auto_ROL 190 1 +auto_CLMUL auto_REV8 192 1 +auto_CLMUL auto_ORC_B 231 1 +auto_CLMUL auto_CLMUL 186 1 +auto_CLMUL auto_CLMULH 190 1 +auto_CLMUL auto_CLMULR 186 1 +auto_CLMUL auto_BSET 200 1 +auto_CLMUL auto_BSETI 218 1 +auto_CLMUL auto_BCLR 191 1 +auto_CLMUL auto_BCLRI 199 1 +auto_CLMUL auto_BINV 201 1 +auto_CLMUL auto_BINVI 202 1 +auto_CLMUL auto_BEXT 196 1 +auto_CLMUL auto_BEXTI 195 1 +auto_CLMUL auto_CSRRW 74 1 +auto_CLMUL auto_CSRRS 68 1 +auto_CLMUL auto_CSRRC 74 1 +auto_CLMUL auto_CSRRWI 72 1 +auto_CLMUL auto_CSRRSI 60 1 +auto_CLMUL auto_CSRRCI 70 1 +auto_CLMUL auto_C_LBU 3 1 +auto_CLMUL auto_C_LHU 1 1 +auto_CLMUL auto_C_SB 3 1 +auto_CLMUL auto_C_ZEXT_B 87 1 +auto_CLMUL auto_C_SEXT_B 74 1 +auto_CLMUL auto_C_ZEXT_H 95 1 +auto_CLMUL auto_C_SEXT_H 93 1 +auto_CLMUL auto_C_NOT 89 1 +auto_CLMUL auto_C_MUL 97 1 +auto_CLMULH auto_LUI 201 1 +auto_CLMULH auto_AUIPC 173 1 +auto_CLMULH auto_JAL 68 1 +auto_CLMULH auto_JALR 4 1 +auto_CLMULH auto_BEQ 65 1 +auto_CLMULH auto_BNE 58 1 +auto_CLMULH auto_BLT 64 1 +auto_CLMULH auto_BGE 65 1 +auto_CLMULH auto_BLTU 54 1 +auto_CLMULH auto_BGEU 52 1 +auto_CLMULH auto_LB 295 1 +auto_CLMULH auto_LH 102 1 +auto_CLMULH auto_LW 59 1 +auto_CLMULH auto_LBU 307 1 +auto_CLMULH auto_LHU 106 1 +auto_CLMULH auto_SB 340 1 +auto_CLMULH auto_SH 107 1 +auto_CLMULH auto_SW 49 1 +auto_CLMULH auto_ADDI 323 1 +auto_CLMULH auto_SLTI 187 1 +auto_CLMULH auto_SLTIU 191 1 +auto_CLMULH auto_XORI 175 1 +auto_CLMULH auto_ORI 196 1 +auto_CLMULH auto_ANDI 179 1 +auto_CLMULH auto_SLLI 169 1 +auto_CLMULH auto_SRLI 195 1 +auto_CLMULH auto_SRAI 199 1 +auto_CLMULH auto_ADD 154 1 +auto_CLMULH auto_SUB 177 1 +auto_CLMULH auto_SLL 205 1 +auto_CLMULH auto_SLT 204 1 +auto_CLMULH auto_SLTU 173 1 +auto_CLMULH auto_XOR 216 1 +auto_CLMULH auto_SRL 180 1 +auto_CLMULH auto_SRA 206 1 +auto_CLMULH auto_OR 235 1 +auto_CLMULH auto_AND 174 1 +auto_CLMULH auto_FENCE 85 1 +auto_CLMULH auto_MRET 355 1 +auto_CLMULH auto_MUL 219 1 +auto_CLMULH auto_MULH 184 1 +auto_CLMULH auto_MULHSU 221 1 +auto_CLMULH auto_MULHU 163 1 +auto_CLMULH auto_DIV 194 1 +auto_CLMULH auto_DIVU 226 1 +auto_CLMULH auto_REM 225 1 +auto_CLMULH auto_REMU 190 1 +auto_CLMULH auto_C_ADDI4SPN 86 1 +auto_CLMULH auto_C_SW 1 1 +auto_CLMULH auto_C_NOP 549 1 +auto_CLMULH auto_C_ADDI 112 1 +auto_CLMULH auto_C_JAL 35 1 +auto_CLMULH auto_C_LI 141 1 +auto_CLMULH auto_C_ADDI16SP 65 1 +auto_CLMULH auto_C_LUI 104 1 +auto_CLMULH auto_C_SRLI 92 1 +auto_CLMULH auto_C_SRAI 90 1 +auto_CLMULH auto_C_ANDI 99 1 +auto_CLMULH auto_C_SUB 106 1 +auto_CLMULH auto_C_XOR 110 1 +auto_CLMULH auto_C_OR 117 1 +auto_CLMULH auto_C_AND 132 1 +auto_CLMULH auto_C_J 38 1 +auto_CLMULH auto_C_BEQZ 42 1 +auto_CLMULH auto_C_BNEZ 49 1 +auto_CLMULH auto_C_SLLI 129 1 +auto_CLMULH auto_C_LWSP 6 1 +auto_CLMULH auto_C_MV 114 1 +auto_CLMULH auto_C_JALR 11 1 +auto_CLMULH auto_C_ADD 144 1 +auto_CLMULH auto_C_SWSP 4 1 +auto_CLMULH auto_SH1ADD 205 1 +auto_CLMULH auto_SH2ADD 193 1 +auto_CLMULH auto_SH3ADD 214 1 +auto_CLMULH auto_CLZ 228 1 +auto_CLMULH auto_CTZ 209 1 +auto_CLMULH auto_CPOP 197 1 +auto_CLMULH auto_MIN 193 1 +auto_CLMULH auto_MAX 203 1 +auto_CLMULH auto_MINU 210 1 +auto_CLMULH auto_MAXU 201 1 +auto_CLMULH auto_SEXT_B 191 1 +auto_CLMULH auto_SEXT_H 176 1 +auto_CLMULH auto_ZEXT_H 197 1 +auto_CLMULH auto_ANDN 206 1 +auto_CLMULH auto_ORN 194 1 +auto_CLMULH auto_XNOR 183 1 +auto_CLMULH auto_ROR 211 1 +auto_CLMULH auto_RORI 212 1 +auto_CLMULH auto_ROL 193 1 +auto_CLMULH auto_REV8 212 1 +auto_CLMULH auto_ORC_B 183 1 +auto_CLMULH auto_CLMUL 182 1 +auto_CLMULH auto_CLMULH 219 1 +auto_CLMULH auto_CLMULR 173 1 +auto_CLMULH auto_BSET 206 1 +auto_CLMULH auto_BSETI 184 1 +auto_CLMULH auto_BCLR 185 1 +auto_CLMULH auto_BCLRI 178 1 +auto_CLMULH auto_BINV 187 1 +auto_CLMULH auto_BINVI 182 1 +auto_CLMULH auto_BEXT 212 1 +auto_CLMULH auto_BEXTI 154 1 +auto_CLMULH auto_CSRRW 67 1 +auto_CLMULH auto_CSRRS 76 1 +auto_CLMULH auto_CSRRC 65 1 +auto_CLMULH auto_CSRRWI 83 1 +auto_CLMULH auto_CSRRSI 69 1 +auto_CLMULH auto_CSRRCI 73 1 +auto_CLMULH auto_C_LBU 1 1 +auto_CLMULH auto_C_LH 1 1 +auto_CLMULH auto_C_SB 1 1 +auto_CLMULH auto_C_ZEXT_B 78 1 +auto_CLMULH auto_C_SEXT_B 99 1 +auto_CLMULH auto_C_ZEXT_H 98 1 +auto_CLMULH auto_C_SEXT_H 88 1 +auto_CLMULH auto_C_NOT 89 1 +auto_CLMULH auto_C_MUL 104 1 +auto_CLMULR auto_LUI 198 1 +auto_CLMULR auto_AUIPC 195 1 +auto_CLMULR auto_JAL 59 1 +auto_CLMULR auto_JALR 2 1 +auto_CLMULR auto_BEQ 60 1 +auto_CLMULR auto_BNE 66 1 +auto_CLMULR auto_BLT 59 1 +auto_CLMULR auto_BGE 50 1 +auto_CLMULR auto_BLTU 52 1 +auto_CLMULR auto_BGEU 58 1 +auto_CLMULR auto_LB 321 1 +auto_CLMULR auto_LH 97 1 +auto_CLMULR auto_LW 49 1 +auto_CLMULR auto_LBU 317 1 +auto_CLMULR auto_LHU 106 1 +auto_CLMULR auto_SB 326 1 +auto_CLMULR auto_SH 114 1 +auto_CLMULR auto_SW 45 1 +auto_CLMULR auto_ADDI 317 1 +auto_CLMULR auto_SLTI 181 1 +auto_CLMULR auto_SLTIU 210 1 +auto_CLMULR auto_XORI 194 1 +auto_CLMULR auto_ORI 201 1 +auto_CLMULR auto_ANDI 210 1 +auto_CLMULR auto_SLLI 186 1 +auto_CLMULR auto_SRLI 189 1 +auto_CLMULR auto_SRAI 220 1 +auto_CLMULR auto_ADD 157 1 +auto_CLMULR auto_SUB 210 1 +auto_CLMULR auto_SLL 207 1 +auto_CLMULR auto_SLT 188 1 +auto_CLMULR auto_SLTU 191 1 +auto_CLMULR auto_XOR 172 1 +auto_CLMULR auto_SRL 203 1 +auto_CLMULR auto_SRA 206 1 +auto_CLMULR auto_OR 205 1 +auto_CLMULR auto_AND 196 1 +auto_CLMULR auto_FENCE 81 1 +auto_CLMULR auto_MRET 332 1 +auto_CLMULR auto_MUL 193 1 +auto_CLMULR auto_MULH 204 1 +auto_CLMULR auto_MULHSU 179 1 +auto_CLMULR auto_MULHU 197 1 +auto_CLMULR auto_DIV 225 1 +auto_CLMULR auto_DIVU 215 1 +auto_CLMULR auto_REM 210 1 +auto_CLMULR auto_REMU 196 1 +auto_CLMULR auto_C_ADDI4SPN 94 1 +auto_CLMULR auto_C_NOP 526 1 +auto_CLMULR auto_C_ADDI 112 1 +auto_CLMULR auto_C_JAL 27 1 +auto_CLMULR auto_C_LI 116 1 +auto_CLMULR auto_C_ADDI16SP 74 1 +auto_CLMULR auto_C_LUI 115 1 +auto_CLMULR auto_C_SRLI 96 1 +auto_CLMULR auto_C_SRAI 93 1 +auto_CLMULR auto_C_ANDI 81 1 +auto_CLMULR auto_C_SUB 114 1 +auto_CLMULR auto_C_XOR 82 1 +auto_CLMULR auto_C_OR 80 1 +auto_CLMULR auto_C_AND 105 1 +auto_CLMULR auto_C_J 36 1 +auto_CLMULR auto_C_BEQZ 42 1 +auto_CLMULR auto_C_BNEZ 36 1 +auto_CLMULR auto_C_SLLI 109 1 +auto_CLMULR auto_C_LWSP 6 1 +auto_CLMULR auto_C_JR 1 1 +auto_CLMULR auto_C_MV 112 1 +auto_CLMULR auto_C_JALR 4 1 +auto_CLMULR auto_C_ADD 148 1 +auto_CLMULR auto_C_SWSP 10 1 +auto_CLMULR auto_SH1ADD 207 1 +auto_CLMULR auto_SH2ADD 220 1 +auto_CLMULR auto_SH3ADD 156 1 +auto_CLMULR auto_CLZ 181 1 +auto_CLMULR auto_CTZ 177 1 +auto_CLMULR auto_CPOP 211 1 +auto_CLMULR auto_MIN 196 1 +auto_CLMULR auto_MAX 187 1 +auto_CLMULR auto_MINU 193 1 +auto_CLMULR auto_MAXU 219 1 +auto_CLMULR auto_SEXT_B 199 1 +auto_CLMULR auto_SEXT_H 178 1 +auto_CLMULR auto_ZEXT_H 191 1 +auto_CLMULR auto_ANDN 189 1 +auto_CLMULR auto_ORN 216 1 +auto_CLMULR auto_XNOR 210 1 +auto_CLMULR auto_ROR 198 1 +auto_CLMULR auto_RORI 219 1 +auto_CLMULR auto_ROL 214 1 +auto_CLMULR auto_REV8 191 1 +auto_CLMULR auto_ORC_B 223 1 +auto_CLMULR auto_CLMUL 189 1 +auto_CLMULR auto_CLMULH 203 1 +auto_CLMULR auto_CLMULR 210 1 +auto_CLMULR auto_BSET 183 1 +auto_CLMULR auto_BSETI 174 1 +auto_CLMULR auto_BCLR 202 1 +auto_CLMULR auto_BCLRI 185 1 +auto_CLMULR auto_BINV 179 1 +auto_CLMULR auto_BINVI 203 1 +auto_CLMULR auto_BEXT 192 1 +auto_CLMULR auto_BEXTI 198 1 +auto_CLMULR auto_CSRRW 76 1 +auto_CLMULR auto_CSRRS 67 1 +auto_CLMULR auto_CSRRC 69 1 +auto_CLMULR auto_CSRRWI 64 1 +auto_CLMULR auto_CSRRSI 70 1 +auto_CLMULR auto_CSRRCI 95 1 +auto_CLMULR auto_C_LBU 3 1 +auto_CLMULR auto_C_SB 3 1 +auto_CLMULR auto_C_ZEXT_B 99 1 +auto_CLMULR auto_C_SEXT_B 95 1 +auto_CLMULR auto_C_ZEXT_H 90 1 +auto_CLMULR auto_C_SEXT_H 110 1 +auto_CLMULR auto_C_NOT 99 1 +auto_CLMULR auto_C_MUL 93 1 +auto_BSET auto_LUI 198 1 +auto_BSET auto_AUIPC 198 1 +auto_BSET auto_JAL 77 1 +auto_BSET auto_JALR 11 1 +auto_BSET auto_BEQ 41 1 +auto_BSET auto_BNE 54 1 +auto_BSET auto_BLT 55 1 +auto_BSET auto_BGE 53 1 +auto_BSET auto_BLTU 68 1 +auto_BSET auto_BGEU 67 1 +auto_BSET auto_LB 330 1 +auto_BSET auto_LH 112 1 +auto_BSET auto_LW 48 1 +auto_BSET auto_LBU 326 1 +auto_BSET auto_LHU 99 1 +auto_BSET auto_SB 337 1 +auto_BSET auto_SH 96 1 +auto_BSET auto_SW 37 1 +auto_BSET auto_ADDI 297 1 +auto_BSET auto_SLTI 195 1 +auto_BSET auto_SLTIU 219 1 +auto_BSET auto_XORI 199 1 +auto_BSET auto_ORI 186 1 +auto_BSET auto_ANDI 197 1 +auto_BSET auto_SLLI 195 1 +auto_BSET auto_SRLI 194 1 +auto_BSET auto_SRAI 194 1 +auto_BSET auto_ADD 150 1 +auto_BSET auto_SUB 205 1 +auto_BSET auto_SLL 211 1 +auto_BSET auto_SLT 220 1 +auto_BSET auto_SLTU 195 1 +auto_BSET auto_XOR 188 1 +auto_BSET auto_SRL 209 1 +auto_BSET auto_SRA 212 1 +auto_BSET auto_OR 190 1 +auto_BSET auto_AND 176 1 +auto_BSET auto_FENCE 76 1 +auto_BSET auto_MRET 342 1 +auto_BSET auto_MUL 197 1 +auto_BSET auto_MULH 182 1 +auto_BSET auto_MULHSU 209 1 +auto_BSET auto_MULHU 197 1 +auto_BSET auto_DIV 220 1 +auto_BSET auto_DIVU 199 1 +auto_BSET auto_REM 202 1 +auto_BSET auto_REMU 201 1 +auto_BSET auto_C_ADDI4SPN 70 1 +auto_BSET auto_C_LW 1 1 +auto_BSET auto_C_NOP 527 1 +auto_BSET auto_C_ADDI 86 1 +auto_BSET auto_C_JAL 30 1 +auto_BSET auto_C_LI 102 1 +auto_BSET auto_C_ADDI16SP 88 1 +auto_BSET auto_C_LUI 112 1 +auto_BSET auto_C_SRLI 95 1 +auto_BSET auto_C_SRAI 101 1 +auto_BSET auto_C_ANDI 93 1 +auto_BSET auto_C_SUB 89 1 +auto_BSET auto_C_XOR 95 1 +auto_BSET auto_C_OR 101 1 +auto_BSET auto_C_AND 109 1 +auto_BSET auto_C_J 33 1 +auto_BSET auto_C_BEQZ 56 1 +auto_BSET auto_C_BNEZ 50 1 +auto_BSET auto_C_SLLI 121 1 +auto_BSET auto_C_LWSP 4 1 +auto_BSET auto_C_JR 4 1 +auto_BSET auto_C_MV 111 1 +auto_BSET auto_C_JALR 2 1 +auto_BSET auto_C_ADD 141 1 +auto_BSET auto_C_SWSP 10 1 +auto_BSET auto_SH1ADD 178 1 +auto_BSET auto_SH2ADD 198 1 +auto_BSET auto_SH3ADD 188 1 +auto_BSET auto_CLZ 206 1 +auto_BSET auto_CTZ 210 1 +auto_BSET auto_CPOP 217 1 +auto_BSET auto_MIN 218 1 +auto_BSET auto_MAX 187 1 +auto_BSET auto_MINU 201 1 +auto_BSET auto_MAXU 198 1 +auto_BSET auto_SEXT_B 210 1 +auto_BSET auto_SEXT_H 191 1 +auto_BSET auto_ZEXT_H 190 1 +auto_BSET auto_ANDN 206 1 +auto_BSET auto_ORN 223 1 +auto_BSET auto_XNOR 198 1 +auto_BSET auto_ROR 224 1 +auto_BSET auto_RORI 223 1 +auto_BSET auto_ROL 198 1 +auto_BSET auto_REV8 187 1 +auto_BSET auto_ORC_B 238 1 +auto_BSET auto_CLMUL 172 1 +auto_BSET auto_CLMULH 186 1 +auto_BSET auto_CLMULR 205 1 +auto_BSET auto_BSET 213 1 +auto_BSET auto_BSETI 202 1 +auto_BSET auto_BCLR 192 1 +auto_BSET auto_BCLRI 202 1 +auto_BSET auto_BINV 195 1 +auto_BSET auto_BINVI 194 1 +auto_BSET auto_BEXT 191 1 +auto_BSET auto_BEXTI 203 1 +auto_BSET auto_CSRRW 64 1 +auto_BSET auto_CSRRS 84 1 +auto_BSET auto_CSRRC 63 1 +auto_BSET auto_CSRRWI 72 1 +auto_BSET auto_CSRRSI 82 1 +auto_BSET auto_CSRRCI 64 1 +auto_BSET auto_C_LBU 2 1 +auto_BSET auto_C_LHU 1 1 +auto_BSET auto_C_SB 3 1 +auto_BSET auto_C_ZEXT_B 102 1 +auto_BSET auto_C_SEXT_B 89 1 +auto_BSET auto_C_ZEXT_H 108 1 +auto_BSET auto_C_SEXT_H 89 1 +auto_BSET auto_C_NOT 91 1 +auto_BSET auto_C_MUL 125 1 +auto_BSETI auto_LUI 190 1 +auto_BSETI auto_AUIPC 180 1 +auto_BSETI auto_JAL 75 1 +auto_BSETI auto_JALR 9 1 +auto_BSETI auto_BEQ 48 1 +auto_BSETI auto_BNE 65 1 +auto_BSETI auto_BLT 62 1 +auto_BSETI auto_BGE 63 1 +auto_BSETI auto_BLTU 58 1 +auto_BSETI auto_BGEU 63 1 +auto_BSETI auto_LB 351 1 +auto_BSETI auto_LH 95 1 +auto_BSETI auto_LW 56 1 +auto_BSETI auto_LBU 309 1 +auto_BSETI auto_LHU 105 1 +auto_BSETI auto_SB 297 1 +auto_BSETI auto_SH 104 1 +auto_BSETI auto_SW 54 1 +auto_BSETI auto_ADDI 308 1 +auto_BSETI auto_SLTI 205 1 +auto_BSETI auto_SLTIU 180 1 +auto_BSETI auto_XORI 191 1 +auto_BSETI auto_ORI 206 1 +auto_BSETI auto_ANDI 200 1 +auto_BSETI auto_SLLI 171 1 +auto_BSETI auto_SRLI 237 1 +auto_BSETI auto_SRAI 209 1 +auto_BSETI auto_ADD 157 1 +auto_BSETI auto_SUB 193 1 +auto_BSETI auto_SLL 204 1 +auto_BSETI auto_SLT 193 1 +auto_BSETI auto_SLTU 185 1 +auto_BSETI auto_XOR 216 1 +auto_BSETI auto_SRL 189 1 +auto_BSETI auto_SRA 185 1 +auto_BSETI auto_OR 190 1 +auto_BSETI auto_AND 196 1 +auto_BSETI auto_FENCE 70 1 +auto_BSETI auto_MRET 326 1 +auto_BSETI auto_MUL 191 1 +auto_BSETI auto_MULH 192 1 +auto_BSETI auto_MULHSU 185 1 +auto_BSETI auto_MULHU 201 1 +auto_BSETI auto_DIV 181 1 +auto_BSETI auto_DIVU 184 1 +auto_BSETI auto_REM 207 1 +auto_BSETI auto_REMU 197 1 +auto_BSETI auto_C_ADDI4SPN 85 1 +auto_BSETI auto_C_LW 1 1 +auto_BSETI auto_C_SW 1 1 +auto_BSETI auto_C_NOP 513 1 +auto_BSETI auto_C_ADDI 89 1 +auto_BSETI auto_C_JAL 33 1 +auto_BSETI auto_C_LI 122 1 +auto_BSETI auto_C_ADDI16SP 93 1 +auto_BSETI auto_C_LUI 106 1 +auto_BSETI auto_C_SRLI 85 1 +auto_BSETI auto_C_SRAI 81 1 +auto_BSETI auto_C_ANDI 70 1 +auto_BSETI auto_C_SUB 116 1 +auto_BSETI auto_C_XOR 117 1 +auto_BSETI auto_C_OR 95 1 +auto_BSETI auto_C_AND 119 1 +auto_BSETI auto_C_J 26 1 +auto_BSETI auto_C_BEQZ 52 1 +auto_BSETI auto_C_BNEZ 58 1 +auto_BSETI auto_C_SLLI 134 1 +auto_BSETI auto_C_LWSP 7 1 +auto_BSETI auto_C_JR 2 1 +auto_BSETI auto_C_MV 119 1 +auto_BSETI auto_C_ADD 132 1 +auto_BSETI auto_C_SWSP 2 1 +auto_BSETI auto_SH1ADD 217 1 +auto_BSETI auto_SH2ADD 204 1 +auto_BSETI auto_SH3ADD 196 1 +auto_BSETI auto_CLZ 199 1 +auto_BSETI auto_CTZ 186 1 +auto_BSETI auto_CPOP 251 1 +auto_BSETI auto_MIN 215 1 +auto_BSETI auto_MAX 194 1 +auto_BSETI auto_MINU 186 1 +auto_BSETI auto_MAXU 201 1 +auto_BSETI auto_SEXT_B 231 1 +auto_BSETI auto_SEXT_H 198 1 +auto_BSETI auto_ZEXT_H 235 1 +auto_BSETI auto_ANDN 201 1 +auto_BSETI auto_ORN 188 1 +auto_BSETI auto_XNOR 205 1 +auto_BSETI auto_ROR 219 1 +auto_BSETI auto_RORI 193 1 +auto_BSETI auto_ROL 201 1 +auto_BSETI auto_REV8 208 1 +auto_BSETI auto_ORC_B 195 1 +auto_BSETI auto_CLMUL 195 1 +auto_BSETI auto_CLMULH 190 1 +auto_BSETI auto_CLMULR 197 1 +auto_BSETI auto_BSET 206 1 +auto_BSETI auto_BSETI 195 1 +auto_BSETI auto_BCLR 176 1 +auto_BSETI auto_BCLRI 210 1 +auto_BSETI auto_BINV 173 1 +auto_BSETI auto_BINVI 194 1 +auto_BSETI auto_BEXT 194 1 +auto_BSETI auto_BEXTI 173 1 +auto_BSETI auto_CSRRW 68 1 +auto_BSETI auto_CSRRS 64 1 +auto_BSETI auto_CSRRC 76 1 +auto_BSETI auto_CSRRWI 79 1 +auto_BSETI auto_CSRRSI 76 1 +auto_BSETI auto_CSRRCI 65 1 +auto_BSETI auto_C_LBU 3 1 +auto_BSETI auto_C_SB 2 1 +auto_BSETI auto_C_ZEXT_B 88 1 +auto_BSETI auto_C_SEXT_B 94 1 +auto_BSETI auto_C_ZEXT_H 102 1 +auto_BSETI auto_C_SEXT_H 79 1 +auto_BSETI auto_C_NOT 96 1 +auto_BSETI auto_C_MUL 96 1 +auto_BCLR auto_LUI 179 1 +auto_BCLR auto_AUIPC 233 1 +auto_BCLR auto_JAL 85 1 +auto_BCLR auto_JALR 1 1 +auto_BCLR auto_BEQ 67 1 +auto_BCLR auto_BNE 46 1 +auto_BCLR auto_BLT 61 1 +auto_BCLR auto_BGE 47 1 +auto_BCLR auto_BLTU 54 1 +auto_BCLR auto_BGEU 60 1 +auto_BCLR auto_LB 317 1 +auto_BCLR auto_LH 111 1 +auto_BCLR auto_LW 42 1 +auto_BCLR auto_LBU 296 1 +auto_BCLR auto_LHU 95 1 +auto_BCLR auto_SB 308 1 +auto_BCLR auto_SH 85 1 +auto_BCLR auto_SW 45 1 +auto_BCLR auto_ADDI 321 1 +auto_BCLR auto_SLTI 186 1 +auto_BCLR auto_SLTIU 207 1 +auto_BCLR auto_XORI 199 1 +auto_BCLR auto_ORI 184 1 +auto_BCLR auto_ANDI 195 1 +auto_BCLR auto_SLLI 194 1 +auto_BCLR auto_SRLI 173 1 +auto_BCLR auto_SRAI 209 1 +auto_BCLR auto_ADD 179 1 +auto_BCLR auto_SUB 207 1 +auto_BCLR auto_SLL 186 1 +auto_BCLR auto_SLT 196 1 +auto_BCLR auto_SLTU 204 1 +auto_BCLR auto_XOR 184 1 +auto_BCLR auto_SRL 203 1 +auto_BCLR auto_SRA 199 1 +auto_BCLR auto_OR 191 1 +auto_BCLR auto_AND 171 1 +auto_BCLR auto_FENCE 82 1 +auto_BCLR auto_MRET 339 1 +auto_BCLR auto_MUL 170 1 +auto_BCLR auto_MULH 218 1 +auto_BCLR auto_MULHSU 181 1 +auto_BCLR auto_MULHU 210 1 +auto_BCLR auto_DIV 186 1 +auto_BCLR auto_DIVU 207 1 +auto_BCLR auto_REM 236 1 +auto_BCLR auto_REMU 172 1 +auto_BCLR auto_C_ADDI4SPN 84 1 +auto_BCLR auto_C_SW 1 1 +auto_BCLR auto_C_NOP 523 1 +auto_BCLR auto_C_ADDI 125 1 +auto_BCLR auto_C_JAL 27 1 +auto_BCLR auto_C_LI 103 1 +auto_BCLR auto_C_ADDI16SP 69 1 +auto_BCLR auto_C_LUI 96 1 +auto_BCLR auto_C_SRLI 94 1 +auto_BCLR auto_C_SRAI 100 1 +auto_BCLR auto_C_ANDI 84 1 +auto_BCLR auto_C_SUB 80 1 +auto_BCLR auto_C_XOR 103 1 +auto_BCLR auto_C_OR 85 1 +auto_BCLR auto_C_AND 87 1 +auto_BCLR auto_C_J 35 1 +auto_BCLR auto_C_BEQZ 53 1 +auto_BCLR auto_C_BNEZ 49 1 +auto_BCLR auto_C_SLLI 78 1 +auto_BCLR auto_C_LWSP 9 1 +auto_BCLR auto_C_JR 1 1 +auto_BCLR auto_C_MV 118 1 +auto_BCLR auto_C_ADD 151 1 +auto_BCLR auto_C_SWSP 3 1 +auto_BCLR auto_SH1ADD 219 1 +auto_BCLR auto_SH2ADD 199 1 +auto_BCLR auto_SH3ADD 170 1 +auto_BCLR auto_CLZ 230 1 +auto_BCLR auto_CTZ 184 1 +auto_BCLR auto_CPOP 185 1 +auto_BCLR auto_MIN 211 1 +auto_BCLR auto_MAX 215 1 +auto_BCLR auto_MINU 169 1 +auto_BCLR auto_MAXU 182 1 +auto_BCLR auto_SEXT_B 187 1 +auto_BCLR auto_SEXT_H 198 1 +auto_BCLR auto_ZEXT_H 196 1 +auto_BCLR auto_ANDN 204 1 +auto_BCLR auto_ORN 219 1 +auto_BCLR auto_XNOR 191 1 +auto_BCLR auto_ROR 198 1 +auto_BCLR auto_RORI 184 1 +auto_BCLR auto_ROL 191 1 +auto_BCLR auto_REV8 197 1 +auto_BCLR auto_ORC_B 216 1 +auto_BCLR auto_CLMUL 199 1 +auto_BCLR auto_CLMULH 225 1 +auto_BCLR auto_CLMULR 187 1 +auto_BCLR auto_BSET 219 1 +auto_BCLR auto_BSETI 215 1 +auto_BCLR auto_BCLR 197 1 +auto_BCLR auto_BCLRI 186 1 +auto_BCLR auto_BINV 205 1 +auto_BCLR auto_BINVI 198 1 +auto_BCLR auto_BEXT 233 1 +auto_BCLR auto_BEXTI 205 1 +auto_BCLR auto_CSRRW 80 1 +auto_BCLR auto_CSRRS 73 1 +auto_BCLR auto_CSRRC 72 1 +auto_BCLR auto_CSRRWI 64 1 +auto_BCLR auto_CSRRSI 61 1 +auto_BCLR auto_CSRRCI 63 1 +auto_BCLR auto_C_LBU 4 1 +auto_BCLR auto_C_SB 1 1 +auto_BCLR auto_C_SH 1 1 +auto_BCLR auto_C_ZEXT_B 90 1 +auto_BCLR auto_C_SEXT_B 123 1 +auto_BCLR auto_C_ZEXT_H 85 1 +auto_BCLR auto_C_SEXT_H 70 1 +auto_BCLR auto_C_NOT 104 1 +auto_BCLR auto_C_MUL 118 1 +auto_BCLRI auto_LUI 202 1 +auto_BCLRI auto_AUIPC 204 1 +auto_BCLRI auto_JAL 73 1 +auto_BCLRI auto_BEQ 61 1 +auto_BCLRI auto_BNE 43 1 +auto_BCLRI auto_BLT 53 1 +auto_BCLRI auto_BGE 57 1 +auto_BCLRI auto_BLTU 64 1 +auto_BCLRI auto_BGEU 65 1 +auto_BCLRI auto_LB 319 1 +auto_BCLRI auto_LH 111 1 +auto_BCLRI auto_LW 51 1 +auto_BCLRI auto_LBU 298 1 +auto_BCLRI auto_LHU 117 1 +auto_BCLRI auto_SB 325 1 +auto_BCLRI auto_SH 99 1 +auto_BCLRI auto_SW 52 1 +auto_BCLRI auto_ADDI 304 1 +auto_BCLRI auto_SLTI 208 1 +auto_BCLRI auto_SLTIU 208 1 +auto_BCLRI auto_XORI 194 1 +auto_BCLRI auto_ORI 189 1 +auto_BCLRI auto_ANDI 167 1 +auto_BCLRI auto_SLLI 179 1 +auto_BCLRI auto_SRLI 182 1 +auto_BCLRI auto_SRAI 207 1 +auto_BCLRI auto_ADD 147 1 +auto_BCLRI auto_SUB 177 1 +auto_BCLRI auto_SLL 207 1 +auto_BCLRI auto_SLT 192 1 +auto_BCLRI auto_SLTU 209 1 +auto_BCLRI auto_XOR 212 1 +auto_BCLRI auto_SRL 207 1 +auto_BCLRI auto_SRA 214 1 +auto_BCLRI auto_OR 196 1 +auto_BCLRI auto_AND 189 1 +auto_BCLRI auto_FENCE 62 1 +auto_BCLRI auto_MRET 317 1 +auto_BCLRI auto_MUL 209 1 +auto_BCLRI auto_MULH 182 1 +auto_BCLRI auto_MULHSU 213 1 +auto_BCLRI auto_MULHU 202 1 +auto_BCLRI auto_DIV 225 1 +auto_BCLRI auto_DIVU 231 1 +auto_BCLRI auto_REM 213 1 +auto_BCLRI auto_REMU 203 1 +auto_BCLRI auto_C_ADDI4SPN 78 1 +auto_BCLRI auto_C_SW 3 1 +auto_BCLRI auto_C_NOP 523 1 +auto_BCLRI auto_C_ADDI 130 1 +auto_BCLRI auto_C_JAL 33 1 +auto_BCLRI auto_C_LI 111 1 +auto_BCLRI auto_C_ADDI16SP 73 1 +auto_BCLRI auto_C_LUI 102 1 +auto_BCLRI auto_C_SRLI 106 1 +auto_BCLRI auto_C_SRAI 109 1 +auto_BCLRI auto_C_ANDI 110 1 +auto_BCLRI auto_C_SUB 110 1 +auto_BCLRI auto_C_XOR 89 1 +auto_BCLRI auto_C_OR 107 1 +auto_BCLRI auto_C_AND 89 1 +auto_BCLRI auto_C_J 30 1 +auto_BCLRI auto_C_BEQZ 56 1 +auto_BCLRI auto_C_BNEZ 39 1 +auto_BCLRI auto_C_SLLI 123 1 +auto_BCLRI auto_C_LWSP 5 1 +auto_BCLRI auto_C_MV 79 1 +auto_BCLRI auto_C_ADD 133 1 +auto_BCLRI auto_C_SWSP 5 1 +auto_BCLRI auto_SH1ADD 206 1 +auto_BCLRI auto_SH2ADD 180 1 +auto_BCLRI auto_SH3ADD 213 1 +auto_BCLRI auto_CLZ 202 1 +auto_BCLRI auto_CTZ 199 1 +auto_BCLRI auto_CPOP 211 1 +auto_BCLRI auto_MIN 179 1 +auto_BCLRI auto_MAX 208 1 +auto_BCLRI auto_MINU 209 1 +auto_BCLRI auto_MAXU 211 1 +auto_BCLRI auto_SEXT_B 191 1 +auto_BCLRI auto_SEXT_H 203 1 +auto_BCLRI auto_ZEXT_H 202 1 +auto_BCLRI auto_ANDN 190 1 +auto_BCLRI auto_ORN 213 1 +auto_BCLRI auto_XNOR 199 1 +auto_BCLRI auto_ROR 187 1 +auto_BCLRI auto_RORI 191 1 +auto_BCLRI auto_ROL 223 1 +auto_BCLRI auto_REV8 205 1 +auto_BCLRI auto_ORC_B 218 1 +auto_BCLRI auto_CLMUL 200 1 +auto_BCLRI auto_CLMULH 200 1 +auto_BCLRI auto_CLMULR 207 1 +auto_BCLRI auto_BSET 212 1 +auto_BCLRI auto_BSETI 198 1 +auto_BCLRI auto_BCLR 184 1 +auto_BCLRI auto_BCLRI 219 1 +auto_BCLRI auto_BINV 197 1 +auto_BCLRI auto_BINVI 193 1 +auto_BCLRI auto_BEXT 172 1 +auto_BCLRI auto_BEXTI 197 1 +auto_BCLRI auto_CSRRW 69 1 +auto_BCLRI auto_CSRRS 69 1 +auto_BCLRI auto_CSRRC 68 1 +auto_BCLRI auto_CSRRWI 67 1 +auto_BCLRI auto_CSRRSI 85 1 +auto_BCLRI auto_CSRRCI 54 1 +auto_BCLRI auto_C_LBU 1 1 +auto_BCLRI auto_C_LHU 1 1 +auto_BCLRI auto_C_SB 4 1 +auto_BCLRI auto_C_SH 1 1 +auto_BCLRI auto_C_ZEXT_B 79 1 +auto_BCLRI auto_C_SEXT_B 77 1 +auto_BCLRI auto_C_ZEXT_H 91 1 +auto_BCLRI auto_C_SEXT_H 92 1 +auto_BCLRI auto_C_NOT 93 1 +auto_BCLRI auto_C_MUL 82 1 +auto_BINV auto_LUI 199 1 +auto_BINV auto_AUIPC 182 1 +auto_BINV auto_JAL 66 1 +auto_BINV auto_JALR 2 1 +auto_BINV auto_BEQ 64 1 +auto_BINV auto_BNE 68 1 +auto_BINV auto_BLT 55 1 +auto_BINV auto_BGE 58 1 +auto_BINV auto_BLTU 54 1 +auto_BINV auto_BGEU 62 1 +auto_BINV auto_LB 329 1 +auto_BINV auto_LH 94 1 +auto_BINV auto_LW 37 1 +auto_BINV auto_LBU 310 1 +auto_BINV auto_LHU 104 1 +auto_BINV auto_SB 317 1 +auto_BINV auto_SH 92 1 +auto_BINV auto_SW 30 1 +auto_BINV auto_ADDI 327 1 +auto_BINV auto_SLTI 199 1 +auto_BINV auto_SLTIU 206 1 +auto_BINV auto_XORI 184 1 +auto_BINV auto_ORI 202 1 +auto_BINV auto_ANDI 196 1 +auto_BINV auto_SLLI 201 1 +auto_BINV auto_SRLI 200 1 +auto_BINV auto_SRAI 192 1 +auto_BINV auto_ADD 149 1 +auto_BINV auto_SUB 183 1 +auto_BINV auto_SLL 186 1 +auto_BINV auto_SLT 195 1 +auto_BINV auto_SLTU 205 1 +auto_BINV auto_XOR 192 1 +auto_BINV auto_SRL 186 1 +auto_BINV auto_SRA 168 1 +auto_BINV auto_OR 194 1 +auto_BINV auto_AND 171 1 +auto_BINV auto_FENCE 70 1 +auto_BINV auto_MRET 343 1 +auto_BINV auto_MUL 208 1 +auto_BINV auto_MULH 188 1 +auto_BINV auto_MULHSU 209 1 +auto_BINV auto_MULHU 204 1 +auto_BINV auto_DIV 187 1 +auto_BINV auto_DIVU 191 1 +auto_BINV auto_REM 174 1 +auto_BINV auto_REMU 202 1 +auto_BINV auto_C_ADDI4SPN 86 1 +auto_BINV auto_C_LW 1 1 +auto_BINV auto_C_NOP 535 1 +auto_BINV auto_C_ADDI 120 1 +auto_BINV auto_C_JAL 35 1 +auto_BINV auto_C_LI 107 1 +auto_BINV auto_C_ADDI16SP 79 1 +auto_BINV auto_C_LUI 97 1 +auto_BINV auto_C_SRLI 96 1 +auto_BINV auto_C_SRAI 113 1 +auto_BINV auto_C_ANDI 90 1 +auto_BINV auto_C_SUB 95 1 +auto_BINV auto_C_XOR 85 1 +auto_BINV auto_C_OR 116 1 +auto_BINV auto_C_AND 84 1 +auto_BINV auto_C_J 35 1 +auto_BINV auto_C_BEQZ 48 1 +auto_BINV auto_C_BNEZ 42 1 +auto_BINV auto_C_SLLI 123 1 +auto_BINV auto_C_LWSP 11 1 +auto_BINV auto_C_JR 2 1 +auto_BINV auto_C_MV 98 1 +auto_BINV auto_C_JALR 4 1 +auto_BINV auto_C_ADD 135 1 +auto_BINV auto_C_SWSP 5 1 +auto_BINV auto_SH1ADD 193 1 +auto_BINV auto_SH2ADD 202 1 +auto_BINV auto_SH3ADD 178 1 +auto_BINV auto_CLZ 216 1 +auto_BINV auto_CTZ 195 1 +auto_BINV auto_CPOP 220 1 +auto_BINV auto_MIN 189 1 +auto_BINV auto_MAX 219 1 +auto_BINV auto_MINU 218 1 +auto_BINV auto_MAXU 201 1 +auto_BINV auto_SEXT_B 205 1 +auto_BINV auto_SEXT_H 182 1 +auto_BINV auto_ZEXT_H 217 1 +auto_BINV auto_ANDN 202 1 +auto_BINV auto_ORN 207 1 +auto_BINV auto_XNOR 191 1 +auto_BINV auto_ROR 187 1 +auto_BINV auto_RORI 178 1 +auto_BINV auto_ROL 211 1 +auto_BINV auto_REV8 179 1 +auto_BINV auto_ORC_B 221 1 +auto_BINV auto_CLMUL 213 1 +auto_BINV auto_CLMULH 179 1 +auto_BINV auto_CLMULR 182 1 +auto_BINV auto_BSET 185 1 +auto_BINV auto_BSETI 218 1 +auto_BINV auto_BCLR 214 1 +auto_BINV auto_BCLRI 222 1 +auto_BINV auto_BINV 190 1 +auto_BINV auto_BINVI 193 1 +auto_BINV auto_BEXT 194 1 +auto_BINV auto_BEXTI 221 1 +auto_BINV auto_CSRRW 65 1 +auto_BINV auto_CSRRS 52 1 +auto_BINV auto_CSRRC 50 1 +auto_BINV auto_CSRRWI 69 1 +auto_BINV auto_CSRRSI 70 1 +auto_BINV auto_CSRRCI 64 1 +auto_BINV auto_C_LBU 3 1 +auto_BINV auto_C_LHU 1 1 +auto_BINV auto_C_SB 3 1 +auto_BINV auto_C_ZEXT_B 92 1 +auto_BINV auto_C_SEXT_B 83 1 +auto_BINV auto_C_ZEXT_H 95 1 +auto_BINV auto_C_SEXT_H 102 1 +auto_BINV auto_C_NOT 93 1 +auto_BINV auto_C_MUL 119 1 +auto_BINVI auto_LUI 215 1 +auto_BINVI auto_AUIPC 179 1 +auto_BINVI auto_JAL 75 1 +auto_BINVI auto_JALR 3 1 +auto_BINVI auto_BEQ 51 1 +auto_BINVI auto_BNE 53 1 +auto_BINVI auto_BLT 62 1 +auto_BINVI auto_BGE 62 1 +auto_BINVI auto_BLTU 66 1 +auto_BINVI auto_BGEU 60 1 +auto_BINVI auto_LB 331 1 +auto_BINVI auto_LH 90 1 +auto_BINVI auto_LW 41 1 +auto_BINVI auto_LBU 322 1 +auto_BINVI auto_LHU 122 1 +auto_BINVI auto_SB 320 1 +auto_BINVI auto_SH 112 1 +auto_BINVI auto_SW 50 1 +auto_BINVI auto_ADDI 312 1 +auto_BINVI auto_SLTI 217 1 +auto_BINVI auto_SLTIU 209 1 +auto_BINVI auto_XORI 172 1 +auto_BINVI auto_ORI 217 1 +auto_BINVI auto_ANDI 195 1 +auto_BINVI auto_SLLI 224 1 +auto_BINVI auto_SRLI 197 1 +auto_BINVI auto_SRAI 188 1 +auto_BINVI auto_ADD 145 1 +auto_BINVI auto_SUB 152 1 +auto_BINVI auto_SLL 200 1 +auto_BINVI auto_SLT 153 1 +auto_BINVI auto_SLTU 212 1 +auto_BINVI auto_XOR 191 1 +auto_BINVI auto_SRL 209 1 +auto_BINVI auto_SRA 211 1 +auto_BINVI auto_OR 202 1 +auto_BINVI auto_AND 217 1 +auto_BINVI auto_FENCE 83 1 +auto_BINVI auto_MRET 351 1 +auto_BINVI auto_MUL 194 1 +auto_BINVI auto_MULH 206 1 +auto_BINVI auto_MULHSU 178 1 +auto_BINVI auto_MULHU 194 1 +auto_BINVI auto_DIV 226 1 +auto_BINVI auto_DIVU 198 1 +auto_BINVI auto_REM 186 1 +auto_BINVI auto_REMU 213 1 +auto_BINVI auto_C_ADDI4SPN 83 1 +auto_BINVI auto_C_LW 1 1 +auto_BINVI auto_C_SW 1 1 +auto_BINVI auto_C_NOP 475 1 +auto_BINVI auto_C_ADDI 105 1 +auto_BINVI auto_C_JAL 36 1 +auto_BINVI auto_C_LI 124 1 +auto_BINVI auto_C_ADDI16SP 80 1 +auto_BINVI auto_C_LUI 113 1 +auto_BINVI auto_C_SRLI 80 1 +auto_BINVI auto_C_SRAI 93 1 +auto_BINVI auto_C_ANDI 89 1 +auto_BINVI auto_C_SUB 70 1 +auto_BINVI auto_C_XOR 91 1 +auto_BINVI auto_C_OR 106 1 +auto_BINVI auto_C_AND 106 1 +auto_BINVI auto_C_J 36 1 +auto_BINVI auto_C_BEQZ 50 1 +auto_BINVI auto_C_BNEZ 41 1 +auto_BINVI auto_C_SLLI 129 1 +auto_BINVI auto_C_LWSP 1 1 +auto_BINVI auto_C_MV 97 1 +auto_BINVI auto_C_JALR 12 1 +auto_BINVI auto_C_ADD 151 1 +auto_BINVI auto_C_SWSP 7 1 +auto_BINVI auto_SH1ADD 213 1 +auto_BINVI auto_SH2ADD 231 1 +auto_BINVI auto_SH3ADD 202 1 +auto_BINVI auto_CLZ 205 1 +auto_BINVI auto_CTZ 197 1 +auto_BINVI auto_CPOP 198 1 +auto_BINVI auto_MIN 208 1 +auto_BINVI auto_MAX 182 1 +auto_BINVI auto_MINU 192 1 +auto_BINVI auto_MAXU 185 1 +auto_BINVI auto_SEXT_B 188 1 +auto_BINVI auto_SEXT_H 204 1 +auto_BINVI auto_ZEXT_H 157 1 +auto_BINVI auto_ANDN 185 1 +auto_BINVI auto_ORN 210 1 +auto_BINVI auto_XNOR 226 1 +auto_BINVI auto_ROR 218 1 +auto_BINVI auto_RORI 185 1 +auto_BINVI auto_ROL 177 1 +auto_BINVI auto_REV8 215 1 +auto_BINVI auto_ORC_B 216 1 +auto_BINVI auto_CLMUL 164 1 +auto_BINVI auto_CLMULH 165 1 +auto_BINVI auto_CLMULR 193 1 +auto_BINVI auto_BSET 201 1 +auto_BINVI auto_BSETI 188 1 +auto_BINVI auto_BCLR 200 1 +auto_BINVI auto_BCLRI 185 1 +auto_BINVI auto_BINV 161 1 +auto_BINVI auto_BINVI 195 1 +auto_BINVI auto_BEXT 199 1 +auto_BINVI auto_BEXTI 208 1 +auto_BINVI auto_CSRRW 80 1 +auto_BINVI auto_CSRRS 63 1 +auto_BINVI auto_CSRRC 68 1 +auto_BINVI auto_CSRRWI 70 1 +auto_BINVI auto_CSRRSI 65 1 +auto_BINVI auto_CSRRCI 74 1 +auto_BINVI auto_C_LBU 5 1 +auto_BINVI auto_C_LH 1 1 +auto_BINVI auto_C_SB 5 1 +auto_BINVI auto_C_ZEXT_B 82 1 +auto_BINVI auto_C_SEXT_B 92 1 +auto_BINVI auto_C_ZEXT_H 104 1 +auto_BINVI auto_C_SEXT_H 101 1 +auto_BINVI auto_C_NOT 92 1 +auto_BINVI auto_C_MUL 81 1 +auto_BEXT auto_LUI 184 1 +auto_BEXT auto_AUIPC 196 1 +auto_BEXT auto_JAL 59 1 +auto_BEXT auto_JALR 1 1 +auto_BEXT auto_BEQ 62 1 +auto_BEXT auto_BNE 67 1 +auto_BEXT auto_BLT 47 1 +auto_BEXT auto_BGE 59 1 +auto_BEXT auto_BLTU 55 1 +auto_BEXT auto_BGEU 59 1 +auto_BEXT auto_LB 334 1 +auto_BEXT auto_LH 107 1 +auto_BEXT auto_LW 47 1 +auto_BEXT auto_LBU 337 1 +auto_BEXT auto_LHU 109 1 +auto_BEXT auto_SB 325 1 +auto_BEXT auto_SH 94 1 +auto_BEXT auto_SW 41 1 +auto_BEXT auto_ADDI 337 1 +auto_BEXT auto_SLTI 193 1 +auto_BEXT auto_SLTIU 207 1 +auto_BEXT auto_XORI 192 1 +auto_BEXT auto_ORI 184 1 +auto_BEXT auto_ANDI 195 1 +auto_BEXT auto_SLLI 234 1 +auto_BEXT auto_SRLI 207 1 +auto_BEXT auto_SRAI 221 1 +auto_BEXT auto_ADD 154 1 +auto_BEXT auto_SUB 174 1 +auto_BEXT auto_SLL 179 1 +auto_BEXT auto_SLT 198 1 +auto_BEXT auto_SLTU 217 1 +auto_BEXT auto_XOR 203 1 +auto_BEXT auto_SRL 216 1 +auto_BEXT auto_SRA 223 1 +auto_BEXT auto_OR 175 1 +auto_BEXT auto_AND 193 1 +auto_BEXT auto_FENCE 89 1 +auto_BEXT auto_MRET 338 1 +auto_BEXT auto_MUL 189 1 +auto_BEXT auto_MULH 169 1 +auto_BEXT auto_MULHSU 185 1 +auto_BEXT auto_MULHU 189 1 +auto_BEXT auto_DIV 195 1 +auto_BEXT auto_DIVU 191 1 +auto_BEXT auto_REM 184 1 +auto_BEXT auto_REMU 227 1 +auto_BEXT auto_C_ADDI4SPN 74 1 +auto_BEXT auto_C_LW 1 1 +auto_BEXT auto_C_NOP 546 1 +auto_BEXT auto_C_ADDI 102 1 +auto_BEXT auto_C_JAL 16 1 +auto_BEXT auto_C_LI 92 1 +auto_BEXT auto_C_ADDI16SP 79 1 +auto_BEXT auto_C_LUI 103 1 +auto_BEXT auto_C_SRLI 108 1 +auto_BEXT auto_C_SRAI 104 1 +auto_BEXT auto_C_ANDI 79 1 +auto_BEXT auto_C_SUB 100 1 +auto_BEXT auto_C_XOR 100 1 +auto_BEXT auto_C_OR 88 1 +auto_BEXT auto_C_AND 104 1 +auto_BEXT auto_C_J 31 1 +auto_BEXT auto_C_BEQZ 48 1 +auto_BEXT auto_C_BNEZ 53 1 +auto_BEXT auto_C_SLLI 108 1 +auto_BEXT auto_C_LWSP 4 1 +auto_BEXT auto_C_MV 103 1 +auto_BEXT auto_C_ADD 127 1 +auto_BEXT auto_C_SWSP 4 1 +auto_BEXT auto_SH1ADD 214 1 +auto_BEXT auto_SH2ADD 175 1 +auto_BEXT auto_SH3ADD 197 1 +auto_BEXT auto_CLZ 227 1 +auto_BEXT auto_CTZ 193 1 +auto_BEXT auto_CPOP 190 1 +auto_BEXT auto_MIN 190 1 +auto_BEXT auto_MAX 204 1 +auto_BEXT auto_MINU 194 1 +auto_BEXT auto_MAXU 191 1 +auto_BEXT auto_SEXT_B 234 1 +auto_BEXT auto_SEXT_H 197 1 +auto_BEXT auto_ZEXT_H 219 1 +auto_BEXT auto_ANDN 179 1 +auto_BEXT auto_ORN 179 1 +auto_BEXT auto_XNOR 230 1 +auto_BEXT auto_ROR 205 1 +auto_BEXT auto_RORI 197 1 +auto_BEXT auto_ROL 200 1 +auto_BEXT auto_REV8 189 1 +auto_BEXT auto_ORC_B 206 1 +auto_BEXT auto_CLMUL 211 1 +auto_BEXT auto_CLMULH 174 1 +auto_BEXT auto_CLMULR 216 1 +auto_BEXT auto_BSET 187 1 +auto_BEXT auto_BSETI 191 1 +auto_BEXT auto_BCLR 193 1 +auto_BEXT auto_BCLRI 197 1 +auto_BEXT auto_BINV 201 1 +auto_BEXT auto_BINVI 202 1 +auto_BEXT auto_BEXT 205 1 +auto_BEXT auto_BEXTI 178 1 +auto_BEXT auto_CSRRW 85 1 +auto_BEXT auto_CSRRS 85 1 +auto_BEXT auto_CSRRC 60 1 +auto_BEXT auto_CSRRWI 58 1 +auto_BEXT auto_CSRRSI 83 1 +auto_BEXT auto_CSRRCI 73 1 +auto_BEXT auto_C_LH 1 1 +auto_BEXT auto_C_SB 2 1 +auto_BEXT auto_C_SH 1 1 +auto_BEXT auto_C_ZEXT_B 80 1 +auto_BEXT auto_C_SEXT_B 80 1 +auto_BEXT auto_C_ZEXT_H 89 1 +auto_BEXT auto_C_SEXT_H 89 1 +auto_BEXT auto_C_NOT 82 1 +auto_BEXT auto_C_MUL 96 1 +auto_BEXTI auto_LUI 209 1 +auto_BEXTI auto_AUIPC 212 1 +auto_BEXTI auto_JAL 66 1 +auto_BEXTI auto_JALR 4 1 +auto_BEXTI auto_BEQ 71 1 +auto_BEXTI auto_BNE 60 1 +auto_BEXTI auto_BLT 48 1 +auto_BEXTI auto_BGE 57 1 +auto_BEXTI auto_BLTU 47 1 +auto_BEXTI auto_BGEU 69 1 +auto_BEXTI auto_LB 322 1 +auto_BEXTI auto_LH 119 1 +auto_BEXTI auto_LW 38 1 +auto_BEXTI auto_LBU 294 1 +auto_BEXTI auto_LHU 87 1 +auto_BEXTI auto_SB 332 1 +auto_BEXTI auto_SH 100 1 +auto_BEXTI auto_SW 61 1 +auto_BEXTI auto_ADDI 340 1 +auto_BEXTI auto_SLTI 179 1 +auto_BEXTI auto_SLTIU 215 1 +auto_BEXTI auto_XORI 188 1 +auto_BEXTI auto_ORI 202 1 +auto_BEXTI auto_ANDI 192 1 +auto_BEXTI auto_SLLI 208 1 +auto_BEXTI auto_SRLI 196 1 +auto_BEXTI auto_SRAI 222 1 +auto_BEXTI auto_ADD 168 1 +auto_BEXTI auto_SUB 194 1 +auto_BEXTI auto_SLL 204 1 +auto_BEXTI auto_SLT 198 1 +auto_BEXTI auto_SLTU 177 1 +auto_BEXTI auto_XOR 203 1 +auto_BEXTI auto_SRL 170 1 +auto_BEXTI auto_SRA 187 1 +auto_BEXTI auto_OR 192 1 +auto_BEXTI auto_AND 182 1 +auto_BEXTI auto_FENCE 64 1 +auto_BEXTI auto_MRET 326 1 +auto_BEXTI auto_MUL 191 1 +auto_BEXTI auto_MULH 218 1 +auto_BEXTI auto_MULHSU 203 1 +auto_BEXTI auto_MULHU 192 1 +auto_BEXTI auto_DIV 193 1 +auto_BEXTI auto_DIVU 235 1 +auto_BEXTI auto_REM 201 1 +auto_BEXTI auto_REMU 215 1 +auto_BEXTI auto_C_ADDI4SPN 75 1 +auto_BEXTI auto_C_SW 1 1 +auto_BEXTI auto_C_NOP 534 1 +auto_BEXTI auto_C_ADDI 107 1 +auto_BEXTI auto_C_JAL 23 1 +auto_BEXTI auto_C_LI 99 1 +auto_BEXTI auto_C_ADDI16SP 85 1 +auto_BEXTI auto_C_LUI 110 1 +auto_BEXTI auto_C_SRLI 102 1 +auto_BEXTI auto_C_SRAI 96 1 +auto_BEXTI auto_C_ANDI 106 1 +auto_BEXTI auto_C_SUB 89 1 +auto_BEXTI auto_C_XOR 89 1 +auto_BEXTI auto_C_OR 87 1 +auto_BEXTI auto_C_AND 104 1 +auto_BEXTI auto_C_J 24 1 +auto_BEXTI auto_C_BEQZ 57 1 +auto_BEXTI auto_C_BNEZ 42 1 +auto_BEXTI auto_C_SLLI 99 1 +auto_BEXTI auto_C_LWSP 6 1 +auto_BEXTI auto_C_MV 114 1 +auto_BEXTI auto_C_JALR 1 1 +auto_BEXTI auto_C_ADD 129 1 +auto_BEXTI auto_C_SWSP 4 1 +auto_BEXTI auto_SH1ADD 214 1 +auto_BEXTI auto_SH2ADD 199 1 +auto_BEXTI auto_SH3ADD 221 1 +auto_BEXTI auto_CLZ 189 1 +auto_BEXTI auto_CTZ 181 1 +auto_BEXTI auto_CPOP 210 1 +auto_BEXTI auto_MIN 191 1 +auto_BEXTI auto_MAX 196 1 +auto_BEXTI auto_MINU 206 1 +auto_BEXTI auto_MAXU 203 1 +auto_BEXTI auto_SEXT_B 217 1 +auto_BEXTI auto_SEXT_H 210 1 +auto_BEXTI auto_ZEXT_H 171 1 +auto_BEXTI auto_ANDN 206 1 +auto_BEXTI auto_ORN 203 1 +auto_BEXTI auto_XNOR 205 1 +auto_BEXTI auto_ROR 209 1 +auto_BEXTI auto_RORI 185 1 +auto_BEXTI auto_ROL 191 1 +auto_BEXTI auto_REV8 208 1 +auto_BEXTI auto_ORC_B 199 1 +auto_BEXTI auto_CLMUL 204 1 +auto_BEXTI auto_CLMULH 190 1 +auto_BEXTI auto_CLMULR 217 1 +auto_BEXTI auto_BSET 185 1 +auto_BEXTI auto_BSETI 210 1 +auto_BEXTI auto_BCLR 178 1 +auto_BEXTI auto_BCLRI 209 1 +auto_BEXTI auto_BINV 214 1 +auto_BEXTI auto_BINVI 193 1 +auto_BEXTI auto_BEXT 196 1 +auto_BEXTI auto_BEXTI 185 1 +auto_BEXTI auto_CSRRW 73 1 +auto_BEXTI auto_CSRRS 67 1 +auto_BEXTI auto_CSRRC 57 1 +auto_BEXTI auto_CSRRWI 80 1 +auto_BEXTI auto_CSRRSI 61 1 +auto_BEXTI auto_CSRRCI 68 1 +auto_BEXTI auto_C_LBU 2 1 +auto_BEXTI auto_C_SB 2 1 +auto_BEXTI auto_C_ZEXT_B 127 1 +auto_BEXTI auto_C_SEXT_B 97 1 +auto_BEXTI auto_C_ZEXT_H 91 1 +auto_BEXTI auto_C_SEXT_H 91 1 +auto_BEXTI auto_C_NOT 99 1 +auto_BEXTI auto_C_MUL 116 1 +auto_CSRRW auto_LUI 224 1 +auto_CSRRW auto_AUIPC 71 1 +auto_CSRRW auto_JAL 260 1 +auto_CSRRW auto_BEQ 40 1 +auto_CSRRW auto_BNE 57 1 +auto_CSRRW auto_BLT 69 1 +auto_CSRRW auto_BGE 56 1 +auto_CSRRW auto_BLTU 61 1 +auto_CSRRW auto_BGEU 68 1 +auto_CSRRW auto_LB 497 1 +auto_CSRRW auto_LH 113 1 +auto_CSRRW auto_LW 39 1 +auto_CSRRW auto_LBU 337 1 +auto_CSRRW auto_LHU 111 1 +auto_CSRRW auto_SB 320 1 +auto_CSRRW auto_SH 75 1 +auto_CSRRW auto_SW 46 1 +auto_CSRRW auto_ADDI 8469 1 +auto_CSRRW auto_SLTI 71 1 +auto_CSRRW auto_SLTIU 71 1 +auto_CSRRW auto_XORI 49 1 +auto_CSRRW auto_ORI 2409 1 +auto_CSRRW auto_ANDI 69 1 +auto_CSRRW auto_SLLI 72 1 +auto_CSRRW auto_SRLI 72 1 +auto_CSRRW auto_SRAI 63 1 +auto_CSRRW auto_ADD 257 1 +auto_CSRRW auto_SUB 77 1 +auto_CSRRW auto_SLL 58 1 +auto_CSRRW auto_SLT 84 1 +auto_CSRRW auto_SLTU 75 1 +auto_CSRRW auto_XOR 62 1 +auto_CSRRW auto_SRL 57 1 +auto_CSRRW auto_SRA 73 1 +auto_CSRRW auto_OR 57 1 +auto_CSRRW auto_AND 76 1 +auto_CSRRW auto_FENCE 249 1 +auto_CSRRW auto_ECALL 182 1 +auto_CSRRW auto_MRET 706 1 +auto_CSRRW auto_WFI 182 1 +auto_CSRRW auto_MUL 263 1 +auto_CSRRW auto_MULH 254 1 +auto_CSRRW auto_MULHSU 70 1 +auto_CSRRW auto_MULHU 65 1 +auto_CSRRW auto_DIV 235 1 +auto_CSRRW auto_DIVU 65 1 +auto_CSRRW auto_REM 68 1 +auto_CSRRW auto_REMU 79 1 +auto_CSRRW auto_C_ADDI4SPN 48 1 +auto_CSRRW auto_C_NOP 223 1 +auto_CSRRW auto_C_ADDI 72185 1 +auto_CSRRW auto_C_JAL 25 1 +auto_CSRRW auto_C_LI 3113 1 +auto_CSRRW auto_C_ADDI16SP 52 1 +auto_CSRRW auto_C_LUI 64 1 +auto_CSRRW auto_C_SRLI 58 1 +auto_CSRRW auto_C_SRAI 57 1 +auto_CSRRW auto_C_ANDI 77 1 +auto_CSRRW auto_C_SUB 65 1 +auto_CSRRW auto_C_XOR 66 1 +auto_CSRRW auto_C_OR 51 1 +auto_CSRRW auto_C_AND 56 1 +auto_CSRRW auto_C_J 36 1 +auto_CSRRW auto_C_BEQZ 58 1 +auto_CSRRW auto_C_BNEZ 233 1 +auto_CSRRW auto_C_SLLI 71 1 +auto_CSRRW auto_C_LWSP 1 1 +auto_CSRRW auto_C_MV 69 1 +auto_CSRRW auto_C_ADD 66 1 +auto_CSRRW auto_C_SWSP 8 1 +auto_CSRRW auto_SH1ADD 72 1 +auto_CSRRW auto_SH2ADD 63 1 +auto_CSRRW auto_SH3ADD 67 1 +auto_CSRRW auto_CLZ 65 1 +auto_CSRRW auto_CTZ 84 1 +auto_CSRRW auto_CPOP 67 1 +auto_CSRRW auto_MIN 69 1 +auto_CSRRW auto_MAX 71 1 +auto_CSRRW auto_MINU 70 1 +auto_CSRRW auto_MAXU 75 1 +auto_CSRRW auto_SEXT_B 65 1 +auto_CSRRW auto_SEXT_H 78 1 +auto_CSRRW auto_ZEXT_H 68 1 +auto_CSRRW auto_ANDN 88 1 +auto_CSRRW auto_ORN 73 1 +auto_CSRRW auto_XNOR 62 1 +auto_CSRRW auto_ROR 75 1 +auto_CSRRW auto_RORI 63 1 +auto_CSRRW auto_ROL 71 1 +auto_CSRRW auto_REV8 72 1 +auto_CSRRW auto_ORC_B 72 1 +auto_CSRRW auto_CLMUL 61 1 +auto_CSRRW auto_CLMULH 61 1 +auto_CSRRW auto_CLMULR 69 1 +auto_CSRRW auto_BSET 76 1 +auto_CSRRW auto_BSETI 82 1 +auto_CSRRW auto_BCLR 58 1 +auto_CSRRW auto_BCLRI 64 1 +auto_CSRRW auto_BINV 60 1 +auto_CSRRW auto_BINVI 82 1 +auto_CSRRW auto_BEXT 69 1 +auto_CSRRW auto_BEXTI 87 1 +auto_CSRRW auto_CSRRW 471 1 +auto_CSRRW auto_CSRRS 84 1 +auto_CSRRW auto_CSRRC 83 1 +auto_CSRRW auto_CSRRWI 78 1 +auto_CSRRW auto_CSRRSI 67 1 +auto_CSRRW auto_CSRRCI 69 1 +auto_CSRRW auto_C_LBU 3 1 +auto_CSRRW auto_C_LHU 1 1 +auto_CSRRW auto_C_LH 1 1 +auto_CSRRW auto_C_SB 182 1 +auto_CSRRW auto_C_ZEXT_B 58 1 +auto_CSRRW auto_C_SEXT_B 46 1 +auto_CSRRW auto_C_ZEXT_H 47 1 +auto_CSRRW auto_C_SEXT_H 60 1 +auto_CSRRW auto_C_NOT 56 1 +auto_CSRRW auto_C_MUL 59 1 +auto_CSRRS auto_LUI 79 1 +auto_CSRRS auto_AUIPC 80 1 +auto_CSRRS auto_JAL 57 1 +auto_CSRRS auto_JALR 8 1 +auto_CSRRS auto_BEQ 205288 1 +auto_CSRRS auto_BNE 200192 1 +auto_CSRRS auto_BLT 55 1 +auto_CSRRS auto_BGE 49 1 +auto_CSRRS auto_BLTU 43 1 +auto_CSRRS auto_BGEU 45 1 +auto_CSRRS auto_LB 335 1 +auto_CSRRS auto_LH 102 1 +auto_CSRRS auto_LW 39 1 +auto_CSRRS auto_LBU 325 1 +auto_CSRRS auto_LHU 105 1 +auto_CSRRS auto_SB 282 1 +auto_CSRRS auto_SH 90 1 +auto_CSRRS auto_SW 34 1 +auto_CSRRS auto_ADDI 4038 1 +auto_CSRRS auto_SLTI 62 1 +auto_CSRRS auto_SLTIU 57 1 +auto_CSRRS auto_XORI 67 1 +auto_CSRRS auto_ORI 57 1 +auto_CSRRS auto_ANDI 93 1 +auto_CSRRS auto_SLLI 64 1 +auto_CSRRS auto_SRLI 65 1 +auto_CSRRS auto_SRAI 74 1 +auto_CSRRS auto_ADD 265272 1 +auto_CSRRS auto_SUB 75 1 +auto_CSRRS auto_SLL 55 1 +auto_CSRRS auto_SLT 67 1 +auto_CSRRS auto_SLTU 57 1 +auto_CSRRS auto_XOR 68 1 +auto_CSRRS auto_SRL 77 1 +auto_CSRRS auto_SRA 71 1 +auto_CSRRS auto_OR 82 1 +auto_CSRRS auto_AND 64 1 +auto_CSRRS auto_FENCE 63 1 +auto_CSRRS auto_ECALL 1 1 +auto_CSRRS auto_MRET 8024 1 +auto_CSRRS auto_WFI 1 1 +auto_CSRRS auto_MUL 68 1 +auto_CSRRS auto_MULH 68 1 +auto_CSRRS auto_MULHSU 64 1 +auto_CSRRS auto_MULHU 85 1 +auto_CSRRS auto_DIV 75 1 +auto_CSRRS auto_DIVU 72 1 +auto_CSRRS auto_REM 66 1 +auto_CSRRS auto_REMU 67 1 +auto_CSRRS auto_C_ADDI4SPN 49 1 +auto_CSRRS auto_C_LW 1 1 +auto_CSRRS auto_C_NOP 243 1 +auto_CSRRS auto_C_ADDI 148 1 +auto_CSRRS auto_C_JAL 40 1 +auto_CSRRS auto_C_LI 696 1 +auto_CSRRS auto_C_ADDI16SP 57 1 +auto_CSRRS auto_C_LUI 74 1 +auto_CSRRS auto_C_SRLI 54 1 +auto_CSRRS auto_C_SRAI 65 1 +auto_CSRRS auto_C_ANDI 39 1 +auto_CSRRS auto_C_SUB 50 1 +auto_CSRRS auto_C_XOR 46 1 +auto_CSRRS auto_C_OR 46 1 +auto_CSRRS auto_C_AND 49 1 +auto_CSRRS auto_C_J 24 1 +auto_CSRRS auto_C_BEQZ 46 1 +auto_CSRRS auto_C_BNEZ 65122 1 +auto_CSRRS auto_C_SLLI 72 1 +auto_CSRRS auto_C_LWSP 3 1 +auto_CSRRS auto_C_JR 2 1 +auto_CSRRS auto_C_MV 77 1 +auto_CSRRS auto_C_JALR 2 1 +auto_CSRRS auto_C_ADD 71 1 +auto_CSRRS auto_C_SWSP 5 1 +auto_CSRRS auto_SH1ADD 65 1 +auto_CSRRS auto_SH2ADD 72 1 +auto_CSRRS auto_SH3ADD 71 1 +auto_CSRRS auto_CLZ 56 1 +auto_CSRRS auto_CTZ 69 1 +auto_CSRRS auto_CPOP 79 1 +auto_CSRRS auto_MIN 63 1 +auto_CSRRS auto_MAX 62 1 +auto_CSRRS auto_MINU 78 1 +auto_CSRRS auto_MAXU 66 1 +auto_CSRRS auto_SEXT_B 63 1 +auto_CSRRS auto_SEXT_H 61 1 +auto_CSRRS auto_ZEXT_H 65 1 +auto_CSRRS auto_ANDN 80 1 +auto_CSRRS auto_ORN 71 1 +auto_CSRRS auto_XNOR 68 1 +auto_CSRRS auto_ROR 69 1 +auto_CSRRS auto_RORI 58 1 +auto_CSRRS auto_ROL 82 1 +auto_CSRRS auto_REV8 68 1 +auto_CSRRS auto_ORC_B 75 1 +auto_CSRRS auto_CLMUL 81 1 +auto_CSRRS auto_CLMULH 83 1 +auto_CSRRS auto_CLMULR 65 1 +auto_CSRRS auto_BSET 63 1 +auto_CSRRS auto_BSETI 61 1 +auto_CSRRS auto_BCLR 65 1 +auto_CSRRS auto_BCLRI 81 1 +auto_CSRRS auto_BINV 69 1 +auto_CSRRS auto_BINVI 79 1 +auto_CSRRS auto_BEXT 75 1 +auto_CSRRS auto_BEXTI 70 1 +auto_CSRRS auto_CSRRW 2564 1 +auto_CSRRS auto_CSRRS 676677 1 +auto_CSRRS auto_CSRRC 73 1 +auto_CSRRS auto_CSRRWI 739 1 +auto_CSRRS auto_CSRRSI 680 1 +auto_CSRRS auto_CSRRCI 112 1 +auto_CSRRS auto_C_LBU 2 1 +auto_CSRRS auto_C_LHU 1 1 +auto_CSRRS auto_C_LH 1 1 +auto_CSRRS auto_C_SB 2 1 +auto_CSRRS auto_C_ZEXT_B 65 1 +auto_CSRRS auto_C_SEXT_B 48 1 +auto_CSRRS auto_C_ZEXT_H 55 1 +auto_CSRRS auto_C_SEXT_H 74 1 +auto_CSRRS auto_C_NOT 51 1 +auto_CSRRS auto_C_MUL 49 1 +auto_CSRRC auto_LUI 74 1 +auto_CSRRC auto_AUIPC 69 1 +auto_CSRRC auto_JAL 70 1 +auto_CSRRC auto_BEQ 53 1 +auto_CSRRC auto_BNE 43 1 +auto_CSRRC auto_BLT 51 1 +auto_CSRRC auto_BGE 44 1 +auto_CSRRC auto_BLTU 56 1 +auto_CSRRC auto_BGEU 45 1 +auto_CSRRC auto_LB 301 1 +auto_CSRRC auto_LH 103 1 +auto_CSRRC auto_LW 37 1 +auto_CSRRC auto_LBU 304 1 +auto_CSRRC auto_LHU 105 1 +auto_CSRRC auto_SB 345 1 +auto_CSRRC auto_SH 102 1 +auto_CSRRC auto_SW 47 1 +auto_CSRRC auto_ADDI 148 1 +auto_CSRRC auto_SLTI 73 1 +auto_CSRRC auto_SLTIU 89 1 +auto_CSRRC auto_XORI 80 1 +auto_CSRRC auto_ORI 70 1 +auto_CSRRC auto_ANDI 75 1 +auto_CSRRC auto_SLLI 76 1 +auto_CSRRC auto_SRLI 83 1 +auto_CSRRC auto_SRAI 70 1 +auto_CSRRC auto_ADD 61 1 +auto_CSRRC auto_SUB 77 1 +auto_CSRRC auto_SLL 69 1 +auto_CSRRC auto_SLT 60 1 +auto_CSRRC auto_SLTU 77 1 +auto_CSRRC auto_XOR 74 1 +auto_CSRRC auto_SRL 63 1 +auto_CSRRC auto_SRA 61 1 +auto_CSRRC auto_OR 73 1 +auto_CSRRC auto_AND 74 1 +auto_CSRRC auto_FENCE 80 1 +auto_CSRRC auto_MRET 143 1 +auto_CSRRC auto_MUL 94 1 +auto_CSRRC auto_MULH 64 1 +auto_CSRRC auto_MULHSU 54 1 +auto_CSRRC auto_MULHU 70 1 +auto_CSRRC auto_DIV 74 1 +auto_CSRRC auto_DIVU 81 1 +auto_CSRRC auto_REM 72 1 +auto_CSRRC auto_REMU 78 1 +auto_CSRRC auto_C_ADDI4SPN 39 1 +auto_CSRRC auto_C_LW 1 1 +auto_CSRRC auto_C_SW 2 1 +auto_CSRRC auto_C_NOP 199 1 +auto_CSRRC auto_C_ADDI 52 1 +auto_CSRRC auto_C_JAL 30 1 +auto_CSRRC auto_C_LI 666 1 +auto_CSRRC auto_C_ADDI16SP 45 1 +auto_CSRRC auto_C_LUI 50 1 +auto_CSRRC auto_C_SRLI 61 1 +auto_CSRRC auto_C_SRAI 65 1 +auto_CSRRC auto_C_ANDI 57 1 +auto_CSRRC auto_C_SUB 71 1 +auto_CSRRC auto_C_XOR 45 1 +auto_CSRRC auto_C_OR 56 1 +auto_CSRRC auto_C_AND 49 1 +auto_CSRRC auto_C_J 31 1 +auto_CSRRC auto_C_BEQZ 44 1 +auto_CSRRC auto_C_BNEZ 36 1 +auto_CSRRC auto_C_SLLI 62 1 +auto_CSRRC auto_C_LWSP 1 1 +auto_CSRRC auto_C_MV 54 1 +auto_CSRRC auto_C_ADD 60 1 +auto_CSRRC auto_C_SWSP 4 1 +auto_CSRRC auto_SH1ADD 65 1 +auto_CSRRC auto_SH2ADD 64 1 +auto_CSRRC auto_SH3ADD 78 1 +auto_CSRRC auto_CLZ 70 1 +auto_CSRRC auto_CTZ 60 1 +auto_CSRRC auto_CPOP 70 1 +auto_CSRRC auto_MIN 65 1 +auto_CSRRC auto_MAX 60 1 +auto_CSRRC auto_MINU 63 1 +auto_CSRRC auto_MAXU 51 1 +auto_CSRRC auto_SEXT_B 55 1 +auto_CSRRC auto_SEXT_H 66 1 +auto_CSRRC auto_ZEXT_H 67 1 +auto_CSRRC auto_ANDN 68 1 +auto_CSRRC auto_ORN 59 1 +auto_CSRRC auto_XNOR 85 1 +auto_CSRRC auto_ROR 65 1 +auto_CSRRC auto_RORI 92 1 +auto_CSRRC auto_ROL 67 1 +auto_CSRRC auto_REV8 68 1 +auto_CSRRC auto_ORC_B 74 1 +auto_CSRRC auto_CLMUL 69 1 +auto_CSRRC auto_CLMULH 66 1 +auto_CSRRC auto_CLMULR 79 1 +auto_CSRRC auto_BSET 67 1 +auto_CSRRC auto_BSETI 63 1 +auto_CSRRC auto_BCLR 72 1 +auto_CSRRC auto_BCLRI 68 1 +auto_CSRRC auto_BINV 66 1 +auto_CSRRC auto_BINVI 59 1 +auto_CSRRC auto_BEXT 59 1 +auto_CSRRC auto_BEXTI 77 1 +auto_CSRRC auto_CSRRW 73 1 +auto_CSRRC auto_CSRRS 67 1 +auto_CSRRC auto_CSRRC 148 1 +auto_CSRRC auto_CSRRWI 54 1 +auto_CSRRC auto_CSRRSI 84 1 +auto_CSRRC auto_CSRRCI 65 1 +auto_CSRRC auto_C_LBU 1 1 +auto_CSRRC auto_C_LH 1 1 +auto_CSRRC auto_C_SB 1 1 +auto_CSRRC auto_C_ZEXT_B 67 1 +auto_CSRRC auto_C_SEXT_B 53 1 +auto_CSRRC auto_C_ZEXT_H 57 1 +auto_CSRRC auto_C_SEXT_H 54 1 +auto_CSRRC auto_C_NOT 46 1 +auto_CSRRC auto_C_MUL 55 1 +auto_CSRRWI auto_LUI 64 1 +auto_CSRRWI auto_AUIPC 71 1 +auto_CSRRWI auto_JAL 81 1 +auto_CSRRWI auto_BEQ 60 1 +auto_CSRRWI auto_BNE 46 1 +auto_CSRRWI auto_BLT 50 1 +auto_CSRRWI auto_BGE 54 1 +auto_CSRRWI auto_BLTU 49 1 +auto_CSRRWI auto_BGEU 56 1 +auto_CSRRWI auto_LB 335 1 +auto_CSRRWI auto_LH 97 1 +auto_CSRRWI auto_LW 40 1 +auto_CSRRWI auto_LBU 366 1 +auto_CSRRWI auto_LHU 85 1 +auto_CSRRWI auto_SB 315 1 +auto_CSRRWI auto_SH 103 1 +auto_CSRRWI auto_SW 31 1 +auto_CSRRWI auto_ADDI 151 1 +auto_CSRRWI auto_SLTI 56 1 +auto_CSRRWI auto_SLTIU 81 1 +auto_CSRRWI auto_XORI 80 1 +auto_CSRRWI auto_ORI 85 1 +auto_CSRRWI auto_ANDI 84 1 +auto_CSRRWI auto_SLLI 75 1 +auto_CSRRWI auto_SRLI 88 1 +auto_CSRRWI auto_SRAI 63 1 +auto_CSRRWI auto_ADD 51 1 +auto_CSRRWI auto_SUB 79 1 +auto_CSRRWI auto_SLL 68 1 +auto_CSRRWI auto_SLT 68 1 +auto_CSRRWI auto_SLTU 71 1 +auto_CSRRWI auto_XOR 77 1 +auto_CSRRWI auto_SRL 59 1 +auto_CSRRWI auto_SRA 62 1 +auto_CSRRWI auto_OR 61 1 +auto_CSRRWI auto_AND 68 1 +auto_CSRRWI auto_FENCE 69 1 +auto_CSRRWI auto_MRET 186 1 +auto_CSRRWI auto_MUL 75 1 +auto_CSRRWI auto_MULH 71 1 +auto_CSRRWI auto_MULHSU 60 1 +auto_CSRRWI auto_MULHU 60 1 +auto_CSRRWI auto_DIV 68 1 +auto_CSRRWI auto_DIVU 68 1 +auto_CSRRWI auto_REM 65 1 +auto_CSRRWI auto_REMU 80 1 +auto_CSRRWI auto_C_ADDI4SPN 45 1 +auto_CSRRWI auto_C_NOP 253 1 +auto_CSRRWI auto_C_ADDI 66 1 +auto_CSRRWI auto_C_JAL 33 1 +auto_CSRRWI auto_C_LI 53 1 +auto_CSRRWI auto_C_ADDI16SP 44 1 +auto_CSRRWI auto_C_LUI 63 1 +auto_CSRRWI auto_C_SRLI 58 1 +auto_CSRRWI auto_C_SRAI 56 1 +auto_CSRRWI auto_C_ANDI 72 1 +auto_CSRRWI auto_C_SUB 69 1 +auto_CSRRWI auto_C_XOR 65 1 +auto_CSRRWI auto_C_OR 55 1 +auto_CSRRWI auto_C_AND 51 1 +auto_CSRRWI auto_C_J 38 1 +auto_CSRRWI auto_C_BEQZ 45 1 +auto_CSRRWI auto_C_BNEZ 39 1 +auto_CSRRWI auto_C_SLLI 71 1 +auto_CSRRWI auto_C_LWSP 6 1 +auto_CSRRWI auto_C_MV 83 1 +auto_CSRRWI auto_C_ADD 61 1 +auto_CSRRWI auto_C_SWSP 2 1 +auto_CSRRWI auto_SH1ADD 67 1 +auto_CSRRWI auto_SH2ADD 72 1 +auto_CSRRWI auto_SH3ADD 69 1 +auto_CSRRWI auto_CLZ 63 1 +auto_CSRRWI auto_CTZ 62 1 +auto_CSRRWI auto_CPOP 64 1 +auto_CSRRWI auto_MIN 56 1 +auto_CSRRWI auto_MAX 71 1 +auto_CSRRWI auto_MINU 64 1 +auto_CSRRWI auto_MAXU 79 1 +auto_CSRRWI auto_SEXT_B 63 1 +auto_CSRRWI auto_SEXT_H 73 1 +auto_CSRRWI auto_ZEXT_H 67 1 +auto_CSRRWI auto_ANDN 58 1 +auto_CSRRWI auto_ORN 80 1 +auto_CSRRWI auto_XNOR 81 1 +auto_CSRRWI auto_ROR 65 1 +auto_CSRRWI auto_RORI 73 1 +auto_CSRRWI auto_ROL 69 1 +auto_CSRRWI auto_REV8 79 1 +auto_CSRRWI auto_ORC_B 66 1 +auto_CSRRWI auto_CLMUL 59 1 +auto_CSRRWI auto_CLMULH 77 1 +auto_CSRRWI auto_CLMULR 71 1 +auto_CSRRWI auto_BSET 74 1 +auto_CSRRWI auto_BSETI 80 1 +auto_CSRRWI auto_BCLR 63 1 +auto_CSRRWI auto_BCLRI 67 1 +auto_CSRRWI auto_BINV 58 1 +auto_CSRRWI auto_BINVI 84 1 +auto_CSRRWI auto_BEXT 94 1 +auto_CSRRWI auto_BEXTI 60 1 +auto_CSRRWI auto_CSRRW 61 1 +auto_CSRRWI auto_CSRRS 748 1 +auto_CSRRWI auto_CSRRC 66 1 +auto_CSRRWI auto_CSRRWI 107 1 +auto_CSRRWI auto_CSRRSI 58 1 +auto_CSRRWI auto_CSRRCI 84 1 +auto_CSRRWI auto_C_LBU 1 1 +auto_CSRRWI auto_C_LHU 2 1 +auto_CSRRWI auto_C_SB 3 1 +auto_CSRRWI auto_C_ZEXT_B 55 1 +auto_CSRRWI auto_C_SEXT_B 47 1 +auto_CSRRWI auto_C_ZEXT_H 61 1 +auto_CSRRWI auto_C_SEXT_H 52 1 +auto_CSRRWI auto_C_NOT 66 1 +auto_CSRRWI auto_C_MUL 44 1 +auto_CSRRSI auto_LUI 65 1 +auto_CSRRSI auto_AUIPC 74 1 +auto_CSRRSI auto_JAL 74 1 +auto_CSRRSI auto_BEQ 57 1 +auto_CSRRSI auto_BNE 40 1 +auto_CSRRSI auto_BLT 60 1 +auto_CSRRSI auto_BGE 50 1 +auto_CSRRSI auto_BLTU 45 1 +auto_CSRRSI auto_BGEU 45 1 +auto_CSRRSI auto_LB 343 1 +auto_CSRRSI auto_LH 107 1 +auto_CSRRSI auto_LW 32 1 +auto_CSRRSI auto_LBU 312 1 +auto_CSRRSI auto_LHU 98 1 +auto_CSRRSI auto_SB 304 1 +auto_CSRRSI auto_SH 97 1 +auto_CSRRSI auto_SW 33 1 +auto_CSRRSI auto_ADDI 155 1 +auto_CSRRSI auto_SLTI 69 1 +auto_CSRRSI auto_SLTIU 66 1 +auto_CSRRSI auto_XORI 61 1 +auto_CSRRSI auto_ORI 77 1 +auto_CSRRSI auto_ANDI 58 1 +auto_CSRRSI auto_SLLI 48 1 +auto_CSRRSI auto_SRLI 71 1 +auto_CSRRSI auto_SRAI 55 1 +auto_CSRRSI auto_ADD 71 1 +auto_CSRRSI auto_SUB 64 1 +auto_CSRRSI auto_SLL 75 1 +auto_CSRRSI auto_SLT 62 1 +auto_CSRRSI auto_SLTU 69 1 +auto_CSRRSI auto_XOR 78 1 +auto_CSRRSI auto_SRL 64 1 +auto_CSRRSI auto_SRA 64 1 +auto_CSRRSI auto_OR 70 1 +auto_CSRRSI auto_AND 69 1 +auto_CSRRSI auto_FENCE 81 1 +auto_CSRRSI auto_MRET 156 1 +auto_CSRRSI auto_MUL 61 1 +auto_CSRRSI auto_MULH 75 1 +auto_CSRRSI auto_MULHSU 75 1 +auto_CSRRSI auto_MULHU 81 1 +auto_CSRRSI auto_DIV 50 1 +auto_CSRRSI auto_DIVU 68 1 +auto_CSRRSI auto_REM 72 1 +auto_CSRRSI auto_REMU 60 1 +auto_CSRRSI auto_C_ADDI4SPN 43 1 +auto_CSRRSI auto_C_LW 1 1 +auto_CSRRSI auto_C_SW 2 1 +auto_CSRRSI auto_C_NOP 208 1 +auto_CSRRSI auto_C_ADDI 52 1 +auto_CSRRSI auto_C_JAL 30 1 +auto_CSRRSI auto_C_LI 58 1 +auto_CSRRSI auto_C_ADDI16SP 47 1 +auto_CSRRSI auto_C_LUI 59 1 +auto_CSRRSI auto_C_SRLI 60 1 +auto_CSRRSI auto_C_SRAI 54 1 +auto_CSRRSI auto_C_ANDI 53 1 +auto_CSRRSI auto_C_SUB 61 1 +auto_CSRRSI auto_C_XOR 48 1 +auto_CSRRSI auto_C_OR 54 1 +auto_CSRRSI auto_C_AND 50 1 +auto_CSRRSI auto_C_J 26 1 +auto_CSRRSI auto_C_BEQZ 36 1 +auto_CSRRSI auto_C_BNEZ 43 1 +auto_CSRRSI auto_C_SLLI 65 1 +auto_CSRRSI auto_C_LWSP 14 1 +auto_CSRRSI auto_C_MV 72 1 +auto_CSRRSI auto_C_ADD 64 1 +auto_CSRRSI auto_C_SWSP 2 1 +auto_CSRRSI auto_SH1ADD 87 1 +auto_CSRRSI auto_SH2ADD 64 1 +auto_CSRRSI auto_SH3ADD 88 1 +auto_CSRRSI auto_CLZ 65 1 +auto_CSRRSI auto_CTZ 57 1 +auto_CSRRSI auto_CPOP 76 1 +auto_CSRRSI auto_MIN 74 1 +auto_CSRRSI auto_MAX 77 1 +auto_CSRRSI auto_MINU 71 1 +auto_CSRRSI auto_MAXU 85 1 +auto_CSRRSI auto_SEXT_B 70 1 +auto_CSRRSI auto_SEXT_H 69 1 +auto_CSRRSI auto_ZEXT_H 67 1 +auto_CSRRSI auto_ANDN 81 1 +auto_CSRRSI auto_ORN 70 1 +auto_CSRRSI auto_XNOR 58 1 +auto_CSRRSI auto_ROR 71 1 +auto_CSRRSI auto_RORI 73 1 +auto_CSRRSI auto_ROL 63 1 +auto_CSRRSI auto_REV8 59 1 +auto_CSRRSI auto_ORC_B 69 1 +auto_CSRRSI auto_CLMUL 65 1 +auto_CSRRSI auto_CLMULH 58 1 +auto_CSRRSI auto_CLMULR 73 1 +auto_CSRRSI auto_BSET 72 1 +auto_CSRRSI auto_BSETI 74 1 +auto_CSRRSI auto_BCLR 73 1 +auto_CSRRSI auto_BCLRI 64 1 +auto_CSRRSI auto_BINV 74 1 +auto_CSRRSI auto_BINVI 71 1 +auto_CSRRSI auto_BEXT 88 1 +auto_CSRRSI auto_BEXTI 80 1 +auto_CSRRSI auto_CSRRW 73 1 +auto_CSRRSI auto_CSRRS 134 1 +auto_CSRRSI auto_CSRRC 93 1 +auto_CSRRSI auto_CSRRWI 66 1 +auto_CSRRSI auto_CSRRSI 122 1 +auto_CSRRSI auto_CSRRCI 686 1 +auto_CSRRSI auto_C_LBU 1 1 +auto_CSRRSI auto_C_SB 2 1 +auto_CSRRSI auto_C_ZEXT_B 54 1 +auto_CSRRSI auto_C_SEXT_B 51 1 +auto_CSRRSI auto_C_ZEXT_H 62 1 +auto_CSRRSI auto_C_SEXT_H 48 1 +auto_CSRRSI auto_C_NOT 42 1 +auto_CSRRSI auto_C_MUL 58 1 +auto_CSRRCI auto_LUI 49 1 +auto_CSRRCI auto_AUIPC 67 1 +auto_CSRRCI auto_JAL 63 1 +auto_CSRRCI auto_BEQ 48 1 +auto_CSRRCI auto_BNE 46 1 +auto_CSRRCI auto_BLT 51 1 +auto_CSRRCI auto_BGE 50 1 +auto_CSRRCI auto_BLTU 74 1 +auto_CSRRCI auto_BGEU 58 1 +auto_CSRRCI auto_LB 345 1 +auto_CSRRCI auto_LH 111 1 +auto_CSRRCI auto_LW 38 1 +auto_CSRRCI auto_LBU 334 1 +auto_CSRRCI auto_LHU 101 1 +auto_CSRRCI auto_SB 305 1 +auto_CSRRCI auto_SH 101 1 +auto_CSRRCI auto_SW 40 1 +auto_CSRRCI auto_ADDI 171 1 +auto_CSRRCI auto_SLTI 80 1 +auto_CSRRCI auto_SLTIU 83 1 +auto_CSRRCI auto_XORI 77 1 +auto_CSRRCI auto_ORI 82 1 +auto_CSRRCI auto_ANDI 76 1 +auto_CSRRCI auto_SLLI 68 1 +auto_CSRRCI auto_SRLI 69 1 +auto_CSRRCI auto_SRAI 66 1 +auto_CSRRCI auto_ADD 59 1 +auto_CSRRCI auto_SUB 56 1 +auto_CSRRCI auto_SLL 61 1 +auto_CSRRCI auto_SLT 82 1 +auto_CSRRCI auto_SLTU 65 1 +auto_CSRRCI auto_XOR 59 1 +auto_CSRRCI auto_SRL 65 1 +auto_CSRRCI auto_SRA 81 1 +auto_CSRRCI auto_OR 67 1 +auto_CSRRCI auto_AND 60 1 +auto_CSRRCI auto_FENCE 82 1 +auto_CSRRCI auto_MRET 148 1 +auto_CSRRCI auto_MUL 81 1 +auto_CSRRCI auto_MULH 65 1 +auto_CSRRCI auto_MULHSU 80 1 +auto_CSRRCI auto_MULHU 62 1 +auto_CSRRCI auto_DIV 64 1 +auto_CSRRCI auto_DIVU 65 1 +auto_CSRRCI auto_REM 86 1 +auto_CSRRCI auto_REMU 69 1 +auto_CSRRCI auto_C_ADDI4SPN 46 1 +auto_CSRRCI auto_C_NOP 246 1 +auto_CSRRCI auto_C_ADDI 58 1 +auto_CSRRCI auto_C_JAL 41 1 +auto_CSRRCI auto_C_LI 53 1 +auto_CSRRCI auto_C_ADDI16SP 47 1 +auto_CSRRCI auto_C_LUI 56 1 +auto_CSRRCI auto_C_SRLI 58 1 +auto_CSRRCI auto_C_SRAI 68 1 +auto_CSRRCI auto_C_ANDI 62 1 +auto_CSRRCI auto_C_SUB 55 1 +auto_CSRRCI auto_C_XOR 47 1 +auto_CSRRCI auto_C_OR 57 1 +auto_CSRRCI auto_C_AND 54 1 +auto_CSRRCI auto_C_J 32 1 +auto_CSRRCI auto_C_BEQZ 40 1 +auto_CSRRCI auto_C_BNEZ 54 1 +auto_CSRRCI auto_C_SLLI 68 1 +auto_CSRRCI auto_C_LWSP 5 1 +auto_CSRRCI auto_C_MV 73 1 +auto_CSRRCI auto_C_ADD 68 1 +auto_CSRRCI auto_C_SWSP 8 1 +auto_CSRRCI auto_SH1ADD 51 1 +auto_CSRRCI auto_SH2ADD 69 1 +auto_CSRRCI auto_SH3ADD 79 1 +auto_CSRRCI auto_CLZ 76 1 +auto_CSRRCI auto_CTZ 59 1 +auto_CSRRCI auto_CPOP 77 1 +auto_CSRRCI auto_MIN 86 1 +auto_CSRRCI auto_MAX 76 1 +auto_CSRRCI auto_MINU 66 1 +auto_CSRRCI auto_MAXU 68 1 +auto_CSRRCI auto_SEXT_B 66 1 +auto_CSRRCI auto_SEXT_H 76 1 +auto_CSRRCI auto_ZEXT_H 70 1 +auto_CSRRCI auto_ANDN 66 1 +auto_CSRRCI auto_ORN 71 1 +auto_CSRRCI auto_XNOR 54 1 +auto_CSRRCI auto_ROR 76 1 +auto_CSRRCI auto_RORI 81 1 +auto_CSRRCI auto_ROL 87 1 +auto_CSRRCI auto_REV8 74 1 +auto_CSRRCI auto_ORC_B 73 1 +auto_CSRRCI auto_CLMUL 70 1 +auto_CSRRCI auto_CLMULH 67 1 +auto_CSRRCI auto_CLMULR 72 1 +auto_CSRRCI auto_BSET 61 1 +auto_CSRRCI auto_BSETI 63 1 +auto_CSRRCI auto_BCLR 74 1 +auto_CSRRCI auto_BCLRI 49 1 +auto_CSRRCI auto_BINV 70 1 +auto_CSRRCI auto_BINVI 68 1 +auto_CSRRCI auto_BEXT 74 1 +auto_CSRRCI auto_BEXTI 77 1 +auto_CSRRCI auto_CSRRW 64 1 +auto_CSRRCI auto_CSRRS 685 1 +auto_CSRRCI auto_CSRRC 84 1 +auto_CSRRCI auto_CSRRWI 57 1 +auto_CSRRCI auto_CSRRSI 133 1 +auto_CSRRCI auto_CSRRCI 124 1 +auto_CSRRCI auto_C_LBU 1 1 +auto_CSRRCI auto_C_LHU 1 1 +auto_CSRRCI auto_C_LH 1 1 +auto_CSRRCI auto_C_SB 3 1 +auto_CSRRCI auto_C_SH 1 1 +auto_CSRRCI auto_C_ZEXT_B 61 1 +auto_CSRRCI auto_C_SEXT_B 69 1 +auto_CSRRCI auto_C_ZEXT_H 62 1 +auto_CSRRCI auto_C_SEXT_H 51 1 +auto_CSRRCI auto_C_NOT 54 1 +auto_CSRRCI auto_C_MUL 52 1 +auto_C_LBU auto_LUI 1 1 +auto_C_LBU auto_AUIPC 1 1 +auto_C_LBU auto_LB 49 1 +auto_C_LBU auto_LH 19 1 +auto_C_LBU auto_LW 10 1 +auto_C_LBU auto_LBU 71 1 +auto_C_LBU auto_LHU 21 1 +auto_C_LBU auto_SB 56 1 +auto_C_LBU auto_SH 22 1 +auto_C_LBU auto_SW 10 1 +auto_C_LBU auto_ADDI 22 1 +auto_C_LBU auto_SLTI 2 1 +auto_C_LBU auto_SLTIU 4 1 +auto_C_LBU auto_XORI 1 1 +auto_C_LBU auto_ORI 1 1 +auto_C_LBU auto_ANDI 2 1 +auto_C_LBU auto_SLLI 2 1 +auto_C_LBU auto_SRLI 1 1 +auto_C_LBU auto_ADD 1 1 +auto_C_LBU auto_SUB 1 1 +auto_C_LBU auto_SLL 2 1 +auto_C_LBU auto_SLT 1 1 +auto_C_LBU auto_SLTU 1 1 +auto_C_LBU auto_XOR 2 1 +auto_C_LBU auto_SRL 3 1 +auto_C_LBU auto_SRA 1 1 +auto_C_LBU auto_OR 5 1 +auto_C_LBU auto_AND 1 1 +auto_C_LBU auto_FENCE 1 1 +auto_C_LBU auto_MRET 17 1 +auto_C_LBU auto_MUL 2 1 +auto_C_LBU auto_MULH 4 1 +auto_C_LBU auto_MULHSU 3 1 +auto_C_LBU auto_MULHU 1 1 +auto_C_LBU auto_DIV 1 1 +auto_C_LBU auto_DIVU 3 1 +auto_C_LBU auto_REM 3 1 +auto_C_LBU auto_REMU 1 1 +auto_C_LBU auto_C_LW 2 1 +auto_C_LBU auto_C_SW 3 1 +auto_C_LBU auto_C_NOP 8 1 +auto_C_LBU auto_C_ADDI 1 1 +auto_C_LBU auto_C_LI 2 1 +auto_C_LBU auto_C_ADDI16SP 3 1 +auto_C_LBU auto_C_LUI 3 1 +auto_C_LBU auto_C_SRLI 1 1 +auto_C_LBU auto_C_SRAI 2 1 +auto_C_LBU auto_C_ANDI 1 1 +auto_C_LBU auto_C_SUB 2 1 +auto_C_LBU auto_C_XOR 1 1 +auto_C_LBU auto_C_OR 3 1 +auto_C_LBU auto_C_AND 4 1 +auto_C_LBU auto_C_SLLI 4 1 +auto_C_LBU auto_C_MV 4 1 +auto_C_LBU auto_C_ADD 1 1 +auto_C_LBU auto_C_SWSP 1 1 +auto_C_LBU auto_SH1ADD 2 1 +auto_C_LBU auto_SH2ADD 2 1 +auto_C_LBU auto_SH3ADD 2 1 +auto_C_LBU auto_CLZ 1 1 +auto_C_LBU auto_CPOP 1 1 +auto_C_LBU auto_MIN 2 1 +auto_C_LBU auto_MAX 3 1 +auto_C_LBU auto_MINU 4 1 +auto_C_LBU auto_MAXU 1 1 +auto_C_LBU auto_SEXT_B 1 1 +auto_C_LBU auto_SEXT_H 1 1 +auto_C_LBU auto_ZEXT_H 2 1 +auto_C_LBU auto_ANDN 1 1 +auto_C_LBU auto_ORN 2 1 +auto_C_LBU auto_XNOR 2 1 +auto_C_LBU auto_ROR 3 1 +auto_C_LBU auto_RORI 1 1 +auto_C_LBU auto_REV8 2 1 +auto_C_LBU auto_ORC_B 2 1 +auto_C_LBU auto_CLMUL 4 1 +auto_C_LBU auto_CLMULH 4 1 +auto_C_LBU auto_CLMULR 4 1 +auto_C_LBU auto_BSET 2 1 +auto_C_LBU auto_BSETI 3 1 +auto_C_LBU auto_BCLRI 3 1 +auto_C_LBU auto_BINV 3 1 +auto_C_LBU auto_BINVI 5 1 +auto_C_LBU auto_BEXT 6 1 +auto_C_LBU auto_BEXTI 1 1 +auto_C_LBU auto_CSRRW 2 1 +auto_C_LBU auto_CSRRS 4240 1 +auto_C_LBU auto_CSRRC 2 1 +auto_C_LBU auto_CSRRWI 4 1 +auto_C_LBU auto_CSRRSI 2 1 +auto_C_LBU auto_CSRRCI 1 1 +auto_C_LBU auto_C_LBU 51 1 +auto_C_LBU auto_C_LH 1 1 +auto_C_LBU auto_C_SB 36 1 +auto_C_LBU auto_C_MUL 5 1 +auto_C_LHU auto_LUI 1 1 +auto_C_LHU auto_LB 7 1 +auto_C_LHU auto_LH 1 1 +auto_C_LHU auto_LW 2 1 +auto_C_LHU auto_LBU 8 1 +auto_C_LHU auto_LHU 7 1 +auto_C_LHU auto_SB 15 1 +auto_C_LHU auto_SH 5 1 +auto_C_LHU auto_SW 3 1 +auto_C_LHU auto_ADDI 4 1 +auto_C_LHU auto_SLTI 2 1 +auto_C_LHU auto_SLTIU 1 1 +auto_C_LHU auto_ANDI 1 1 +auto_C_LHU auto_SLLI 1 1 +auto_C_LHU auto_SUB 1 1 +auto_C_LHU auto_SLT 1 1 +auto_C_LHU auto_XOR 1 1 +auto_C_LHU auto_OR 1 1 +auto_C_LHU auto_FENCE 1 1 +auto_C_LHU auto_MRET 2 1 +auto_C_LHU auto_MULHU 1 1 +auto_C_LHU auto_DIVU 6 1 +auto_C_LHU auto_C_LW 3 1 +auto_C_LHU auto_C_SW 1 1 +auto_C_LHU auto_C_NOP 3 1 +auto_C_LHU auto_C_SRLI 2 1 +auto_C_LHU auto_C_AND 1 1 +auto_C_LHU auto_C_SLLI 2 1 +auto_C_LHU auto_C_MV 2 1 +auto_C_LHU auto_C_ADD 3 1 +auto_C_LHU auto_CTZ 1 1 +auto_C_LHU auto_MIN 1 1 +auto_C_LHU auto_MAX 2 1 +auto_C_LHU auto_MINU 2 1 +auto_C_LHU auto_MAXU 2 1 +auto_C_LHU auto_SEXT_H 2 1 +auto_C_LHU auto_ORN 1 1 +auto_C_LHU auto_XNOR 1 1 +auto_C_LHU auto_RORI 2 1 +auto_C_LHU auto_CLMULR 1 1 +auto_C_LHU auto_BSET 1 1 +auto_C_LHU auto_BSETI 1 1 +auto_C_LHU auto_BCLR 2 1 +auto_C_LHU auto_BCLRI 2 1 +auto_C_LHU auto_CSRRC 1 1 +auto_C_LHU auto_CSRRWI 1 1 +auto_C_LHU auto_CSRRSI 1 1 +auto_C_LHU auto_C_LBU 3 1 +auto_C_LHU auto_C_LHU 15 1 +auto_C_LHU auto_C_LH 11 1 +auto_C_LHU auto_C_SB 1 1 +auto_C_LHU auto_C_SH 12 1 +auto_C_LHU auto_C_SEXT_B 2 1 +auto_C_LHU auto_C_NOT 1 1 +auto_C_LHU auto_C_MUL 2 1 +auto_C_LH auto_LUI 4 1 +auto_C_LH auto_LB 11 1 +auto_C_LH auto_LH 3 1 +auto_C_LH auto_LW 3 1 +auto_C_LH auto_LBU 12 1 +auto_C_LH auto_LHU 3 1 +auto_C_LH auto_SB 9 1 +auto_C_LH auto_SH 5 1 +auto_C_LH auto_SW 3 1 +auto_C_LH auto_ADDI 6 1 +auto_C_LH auto_SLTIU 1 1 +auto_C_LH auto_XORI 1 1 +auto_C_LH auto_SRLI 1 1 +auto_C_LH auto_SLT 1 1 +auto_C_LH auto_SRA 1 1 +auto_C_LH auto_OR 2 1 +auto_C_LH auto_MRET 1 1 +auto_C_LH auto_MUL 1 1 +auto_C_LH auto_MULH 1 1 +auto_C_LH auto_MULHU 1 1 +auto_C_LH auto_DIVU 1 1 +auto_C_LH auto_C_ADDI4SPN 1 1 +auto_C_LH auto_C_LW 1 1 +auto_C_LH auto_C_NOP 2 1 +auto_C_LH auto_C_LI 1 1 +auto_C_LH auto_C_SRLI 2 1 +auto_C_LH auto_C_ANDI 1 1 +auto_C_LH auto_C_OR 1 1 +auto_C_LH auto_C_AND 1 1 +auto_C_LH auto_C_MV 1 1 +auto_C_LH auto_SH1ADD 1 1 +auto_C_LH auto_SH3ADD 2 1 +auto_C_LH auto_CTZ 2 1 +auto_C_LH auto_CPOP 1 1 +auto_C_LH auto_MAXU 1 1 +auto_C_LH auto_SEXT_B 1 1 +auto_C_LH auto_ROL 1 1 +auto_C_LH auto_REV8 2 1 +auto_C_LH auto_BSETI 2 1 +auto_C_LH auto_BCLRI 1 1 +auto_C_LH auto_BINVI 1 1 +auto_C_LH auto_BEXT 3 1 +auto_C_LH auto_C_LBU 1 1 +auto_C_LH auto_C_LHU 10 1 +auto_C_LH auto_C_LH 11 1 +auto_C_LH auto_C_SH 5 1 +auto_C_LH auto_C_ZEXT_H 1 1 +auto_C_LH auto_C_SEXT_H 1 1 +auto_C_LH auto_C_NOT 1 1 +auto_C_LH auto_C_MUL 1 1 +auto_C_SB auto_LUI 3 1 +auto_C_SB auto_JALR 1 1 +auto_C_SB auto_LB 54 1 +auto_C_SB auto_LH 23 1 +auto_C_SB auto_LW 14 1 +auto_C_SB auto_LBU 60 1 +auto_C_SB auto_LHU 26 1 +auto_C_SB auto_SB 41 1 +auto_C_SB auto_SH 20 1 +auto_C_SB auto_SW 7 1 +auto_C_SB auto_ADDI 17 1 +auto_C_SB auto_SLTI 2 1 +auto_C_SB auto_SLTIU 2 1 +auto_C_SB auto_XORI 2 1 +auto_C_SB auto_ORI 4 1 +auto_C_SB auto_ANDI 2 1 +auto_C_SB auto_SLLI 3 1 +auto_C_SB auto_SRLI 2 1 +auto_C_SB auto_ADD 2 1 +auto_C_SB auto_SUB 2 1 +auto_C_SB auto_SLL 2 1 +auto_C_SB auto_SLT 1 1 +auto_C_SB auto_SLTU 3 1 +auto_C_SB auto_XOR 2 1 +auto_C_SB auto_SRL 1 1 +auto_C_SB auto_SRA 1 1 +auto_C_SB auto_OR 4 1 +auto_C_SB auto_AND 3 1 +auto_C_SB auto_MRET 9 1 +auto_C_SB auto_MUL 2 1 +auto_C_SB auto_MULH 2 1 +auto_C_SB auto_DIV 4 1 +auto_C_SB auto_DIVU 3 1 +auto_C_SB auto_REM 3 1 +auto_C_SB auto_REMU 1 1 +auto_C_SB auto_C_NOP 6 1 +auto_C_SB auto_C_ADDI 1 1 +auto_C_SB auto_C_LI 1 1 +auto_C_SB auto_C_ADDI16SP 2 1 +auto_C_SB auto_C_LUI 3 1 +auto_C_SB auto_C_SRLI 3 1 +auto_C_SB auto_C_SRAI 1 1 +auto_C_SB auto_C_ANDI 4 1 +auto_C_SB auto_C_SUB 4 1 +auto_C_SB auto_C_XOR 4 1 +auto_C_SB auto_C_OR 1 1 +auto_C_SB auto_C_SLLI 3 1 +auto_C_SB auto_C_MV 2 1 +auto_C_SB auto_SH1ADD 4 1 +auto_C_SB auto_SH2ADD 4 1 +auto_C_SB auto_SH3ADD 2 1 +auto_C_SB auto_CLZ 1 1 +auto_C_SB auto_CTZ 5 1 +auto_C_SB auto_MIN 1 1 +auto_C_SB auto_MAX 2 1 +auto_C_SB auto_MINU 3 1 +auto_C_SB auto_SEXT_B 1 1 +auto_C_SB auto_SEXT_H 3 1 +auto_C_SB auto_ZEXT_H 1 1 +auto_C_SB auto_ANDN 3 1 +auto_C_SB auto_ORN 3 1 +auto_C_SB auto_XNOR 1 1 +auto_C_SB auto_RORI 1 1 +auto_C_SB auto_ROL 1 1 +auto_C_SB auto_REV8 5 1 +auto_C_SB auto_ORC_B 1 1 +auto_C_SB auto_CLMUL 4 1 +auto_C_SB auto_CLMULR 3 1 +auto_C_SB auto_BSET 2 1 +auto_C_SB auto_BSETI 2 1 +auto_C_SB auto_BCLR 2 1 +auto_C_SB auto_BCLRI 1 1 +auto_C_SB auto_BINV 1 1 +auto_C_SB auto_BINVI 1 1 +auto_C_SB auto_BEXT 6 1 +auto_C_SB auto_BEXTI 1 1 +auto_C_SB auto_CSRRW 184 1 +auto_C_SB auto_CSRRS 3 1 +auto_C_SB auto_CSRRC 2 1 +auto_C_SB auto_CSRRWI 2 1 +auto_C_SB auto_CSRRSI 2 1 +auto_C_SB auto_CSRRCI 1 1 +auto_C_SB auto_C_LBU 36 1 +auto_C_SB auto_C_SB 44 1 +auto_C_SB auto_C_SH 1 1 +auto_C_SB auto_C_ZEXT_B 3 1 +auto_C_SB auto_C_SEXT_B 2 1 +auto_C_SB auto_C_ZEXT_H 3 1 +auto_C_SB auto_C_SEXT_H 3 1 +auto_C_SB auto_C_NOT 1 1 +auto_C_SB auto_C_MUL 2 1 +auto_C_SH auto_LB 12 1 +auto_C_SH auto_LH 3 1 +auto_C_SH auto_LW 4 1 +auto_C_SH auto_LBU 9 1 +auto_C_SH auto_LHU 7 1 +auto_C_SH auto_SB 11 1 +auto_C_SH auto_SH 4 1 +auto_C_SH auto_SW 7 1 +auto_C_SH auto_ADDI 4 1 +auto_C_SH auto_SLTIU 3 1 +auto_C_SH auto_ORI 1 1 +auto_C_SH auto_SLLI 1 1 +auto_C_SH auto_ADD 1 1 +auto_C_SH auto_SLTU 1 1 +auto_C_SH auto_XOR 1 1 +auto_C_SH auto_SRL 1 1 +auto_C_SH auto_SRA 1 1 +auto_C_SH auto_OR 1 1 +auto_C_SH auto_AND 1 1 +auto_C_SH auto_MULHSU 1 1 +auto_C_SH auto_DIV 1 1 +auto_C_SH auto_DIVU 2 1 +auto_C_SH auto_REMU 1 1 +auto_C_SH auto_C_NOP 3 1 +auto_C_SH auto_C_ADDI16SP 1 1 +auto_C_SH auto_C_SRLI 3 1 +auto_C_SH auto_C_ANDI 1 1 +auto_C_SH auto_C_OR 2 1 +auto_C_SH auto_C_AND 1 1 +auto_C_SH auto_C_SLLI 1 1 +auto_C_SH auto_SH1ADD 2 1 +auto_C_SH auto_SH2ADD 2 1 +auto_C_SH auto_CTZ 1 1 +auto_C_SH auto_MIN 1 1 +auto_C_SH auto_MINU 1 1 +auto_C_SH auto_MAXU 1 1 +auto_C_SH auto_ZEXT_H 1 1 +auto_C_SH auto_ANDN 1 1 +auto_C_SH auto_ROR 1 1 +auto_C_SH auto_CLMULH 1 1 +auto_C_SH auto_CLMULR 1 1 +auto_C_SH auto_BSET 1 1 +auto_C_SH auto_BINV 1 1 +auto_C_SH auto_CSRRS 2 1 +auto_C_SH auto_CSRRC 1 1 +auto_C_SH auto_CSRRWI 1 1 +auto_C_SH auto_CSRRSI 1 1 +auto_C_SH auto_C_LBU 2 1 +auto_C_SH auto_C_LHU 10 1 +auto_C_SH auto_C_LH 7 1 +auto_C_SH auto_C_SB 4 1 +auto_C_SH auto_C_SH 7 1 +auto_C_SH auto_C_SEXT_B 1 1 +auto_C_SH auto_C_ZEXT_H 2 1 +auto_C_SH auto_C_SEXT_H 1 1 +auto_C_SH auto_C_MUL 1 1 +auto_C_ZEXT_B auto_LUI 106 1 +auto_C_ZEXT_B auto_AUIPC 120 1 +auto_C_ZEXT_B auto_JAL 28 1 +auto_C_ZEXT_B auto_JALR 2 1 +auto_C_ZEXT_B auto_BEQ 53 1 +auto_C_ZEXT_B auto_BNE 55 1 +auto_C_ZEXT_B auto_BLT 57 1 +auto_C_ZEXT_B auto_BGE 52 1 +auto_C_ZEXT_B auto_BLTU 47 1 +auto_C_ZEXT_B auto_BGEU 46 1 +auto_C_ZEXT_B auto_LB 342 1 +auto_C_ZEXT_B auto_LH 82 1 +auto_C_ZEXT_B auto_LW 33 1 +auto_C_ZEXT_B auto_LBU 248 1 +auto_C_ZEXT_B auto_LHU 80 1 +auto_C_ZEXT_B auto_SB 250 1 +auto_C_ZEXT_B auto_SH 95 1 +auto_C_ZEXT_B auto_SW 26 1 +auto_C_ZEXT_B auto_ADDI 174 1 +auto_C_ZEXT_B auto_SLTI 93 1 +auto_C_ZEXT_B auto_SLTIU 74 1 +auto_C_ZEXT_B auto_XORI 92 1 +auto_C_ZEXT_B auto_ORI 87 1 +auto_C_ZEXT_B auto_ANDI 79 1 +auto_C_ZEXT_B auto_SLLI 82 1 +auto_C_ZEXT_B auto_SRLI 96 1 +auto_C_ZEXT_B auto_SRAI 76 1 +auto_C_ZEXT_B auto_ADD 77 1 +auto_C_ZEXT_B auto_SUB 103 1 +auto_C_ZEXT_B auto_SLL 86 1 +auto_C_ZEXT_B auto_SLT 88 1 +auto_C_ZEXT_B auto_SLTU 106 1 +auto_C_ZEXT_B auto_XOR 85 1 +auto_C_ZEXT_B auto_SRL 96 1 +auto_C_ZEXT_B auto_SRA 101 1 +auto_C_ZEXT_B auto_OR 85 1 +auto_C_ZEXT_B auto_AND 106 1 +auto_C_ZEXT_B auto_FENCE 59 1 +auto_C_ZEXT_B auto_MRET 313 1 +auto_C_ZEXT_B auto_MUL 74 1 +auto_C_ZEXT_B auto_MULH 105 1 +auto_C_ZEXT_B auto_MULHSU 91 1 +auto_C_ZEXT_B auto_MULHU 94 1 +auto_C_ZEXT_B auto_DIV 79 1 +auto_C_ZEXT_B auto_DIVU 88 1 +auto_C_ZEXT_B auto_REM 104 1 +auto_C_ZEXT_B auto_REMU 89 1 +auto_C_ZEXT_B auto_C_ADDI4SPN 77 1 +auto_C_ZEXT_B auto_C_NOP 279 1 +auto_C_ZEXT_B auto_C_ADDI 134 1 +auto_C_ZEXT_B auto_C_JAL 23 1 +auto_C_ZEXT_B auto_C_LI 91 1 +auto_C_ZEXT_B auto_C_ADDI16SP 70 1 +auto_C_ZEXT_B auto_C_LUI 98 1 +auto_C_ZEXT_B auto_C_SRLI 79 1 +auto_C_ZEXT_B auto_C_SRAI 102 1 +auto_C_ZEXT_B auto_C_ANDI 78 1 +auto_C_ZEXT_B auto_C_SUB 88 1 +auto_C_ZEXT_B auto_C_XOR 96 1 +auto_C_ZEXT_B auto_C_OR 126 1 +auto_C_ZEXT_B auto_C_AND 120 1 +auto_C_ZEXT_B auto_C_J 26 1 +auto_C_ZEXT_B auto_C_BEQZ 51 1 +auto_C_ZEXT_B auto_C_BNEZ 55 1 +auto_C_ZEXT_B auto_C_SLLI 107 1 +auto_C_ZEXT_B auto_C_LWSP 4 1 +auto_C_ZEXT_B auto_C_JR 13 1 +auto_C_ZEXT_B auto_C_MV 137 1 +auto_C_ZEXT_B auto_C_ADD 117 1 +auto_C_ZEXT_B auto_C_SWSP 7 1 +auto_C_ZEXT_B auto_SH1ADD 99 1 +auto_C_ZEXT_B auto_SH2ADD 98 1 +auto_C_ZEXT_B auto_SH3ADD 91 1 +auto_C_ZEXT_B auto_CLZ 85 1 +auto_C_ZEXT_B auto_CTZ 98 1 +auto_C_ZEXT_B auto_CPOP 95 1 +auto_C_ZEXT_B auto_MIN 78 1 +auto_C_ZEXT_B auto_MAX 86 1 +auto_C_ZEXT_B auto_MINU 108 1 +auto_C_ZEXT_B auto_MAXU 95 1 +auto_C_ZEXT_B auto_SEXT_B 91 1 +auto_C_ZEXT_B auto_SEXT_H 103 1 +auto_C_ZEXT_B auto_ZEXT_H 81 1 +auto_C_ZEXT_B auto_ANDN 79 1 +auto_C_ZEXT_B auto_ORN 82 1 +auto_C_ZEXT_B auto_XNOR 82 1 +auto_C_ZEXT_B auto_ROR 96 1 +auto_C_ZEXT_B auto_RORI 86 1 +auto_C_ZEXT_B auto_ROL 123 1 +auto_C_ZEXT_B auto_REV8 79 1 +auto_C_ZEXT_B auto_ORC_B 111 1 +auto_C_ZEXT_B auto_CLMUL 97 1 +auto_C_ZEXT_B auto_CLMULH 97 1 +auto_C_ZEXT_B auto_CLMULR 95 1 +auto_C_ZEXT_B auto_BSET 90 1 +auto_C_ZEXT_B auto_BSETI 96 1 +auto_C_ZEXT_B auto_BCLR 108 1 +auto_C_ZEXT_B auto_BCLRI 84 1 +auto_C_ZEXT_B auto_BINV 93 1 +auto_C_ZEXT_B auto_BINVI 96 1 +auto_C_ZEXT_B auto_BEXT 116 1 +auto_C_ZEXT_B auto_BEXTI 73 1 +auto_C_ZEXT_B auto_CSRRW 52 1 +auto_C_ZEXT_B auto_CSRRS 70 1 +auto_C_ZEXT_B auto_CSRRC 42 1 +auto_C_ZEXT_B auto_CSRRWI 56 1 +auto_C_ZEXT_B auto_CSRRSI 65 1 +auto_C_ZEXT_B auto_CSRRCI 52 1 +auto_C_ZEXT_B auto_C_LBU 2 1 +auto_C_ZEXT_B auto_C_SB 2 1 +auto_C_ZEXT_B auto_C_ZEXT_B 79 1 +auto_C_ZEXT_B auto_C_SEXT_B 96 1 +auto_C_ZEXT_B auto_C_ZEXT_H 92 1 +auto_C_ZEXT_B auto_C_SEXT_H 94 1 +auto_C_ZEXT_B auto_C_NOT 104 1 +auto_C_ZEXT_B auto_C_MUL 99 1 +auto_C_SEXT_B auto_LUI 104 1 +auto_C_SEXT_B auto_AUIPC 94 1 +auto_C_SEXT_B auto_JAL 50 1 +auto_C_SEXT_B auto_JALR 2 1 +auto_C_SEXT_B auto_BEQ 45 1 +auto_C_SEXT_B auto_BNE 45 1 +auto_C_SEXT_B auto_BLT 38 1 +auto_C_SEXT_B auto_BGE 53 1 +auto_C_SEXT_B auto_BLTU 36 1 +auto_C_SEXT_B auto_BGEU 44 1 +auto_C_SEXT_B auto_LB 295 1 +auto_C_SEXT_B auto_LH 76 1 +auto_C_SEXT_B auto_LW 35 1 +auto_C_SEXT_B auto_LBU 270 1 +auto_C_SEXT_B auto_LHU 89 1 +auto_C_SEXT_B auto_SB 288 1 +auto_C_SEXT_B auto_SH 85 1 +auto_C_SEXT_B auto_SW 56 1 +auto_C_SEXT_B auto_ADDI 224 1 +auto_C_SEXT_B auto_SLTI 98 1 +auto_C_SEXT_B auto_SLTIU 85 1 +auto_C_SEXT_B auto_XORI 96 1 +auto_C_SEXT_B auto_ORI 85 1 +auto_C_SEXT_B auto_ANDI 98 1 +auto_C_SEXT_B auto_SLLI 101 1 +auto_C_SEXT_B auto_SRLI 91 1 +auto_C_SEXT_B auto_SRAI 88 1 +auto_C_SEXT_B auto_ADD 90 1 +auto_C_SEXT_B auto_SUB 96 1 +auto_C_SEXT_B auto_SLL 104 1 +auto_C_SEXT_B auto_SLT 93 1 +auto_C_SEXT_B auto_SLTU 82 1 +auto_C_SEXT_B auto_XOR 111 1 +auto_C_SEXT_B auto_SRL 109 1 +auto_C_SEXT_B auto_SRA 92 1 +auto_C_SEXT_B auto_OR 107 1 +auto_C_SEXT_B auto_AND 91 1 +auto_C_SEXT_B auto_FENCE 81 1 +auto_C_SEXT_B auto_MRET 347 1 +auto_C_SEXT_B auto_MUL 99 1 +auto_C_SEXT_B auto_MULH 92 1 +auto_C_SEXT_B auto_MULHSU 100 1 +auto_C_SEXT_B auto_MULHU 108 1 +auto_C_SEXT_B auto_DIV 77 1 +auto_C_SEXT_B auto_DIVU 94 1 +auto_C_SEXT_B auto_REM 82 1 +auto_C_SEXT_B auto_REMU 92 1 +auto_C_SEXT_B auto_C_ADDI4SPN 70 1 +auto_C_SEXT_B auto_C_SW 3 1 +auto_C_SEXT_B auto_C_NOP 329 1 +auto_C_SEXT_B auto_C_ADDI 107 1 +auto_C_SEXT_B auto_C_JAL 22 1 +auto_C_SEXT_B auto_C_LI 113 1 +auto_C_SEXT_B auto_C_ADDI16SP 71 1 +auto_C_SEXT_B auto_C_LUI 90 1 +auto_C_SEXT_B auto_C_SRLI 109 1 +auto_C_SEXT_B auto_C_SRAI 109 1 +auto_C_SEXT_B auto_C_ANDI 96 1 +auto_C_SEXT_B auto_C_SUB 86 1 +auto_C_SEXT_B auto_C_XOR 84 1 +auto_C_SEXT_B auto_C_OR 94 1 +auto_C_SEXT_B auto_C_AND 83 1 +auto_C_SEXT_B auto_C_J 24 1 +auto_C_SEXT_B auto_C_BEQZ 50 1 +auto_C_SEXT_B auto_C_BNEZ 42 1 +auto_C_SEXT_B auto_C_SLLI 137 1 +auto_C_SEXT_B auto_C_LWSP 4 1 +auto_C_SEXT_B auto_C_JR 6 1 +auto_C_SEXT_B auto_C_MV 96 1 +auto_C_SEXT_B auto_C_JALR 1 1 +auto_C_SEXT_B auto_C_ADD 101 1 +auto_C_SEXT_B auto_C_SWSP 6 1 +auto_C_SEXT_B auto_SH1ADD 77 1 +auto_C_SEXT_B auto_SH2ADD 93 1 +auto_C_SEXT_B auto_SH3ADD 93 1 +auto_C_SEXT_B auto_CLZ 84 1 +auto_C_SEXT_B auto_CTZ 71 1 +auto_C_SEXT_B auto_CPOP 84 1 +auto_C_SEXT_B auto_MIN 87 1 +auto_C_SEXT_B auto_MAX 102 1 +auto_C_SEXT_B auto_MINU 100 1 +auto_C_SEXT_B auto_MAXU 87 1 +auto_C_SEXT_B auto_SEXT_B 112 1 +auto_C_SEXT_B auto_SEXT_H 83 1 +auto_C_SEXT_B auto_ZEXT_H 70 1 +auto_C_SEXT_B auto_ANDN 95 1 +auto_C_SEXT_B auto_ORN 111 1 +auto_C_SEXT_B auto_XNOR 97 1 +auto_C_SEXT_B auto_ROR 77 1 +auto_C_SEXT_B auto_RORI 91 1 +auto_C_SEXT_B auto_ROL 91 1 +auto_C_SEXT_B auto_REV8 81 1 +auto_C_SEXT_B auto_ORC_B 106 1 +auto_C_SEXT_B auto_CLMUL 89 1 +auto_C_SEXT_B auto_CLMULH 95 1 +auto_C_SEXT_B auto_CLMULR 85 1 +auto_C_SEXT_B auto_BSET 101 1 +auto_C_SEXT_B auto_BSETI 79 1 +auto_C_SEXT_B auto_BCLR 105 1 +auto_C_SEXT_B auto_BCLRI 98 1 +auto_C_SEXT_B auto_BINV 79 1 +auto_C_SEXT_B auto_BINVI 91 1 +auto_C_SEXT_B auto_BEXT 93 1 +auto_C_SEXT_B auto_BEXTI 96 1 +auto_C_SEXT_B auto_CSRRW 59 1 +auto_C_SEXT_B auto_CSRRS 67 1 +auto_C_SEXT_B auto_CSRRC 59 1 +auto_C_SEXT_B auto_CSRRWI 57 1 +auto_C_SEXT_B auto_CSRRSI 61 1 +auto_C_SEXT_B auto_CSRRCI 66 1 +auto_C_SEXT_B auto_C_LBU 2 1 +auto_C_SEXT_B auto_C_LHU 4 1 +auto_C_SEXT_B auto_C_LH 1 1 +auto_C_SEXT_B auto_C_SB 4 1 +auto_C_SEXT_B auto_C_SH 1 1 +auto_C_SEXT_B auto_C_ZEXT_B 101 1 +auto_C_SEXT_B auto_C_SEXT_B 97 1 +auto_C_SEXT_B auto_C_ZEXT_H 107 1 +auto_C_SEXT_B auto_C_SEXT_H 100 1 +auto_C_SEXT_B auto_C_NOT 112 1 +auto_C_SEXT_B auto_C_MUL 85 1 +auto_C_ZEXT_H auto_LUI 103 1 +auto_C_ZEXT_H auto_AUIPC 91 1 +auto_C_ZEXT_H auto_JAL 42 1 +auto_C_ZEXT_H auto_JALR 13 1 +auto_C_ZEXT_H auto_BEQ 45 1 +auto_C_ZEXT_H auto_BNE 51 1 +auto_C_ZEXT_H auto_BLT 48 1 +auto_C_ZEXT_H auto_BGE 32 1 +auto_C_ZEXT_H auto_BLTU 52 1 +auto_C_ZEXT_H auto_BGEU 44 1 +auto_C_ZEXT_H auto_LB 274 1 +auto_C_ZEXT_H auto_LH 67 1 +auto_C_ZEXT_H auto_LW 35 1 +auto_C_ZEXT_H auto_LBU 243 1 +auto_C_ZEXT_H auto_LHU 88 1 +auto_C_ZEXT_H auto_SB 252 1 +auto_C_ZEXT_H auto_SH 80 1 +auto_C_ZEXT_H auto_SW 43 1 +auto_C_ZEXT_H auto_ADDI 206 1 +auto_C_ZEXT_H auto_SLTI 106 1 +auto_C_ZEXT_H auto_SLTIU 83 1 +auto_C_ZEXT_H auto_XORI 82 1 +auto_C_ZEXT_H auto_ORI 91 1 +auto_C_ZEXT_H auto_ANDI 92 1 +auto_C_ZEXT_H auto_SLLI 91 1 +auto_C_ZEXT_H auto_SRLI 83 1 +auto_C_ZEXT_H auto_SRAI 88 1 +auto_C_ZEXT_H auto_ADD 75 1 +auto_C_ZEXT_H auto_SUB 110 1 +auto_C_ZEXT_H auto_SLL 118 1 +auto_C_ZEXT_H auto_SLT 99 1 +auto_C_ZEXT_H auto_SLTU 96 1 +auto_C_ZEXT_H auto_XOR 129 1 +auto_C_ZEXT_H auto_SRL 105 1 +auto_C_ZEXT_H auto_SRA 80 1 +auto_C_ZEXT_H auto_OR 92 1 +auto_C_ZEXT_H auto_AND 87 1 +auto_C_ZEXT_H auto_FENCE 82 1 +auto_C_ZEXT_H auto_MRET 360 1 +auto_C_ZEXT_H auto_MUL 115 1 +auto_C_ZEXT_H auto_MULH 99 1 +auto_C_ZEXT_H auto_MULHSU 101 1 +auto_C_ZEXT_H auto_MULHU 104 1 +auto_C_ZEXT_H auto_DIV 86 1 +auto_C_ZEXT_H auto_DIVU 114 1 +auto_C_ZEXT_H auto_REM 86 1 +auto_C_ZEXT_H auto_REMU 99 1 +auto_C_ZEXT_H auto_C_ADDI4SPN 79 1 +auto_C_ZEXT_H auto_C_NOP 312 1 +auto_C_ZEXT_H auto_C_ADDI 93 1 +auto_C_ZEXT_H auto_C_JAL 37 1 +auto_C_ZEXT_H auto_C_LI 114 1 +auto_C_ZEXT_H auto_C_ADDI16SP 65 1 +auto_C_ZEXT_H auto_C_LUI 103 1 +auto_C_ZEXT_H auto_C_SRLI 97 1 +auto_C_ZEXT_H auto_C_SRAI 109 1 +auto_C_ZEXT_H auto_C_ANDI 76 1 +auto_C_ZEXT_H auto_C_SUB 93 1 +auto_C_ZEXT_H auto_C_XOR 87 1 +auto_C_ZEXT_H auto_C_OR 107 1 +auto_C_ZEXT_H auto_C_AND 89 1 +auto_C_ZEXT_H auto_C_J 31 1 +auto_C_ZEXT_H auto_C_BEQZ 51 1 +auto_C_ZEXT_H auto_C_BNEZ 47 1 +auto_C_ZEXT_H auto_C_SLLI 121 1 +auto_C_ZEXT_H auto_C_LWSP 10 1 +auto_C_ZEXT_H auto_C_JR 4 1 +auto_C_ZEXT_H auto_C_MV 112 1 +auto_C_ZEXT_H auto_C_JALR 4 1 +auto_C_ZEXT_H auto_C_ADD 105 1 +auto_C_ZEXT_H auto_C_SWSP 4 1 +auto_C_ZEXT_H auto_SH1ADD 101 1 +auto_C_ZEXT_H auto_SH2ADD 91 1 +auto_C_ZEXT_H auto_SH3ADD 93 1 +auto_C_ZEXT_H auto_CLZ 84 1 +auto_C_ZEXT_H auto_CTZ 100 1 +auto_C_ZEXT_H auto_CPOP 87 1 +auto_C_ZEXT_H auto_MIN 88 1 +auto_C_ZEXT_H auto_MAX 98 1 +auto_C_ZEXT_H auto_MINU 84 1 +auto_C_ZEXT_H auto_MAXU 95 1 +auto_C_ZEXT_H auto_SEXT_B 75 1 +auto_C_ZEXT_H auto_SEXT_H 103 1 +auto_C_ZEXT_H auto_ZEXT_H 109 1 +auto_C_ZEXT_H auto_ANDN 88 1 +auto_C_ZEXT_H auto_ORN 94 1 +auto_C_ZEXT_H auto_XNOR 99 1 +auto_C_ZEXT_H auto_ROR 96 1 +auto_C_ZEXT_H auto_RORI 98 1 +auto_C_ZEXT_H auto_ROL 97 1 +auto_C_ZEXT_H auto_REV8 86 1 +auto_C_ZEXT_H auto_ORC_B 110 1 +auto_C_ZEXT_H auto_CLMUL 91 1 +auto_C_ZEXT_H auto_CLMULH 94 1 +auto_C_ZEXT_H auto_CLMULR 108 1 +auto_C_ZEXT_H auto_BSET 96 1 +auto_C_ZEXT_H auto_BSETI 89 1 +auto_C_ZEXT_H auto_BCLR 78 1 +auto_C_ZEXT_H auto_BCLRI 91 1 +auto_C_ZEXT_H auto_BINV 86 1 +auto_C_ZEXT_H auto_BINVI 98 1 +auto_C_ZEXT_H auto_BEXT 83 1 +auto_C_ZEXT_H auto_BEXTI 92 1 +auto_C_ZEXT_H auto_CSRRW 55 1 +auto_C_ZEXT_H auto_CSRRS 53 1 +auto_C_ZEXT_H auto_CSRRC 56 1 +auto_C_ZEXT_H auto_CSRRWI 58 1 +auto_C_ZEXT_H auto_CSRRSI 69 1 +auto_C_ZEXT_H auto_CSRRCI 71 1 +auto_C_ZEXT_H auto_C_LBU 1 1 +auto_C_ZEXT_H auto_C_SB 1 1 +auto_C_ZEXT_H auto_C_ZEXT_B 87 1 +auto_C_ZEXT_H auto_C_SEXT_B 94 1 +auto_C_ZEXT_H auto_C_ZEXT_H 91 1 +auto_C_ZEXT_H auto_C_SEXT_H 80 1 +auto_C_ZEXT_H auto_C_NOT 82 1 +auto_C_ZEXT_H auto_C_MUL 73 1 +auto_C_SEXT_H auto_LUI 110 1 +auto_C_SEXT_H auto_AUIPC 112 1 +auto_C_SEXT_H auto_JAL 32 1 +auto_C_SEXT_H auto_JALR 12 1 +auto_C_SEXT_H auto_BEQ 54 1 +auto_C_SEXT_H auto_BNE 43 1 +auto_C_SEXT_H auto_BLT 45 1 +auto_C_SEXT_H auto_BGE 50 1 +auto_C_SEXT_H auto_BLTU 58 1 +auto_C_SEXT_H auto_BGEU 42 1 +auto_C_SEXT_H auto_LB 298 1 +auto_C_SEXT_H auto_LH 85 1 +auto_C_SEXT_H auto_LW 39 1 +auto_C_SEXT_H auto_LBU 265 1 +auto_C_SEXT_H auto_LHU 96 1 +auto_C_SEXT_H auto_SB 290 1 +auto_C_SEXT_H auto_SH 83 1 +auto_C_SEXT_H auto_SW 35 1 +auto_C_SEXT_H auto_ADDI 203 1 +auto_C_SEXT_H auto_SLTI 97 1 +auto_C_SEXT_H auto_SLTIU 109 1 +auto_C_SEXT_H auto_XORI 98 1 +auto_C_SEXT_H auto_ORI 83 1 +auto_C_SEXT_H auto_ANDI 98 1 +auto_C_SEXT_H auto_SLLI 96 1 +auto_C_SEXT_H auto_SRLI 76 1 +auto_C_SEXT_H auto_SRAI 76 1 +auto_C_SEXT_H auto_ADD 74 1 +auto_C_SEXT_H auto_SUB 97 1 +auto_C_SEXT_H auto_SLL 104 1 +auto_C_SEXT_H auto_SLT 94 1 +auto_C_SEXT_H auto_SLTU 94 1 +auto_C_SEXT_H auto_XOR 110 1 +auto_C_SEXT_H auto_SRL 87 1 +auto_C_SEXT_H auto_SRA 96 1 +auto_C_SEXT_H auto_OR 83 1 +auto_C_SEXT_H auto_AND 97 1 +auto_C_SEXT_H auto_FENCE 76 1 +auto_C_SEXT_H auto_MRET 351 1 +auto_C_SEXT_H auto_MUL 100 1 +auto_C_SEXT_H auto_MULH 70 1 +auto_C_SEXT_H auto_MULHSU 96 1 +auto_C_SEXT_H auto_MULHU 101 1 +auto_C_SEXT_H auto_DIV 93 1 +auto_C_SEXT_H auto_DIVU 95 1 +auto_C_SEXT_H auto_REM 82 1 +auto_C_SEXT_H auto_REMU 111 1 +auto_C_SEXT_H auto_C_ADDI4SPN 79 1 +auto_C_SEXT_H auto_C_NOP 290 1 +auto_C_SEXT_H auto_C_ADDI 110 1 +auto_C_SEXT_H auto_C_JAL 31 1 +auto_C_SEXT_H auto_C_LI 117 1 +auto_C_SEXT_H auto_C_ADDI16SP 72 1 +auto_C_SEXT_H auto_C_LUI 90 1 +auto_C_SEXT_H auto_C_SRLI 86 1 +auto_C_SEXT_H auto_C_SRAI 117 1 +auto_C_SEXT_H auto_C_ANDI 72 1 +auto_C_SEXT_H auto_C_SUB 96 1 +auto_C_SEXT_H auto_C_XOR 108 1 +auto_C_SEXT_H auto_C_OR 92 1 +auto_C_SEXT_H auto_C_AND 78 1 +auto_C_SEXT_H auto_C_J 29 1 +auto_C_SEXT_H auto_C_BEQZ 47 1 +auto_C_SEXT_H auto_C_BNEZ 56 1 +auto_C_SEXT_H auto_C_SLLI 120 1 +auto_C_SEXT_H auto_C_LWSP 6 1 +auto_C_SEXT_H auto_C_JR 11 1 +auto_C_SEXT_H auto_C_MV 145 1 +auto_C_SEXT_H auto_C_ADD 110 1 +auto_C_SEXT_H auto_C_SWSP 4 1 +auto_C_SEXT_H auto_SH1ADD 82 1 +auto_C_SEXT_H auto_SH2ADD 88 1 +auto_C_SEXT_H auto_SH3ADD 108 1 +auto_C_SEXT_H auto_CLZ 86 1 +auto_C_SEXT_H auto_CTZ 91 1 +auto_C_SEXT_H auto_CPOP 114 1 +auto_C_SEXT_H auto_MIN 69 1 +auto_C_SEXT_H auto_MAX 92 1 +auto_C_SEXT_H auto_MINU 75 1 +auto_C_SEXT_H auto_MAXU 92 1 +auto_C_SEXT_H auto_SEXT_B 98 1 +auto_C_SEXT_H auto_SEXT_H 90 1 +auto_C_SEXT_H auto_ZEXT_H 108 1 +auto_C_SEXT_H auto_ANDN 85 1 +auto_C_SEXT_H auto_ORN 85 1 +auto_C_SEXT_H auto_XNOR 102 1 +auto_C_SEXT_H auto_ROR 101 1 +auto_C_SEXT_H auto_RORI 91 1 +auto_C_SEXT_H auto_ROL 87 1 +auto_C_SEXT_H auto_REV8 90 1 +auto_C_SEXT_H auto_ORC_B 103 1 +auto_C_SEXT_H auto_CLMUL 109 1 +auto_C_SEXT_H auto_CLMULH 78 1 +auto_C_SEXT_H auto_CLMULR 84 1 +auto_C_SEXT_H auto_BSET 98 1 +auto_C_SEXT_H auto_BSETI 90 1 +auto_C_SEXT_H auto_BCLR 107 1 +auto_C_SEXT_H auto_BCLRI 107 1 +auto_C_SEXT_H auto_BINV 90 1 +auto_C_SEXT_H auto_BINVI 79 1 +auto_C_SEXT_H auto_BEXT 85 1 +auto_C_SEXT_H auto_BEXTI 97 1 +auto_C_SEXT_H auto_CSRRW 56 1 +auto_C_SEXT_H auto_CSRRS 51 1 +auto_C_SEXT_H auto_CSRRC 49 1 +auto_C_SEXT_H auto_CSRRWI 57 1 +auto_C_SEXT_H auto_CSRRSI 64 1 +auto_C_SEXT_H auto_CSRRCI 65 1 +auto_C_SEXT_H auto_C_LBU 2 1 +auto_C_SEXT_H auto_C_SB 5 1 +auto_C_SEXT_H auto_C_SH 2 1 +auto_C_SEXT_H auto_C_ZEXT_B 102 1 +auto_C_SEXT_H auto_C_SEXT_B 84 1 +auto_C_SEXT_H auto_C_ZEXT_H 94 1 +auto_C_SEXT_H auto_C_SEXT_H 90 1 +auto_C_SEXT_H auto_C_NOT 100 1 +auto_C_SEXT_H auto_C_MUL 86 1 +auto_C_NOT auto_LUI 89 1 +auto_C_NOT auto_AUIPC 96 1 +auto_C_NOT auto_JAL 36 1 +auto_C_NOT auto_JALR 1 1 +auto_C_NOT auto_BEQ 59 1 +auto_C_NOT auto_BNE 43 1 +auto_C_NOT auto_BLT 57 1 +auto_C_NOT auto_BGE 54 1 +auto_C_NOT auto_BLTU 59 1 +auto_C_NOT auto_BGEU 43 1 +auto_C_NOT auto_LB 270 1 +auto_C_NOT auto_LH 75 1 +auto_C_NOT auto_LW 54 1 +auto_C_NOT auto_LBU 253 1 +auto_C_NOT auto_LHU 80 1 +auto_C_NOT auto_SB 260 1 +auto_C_NOT auto_SH 80 1 +auto_C_NOT auto_SW 32 1 +auto_C_NOT auto_ADDI 194 1 +auto_C_NOT auto_SLTI 86 1 +auto_C_NOT auto_SLTIU 87 1 +auto_C_NOT auto_XORI 111 1 +auto_C_NOT auto_ORI 92 1 +auto_C_NOT auto_ANDI 99 1 +auto_C_NOT auto_SLLI 102 1 +auto_C_NOT auto_SRLI 96 1 +auto_C_NOT auto_SRAI 87 1 +auto_C_NOT auto_ADD 78 1 +auto_C_NOT auto_SUB 103 1 +auto_C_NOT auto_SLL 80 1 +auto_C_NOT auto_SLT 87 1 +auto_C_NOT auto_SLTU 101 1 +auto_C_NOT auto_XOR 82 1 +auto_C_NOT auto_SRL 98 1 +auto_C_NOT auto_SRA 88 1 +auto_C_NOT auto_OR 95 1 +auto_C_NOT auto_AND 107 1 +auto_C_NOT auto_FENCE 63 1 +auto_C_NOT auto_MRET 365 1 +auto_C_NOT auto_MUL 92 1 +auto_C_NOT auto_MULH 88 1 +auto_C_NOT auto_MULHSU 90 1 +auto_C_NOT auto_MULHU 85 1 +auto_C_NOT auto_DIV 82 1 +auto_C_NOT auto_DIVU 99 1 +auto_C_NOT auto_REM 87 1 +auto_C_NOT auto_REMU 93 1 +auto_C_NOT auto_C_ADDI4SPN 71 1 +auto_C_NOT auto_C_LW 2 1 +auto_C_NOT auto_C_NOP 348 1 +auto_C_NOT auto_C_ADDI 94 1 +auto_C_NOT auto_C_JAL 28 1 +auto_C_NOT auto_C_LI 113 1 +auto_C_NOT auto_C_ADDI16SP 67 1 +auto_C_NOT auto_C_LUI 90 1 +auto_C_NOT auto_C_SRLI 89 1 +auto_C_NOT auto_C_SRAI 100 1 +auto_C_NOT auto_C_ANDI 117 1 +auto_C_NOT auto_C_SUB 105 1 +auto_C_NOT auto_C_XOR 75 1 +auto_C_NOT auto_C_OR 90 1 +auto_C_NOT auto_C_AND 90 1 +auto_C_NOT auto_C_J 37 1 +auto_C_NOT auto_C_BEQZ 41 1 +auto_C_NOT auto_C_BNEZ 57 1 +auto_C_NOT auto_C_SLLI 121 1 +auto_C_NOT auto_C_LWSP 6 1 +auto_C_NOT auto_C_MV 117 1 +auto_C_NOT auto_C_JALR 1 1 +auto_C_NOT auto_C_ADD 131 1 +auto_C_NOT auto_C_SWSP 5 1 +auto_C_NOT auto_SH1ADD 77 1 +auto_C_NOT auto_SH2ADD 96 1 +auto_C_NOT auto_SH3ADD 84 1 +auto_C_NOT auto_CLZ 92 1 +auto_C_NOT auto_CTZ 102 1 +auto_C_NOT auto_CPOP 88 1 +auto_C_NOT auto_MIN 96 1 +auto_C_NOT auto_MAX 87 1 +auto_C_NOT auto_MINU 108 1 +auto_C_NOT auto_MAXU 105 1 +auto_C_NOT auto_SEXT_B 82 1 +auto_C_NOT auto_SEXT_H 96 1 +auto_C_NOT auto_ZEXT_H 88 1 +auto_C_NOT auto_ANDN 93 1 +auto_C_NOT auto_ORN 89 1 +auto_C_NOT auto_XNOR 83 1 +auto_C_NOT auto_ROR 83 1 +auto_C_NOT auto_RORI 90 1 +auto_C_NOT auto_ROL 87 1 +auto_C_NOT auto_REV8 79 1 +auto_C_NOT auto_ORC_B 95 1 +auto_C_NOT auto_CLMUL 82 1 +auto_C_NOT auto_CLMULH 90 1 +auto_C_NOT auto_CLMULR 90 1 +auto_C_NOT auto_BSET 82 1 +auto_C_NOT auto_BSETI 100 1 +auto_C_NOT auto_BCLR 99 1 +auto_C_NOT auto_BCLRI 76 1 +auto_C_NOT auto_BINV 90 1 +auto_C_NOT auto_BINVI 89 1 +auto_C_NOT auto_BEXT 78 1 +auto_C_NOT auto_BEXTI 95 1 +auto_C_NOT auto_CSRRW 52 1 +auto_C_NOT auto_CSRRS 58 1 +auto_C_NOT auto_CSRRC 60 1 +auto_C_NOT auto_CSRRWI 60 1 +auto_C_NOT auto_CSRRSI 60 1 +auto_C_NOT auto_CSRRCI 61 1 +auto_C_NOT auto_C_LBU 1 1 +auto_C_NOT auto_C_LH 1 1 +auto_C_NOT auto_C_SB 3 1 +auto_C_NOT auto_C_ZEXT_B 102 1 +auto_C_NOT auto_C_SEXT_B 99 1 +auto_C_NOT auto_C_ZEXT_H 95 1 +auto_C_NOT auto_C_SEXT_H 96 1 +auto_C_NOT auto_C_NOT 95 1 +auto_C_NOT auto_C_MUL 82 1 +auto_C_MUL auto_LUI 82 1 +auto_C_MUL auto_AUIPC 91 1 +auto_C_MUL auto_JAL 37 1 +auto_C_MUL auto_JALR 16 1 +auto_C_MUL auto_BEQ 34 1 +auto_C_MUL auto_BNE 40 1 +auto_C_MUL auto_BLT 46 1 +auto_C_MUL auto_BGE 52 1 +auto_C_MUL auto_BLTU 43 1 +auto_C_MUL auto_BGEU 51 1 +auto_C_MUL auto_LB 252 1 +auto_C_MUL auto_LH 96 1 +auto_C_MUL auto_LW 45 1 +auto_C_MUL auto_LBU 270 1 +auto_C_MUL auto_LHU 89 1 +auto_C_MUL auto_SB 282 1 +auto_C_MUL auto_SH 83 1 +auto_C_MUL auto_SW 58 1 +auto_C_MUL auto_ADDI 187 1 +auto_C_MUL auto_SLTI 80 1 +auto_C_MUL auto_SLTIU 101 1 +auto_C_MUL auto_XORI 92 1 +auto_C_MUL auto_ORI 96 1 +auto_C_MUL auto_ANDI 106 1 +auto_C_MUL auto_SLLI 98 1 +auto_C_MUL auto_SRLI 105 1 +auto_C_MUL auto_SRAI 102 1 +auto_C_MUL auto_ADD 70 1 +auto_C_MUL auto_SUB 108 1 +auto_C_MUL auto_SLL 103 1 +auto_C_MUL auto_SLT 93 1 +auto_C_MUL auto_SLTU 107 1 +auto_C_MUL auto_XOR 109 1 +auto_C_MUL auto_SRL 86 1 +auto_C_MUL auto_SRA 112 1 +auto_C_MUL auto_OR 102 1 +auto_C_MUL auto_AND 113 1 +auto_C_MUL auto_FENCE 61 1 +auto_C_MUL auto_ECALL 1 1 +auto_C_MUL auto_MRET 353 1 +auto_C_MUL auto_MUL 94 1 +auto_C_MUL auto_MULH 98 1 +auto_C_MUL auto_MULHSU 106 1 +auto_C_MUL auto_MULHU 116 1 +auto_C_MUL auto_DIV 101 1 +auto_C_MUL auto_DIVU 97 1 +auto_C_MUL auto_REM 105 1 +auto_C_MUL auto_REMU 107 1 +auto_C_MUL auto_C_ADDI4SPN 72 1 +auto_C_MUL auto_C_SW 2 1 +auto_C_MUL auto_C_NOP 376 1 +auto_C_MUL auto_C_ADDI 107 1 +auto_C_MUL auto_C_JAL 33 1 +auto_C_MUL auto_C_LI 104 1 +auto_C_MUL auto_C_ADDI16SP 64 1 +auto_C_MUL auto_C_LUI 110 1 +auto_C_MUL auto_C_SRLI 96 1 +auto_C_MUL auto_C_SRAI 124 1 +auto_C_MUL auto_C_ANDI 87 1 +auto_C_MUL auto_C_SUB 90 1 +auto_C_MUL auto_C_XOR 92 1 +auto_C_MUL auto_C_OR 81 1 +auto_C_MUL auto_C_AND 100 1 +auto_C_MUL auto_C_J 31 1 +auto_C_MUL auto_C_BEQZ 57 1 +auto_C_MUL auto_C_BNEZ 49 1 +auto_C_MUL auto_C_SLLI 90 1 +auto_C_MUL auto_C_LWSP 4 1 +auto_C_MUL auto_C_MV 122 1 +auto_C_MUL auto_C_JALR 5 1 +auto_C_MUL auto_C_ADD 79 1 +auto_C_MUL auto_C_SWSP 6 1 +auto_C_MUL auto_SH1ADD 91 1 +auto_C_MUL auto_SH2ADD 107 1 +auto_C_MUL auto_SH3ADD 87 1 +auto_C_MUL auto_CLZ 93 1 +auto_C_MUL auto_CTZ 103 1 +auto_C_MUL auto_CPOP 103 1 +auto_C_MUL auto_MIN 96 1 +auto_C_MUL auto_MAX 84 1 +auto_C_MUL auto_MINU 84 1 +auto_C_MUL auto_MAXU 99 1 +auto_C_MUL auto_SEXT_B 119 1 +auto_C_MUL auto_SEXT_H 110 1 +auto_C_MUL auto_ZEXT_H 80 1 +auto_C_MUL auto_ANDN 111 1 +auto_C_MUL auto_ORN 108 1 +auto_C_MUL auto_XNOR 111 1 +auto_C_MUL auto_ROR 87 1 +auto_C_MUL auto_RORI 88 1 +auto_C_MUL auto_ROL 97 1 +auto_C_MUL auto_REV8 100 1 +auto_C_MUL auto_ORC_B 99 1 +auto_C_MUL auto_CLMUL 96 1 +auto_C_MUL auto_CLMULH 101 1 +auto_C_MUL auto_CLMULR 102 1 +auto_C_MUL auto_BSET 106 1 +auto_C_MUL auto_BSETI 98 1 +auto_C_MUL auto_BCLR 112 1 +auto_C_MUL auto_BCLRI 96 1 +auto_C_MUL auto_BINV 80 1 +auto_C_MUL auto_BINVI 90 1 +auto_C_MUL auto_BEXT 95 1 +auto_C_MUL auto_BEXTI 91 1 +auto_C_MUL auto_CSRRW 57 1 +auto_C_MUL auto_CSRRS 49 1 +auto_C_MUL auto_CSRRC 52 1 +auto_C_MUL auto_CSRRWI 63 1 +auto_C_MUL auto_CSRRSI 58 1 +auto_C_MUL auto_CSRRCI 37 1 +auto_C_MUL auto_C_LBU 4 1 +auto_C_MUL auto_C_LH 1 1 +auto_C_MUL auto_C_SB 3 1 +auto_C_MUL auto_C_SH 1 1 +auto_C_MUL auto_C_ZEXT_B 105 1 +auto_C_MUL auto_C_SEXT_B 90 1 +auto_C_MUL auto_C_ZEXT_H 90 1 +auto_C_MUL auto_C_SEXT_H 104 1 +auto_C_MUL auto_C_NOT 81 1 +auto_C_MUL auto_C_MUL 84 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_group_x2 + + +Samples crossed: cp_group cp_group_pipe_x2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 169 2 167 98.82 2 + + +Automatically Generated Cross Bins for cross_seq_group_x2 + + +Uncovered bins + +cp_group cp_group_pipe_x2 COUNT AT LEAST NUMBER +[auto_RET_GROUP] [auto_ENV_GROUP] 0 1 1 +[auto_ENV_GROUP] [auto_ENV_GROUP] 0 1 1 + + +Excluded/Illegal bins + +cp_group cp_group_pipe_x2 COUNT STATUS +[auto_UNKNOWN_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (20 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_UNKNOWN_GROUP] -- Illegal (2 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] -- Illegal (4 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_FENCE_I_GROUP] -- Illegal (2 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (6 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (40 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_UNKNOWN_GROUP] -- Illegal (4 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] -- Illegal (8 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_FENCE_I_GROUP] -- Illegal (4 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (12 bins) +[auto_FENCE_I_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (20 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_UNKNOWN_GROUP] -- Illegal (7 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] -- Illegal (14 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_FENCE_I_GROUP] -- Illegal (7 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (21 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (60 bins) + + +Covered bins + +cp_group cp_group_pipe_x2 COUNT AT LEAST +auto_LOAD_GROUP auto_LOAD_GROUP 635092 1 +auto_LOAD_GROUP auto_STORE_GROUP 54349 1 +auto_LOAD_GROUP auto_ALU_GROUP 611292 1 +auto_LOAD_GROUP auto_BRANCH_GROUP 17 1 +auto_LOAD_GROUP auto_JUMP_GROUP 1157 1 +auto_LOAD_GROUP auto_FENCE_GROUP 968 1 +auto_LOAD_GROUP auto_RET_GROUP 5907 1 +auto_LOAD_GROUP auto_WFI_GROUP 68 1 +auto_LOAD_GROUP auto_CSR_GROUP 65698 1 +auto_LOAD_GROUP auto_ENV_GROUP 1 1 +auto_LOAD_GROUP auto_MUL_GROUP 1852 1 +auto_LOAD_GROUP auto_MULTI_MUL_GROUP 3155 1 +auto_LOAD_GROUP auto_DIV_GROUP 4120 1 +auto_STORE_GROUP auto_LOAD_GROUP 54266 1 +auto_STORE_GROUP auto_STORE_GROUP 559808 1 +auto_STORE_GROUP auto_ALU_GROUP 781830 1 +auto_STORE_GROUP auto_BRANCH_GROUP 1 1 +auto_STORE_GROUP auto_JUMP_GROUP 2 1 +auto_STORE_GROUP auto_FENCE_GROUP 517 1 +auto_STORE_GROUP auto_RET_GROUP 3084 1 +auto_STORE_GROUP auto_WFI_GROUP 35 1 +auto_STORE_GROUP auto_CSR_GROUP 3083 1 +auto_STORE_GROUP auto_ENV_GROUP 1 1 +auto_STORE_GROUP auto_MUL_GROUP 924 1 +auto_STORE_GROUP auto_MULTI_MUL_GROUP 1634 1 +auto_STORE_GROUP auto_DIV_GROUP 2192 1 +auto_ALU_GROUP auto_LOAD_GROUP 675822 1 +auto_ALU_GROUP auto_STORE_GROUP 780556 1 +auto_ALU_GROUP auto_ALU_GROUP 2784556 1 +auto_ALU_GROUP auto_BRANCH_GROUP 96414 1 +auto_ALU_GROUP auto_JUMP_GROUP 16451 1 +auto_ALU_GROUP auto_FENCE_GROUP 7540 1 +auto_ALU_GROUP auto_RET_GROUP 251489 1 +auto_ALU_GROUP auto_WFI_GROUP 2331 1 +auto_ALU_GROUP auto_CSR_GROUP 794275 1 +auto_ALU_GROUP auto_ENV_GROUP 11022 1 +auto_ALU_GROUP auto_MUL_GROUP 23325 1 +auto_ALU_GROUP auto_MULTI_MUL_GROUP 46129 1 +auto_ALU_GROUP auto_DIV_GROUP 61789 1 +auto_BRANCH_GROUP auto_LOAD_GROUP 131 1 +auto_BRANCH_GROUP auto_STORE_GROUP 48 1 +auto_BRANCH_GROUP auto_ALU_GROUP 568996 1 +auto_BRANCH_GROUP auto_BRANCH_GROUP 77717 1 +auto_BRANCH_GROUP auto_JUMP_GROUP 259 1 +auto_BRANCH_GROUP auto_FENCE_GROUP 393 1 +auto_BRANCH_GROUP auto_RET_GROUP 1370 1 +auto_BRANCH_GROUP auto_WFI_GROUP 41 1 +auto_BRANCH_GROUP auto_CSR_GROUP 2660 1 +auto_BRANCH_GROUP auto_ENV_GROUP 1 1 +auto_BRANCH_GROUP auto_MUL_GROUP 875 1 +auto_BRANCH_GROUP auto_MULTI_MUL_GROUP 1596 1 +auto_BRANCH_GROUP auto_DIV_GROUP 2222 1 +auto_JUMP_GROUP auto_LOAD_GROUP 1810 1 +auto_JUMP_GROUP auto_STORE_GROUP 3813 1 +auto_JUMP_GROUP auto_ALU_GROUP 11337 1 +auto_JUMP_GROUP auto_BRANCH_GROUP 3228 1 +auto_JUMP_GROUP auto_JUMP_GROUP 229292 1 +auto_JUMP_GROUP auto_FENCE_GROUP 112 1 +auto_JUMP_GROUP auto_RET_GROUP 415 1 +auto_JUMP_GROUP auto_WFI_GROUP 7 1 +auto_JUMP_GROUP auto_CSR_GROUP 849 1 +auto_JUMP_GROUP auto_ENV_GROUP 1 1 +auto_JUMP_GROUP auto_MUL_GROUP 250 1 +auto_JUMP_GROUP auto_MULTI_MUL_GROUP 442 1 +auto_JUMP_GROUP auto_DIV_GROUP 620 1 +auto_FENCE_GROUP auto_LOAD_GROUP 1014 1 +auto_FENCE_GROUP auto_STORE_GROUP 494 1 +auto_FENCE_GROUP auto_ALU_GROUP 6830 1 +auto_FENCE_GROUP auto_BRANCH_GROUP 481 1 +auto_FENCE_GROUP auto_JUMP_GROUP 130 1 +auto_FENCE_GROUP auto_FENCE_GROUP 101 1 +auto_FENCE_GROUP auto_RET_GROUP 545 1 +auto_FENCE_GROUP auto_WFI_GROUP 44 1 +auto_FENCE_GROUP auto_CSR_GROUP 576 1 +auto_FENCE_GROUP auto_ENV_GROUP 1 1 +auto_FENCE_GROUP auto_MUL_GROUP 172 1 +auto_FENCE_GROUP auto_MULTI_MUL_GROUP 354 1 +auto_FENCE_GROUP auto_DIV_GROUP 467 1 +auto_RET_GROUP auto_LOAD_GROUP 1 1 +auto_RET_GROUP auto_STORE_GROUP 1 1 +auto_RET_GROUP auto_ALU_GROUP 265206 1 +auto_RET_GROUP auto_BRANCH_GROUP 1 1 +auto_RET_GROUP auto_JUMP_GROUP 1 1 +auto_RET_GROUP auto_FENCE_GROUP 1 1 +auto_RET_GROUP auto_RET_GROUP 1 1 +auto_RET_GROUP auto_WFI_GROUP 1 1 +auto_RET_GROUP auto_CSR_GROUP 14679 1 +auto_RET_GROUP auto_MUL_GROUP 1 1 +auto_RET_GROUP auto_MULTI_MUL_GROUP 1 1 +auto_RET_GROUP auto_DIV_GROUP 1 1 +auto_WFI_GROUP auto_LOAD_GROUP 57 1 +auto_WFI_GROUP auto_STORE_GROUP 74 1 +auto_WFI_GROUP auto_ALU_GROUP 1653 1 +auto_WFI_GROUP auto_BRANCH_GROUP 177 1 +auto_WFI_GROUP auto_JUMP_GROUP 14 1 +auto_WFI_GROUP auto_FENCE_GROUP 26 1 +auto_WFI_GROUP auto_RET_GROUP 474 1 +auto_WFI_GROUP auto_WFI_GROUP 55 1 +auto_WFI_GROUP auto_CSR_GROUP 183 1 +auto_WFI_GROUP auto_ENV_GROUP 1 1 +auto_WFI_GROUP auto_MUL_GROUP 60 1 +auto_WFI_GROUP auto_MULTI_MUL_GROUP 258 1 +auto_WFI_GROUP auto_DIV_GROUP 260 1 +auto_CSR_GROUP auto_LOAD_GROUP 5648 1 +auto_CSR_GROUP auto_STORE_GROUP 2897 1 +auto_CSR_GROUP auto_ALU_GROUP 387524 1 +auto_CSR_GROUP auto_BRANCH_GROUP 473050 1 +auto_CSR_GROUP auto_JUMP_GROUP 1003 1 +auto_CSR_GROUP auto_FENCE_GROUP 624 1 +auto_CSR_GROUP auto_RET_GROUP 9363 1 +auto_CSR_GROUP auto_WFI_GROUP 183 1 +auto_CSR_GROUP auto_CSR_GROUP 685633 1 +auto_CSR_GROUP auto_ENV_GROUP 183 1 +auto_CSR_GROUP auto_MUL_GROUP 959 1 +auto_CSR_GROUP auto_MULTI_MUL_GROUP 1423 1 +auto_CSR_GROUP auto_DIV_GROUP 1847 1 +auto_ENV_GROUP auto_LOAD_GROUP 1164 1 +auto_ENV_GROUP auto_STORE_GROUP 605 1 +auto_ENV_GROUP auto_ALU_GROUP 6911 1 +auto_ENV_GROUP auto_BRANCH_GROUP 500 1 +auto_ENV_GROUP auto_JUMP_GROUP 101 1 +auto_ENV_GROUP auto_FENCE_GROUP 76 1 +auto_ENV_GROUP auto_RET_GROUP 335 1 +auto_ENV_GROUP auto_WFI_GROUP 12 1 +auto_ENV_GROUP auto_CSR_GROUP 694 1 +auto_ENV_GROUP auto_MUL_GROUP 192 1 +auto_ENV_GROUP auto_MULTI_MUL_GROUP 270 1 +auto_ENV_GROUP auto_DIV_GROUP 354 1 +auto_MUL_GROUP auto_LOAD_GROUP 1726 1 +auto_MUL_GROUP auto_STORE_GROUP 1067 1 +auto_MUL_GROUP auto_ALU_GROUP 22277 1 +auto_MUL_GROUP auto_BRANCH_GROUP 914 1 +auto_MUL_GROUP auto_JUMP_GROUP 267 1 +auto_MUL_GROUP auto_FENCE_GROUP 185 1 +auto_MUL_GROUP auto_RET_GROUP 1218 1 +auto_MUL_GROUP auto_WFI_GROUP 48 1 +auto_MUL_GROUP auto_CSR_GROUP 974 1 +auto_MUL_GROUP auto_ENV_GROUP 1 1 +auto_MUL_GROUP auto_MUL_GROUP 551 1 +auto_MUL_GROUP auto_MULTI_MUL_GROUP 1046 1 +auto_MUL_GROUP auto_DIV_GROUP 1637 1 +auto_MULTI_MUL_GROUP auto_LOAD_GROUP 2901 1 +auto_MULTI_MUL_GROUP auto_STORE_GROUP 1578 1 +auto_MULTI_MUL_GROUP auto_ALU_GROUP 44188 1 +auto_MULTI_MUL_GROUP auto_BRANCH_GROUP 1701 1 +auto_MULTI_MUL_GROUP auto_JUMP_GROUP 436 1 +auto_MULTI_MUL_GROUP auto_FENCE_GROUP 285 1 +auto_MULTI_MUL_GROUP auto_RET_GROUP 2396 1 +auto_MULTI_MUL_GROUP auto_WFI_GROUP 170 1 +auto_MULTI_MUL_GROUP auto_CSR_GROUP 1516 1 +auto_MULTI_MUL_GROUP auto_ENV_GROUP 1 1 +auto_MULTI_MUL_GROUP auto_MUL_GROUP 1136 1 +auto_MULTI_MUL_GROUP auto_MULTI_MUL_GROUP 2201 1 +auto_MULTI_MUL_GROUP auto_DIV_GROUP 3280 1 +auto_DIV_GROUP auto_LOAD_GROUP 4044 1 +auto_DIV_GROUP auto_STORE_GROUP 2086 1 +auto_DIV_GROUP auto_ALU_GROUP 59094 1 +auto_DIV_GROUP auto_BRANCH_GROUP 2108 1 +auto_DIV_GROUP auto_JUMP_GROUP 729 1 +auto_DIV_GROUP auto_FENCE_GROUP 381 1 +auto_DIV_GROUP auto_RET_GROUP 3298 1 +auto_DIV_GROUP auto_WFI_GROUP 297 1 +auto_DIV_GROUP auto_CSR_GROUP 1857 1 +auto_DIV_GROUP auto_ENV_GROUP 1 1 +auto_DIV_GROUP auto_MUL_GROUP 1614 1 +auto_DIV_GROUP auto_MULTI_MUL_GROUP 3280 1 +auto_DIV_GROUP auto_DIV_GROUP 4203 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_group_x3 + + +Samples crossed: cp_group cp_group_pipe_x2 cp_group_pipe_x3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_group_x4 + + +Samples crossed: cp_group cp_group_pipe_x2 cp_group_pipe_x3 cp_group_pipe_x4 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_gpr_raw_hazard + + +Samples crossed: cp_group cp_group_pipe_x2 cp_gpr_raw_hazard +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 63 0 63 100.00 +Automatically Generated Cross Bins 63 0 63 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_seq_gpr_raw_hazard + + +Excluded/Illegal bins + +cp_group cp_group_pipe_x2 cp_gpr_raw_hazard COUNT STATUS +[auto_UNKNOWN_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (40 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_UNKNOWN_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (4 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (8 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_FENCE_I_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (4 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (12 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (80 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_UNKNOWN_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (8 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (16 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_FENCE_I_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (8 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (24 bins) +[auto_FENCE_I_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (40 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_UNKNOWN_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (14 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (28 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_FENCE_I_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (14 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (42 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (120 bins) + + +Covered bins + +cp_group cp_group_pipe_x2 cp_gpr_raw_hazard COUNT AT LEAST +auto_LOAD_GROUP auto_LOAD_GROUP RAW_HAZARD 12494 1 +auto_LOAD_GROUP auto_ALU_GROUP RAW_HAZARD 494582 1 +auto_LOAD_GROUP auto_JUMP_GROUP RAW_HAZARD 18 1 +auto_LOAD_GROUP auto_CSR_GROUP RAW_HAZARD 55728 1 +auto_LOAD_GROUP auto_MUL_GROUP RAW_HAZARD 2 1 +auto_LOAD_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 1 1 +auto_LOAD_GROUP auto_DIV_GROUP RAW_HAZARD 2 1 +auto_STORE_GROUP auto_LOAD_GROUP RAW_HAZARD 1796 1 +auto_STORE_GROUP auto_ALU_GROUP RAW_HAZARD 699223 1 +auto_STORE_GROUP auto_JUMP_GROUP RAW_HAZARD 1 1 +auto_STORE_GROUP auto_CSR_GROUP RAW_HAZARD 85 1 +auto_STORE_GROUP auto_MUL_GROUP RAW_HAZARD 16 1 +auto_STORE_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 58 1 +auto_STORE_GROUP auto_DIV_GROUP RAW_HAZARD 68 1 +auto_ALU_GROUP auto_LOAD_GROUP RAW_HAZARD 17156 1 +auto_ALU_GROUP auto_ALU_GROUP RAW_HAZARD 1455330 1 +auto_ALU_GROUP auto_JUMP_GROUP RAW_HAZARD 307 1 +auto_ALU_GROUP auto_CSR_GROUP RAW_HAZARD 418663 1 +auto_ALU_GROUP auto_MUL_GROUP RAW_HAZARD 2475 1 +auto_ALU_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 7229 1 +auto_ALU_GROUP auto_DIV_GROUP RAW_HAZARD 9832 1 +auto_BRANCH_GROUP auto_LOAD_GROUP RAW_HAZARD 8 1 +auto_BRANCH_GROUP auto_ALU_GROUP RAW_HAZARD 260942 1 +auto_BRANCH_GROUP auto_JUMP_GROUP RAW_HAZARD 6 1 +auto_BRANCH_GROUP auto_CSR_GROUP RAW_HAZARD 122 1 +auto_BRANCH_GROUP auto_MUL_GROUP RAW_HAZARD 48 1 +auto_BRANCH_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 60 1 +auto_BRANCH_GROUP auto_DIV_GROUP RAW_HAZARD 150 1 +auto_JUMP_GROUP auto_LOAD_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_ALU_GROUP RAW_HAZARD 1472 1 +auto_JUMP_GROUP auto_JUMP_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_CSR_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_MUL_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_DIV_GROUP RAW_HAZARD 1 1 +auto_CSR_GROUP auto_LOAD_GROUP RAW_HAZARD 31 1 +auto_CSR_GROUP auto_ALU_GROUP RAW_HAZARD 87451 1 +auto_CSR_GROUP auto_JUMP_GROUP RAW_HAZARD 2 1 +auto_CSR_GROUP auto_CSR_GROUP RAW_HAZARD 19 1 +auto_CSR_GROUP auto_MUL_GROUP RAW_HAZARD 4 1 +auto_CSR_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 8 1 +auto_CSR_GROUP auto_DIV_GROUP RAW_HAZARD 12 1 +auto_MUL_GROUP auto_LOAD_GROUP RAW_HAZARD 120 1 +auto_MUL_GROUP auto_ALU_GROUP RAW_HAZARD 3597 1 +auto_MUL_GROUP auto_JUMP_GROUP RAW_HAZARD 9 1 +auto_MUL_GROUP auto_CSR_GROUP RAW_HAZARD 60 1 +auto_MUL_GROUP auto_MUL_GROUP RAW_HAZARD 64 1 +auto_MUL_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 202 1 +auto_MUL_GROUP auto_DIV_GROUP RAW_HAZARD 288 1 +auto_MULTI_MUL_GROUP auto_LOAD_GROUP RAW_HAZARD 173 1 +auto_MULTI_MUL_GROUP auto_ALU_GROUP RAW_HAZARD 9545 1 +auto_MULTI_MUL_GROUP auto_JUMP_GROUP RAW_HAZARD 16 1 +auto_MULTI_MUL_GROUP auto_CSR_GROUP RAW_HAZARD 81 1 +auto_MULTI_MUL_GROUP auto_MUL_GROUP RAW_HAZARD 175 1 +auto_MULTI_MUL_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 545 1 +auto_MULTI_MUL_GROUP auto_DIV_GROUP RAW_HAZARD 711 1 +auto_DIV_GROUP auto_LOAD_GROUP RAW_HAZARD 240 1 +auto_DIV_GROUP auto_ALU_GROUP RAW_HAZARD 12563 1 +auto_DIV_GROUP auto_JUMP_GROUP RAW_HAZARD 22 1 +auto_DIV_GROUP auto_CSR_GROUP RAW_HAZARD 95 1 +auto_DIV_GROUP auto_MUL_GROUP RAW_HAZARD 287 1 +auto_DIV_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 716 1 +auto_DIV_GROUP auto_DIV_GROUP RAW_HAZARD 935 1 + + +User Defined Cross Bins for cross_seq_gpr_raw_hazard + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_HAZ 0 Excluded +IGN_GROUP 0 Excluded +IGN_PREV_GROUP 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_csr_hazard_x2 + + +Samples crossed: cp_csr cp_group cp_csr_hazard +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 2366 0 2366 100.00 +Automatically Generated Cross Bins 2366 0 2366 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_seq_csr_hazard_x2 + + +Excluded/Illegal bins + +cp_csr cp_group cp_csr_hazard COUNT STATUS +[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_LOAD_GROUP , auto_STORE_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Excluded (20 bins) +[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Excluded (40 bins) +[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Excluded (70 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_UNKNOWN_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (374 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (748 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_FENCE_I_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (374 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (1122 bins) + + +Covered bins + +cp_csr cp_group cp_csr_hazard COUNT AT LEAST +RW_CSR_MSTATUS auto_LOAD_GROUP CSR_HAZARD 20 1 +RW_CSR_MSTATUS auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MSTATUS auto_ALU_GROUP CSR_HAZARD 2668 1 +RW_CSR_MSTATUS auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MSTATUS auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUS auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUS auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUS auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUS auto_CSR_GROUP CSR_HAZARD 309 1 +RW_CSR_MSTATUS auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUS auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MSTATUS auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MSTATUS auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MISA auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MISA auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MISA auto_ALU_GROUP CSR_HAZARD 2455 1 +RW_CSR_MISA auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MISA auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MISA auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MISA auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MISA auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MISA auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_MISA auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MISA auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MISA auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MISA auto_DIV_GROUP CSR_HAZARD 11 1 +RW_CSR_MIE auto_LOAD_GROUP CSR_HAZARD 19 1 +RW_CSR_MIE auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MIE auto_ALU_GROUP CSR_HAZARD 119 1 +RW_CSR_MIE auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MIE auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MIE auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MIE auto_RET_GROUP CSR_HAZARD 2341 1 +RW_CSR_MIE auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MIE auto_CSR_GROUP CSR_HAZARD 78 1 +RW_CSR_MIE auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MIE auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MIE auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MIE auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MTVEC auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MTVEC auto_ALU_GROUP CSR_HAZARD 2386 1 +RW_CSR_MTVEC auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_RET_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_WFI_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MTVEC auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MTVEC auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MSTATUSH auto_LOAD_GROUP CSR_HAZARD 17 1 +RW_CSR_MSTATUSH auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MSTATUSH auto_ALU_GROUP CSR_HAZARD 106 1 +RW_CSR_MSTATUSH auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MSTATUSH auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MSTATUSH auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUSH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUSH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUSH auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_MSTATUSH auto_ENV_GROUP CSR_HAZARD 8 1 +RW_CSR_MSTATUSH auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MSTATUSH auto_MULTI_MUL_GROUP CSR_HAZARD 10 1 +RW_CSR_MSTATUSH auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MCOUNTINHIBIT auto_LOAD_GROUP CSR_HAZARD 24 1 +RW_CSR_MCOUNTINHIBIT auto_STORE_GROUP CSR_HAZARD 11 1 +RW_CSR_MCOUNTINHIBIT auto_ALU_GROUP CSR_HAZARD 115 1 +RW_CSR_MCOUNTINHIBIT auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_MCOUNTINHIBIT auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MCOUNTINHIBIT auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MCOUNTINHIBIT auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCOUNTINHIBIT auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCOUNTINHIBIT auto_CSR_GROUP CSR_HAZARD 17 1 +RW_CSR_MCOUNTINHIBIT auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MCOUNTINHIBIT auto_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MCOUNTINHIBIT auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCOUNTINHIBIT auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT3 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT3 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_MHPMEVENT3 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT3 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT3 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT3 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT3 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT3 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT4 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMEVENT4 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT4 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_MHPMEVENT4 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT4 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT4 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT4 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMEVENT4 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT4 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT4 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT4 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT5 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMEVENT5 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT5 auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMEVENT5 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT5 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT5 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT5 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT5 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT5 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT5 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT5 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT6 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT6 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT6 auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMEVENT6 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT6 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT6 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT6 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT7 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMEVENT7 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT7 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT7 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT7 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT7 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT7 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT7 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT7 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT7 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT7 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT8 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT8 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMEVENT8 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT8 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT8 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT8 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT8 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT8 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT9 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT9 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT9 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT9 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT9 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT9 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT9 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT9 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT9 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT9 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT9 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT10 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT10 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT10 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT10 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT10 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMEVENT10 auto_ENV_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT10 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT10 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT10 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT11 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMEVENT11 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT11 auto_ALU_GROUP CSR_HAZARD 72 1 +RW_CSR_MHPMEVENT11 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT11 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT11 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT11 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMEVENT11 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT11 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT11 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT11 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT12 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT12 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT12 auto_ALU_GROUP CSR_HAZARD 72 1 +RW_CSR_MHPMEVENT12 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT12 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT12 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT12 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT13 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT13 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT13 auto_ALU_GROUP CSR_HAZARD 75 1 +RW_CSR_MHPMEVENT13 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT13 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT13 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT13 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT13 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT13 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT14 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT14 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_MHPMEVENT14 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT14 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMEVENT14 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT15 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_MHPMEVENT15 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT15 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMEVENT15 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT15 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT15 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT15 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT15 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT16 auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMEVENT16 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT16 auto_ALU_GROUP CSR_HAZARD 62 1 +RW_CSR_MHPMEVENT16 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT16 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT16 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT16 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT16 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT16 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT17 auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMEVENT17 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT17 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMEVENT17 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT17 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT17 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT17 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT17 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT17 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT17 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT17 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT17 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT17 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT18 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT18 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT18 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMEVENT18 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT18 auto_JUMP_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT18 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT18 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT18 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT18 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMEVENT18 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT18 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT18 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT18 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT19 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT19 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT19 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMEVENT19 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT19 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT19 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT19 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT19 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT19 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMEVENT19 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT19 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT19 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT19 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT20 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT20 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT20 auto_ALU_GROUP CSR_HAZARD 60 1 +RW_CSR_MHPMEVENT20 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT20 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT20 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMEVENT20 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT20 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT20 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT21 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMEVENT21 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT21 auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMEVENT21 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT21 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT21 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT21 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT21 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT21 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT21 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT21 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT21 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT21 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT22 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT22 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT22 auto_ALU_GROUP CSR_HAZARD 83 1 +RW_CSR_MHPMEVENT22 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMEVENT22 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT22 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT22 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT22 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT23 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT23 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT23 auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMEVENT23 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT23 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT23 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT23 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT23 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT23 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT23 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT23 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT23 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT23 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT24 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT24 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT24 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMEVENT24 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT24 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT24 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT24 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT24 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT24 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT24 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT24 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT24 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT24 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT25 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT25 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT25 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT25 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT25 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT25 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT25 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT25 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT25 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT26 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT26 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT26 auto_ALU_GROUP CSR_HAZARD 75 1 +RW_CSR_MHPMEVENT26 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT26 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT26 auto_ENV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT26 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT26 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT26 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT27 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT27 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT27 auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_MHPMEVENT27 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT27 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT27 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT27 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT27 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT27 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT27 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT27 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT27 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT27 auto_DIV_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT28 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMEVENT28 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT28 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT28 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT28 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT28 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT28 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT28 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT28 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMEVENT29 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT29 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_MHPMEVENT29 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT29 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT29 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT29 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT29 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT29 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT30 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT30 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT30 auto_ALU_GROUP CSR_HAZARD 63 1 +RW_CSR_MHPMEVENT30 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT30 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT30 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT30 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT30 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT30 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT30 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT30 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT30 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT30 auto_DIV_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT31 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMEVENT31 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT31 auto_ALU_GROUP CSR_HAZARD 97 1 +RW_CSR_MHPMEVENT31 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT31 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT31 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT31 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT31 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT31 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT31 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT31 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT31 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT31 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MSCRATCH auto_LOAD_GROUP CSR_HAZARD 2579 1 +RW_CSR_MSCRATCH auto_STORE_GROUP CSR_HAZARD 1374 1 +RW_CSR_MSCRATCH auto_ALU_GROUP CSR_HAZARD 11963 1 +RW_CSR_MSCRATCH auto_BRANCH_GROUP CSR_HAZARD 846 1 +RW_CSR_MSCRATCH auto_JUMP_GROUP CSR_HAZARD 445 1 +RW_CSR_MSCRATCH auto_FENCE_GROUP CSR_HAZARD 154 1 +RW_CSR_MSCRATCH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MSCRATCH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MSCRATCH auto_CSR_GROUP CSR_HAZARD 1104 1 +RW_CSR_MSCRATCH auto_ENV_GROUP CSR_HAZARD 22 1 +RW_CSR_MSCRATCH auto_MUL_GROUP CSR_HAZARD 267 1 +RW_CSR_MSCRATCH auto_MULTI_MUL_GROUP CSR_HAZARD 522 1 +RW_CSR_MSCRATCH auto_DIV_GROUP CSR_HAZARD 697 1 +RW_CSR_MEPC auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MEPC auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MEPC auto_ALU_GROUP CSR_HAZARD 62420 1 +RW_CSR_MEPC auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MEPC auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MEPC auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MEPC auto_RET_GROUP CSR_HAZARD 11975 1 +RW_CSR_MEPC auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MEPC auto_CSR_GROUP CSR_HAZARD 230 1 +RW_CSR_MEPC auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MEPC auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MEPC auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MEPC auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MCAUSE auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MCAUSE auto_STORE_GROUP CSR_HAZARD 10 1 +RW_CSR_MCAUSE auto_ALU_GROUP CSR_HAZARD 111 1 +RW_CSR_MCAUSE auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MCAUSE auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MCAUSE auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MCAUSE auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCAUSE auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCAUSE auto_CSR_GROUP CSR_HAZARD 48 1 +RW_CSR_MCAUSE auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MCAUSE auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MCAUSE auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCAUSE auto_DIV_GROUP CSR_HAZARD 10 1 +RW_CSR_MTVAL auto_LOAD_GROUP CSR_HAZARD 21 1 +RW_CSR_MTVAL auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MTVAL auto_ALU_GROUP CSR_HAZARD 125 1 +RW_CSR_MTVAL auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MTVAL auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MTVAL auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_MTVAL auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MTVAL auto_DIV_GROUP CSR_HAZARD 9 1 +RW_CSR_MIP auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MIP auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MIP auto_ALU_GROUP CSR_HAZARD 124 1 +RW_CSR_MIP auto_BRANCH_GROUP CSR_HAZARD 15 1 +RW_CSR_MIP auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MIP auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MIP auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MIP auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MIP auto_CSR_GROUP CSR_HAZARD 89 1 +RW_CSR_MIP auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MIP auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MIP auto_MULTI_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MIP auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG0 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPCFG0 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG0 auto_ALU_GROUP CSR_HAZARD 94 1 +RW_CSR_PMPCFG0 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG0 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG0 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG0 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG0 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG0 auto_CSR_GROUP CSR_HAZARD 72 1 +RW_CSR_PMPCFG0 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG0 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG0 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG0 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG1 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPCFG1 auto_STORE_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG1 auto_ALU_GROUP CSR_HAZARD 103 1 +RW_CSR_PMPCFG1 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG1 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG1 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG1 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG1 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG1 auto_CSR_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPCFG1 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG1 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG1 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG1 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPCFG2 auto_STORE_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPCFG2 auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_PMPCFG2 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG2 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG2 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_CSR_GROUP CSR_HAZARD 62 1 +RW_CSR_PMPCFG2 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG2 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG2 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG2 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG3 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG3 auto_STORE_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG3 auto_ALU_GROUP CSR_HAZARD 101 1 +RW_CSR_PMPCFG3 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG3 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG3 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG3 auto_CSR_GROUP CSR_HAZARD 62 1 +RW_CSR_PMPCFG3 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG3 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG3 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG3 auto_DIV_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG4 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG4 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG4 auto_ALU_GROUP CSR_HAZARD 85 1 +RW_CSR_PMPCFG4 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG4 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG4 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG4 auto_CSR_GROUP CSR_HAZARD 33 1 +RW_CSR_PMPCFG4 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG4 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG4 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG4 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG5 auto_LOAD_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPCFG5 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG5 auto_ALU_GROUP CSR_HAZARD 80 1 +RW_CSR_PMPCFG5 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG5 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_CSR_GROUP CSR_HAZARD 28 1 +RW_CSR_PMPCFG5 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG5 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG5 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG5 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG6 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPCFG6 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG6 auto_ALU_GROUP CSR_HAZARD 91 1 +RW_CSR_PMPCFG6 auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG6 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG6 auto_CSR_GROUP CSR_HAZARD 29 1 +RW_CSR_PMPCFG6 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG6 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG6 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG6 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG7 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG7 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG7 auto_ALU_GROUP CSR_HAZARD 85 1 +RW_CSR_PMPCFG7 auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG7 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG7 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG7 auto_CSR_GROUP CSR_HAZARD 27 1 +RW_CSR_PMPCFG7 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG7 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG7 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG7 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG8 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG8 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG8 auto_ALU_GROUP CSR_HAZARD 107 1 +RW_CSR_PMPCFG8 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG8 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG8 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG8 auto_CSR_GROUP CSR_HAZARD 27 1 +RW_CSR_PMPCFG8 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG8 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG8 auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG8 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG9 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPCFG9 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG9 auto_ALU_GROUP CSR_HAZARD 89 1 +RW_CSR_PMPCFG9 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG9 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG9 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG9 auto_CSR_GROUP CSR_HAZARD 31 1 +RW_CSR_PMPCFG9 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG9 auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG9 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG9 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG10 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPCFG10 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG10 auto_ALU_GROUP CSR_HAZARD 89 1 +RW_CSR_PMPCFG10 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG10 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG10 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG10 auto_CSR_GROUP CSR_HAZARD 27 1 +RW_CSR_PMPCFG10 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG10 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG10 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG10 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG11 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG11 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG11 auto_ALU_GROUP CSR_HAZARD 109 1 +RW_CSR_PMPCFG11 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG11 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG11 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG11 auto_CSR_GROUP CSR_HAZARD 25 1 +RW_CSR_PMPCFG11 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG11 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG11 auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG11 auto_DIV_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG12 auto_LOAD_GROUP CSR_HAZARD 19 1 +RW_CSR_PMPCFG12 auto_STORE_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG12 auto_ALU_GROUP CSR_HAZARD 84 1 +RW_CSR_PMPCFG12 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG12 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG12 auto_CSR_GROUP CSR_HAZARD 31 1 +RW_CSR_PMPCFG12 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG12 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG12 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG13 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG13 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG13 auto_ALU_GROUP CSR_HAZARD 87 1 +RW_CSR_PMPCFG13 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG13 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG13 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG13 auto_CSR_GROUP CSR_HAZARD 29 1 +RW_CSR_PMPCFG13 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG13 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG13 auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG13 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG14 auto_LOAD_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPCFG14 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG14 auto_ALU_GROUP CSR_HAZARD 88 1 +RW_CSR_PMPCFG14 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG14 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG14 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG14 auto_CSR_GROUP CSR_HAZARD 26 1 +RW_CSR_PMPCFG14 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG14 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG14 auto_MULTI_MUL_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG14 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG15 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPCFG15 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG15 auto_ALU_GROUP CSR_HAZARD 107 1 +RW_CSR_PMPCFG15 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG15 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG15 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG15 auto_CSR_GROUP CSR_HAZARD 34 1 +RW_CSR_PMPCFG15 auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG15 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG15 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG15 auto_DIV_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR0 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR0 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_ALU_GROUP CSR_HAZARD 52 1 +RW_CSR_PMPADDR0 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR0 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_CSR_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR0 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR0 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR0 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR0 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR1 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR1 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR1 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR1 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_PMPADDR1 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR1 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR1 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR2 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR2 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR2 auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_PMPADDR2 auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR2 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR2 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR2 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR2 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR2 auto_CSR_GROUP CSR_HAZARD 46 1 +RW_CSR_PMPADDR2 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR2 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR2 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR2 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR3 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR3 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR3 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR3 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR3 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR3 auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR3 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR4 auto_LOAD_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR4 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR4 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR4 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR4 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_PMPADDR4 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR4 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR4 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR5 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR5 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR5 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR5 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR5 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR5 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR5 auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR5 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR5 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR5 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR5 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR6 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR6 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR6 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR6 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR6 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR6 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR6 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR7 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR7 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR7 auto_ALU_GROUP CSR_HAZARD 60 1 +RW_CSR_PMPADDR7 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR7 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR7 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR7 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR7 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR8 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR8 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR8 auto_ALU_GROUP CSR_HAZARD 30 1 +RW_CSR_PMPADDR8 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR8 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR8 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR8 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR8 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR8 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR8 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR8 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR9 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR9 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR9 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_PMPADDR9 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR9 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR9 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR9 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR9 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR10 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR10 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR10 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_PMPADDR10 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR10 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR10 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR10 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_PMPADDR10 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR10 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR10 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR10 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR11 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR11 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR11 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_PMPADDR11 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR11 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR11 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR11 auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR11 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR11 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR11 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR11 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR12 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR12 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR12 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_PMPADDR12 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_PMPADDR12 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR12 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR12 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR13 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR13 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR13 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_PMPADDR13 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR13 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR13 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR13 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR13 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR13 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR14 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR14 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR14 auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_PMPADDR14 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR14 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR14 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR14 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR14 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR14 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR15 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR15 auto_ALU_GROUP CSR_HAZARD 56 1 +RW_CSR_PMPADDR15 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR15 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR15 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR15 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR15 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR15 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR16 auto_LOAD_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_ALU_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_CSR_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR16 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR17 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR17 auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_PMPADDR17 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR17 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR17 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR17 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR17 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR17 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR17 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR18 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR18 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR18 auto_ALU_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR18 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR18 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR18 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR18 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR18 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR18 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR19 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR19 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR19 auto_ALU_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR19 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR19 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR19 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR19 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR19 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR19 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR20 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR20 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR20 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR20 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR20 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR20 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR20 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR20 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR20 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR21 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR21 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_ALU_GROUP CSR_HAZARD 37 1 +RW_CSR_PMPADDR21 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR21 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR21 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR21 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR21 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR22 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR22 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR22 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR22 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR22 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR22 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR22 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR22 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR22 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR23 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR23 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR23 auto_ALU_GROUP CSR_HAZARD 43 1 +RW_CSR_PMPADDR23 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR23 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR23 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR23 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR23 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR23 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR23 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR23 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR23 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR23 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR24 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR24 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR24 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR24 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_CSR_GROUP CSR_HAZARD 18 1 +RW_CSR_PMPADDR24 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR25 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR25 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR25 auto_ALU_GROUP CSR_HAZARD 57 1 +RW_CSR_PMPADDR25 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR25 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR25 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR25 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR25 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR26 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR26 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR26 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR26 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR26 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR26 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR26 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR26 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR27 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR27 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR27 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR27 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR27 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR27 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR27 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR27 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR27 auto_CSR_GROUP CSR_HAZARD 20 1 +RW_CSR_PMPADDR27 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR27 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR27 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR27 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR28 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR28 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR28 auto_ALU_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR28 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR28 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR28 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR28 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR28 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR28 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR28 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR28 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR28 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR28 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR29 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR29 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR29 auto_ALU_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR29 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR29 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR29 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR29 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR29 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR29 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR30 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR30 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR30 auto_ALU_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR30 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR30 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR30 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR30 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR30 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR30 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR31 auto_LOAD_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR31 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR31 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR31 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR31 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR32 auto_LOAD_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_ALU_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_CSR_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR32 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR33 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR33 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR33 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR33 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR33 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR33 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR33 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR33 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR34 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR34 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR34 auto_ALU_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR34 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR34 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR34 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR34 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR34 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR35 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_ALU_GROUP CSR_HAZARD 52 1 +RW_CSR_PMPADDR35 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR35 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR35 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR35 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR36 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR36 auto_ALU_GROUP CSR_HAZARD 51 1 +RW_CSR_PMPADDR36 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR36 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR36 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR36 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR37 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR37 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR37 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR37 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR37 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR37 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR37 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR37 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR38 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_ALU_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR38 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR38 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR38 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR38 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR38 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR38 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR39 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR39 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR39 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR39 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR39 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR39 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR39 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR39 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR39 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR39 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR39 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR39 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR39 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR40 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR40 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR40 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR40 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR40 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR40 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR40 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR40 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR40 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR41 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR41 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR41 auto_ALU_GROUP CSR_HAZARD 56 1 +RW_CSR_PMPADDR41 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR41 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR41 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR41 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR41 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR41 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR42 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR42 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR42 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR42 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR42 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR42 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR42 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR42 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR42 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR42 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR42 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR42 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR42 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR43 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR43 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_ALU_GROUP CSR_HAZARD 51 1 +RW_CSR_PMPADDR43 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR43 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR43 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR43 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR43 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR44 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR44 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR44 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR44 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR44 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR44 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR44 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR44 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR44 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR44 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR44 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR44 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR44 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR45 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR45 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR45 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR45 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR45 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR45 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR45 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR45 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR46 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR46 auto_ALU_GROUP CSR_HAZARD 43 1 +RW_CSR_PMPADDR46 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR46 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR46 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR46 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR46 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR46 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR47 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR47 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR47 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR47 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR47 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR47 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR47 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR47 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR47 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR47 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR47 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR47 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR47 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR48 auto_LOAD_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_ALU_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_CSR_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR48 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR49 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR49 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR49 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_CSR_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPADDR49 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR50 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR50 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR50 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR50 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR50 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR50 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR50 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR50 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR50 auto_DIV_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR51 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR51 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR51 auto_ALU_GROUP CSR_HAZARD 64 1 +RW_CSR_PMPADDR51 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR51 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR51 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR51 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR51 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR51 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR51 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR51 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR51 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR51 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR52 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR52 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR52 auto_ALU_GROUP CSR_HAZARD 39 1 +RW_CSR_PMPADDR52 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR52 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR52 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR52 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR52 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR52 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR53 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR53 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR53 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR53 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR53 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_CSR_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPADDR53 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR53 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR53 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR53 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR54 auto_LOAD_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR54 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR54 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR54 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR54 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR54 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR54 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR54 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR54 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR54 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR54 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR54 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR54 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR55 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR55 auto_ALU_GROUP CSR_HAZARD 44 1 +RW_CSR_PMPADDR55 auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR55 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR55 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR55 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR56 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR56 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR56 auto_ALU_GROUP CSR_HAZARD 39 1 +RW_CSR_PMPADDR56 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR56 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR56 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR56 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR56 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR56 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR57 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR57 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR57 auto_ALU_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR57 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR57 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR57 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR57 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR57 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR57 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR58 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR58 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR58 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR58 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR58 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR58 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR58 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR59 auto_LOAD_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR59 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR59 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR59 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR59 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR59 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_CSR_GROUP CSR_HAZARD 18 1 +RW_CSR_PMPADDR59 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR59 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR59 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR60 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR60 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR60 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR60 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR60 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR60 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR60 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR60 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR61 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR61 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR61 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR61 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR61 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR61 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR61 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR61 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR62 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR62 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR62 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_PMPADDR62 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR62 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR62 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR62 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR62 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR62 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR62 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR62 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR62 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR62 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR63 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR63 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR63 auto_ALU_GROUP CSR_HAZARD 52 1 +RW_CSR_PMPADDR63 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR63 auto_JUMP_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR63 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_CSR_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR63 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR63 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR63 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MCYCLE auto_LOAD_GROUP CSR_HAZARD 18 1 +RW_CSR_MCYCLE auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MCYCLE auto_ALU_GROUP CSR_HAZARD 108 1 +RW_CSR_MCYCLE auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_MCYCLE auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MCYCLE auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLE auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLE auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLE auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_MCYCLE auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MCYCLE auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCYCLE auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MCYCLE auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MINSTRET auto_LOAD_GROUP CSR_HAZARD 24 1 +RW_CSR_MINSTRET auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MINSTRET auto_ALU_GROUP CSR_HAZARD 120 1 +RW_CSR_MINSTRET auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MINSTRET auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MINSTRET auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MINSTRET auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MINSTRET auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MINSTRET auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER3 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER3 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER3 auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER3 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER3 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER3 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER3 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER4 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER4 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER4 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER4 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER4 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER5 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER5 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER5 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMCOUNTER5 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER5 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER5 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER5 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER5 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER6 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER6 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMCOUNTER6 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMCOUNTER6 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER6 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER6 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER7 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER7 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER7 auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMCOUNTER7 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER7 auto_JUMP_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER7 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER7 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER7 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER8 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER8 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER8 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER8 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER8 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8 auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_MHPMCOUNTER8 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER8 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER8 auto_MULTI_MUL_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER8 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER9 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER9 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER9 auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER9 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER9 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER9 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER9 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER9 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER10 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER10 auto_ALU_GROUP CSR_HAZARD 62 1 +RW_CSR_MHPMCOUNTER10 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER10 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER10 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER11 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER11 auto_STORE_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER11 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER11 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER11 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER11 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER11 auto_MULTI_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER11 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER12 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER12 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER12 auto_ALU_GROUP CSR_HAZARD 78 1 +RW_CSR_MHPMCOUNTER12 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER12 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER12 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER12 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER13 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER13 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER13 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER13 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER13 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER13 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER13 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER13 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER13 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER14 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER14 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER14 auto_ALU_GROUP CSR_HAZARD 63 1 +RW_CSR_MHPMCOUNTER14 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER14 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER14 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER14 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER14 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER14 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15 auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMCOUNTER15 auto_STORE_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER15 auto_ALU_GROUP CSR_HAZARD 64 1 +RW_CSR_MHPMCOUNTER15 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER15 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER15 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER15 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER16 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER16 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER16 auto_ALU_GROUP CSR_HAZARD 74 1 +RW_CSR_MHPMCOUNTER16 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER16 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER16 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER16 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER16 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER16 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER17 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER17 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17 auto_ALU_GROUP CSR_HAZARD 62 1 +RW_CSR_MHPMCOUNTER17 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER17 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER17 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER17 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER18 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER18 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER18 auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMCOUNTER18 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER18 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER18 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER18 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER18 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER18 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER18 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER19 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER19 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER19 auto_ALU_GROUP CSR_HAZARD 79 1 +RW_CSR_MHPMCOUNTER19 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER19 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER19 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER19 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER19 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER19 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER19 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER20 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER20 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER20 auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER20 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER20 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER20 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER20 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER21 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER21 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER21 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER21 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER21 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER21 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER21 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER21 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER21 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER22 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER22 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER22 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER22 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER22 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER23 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER23 auto_STORE_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER23 auto_ALU_GROUP CSR_HAZARD 85 1 +RW_CSR_MHPMCOUNTER23 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER23 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER23 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER23 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER23 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER23 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER24 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER24 auto_ALU_GROUP CSR_HAZARD 60 1 +RW_CSR_MHPMCOUNTER24 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER24 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER25 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER25 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER25 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER25 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER25 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER26 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER26 auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMCOUNTER26 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER26 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER26 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER26 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER26 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER27 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER27 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER27 auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER27 auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER27 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER27 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER27 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER27 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER28 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER28 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER28 auto_ALU_GROUP CSR_HAZARD 72 1 +RW_CSR_MHPMCOUNTER28 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER28 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER28 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER28 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER28 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER28 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER29 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29 auto_ALU_GROUP CSR_HAZARD 81 1 +RW_CSR_MHPMCOUNTER29 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER29 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER29 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER29 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER29 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER29 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER29 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER29 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER30 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER30 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER30 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER30 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER30 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMCOUNTER30 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER30 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER31 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER31 auto_ALU_GROUP CSR_HAZARD 80 1 +RW_CSR_MHPMCOUNTER31 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER31 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER31 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MCYCLEH auto_LOAD_GROUP CSR_HAZARD 25 1 +RW_CSR_MCYCLEH auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MCYCLEH auto_ALU_GROUP CSR_HAZARD 111 1 +RW_CSR_MCYCLEH auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_MCYCLEH auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MCYCLEH auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MCYCLEH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLEH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLEH auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MCYCLEH auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MCYCLEH auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCYCLEH auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MCYCLEH auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MINSTRETH auto_LOAD_GROUP CSR_HAZARD 18 1 +RW_CSR_MINSTRETH auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MINSTRETH auto_ALU_GROUP CSR_HAZARD 106 1 +RW_CSR_MINSTRETH auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MINSTRETH auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MINSTRETH auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MINSTRETH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRETH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRETH auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MINSTRETH auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MINSTRETH auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MINSTRETH auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MINSTRETH auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER3H auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER3H auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER3H auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER3H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER3H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER3H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3H auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER3H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER3H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER3H auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER4H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER4H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER4H auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMCOUNTER4H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER4H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER4H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER4H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER5H auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER5H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER5H auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER5H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER5H auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER5H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER5H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER5H auto_MULTI_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER5H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER6H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER6H auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMCOUNTER6H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER6H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER6H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER6H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER6H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER7H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER7H auto_ALU_GROUP CSR_HAZARD 83 1 +RW_CSR_MHPMCOUNTER7H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER7H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER7H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER7H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER7H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER7H auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER8H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER8H auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER8H auto_ALU_GROUP CSR_HAZARD 75 1 +RW_CSR_MHPMCOUNTER8H auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER8H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8H auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER8H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER8H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER8H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8H auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER9H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER9H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER9H auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_MHPMCOUNTER9H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER9H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER9H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER9H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER10H auto_LOAD_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER10H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10H auto_ALU_GROUP CSR_HAZARD 81 1 +RW_CSR_MHPMCOUNTER10H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER10H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER10H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10H auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER10H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER10H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER10H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER10H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER11H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER11H auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_MHPMCOUNTER11H auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER11H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER11H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER11H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER11H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER11H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER12H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER12H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER12H auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER12H auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER12H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER12H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER12H auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER12H auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER12H auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER13H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER13H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13H auto_ALU_GROUP CSR_HAZARD 83 1 +RW_CSR_MHPMCOUNTER13H auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER13H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER13H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER13H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER13H auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER14H auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER14H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER14H auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMCOUNTER14H auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER14H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER14H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER14H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER14H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER15H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER15H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER15H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER15H auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15H auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER15H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER15H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER15H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER16H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER16H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER16H auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMCOUNTER16H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER16H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER16H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER16H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER16H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER16H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER17H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER17H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER17H auto_ALU_GROUP CSR_HAZARD 84 1 +RW_CSR_MHPMCOUNTER17H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER17H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17H auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER17H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER17H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17H auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER17H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER18H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER18H auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER18H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER18H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER18H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER18H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18H auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER19H auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER19H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER19H auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER19H auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER19H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER19H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER19H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER19H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER19H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER19H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER20H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER20H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER20H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER20H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER20H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20H auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER20H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER20H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20H auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER20H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER21H auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER21H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER21H auto_ALU_GROUP CSR_HAZARD 79 1 +RW_CSR_MHPMCOUNTER21H auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER21H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21H auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER21H auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER21H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER21H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER22H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER22H auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMCOUNTER22H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER22H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22H auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER22H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER22H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER23H auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER23H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER23H auto_ALU_GROUP CSR_HAZARD 93 1 +RW_CSR_MHPMCOUNTER23H auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER23H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER23H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER23H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER23H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER23H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER24H auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER24H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER24H auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMCOUNTER24H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24H auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER24H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER24H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24H auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER24H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25H auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER25H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25H auto_ALU_GROUP CSR_HAZARD 86 1 +RW_CSR_MHPMCOUNTER25H auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER25H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER25H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER25H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER25H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25H auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER26H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER26H auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26H auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER26H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER26H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26H auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER26H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER26H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER26H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER27H auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER27H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER27H auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMCOUNTER27H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER27H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER27H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER27H auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER27H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER27H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER28H auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER28H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER28H auto_ALU_GROUP CSR_HAZARD 93 1 +RW_CSR_MHPMCOUNTER28H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER28H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER28H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER28H auto_ENV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER28H auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER28H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER29H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER29H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER29H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER29H auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER29H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER29H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER30H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER30H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER30H auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMCOUNTER30H auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER30H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER30H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER30H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER31H auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMCOUNTER31H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER31H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER31H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER31H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER31H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER31H auto_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER31H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER31H auto_DIV_GROUP CSR_HAZARD 5 1 + + +User Defined Cross Bins for cross_seq_csr_hazard_x2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_HAZ 0 Excluded + + +Group : uvma_isacov_pkg::cg_cl + +=============================================================================== +Group : uvma_isacov_pkg::cg_cl +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.55 99.55 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.55 1 100 1 64 64 uvma_isacov_pkg.rv32c_lw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cl + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 102 2 100 99.55 + + +Variables for Group uvma_isacov_pkg::cg_cl + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_lw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.55 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.55 99.55 1 100 1 1 64 64 uvma_isacov_pkg::cg_cl + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_lw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 102 2 100 99.55 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_lw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 63385 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 18919 1 +auto_NON_ZERO 44467 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 10117 1 +auto[1] 9326 1 +auto[2] 5387 1 +auto[3] 5614 1 +auto[4] 3834 1 +auto[5] 8589 1 +auto[6] 6007 1 +auto[7] 14512 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 7152 1 +auto[1] 1980 1 +auto[2] 10837 1 +auto[3] 7245 1 +auto[4] 6362 1 +auto[5] 13586 1 +auto[6] 11213 1 +auto[7] 5011 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 2 62 96.88 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT1_1 0 1 1 +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 63385 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 74 1 +BIT15_1 63323 1 +BIT14_1 88 1 +BIT13_1 23170 1 +BIT12_1 40243 1 +BIT11_1 63272 1 +BIT10_1 63265 1 +BIT9_1 98 1 +BIT8_1 111 1 +BIT7_1 18982 1 +BIT6_1 113 1 +BIT5_1 101 1 +BIT4_1 63283 1 +BIT3_1 63263 1 +BIT2_1 88 1 +BIT31_0 1 1 +BIT30_0 63385 1 +BIT29_0 63385 1 +BIT28_0 63385 1 +BIT27_0 63385 1 +BIT26_0 63385 1 +BIT25_0 63385 1 +BIT24_0 63385 1 +BIT23_0 63385 1 +BIT22_0 63385 1 +BIT21_0 63385 1 +BIT20_0 63385 1 +BIT19_0 63385 1 +BIT18_0 63385 1 +BIT17_0 63385 1 +BIT16_0 63312 1 +BIT15_0 63 1 +BIT14_0 63298 1 +BIT13_0 40216 1 +BIT12_0 23143 1 +BIT11_0 114 1 +BIT10_0 121 1 +BIT9_0 63288 1 +BIT8_0 63275 1 +BIT7_0 44404 1 +BIT6_0 63273 1 +BIT5_0 63285 1 +BIT4_0 103 1 +BIT3_0 123 1 +BIT2_0 63298 1 +BIT1_0 63386 1 +BIT0_0 63386 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 27 1 +BIT3_1 50 1 +BIT2_1 68 1 +BIT1_1 25380 1 +BIT0_1 32087 1 +BIT4_0 63359 1 +BIT3_0 63336 1 +BIT2_0 63318 1 +BIT1_0 38006 1 +BIT0_0 31299 1 + + +Group : uvma_isacov_pkg::cg_cs + +=============================================================================== +Group : uvma_isacov_pkg::cg_cs +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.61 99.61 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.61 1 100 1 64 64 uvma_isacov_pkg.rv32c_sw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cs + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 160 2 158 99.61 + + +Variables for Group uvma_isacov_pkg::cg_cs + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_sw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.61 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.61 99.61 1 100 1 1 64 64 uvma_isacov_pkg::cg_cs + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_sw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 160 2 158 99.61 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_sw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2 1 +auto_NON_ZERO 63864 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 14957 1 +auto_NON_ZERO 48909 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 19409 1 +auto_NON_ZERO 44457 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 10116 1 +auto[1] 9322 1 +auto[2] 5384 1 +auto[3] 6099 1 +auto[4] 3825 1 +auto[5] 8581 1 +auto[6] 6020 1 +auto[7] 14519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 7154 1 +auto[1] 1974 1 +auto[2] 11319 1 +auto[3] 7238 1 +auto[4] 6369 1 +auto[5] 13580 1 +auto[6] 11210 1 +auto[7] 5022 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 33740 1 +BIT30_1 11358 1 +BIT29_1 11106 1 +BIT28_1 10959 1 +BIT27_1 11101 1 +BIT26_1 11228 1 +BIT25_1 11603 1 +BIT24_1 10070 1 +BIT23_1 10188 1 +BIT22_1 10601 1 +BIT21_1 9954 1 +BIT20_1 10744 1 +BIT19_1 10099 1 +BIT18_1 10927 1 +BIT17_1 10869 1 +BIT16_1 10668 1 +BIT15_1 11919 1 +BIT14_1 30183 1 +BIT13_1 25416 1 +BIT12_1 20121 1 +BIT11_1 31441 1 +BIT10_1 30383 1 +BIT9_1 26573 1 +BIT8_1 15791 1 +BIT7_1 13175 1 +BIT6_1 15202 1 +BIT5_1 13026 1 +BIT4_1 30209 1 +BIT3_1 29935 1 +BIT2_1 30016 1 +BIT1_1 11166 1 +BIT0_1 13741 1 +BIT31_0 30126 1 +BIT30_0 52508 1 +BIT29_0 52760 1 +BIT28_0 52907 1 +BIT27_0 52765 1 +BIT26_0 52638 1 +BIT25_0 52263 1 +BIT24_0 53796 1 +BIT23_0 53678 1 +BIT22_0 53265 1 +BIT21_0 53912 1 +BIT20_0 53122 1 +BIT19_0 53767 1 +BIT18_0 52939 1 +BIT17_0 52997 1 +BIT16_0 53198 1 +BIT15_0 51947 1 +BIT14_0 33683 1 +BIT13_0 38450 1 +BIT12_0 43745 1 +BIT11_0 32425 1 +BIT10_0 33483 1 +BIT9_0 37293 1 +BIT8_0 48075 1 +BIT7_0 50691 1 +BIT6_0 48664 1 +BIT5_0 50840 1 +BIT4_0 33657 1 +BIT3_0 33931 1 +BIT2_0 33850 1 +BIT1_0 52700 1 +BIT0_0 50125 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 2 62 96.88 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT1_1 0 1 1 +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 63864 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 126 1 +BIT15_1 63380 1 +BIT14_1 487 1 +BIT13_1 23567 1 +BIT12_1 40401 1 +BIT11_1 63269 1 +BIT10_1 63272 1 +BIT9_1 99 1 +BIT8_1 105 1 +BIT7_1 19199 1 +BIT6_1 382 1 +BIT5_1 322 1 +BIT4_1 63489 1 +BIT3_1 63498 1 +BIT2_1 324 1 +BIT31_0 2 1 +BIT30_0 63865 1 +BIT29_0 63865 1 +BIT28_0 63865 1 +BIT27_0 63865 1 +BIT26_0 63865 1 +BIT25_0 63865 1 +BIT24_0 63865 1 +BIT23_0 63865 1 +BIT22_0 63865 1 +BIT21_0 63865 1 +BIT20_0 63865 1 +BIT19_0 63865 1 +BIT18_0 63865 1 +BIT17_0 63865 1 +BIT16_0 63740 1 +BIT15_0 486 1 +BIT14_0 63379 1 +BIT13_0 40299 1 +BIT12_0 23465 1 +BIT11_0 597 1 +BIT10_0 594 1 +BIT9_0 63767 1 +BIT8_0 63761 1 +BIT7_0 44667 1 +BIT6_0 63484 1 +BIT5_0 63544 1 +BIT4_0 377 1 +BIT3_0 368 1 +BIT2_0 63542 1 +BIT1_0 63866 1 +BIT0_0 63866 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 24 1 +BIT3_1 46 1 +BIT2_1 61 1 +BIT1_1 25365 1 +BIT0_1 32069 1 +BIT4_0 63842 1 +BIT3_0 63820 1 +BIT2_0 63805 1 +BIT1_0 38501 1 +BIT0_0 31797 1 + + +Group : uvme_cva6_pkg::cg_cvxif_rs3_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_rs3_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.78 99.78 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.73 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_msub_cg + 99.79 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_madd_cg + 99.79 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_nmadd_cg + 99.80 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 0 2048 100.00 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_rs3_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_msub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.73 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 19 2029 99.07 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 11 1013 98.93 100 1 1 0 +cross_rd_rs2 1024 8 1016 99.22 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 179 1 +RD_01 161 1 +RD_02 155 1 +RD_03 186 1 +RD_04 177 1 +RD_05 166 1 +RD_06 186 1 +RD_07 155 1 +RD_08 180 1 +RD_09 171 1 +RD_0a 149 1 +RD_0b 187 1 +RD_0c 151 1 +RD_0d 154 1 +RD_0e 141 1 +RD_0f 156 1 +RD_10 157 1 +RD_11 163 1 +RD_12 155 1 +RD_13 172 1 +RD_14 159 1 +RD_15 189 1 +RD_16 159 1 +RD_17 178 1 +RD_18 150 1 +RD_19 148 1 +RD_1a 166 1 +RD_1b 146 1 +RD_1c 140 1 +RD_1d 149 1 +RD_1e 160 1 +RD_1f 179 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 168 1 +RS1_01 157 1 +RS1_02 181 1 +RS1_03 142 1 +RS1_04 188 1 +RS1_05 163 1 +RS1_06 141 1 +RS1_07 149 1 +RS1_08 169 1 +RS1_09 146 1 +RS1_0a 165 1 +RS1_0b 174 1 +RS1_0c 158 1 +RS1_0d 168 1 +RS1_0e 164 1 +RS1_0f 179 1 +RS1_10 157 1 +RS1_11 157 1 +RS1_12 132 1 +RS1_13 174 1 +RS1_14 179 1 +RS1_15 172 1 +RS1_16 156 1 +RS1_17 162 1 +RS1_18 168 1 +RS1_19 169 1 +RS1_1a 182 1 +RS1_1b 172 1 +RS1_1c 143 1 +RS1_1d 165 1 +RS1_1e 166 1 +RS1_1f 158 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 155 1 +RS2_01 162 1 +RS2_02 164 1 +RS2_03 171 1 +RS2_04 146 1 +RS2_05 194 1 +RS2_06 181 1 +RS2_07 166 1 +RS2_08 159 1 +RS2_09 178 1 +RS2_0a 162 1 +RS2_0b 159 1 +RS2_0c 172 1 +RS2_0d 170 1 +RS2_0e 157 1 +RS2_0f 176 1 +RS2_10 153 1 +RS2_11 187 1 +RS2_12 159 1 +RS2_13 157 1 +RS2_14 148 1 +RS2_15 166 1 +RS2_16 148 1 +RS2_17 155 1 +RS2_18 166 1 +RS2_19 173 1 +RS2_1a 135 1 +RS2_1b 150 1 +RS2_1c 173 1 +RS2_1d 143 1 +RS2_1e 172 1 +RS2_1f 167 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2527 1 +BIT30_1 1927 1 +BIT29_1 1943 1 +BIT28_1 1994 1 +BIT27_1 1918 1 +BIT26_1 1914 1 +BIT25_1 1970 1 +BIT24_1 1947 1 +BIT23_1 1917 1 +BIT22_1 1889 1 +BIT21_1 1891 1 +BIT20_1 1868 1 +BIT19_1 1938 1 +BIT18_1 1852 1 +BIT17_1 1866 1 +BIT16_1 1971 1 +BIT15_1 2174 1 +BIT14_1 2084 1 +BIT13_1 1997 1 +BIT12_1 2330 1 +BIT11_1 2290 1 +BIT10_1 2325 1 +BIT9_1 2083 1 +BIT8_1 2006 1 +BIT7_1 2264 1 +BIT6_1 1950 1 +BIT5_1 2019 1 +BIT4_1 2419 1 +BIT3_1 2406 1 +BIT2_1 2375 1 +BIT1_1 2006 1 +BIT0_1 1683 1 +BIT31_0 2697 1 +BIT30_0 3297 1 +BIT29_0 3281 1 +BIT28_0 3230 1 +BIT27_0 3306 1 +BIT26_0 3310 1 +BIT25_0 3254 1 +BIT24_0 3277 1 +BIT23_0 3307 1 +BIT22_0 3335 1 +BIT21_0 3333 1 +BIT20_0 3356 1 +BIT19_0 3286 1 +BIT18_0 3372 1 +BIT17_0 3358 1 +BIT16_0 3253 1 +BIT15_0 3050 1 +BIT14_0 3140 1 +BIT13_0 3227 1 +BIT12_0 2894 1 +BIT11_0 2934 1 +BIT10_0 2899 1 +BIT9_0 3141 1 +BIT8_0 3218 1 +BIT7_0 2960 1 +BIT6_0 3274 1 +BIT5_0 3205 1 +BIT4_0 2805 1 +BIT3_0 2818 1 +BIT2_0 2849 1 +BIT1_0 3218 1 +BIT0_0 3541 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2527 1 +BIT30_1 2031 1 +BIT29_1 1955 1 +BIT28_1 1999 1 +BIT27_1 1922 1 +BIT26_1 1910 1 +BIT25_1 1982 1 +BIT24_1 1937 1 +BIT23_1 1922 1 +BIT22_1 1941 1 +BIT21_1 1965 1 +BIT20_1 1929 1 +BIT19_1 1909 1 +BIT18_1 1876 1 +BIT17_1 1986 1 +BIT16_1 1984 1 +BIT15_1 2156 1 +BIT14_1 2051 1 +BIT13_1 2043 1 +BIT12_1 2317 1 +BIT11_1 2392 1 +BIT10_1 2258 1 +BIT9_1 2122 1 +BIT8_1 2064 1 +BIT7_1 2202 1 +BIT6_1 1998 1 +BIT5_1 2058 1 +BIT4_1 2405 1 +BIT3_1 2505 1 +BIT2_1 2366 1 +BIT1_1 2034 1 +BIT0_1 1744 1 +BIT31_0 2696 1 +BIT30_0 3192 1 +BIT29_0 3268 1 +BIT28_0 3224 1 +BIT27_0 3301 1 +BIT26_0 3313 1 +BIT25_0 3241 1 +BIT24_0 3286 1 +BIT23_0 3301 1 +BIT22_0 3282 1 +BIT21_0 3258 1 +BIT20_0 3294 1 +BIT19_0 3314 1 +BIT18_0 3347 1 +BIT17_0 3237 1 +BIT16_0 3239 1 +BIT15_0 3067 1 +BIT14_0 3172 1 +BIT13_0 3180 1 +BIT12_0 2906 1 +BIT11_0 2831 1 +BIT10_0 2965 1 +BIT9_0 3101 1 +BIT8_0 3159 1 +BIT7_0 3021 1 +BIT6_0 3225 1 +BIT5_0 3165 1 +BIT4_0 2818 1 +BIT3_0 2718 1 +BIT2_0 2857 1 +BIT1_0 3189 1 +BIT0_0 3479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 11 1013 98.93 11 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_01] [RS1_1c] 0 1 1 +[RD_02] [RS1_1e] 0 1 1 +[RD_05] [RS1_01] 0 1 1 +[RD_05] [RS1_1f] 0 1 1 +[RD_0e] [RS1_10] 0 1 1 +[RD_0e] [RS1_19] 0 1 1 +[RD_0f] [RS1_01] 0 1 1 +[RD_12] [RS1_1d] 0 1 1 +[RD_16] [RS1_15] 0 1 1 +[RD_1c] [RS1_0a] 0 1 1 +[RD_1e] [RS1_07] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 3 1 +RD_00 RS1_01 4 1 +RD_00 RS1_02 6 1 +RD_00 RS1_03 5 1 +RD_00 RS1_04 5 1 +RD_00 RS1_05 4 1 +RD_00 RS1_06 8 1 +RD_00 RS1_07 3 1 +RD_00 RS1_08 9 1 +RD_00 RS1_09 8 1 +RD_00 RS1_0a 12 1 +RD_00 RS1_0b 9 1 +RD_00 RS1_0c 8 1 +RD_00 RS1_0d 9 1 +RD_00 RS1_0e 7 1 +RD_00 RS1_0f 2 1 +RD_00 RS1_10 4 1 +RD_00 RS1_11 4 1 +RD_00 RS1_12 5 1 +RD_00 RS1_13 3 1 +RD_00 RS1_14 9 1 +RD_00 RS1_15 2 1 +RD_00 RS1_16 8 1 +RD_00 RS1_17 1 1 +RD_00 RS1_18 3 1 +RD_00 RS1_19 6 1 +RD_00 RS1_1a 7 1 +RD_00 RS1_1b 7 1 +RD_00 RS1_1c 4 1 +RD_00 RS1_1d 4 1 +RD_00 RS1_1e 6 1 +RD_00 RS1_1f 4 1 +RD_01 RS1_00 6 1 +RD_01 RS1_01 4 1 +RD_01 RS1_02 8 1 +RD_01 RS1_03 2 1 +RD_01 RS1_04 6 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 4 1 +RD_01 RS1_07 5 1 +RD_01 RS1_08 3 1 +RD_01 RS1_09 9 1 +RD_01 RS1_0a 4 1 +RD_01 RS1_0b 5 1 +RD_01 RS1_0c 9 1 +RD_01 RS1_0d 3 1 +RD_01 RS1_0e 2 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 7 1 +RD_01 RS1_11 4 1 +RD_01 RS1_12 9 1 +RD_01 RS1_13 5 1 +RD_01 RS1_14 4 1 +RD_01 RS1_15 7 1 +RD_01 RS1_16 5 1 +RD_01 RS1_17 8 1 +RD_01 RS1_18 4 1 +RD_01 RS1_19 7 1 +RD_01 RS1_1a 5 1 +RD_01 RS1_1b 5 1 +RD_01 RS1_1d 4 1 +RD_01 RS1_1e 4 1 +RD_01 RS1_1f 3 1 +RD_02 RS1_00 7 1 +RD_02 RS1_01 5 1 +RD_02 RS1_02 1 1 +RD_02 RS1_03 9 1 +RD_02 RS1_04 8 1 +RD_02 RS1_05 2 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 4 1 +RD_02 RS1_08 2 1 +RD_02 RS1_09 4 1 +RD_02 RS1_0a 6 1 +RD_02 RS1_0b 13 1 +RD_02 RS1_0c 4 1 +RD_02 RS1_0d 5 1 +RD_02 RS1_0e 5 1 +RD_02 RS1_0f 11 1 +RD_02 RS1_10 2 1 +RD_02 RS1_11 4 1 +RD_02 RS1_12 4 1 +RD_02 RS1_13 4 1 +RD_02 RS1_14 4 1 +RD_02 RS1_15 6 1 +RD_02 RS1_16 5 1 +RD_02 RS1_17 4 1 +RD_02 RS1_18 5 1 +RD_02 RS1_19 1 1 +RD_02 RS1_1a 7 1 +RD_02 RS1_1b 8 1 +RD_02 RS1_1c 2 1 +RD_02 RS1_1d 5 1 +RD_02 RS1_1f 3 1 +RD_03 RS1_00 11 1 +RD_03 RS1_01 2 1 +RD_03 RS1_02 7 1 +RD_03 RS1_03 9 1 +RD_03 RS1_04 3 1 +RD_03 RS1_05 8 1 +RD_03 RS1_06 6 1 +RD_03 RS1_07 7 1 +RD_03 RS1_08 11 1 +RD_03 RS1_09 5 1 +RD_03 RS1_0a 1 1 +RD_03 RS1_0b 4 1 +RD_03 RS1_0c 8 1 +RD_03 RS1_0d 8 1 +RD_03 RS1_0e 6 1 +RD_03 RS1_0f 1 1 +RD_03 RS1_10 10 1 +RD_03 RS1_11 9 1 +RD_03 RS1_12 4 1 +RD_03 RS1_13 6 1 +RD_03 RS1_14 7 1 +RD_03 RS1_15 5 1 +RD_03 RS1_16 4 1 +RD_03 RS1_17 6 1 +RD_03 RS1_18 5 1 +RD_03 RS1_19 8 1 +RD_03 RS1_1a 4 1 +RD_03 RS1_1b 1 1 +RD_03 RS1_1c 1 1 +RD_03 RS1_1d 6 1 +RD_03 RS1_1e 5 1 +RD_03 RS1_1f 8 1 +RD_04 RS1_00 6 1 +RD_04 RS1_01 5 1 +RD_04 RS1_02 7 1 +RD_04 RS1_03 11 1 +RD_04 RS1_04 4 1 +RD_04 RS1_05 7 1 +RD_04 RS1_06 2 1 +RD_04 RS1_07 2 1 +RD_04 RS1_08 5 1 +RD_04 RS1_09 5 1 +RD_04 RS1_0a 9 1 +RD_04 RS1_0b 9 1 +RD_04 RS1_0c 6 1 +RD_04 RS1_0d 5 1 +RD_04 RS1_0e 2 1 +RD_04 RS1_0f 8 1 +RD_04 RS1_10 8 1 +RD_04 RS1_11 7 1 +RD_04 RS1_12 2 1 +RD_04 RS1_13 5 1 +RD_04 RS1_14 1 1 +RD_04 RS1_15 5 1 +RD_04 RS1_16 2 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 8 1 +RD_04 RS1_19 8 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 7 1 +RD_04 RS1_1c 4 1 +RD_04 RS1_1d 4 1 +RD_04 RS1_1e 6 1 +RD_04 RS1_1f 7 1 +RD_05 RS1_00 8 1 +RD_05 RS1_02 3 1 +RD_05 RS1_03 5 1 +RD_05 RS1_04 10 1 +RD_05 RS1_05 4 1 +RD_05 RS1_06 4 1 +RD_05 RS1_07 11 1 +RD_05 RS1_08 8 1 +RD_05 RS1_09 1 1 +RD_05 RS1_0a 6 1 +RD_05 RS1_0b 3 1 +RD_05 RS1_0c 4 1 +RD_05 RS1_0d 8 1 +RD_05 RS1_0e 6 1 +RD_05 RS1_0f 3 1 +RD_05 RS1_10 3 1 +RD_05 RS1_11 2 1 +RD_05 RS1_12 6 1 +RD_05 RS1_13 6 1 +RD_05 RS1_14 6 1 +RD_05 RS1_15 6 1 +RD_05 RS1_16 6 1 +RD_05 RS1_17 6 1 +RD_05 RS1_18 5 1 +RD_05 RS1_19 3 1 +RD_05 RS1_1a 11 1 +RD_05 RS1_1b 6 1 +RD_05 RS1_1c 3 1 +RD_05 RS1_1d 7 1 +RD_05 RS1_1e 6 1 +RD_06 RS1_00 4 1 +RD_06 RS1_01 3 1 +RD_06 RS1_02 3 1 +RD_06 RS1_03 7 1 +RD_06 RS1_04 6 1 +RD_06 RS1_05 2 1 +RD_06 RS1_06 6 1 +RD_06 RS1_07 4 1 +RD_06 RS1_08 4 1 +RD_06 RS1_09 3 1 +RD_06 RS1_0a 14 1 +RD_06 RS1_0b 10 1 +RD_06 RS1_0c 5 1 +RD_06 RS1_0d 4 1 +RD_06 RS1_0e 5 1 +RD_06 RS1_0f 7 1 +RD_06 RS1_10 2 1 +RD_06 RS1_11 4 1 +RD_06 RS1_12 5 1 +RD_06 RS1_13 8 1 +RD_06 RS1_14 4 1 +RD_06 RS1_15 6 1 +RD_06 RS1_16 8 1 +RD_06 RS1_17 4 1 +RD_06 RS1_18 6 1 +RD_06 RS1_19 11 1 +RD_06 RS1_1a 6 1 +RD_06 RS1_1b 11 1 +RD_06 RS1_1c 6 1 +RD_06 RS1_1d 11 1 +RD_06 RS1_1e 5 1 +RD_06 RS1_1f 2 1 +RD_07 RS1_00 7 1 +RD_07 RS1_01 1 1 +RD_07 RS1_02 4 1 +RD_07 RS1_03 1 1 +RD_07 RS1_04 7 1 +RD_07 RS1_05 8 1 +RD_07 RS1_06 5 1 +RD_07 RS1_07 6 1 +RD_07 RS1_08 3 1 +RD_07 RS1_09 6 1 +RD_07 RS1_0a 5 1 +RD_07 RS1_0b 4 1 +RD_07 RS1_0c 3 1 +RD_07 RS1_0d 8 1 +RD_07 RS1_0e 5 1 +RD_07 RS1_0f 6 1 +RD_07 RS1_10 7 1 +RD_07 RS1_11 2 1 +RD_07 RS1_12 1 1 +RD_07 RS1_13 8 1 +RD_07 RS1_14 4 1 +RD_07 RS1_15 5 1 +RD_07 RS1_16 5 1 +RD_07 RS1_17 2 1 +RD_07 RS1_18 6 1 +RD_07 RS1_19 1 1 +RD_07 RS1_1a 4 1 +RD_07 RS1_1b 4 1 +RD_07 RS1_1c 9 1 +RD_07 RS1_1d 8 1 +RD_07 RS1_1e 8 1 +RD_07 RS1_1f 2 1 +RD_08 RS1_00 6 1 +RD_08 RS1_01 3 1 +RD_08 RS1_02 3 1 +RD_08 RS1_03 4 1 +RD_08 RS1_04 6 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 5 1 +RD_08 RS1_07 4 1 +RD_08 RS1_08 6 1 +RD_08 RS1_09 5 1 +RD_08 RS1_0a 3 1 +RD_08 RS1_0b 3 1 +RD_08 RS1_0c 5 1 +RD_08 RS1_0d 3 1 +RD_08 RS1_0e 2 1 +RD_08 RS1_0f 8 1 +RD_08 RS1_10 5 1 +RD_08 RS1_11 8 1 +RD_08 RS1_12 1 1 +RD_08 RS1_13 6 1 +RD_08 RS1_14 8 1 +RD_08 RS1_15 8 1 +RD_08 RS1_16 9 1 +RD_08 RS1_17 10 1 +RD_08 RS1_18 6 1 +RD_08 RS1_19 4 1 +RD_08 RS1_1a 8 1 +RD_08 RS1_1b 8 1 +RD_08 RS1_1c 4 1 +RD_08 RS1_1d 9 1 +RD_08 RS1_1e 3 1 +RD_08 RS1_1f 12 1 +RD_09 RS1_00 4 1 +RD_09 RS1_01 7 1 +RD_09 RS1_02 10 1 +RD_09 RS1_03 1 1 +RD_09 RS1_04 7 1 +RD_09 RS1_05 6 1 +RD_09 RS1_06 6 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 7 1 +RD_09 RS1_09 5 1 +RD_09 RS1_0a 6 1 +RD_09 RS1_0b 6 1 +RD_09 RS1_0c 9 1 +RD_09 RS1_0d 8 1 +RD_09 RS1_0e 5 1 +RD_09 RS1_0f 1 1 +RD_09 RS1_10 7 1 +RD_09 RS1_11 7 1 +RD_09 RS1_12 5 1 +RD_09 RS1_13 6 1 +RD_09 RS1_14 5 1 +RD_09 RS1_15 9 1 +RD_09 RS1_16 3 1 +RD_09 RS1_17 1 1 +RD_09 RS1_18 2 1 +RD_09 RS1_19 4 1 +RD_09 RS1_1a 7 1 +RD_09 RS1_1b 3 1 +RD_09 RS1_1c 7 1 +RD_09 RS1_1d 1 1 +RD_09 RS1_1e 6 1 +RD_09 RS1_1f 4 1 +RD_0a RS1_00 7 1 +RD_0a RS1_01 7 1 +RD_0a RS1_02 8 1 +RD_0a RS1_03 3 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 3 1 +RD_0a RS1_06 4 1 +RD_0a RS1_07 3 1 +RD_0a RS1_08 4 1 +RD_0a RS1_09 3 1 +RD_0a RS1_0a 3 1 +RD_0a RS1_0b 7 1 +RD_0a RS1_0c 5 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 1 1 +RD_0a RS1_0f 4 1 +RD_0a RS1_10 1 1 +RD_0a RS1_11 6 1 +RD_0a RS1_12 3 1 +RD_0a RS1_13 8 1 +RD_0a RS1_14 6 1 +RD_0a RS1_15 11 1 +RD_0a RS1_16 3 1 +RD_0a RS1_17 4 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 4 1 +RD_0a RS1_1a 8 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 6 1 +RD_0a RS1_1d 3 1 +RD_0a RS1_1e 4 1 +RD_0a RS1_1f 6 1 +RD_0b RS1_00 7 1 +RD_0b RS1_01 7 1 +RD_0b RS1_02 8 1 +RD_0b RS1_03 5 1 +RD_0b RS1_04 6 1 +RD_0b RS1_05 9 1 +RD_0b RS1_06 1 1 +RD_0b RS1_07 2 1 +RD_0b RS1_08 8 1 +RD_0b RS1_09 5 1 +RD_0b RS1_0a 5 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 8 1 +RD_0b RS1_0d 5 1 +RD_0b RS1_0e 9 1 +RD_0b RS1_0f 9 1 +RD_0b RS1_10 4 1 +RD_0b RS1_11 3 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 2 1 +RD_0b RS1_14 7 1 +RD_0b RS1_15 5 1 +RD_0b RS1_16 7 1 +RD_0b RS1_17 7 1 +RD_0b RS1_18 8 1 +RD_0b RS1_19 12 1 +RD_0b RS1_1a 3 1 +RD_0b RS1_1b 8 1 +RD_0b RS1_1c 8 1 +RD_0b RS1_1d 2 1 +RD_0b RS1_1e 5 1 +RD_0b RS1_1f 3 1 +RD_0c RS1_00 2 1 +RD_0c RS1_01 3 1 +RD_0c RS1_02 6 1 +RD_0c RS1_03 2 1 +RD_0c RS1_04 4 1 +RD_0c RS1_05 5 1 +RD_0c RS1_06 7 1 +RD_0c RS1_07 7 1 +RD_0c RS1_08 2 1 +RD_0c RS1_09 2 1 +RD_0c RS1_0a 3 1 +RD_0c RS1_0b 7 1 +RD_0c RS1_0c 3 1 +RD_0c RS1_0d 1 1 +RD_0c RS1_0e 8 1 +RD_0c RS1_0f 9 1 +RD_0c RS1_10 4 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 3 1 +RD_0c RS1_13 7 1 +RD_0c RS1_14 4 1 +RD_0c RS1_15 11 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 3 1 +RD_0c RS1_18 3 1 +RD_0c RS1_19 8 1 +RD_0c RS1_1a 6 1 +RD_0c RS1_1b 2 1 +RD_0c RS1_1c 10 1 +RD_0c RS1_1d 4 1 +RD_0c RS1_1e 3 1 +RD_0c RS1_1f 5 1 +RD_0d RS1_00 5 1 +RD_0d RS1_01 4 1 +RD_0d RS1_02 7 1 +RD_0d RS1_03 5 1 +RD_0d RS1_04 3 1 +RD_0d RS1_05 1 1 +RD_0d RS1_06 2 1 +RD_0d RS1_07 5 1 +RD_0d RS1_08 2 1 +RD_0d RS1_09 3 1 +RD_0d RS1_0a 4 1 +RD_0d RS1_0b 7 1 +RD_0d RS1_0c 3 1 +RD_0d RS1_0d 4 1 +RD_0d RS1_0e 5 1 +RD_0d RS1_0f 1 1 +RD_0d RS1_10 6 1 +RD_0d RS1_11 13 1 +RD_0d RS1_12 2 1 +RD_0d RS1_13 8 1 +RD_0d RS1_14 5 1 +RD_0d RS1_15 7 1 +RD_0d RS1_16 5 1 +RD_0d RS1_17 7 1 +RD_0d RS1_18 7 1 +RD_0d RS1_19 7 1 +RD_0d RS1_1a 5 1 +RD_0d RS1_1b 6 1 +RD_0d RS1_1c 1 1 +RD_0d RS1_1d 5 1 +RD_0d RS1_1e 7 1 +RD_0d RS1_1f 2 1 +RD_0e RS1_00 5 1 +RD_0e RS1_01 6 1 +RD_0e RS1_02 2 1 +RD_0e RS1_03 3 1 +RD_0e RS1_04 5 1 +RD_0e RS1_05 8 1 +RD_0e RS1_06 1 1 +RD_0e RS1_07 6 1 +RD_0e RS1_08 3 1 +RD_0e RS1_09 6 1 +RD_0e RS1_0a 4 1 +RD_0e RS1_0b 6 1 +RD_0e RS1_0c 7 1 +RD_0e RS1_0d 5 1 +RD_0e RS1_0e 6 1 +RD_0e RS1_0f 5 1 +RD_0e RS1_11 5 1 +RD_0e RS1_12 2 1 +RD_0e RS1_13 3 1 +RD_0e RS1_14 5 1 +RD_0e RS1_15 4 1 +RD_0e RS1_16 4 1 +RD_0e RS1_17 6 1 +RD_0e RS1_18 8 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 6 1 +RD_0e RS1_1c 2 1 +RD_0e RS1_1d 5 1 +RD_0e RS1_1e 2 1 +RD_0e RS1_1f 9 1 +RD_0f RS1_00 8 1 +RD_0f RS1_02 6 1 +RD_0f RS1_03 4 1 +RD_0f RS1_04 2 1 +RD_0f RS1_05 7 1 +RD_0f RS1_06 5 1 +RD_0f RS1_07 4 1 +RD_0f RS1_08 4 1 +RD_0f RS1_09 7 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 9 1 +RD_0f RS1_0c 2 1 +RD_0f RS1_0d 3 1 +RD_0f RS1_0e 5 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 5 1 +RD_0f RS1_11 8 1 +RD_0f RS1_12 2 1 +RD_0f RS1_13 8 1 +RD_0f RS1_14 3 1 +RD_0f RS1_15 6 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 5 1 +RD_0f RS1_18 7 1 +RD_0f RS1_19 5 1 +RD_0f RS1_1a 2 1 +RD_0f RS1_1b 5 1 +RD_0f RS1_1c 5 1 +RD_0f RS1_1d 6 1 +RD_0f RS1_1e 6 1 +RD_0f RS1_1f 5 1 +RD_10 RS1_00 4 1 +RD_10 RS1_01 4 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 6 1 +RD_10 RS1_04 5 1 +RD_10 RS1_05 5 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 6 1 +RD_10 RS1_08 9 1 +RD_10 RS1_09 4 1 +RD_10 RS1_0a 1 1 +RD_10 RS1_0b 3 1 +RD_10 RS1_0c 4 1 +RD_10 RS1_0d 6 1 +RD_10 RS1_0e 14 1 +RD_10 RS1_0f 6 1 +RD_10 RS1_10 10 1 +RD_10 RS1_11 5 1 +RD_10 RS1_12 3 1 +RD_10 RS1_13 4 1 +RD_10 RS1_14 7 1 +RD_10 RS1_15 2 1 +RD_10 RS1_16 4 1 +RD_10 RS1_17 8 1 +RD_10 RS1_18 4 1 +RD_10 RS1_19 6 1 +RD_10 RS1_1a 4 1 +RD_10 RS1_1b 3 1 +RD_10 RS1_1c 2 1 +RD_10 RS1_1d 3 1 +RD_10 RS1_1e 4 1 +RD_10 RS1_1f 3 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 10 1 +RD_11 RS1_02 4 1 +RD_11 RS1_03 3 1 +RD_11 RS1_04 7 1 +RD_11 RS1_05 3 1 +RD_11 RS1_06 4 1 +RD_11 RS1_07 3 1 +RD_11 RS1_08 5 1 +RD_11 RS1_09 2 1 +RD_11 RS1_0a 4 1 +RD_11 RS1_0b 3 1 +RD_11 RS1_0c 5 1 +RD_11 RS1_0d 7 1 +RD_11 RS1_0e 6 1 +RD_11 RS1_0f 5 1 +RD_11 RS1_10 4 1 +RD_11 RS1_11 2 1 +RD_11 RS1_12 3 1 +RD_11 RS1_13 10 1 +RD_11 RS1_14 6 1 +RD_11 RS1_15 3 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 5 1 +RD_11 RS1_18 10 1 +RD_11 RS1_19 3 1 +RD_11 RS1_1a 8 1 +RD_11 RS1_1b 6 1 +RD_11 RS1_1c 5 1 +RD_11 RS1_1d 8 1 +RD_11 RS1_1e 9 1 +RD_11 RS1_1f 2 1 +RD_12 RS1_00 7 1 +RD_12 RS1_01 6 1 +RD_12 RS1_02 5 1 +RD_12 RS1_03 3 1 +RD_12 RS1_04 10 1 +RD_12 RS1_05 6 1 +RD_12 RS1_06 2 1 +RD_12 RS1_07 4 1 +RD_12 RS1_08 3 1 +RD_12 RS1_09 3 1 +RD_12 RS1_0a 4 1 +RD_12 RS1_0b 7 1 +RD_12 RS1_0c 4 1 +RD_12 RS1_0d 3 1 +RD_12 RS1_0e 4 1 +RD_12 RS1_0f 5 1 +RD_12 RS1_10 6 1 +RD_12 RS1_11 5 1 +RD_12 RS1_12 1 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 7 1 +RD_12 RS1_15 6 1 +RD_12 RS1_16 6 1 +RD_12 RS1_17 5 1 +RD_12 RS1_18 4 1 +RD_12 RS1_19 3 1 +RD_12 RS1_1a 8 1 +RD_12 RS1_1b 4 1 +RD_12 RS1_1c 5 1 +RD_12 RS1_1e 8 1 +RD_12 RS1_1f 6 1 +RD_13 RS1_00 3 1 +RD_13 RS1_01 6 1 +RD_13 RS1_02 7 1 +RD_13 RS1_03 3 1 +RD_13 RS1_04 6 1 +RD_13 RS1_05 7 1 +RD_13 RS1_06 7 1 +RD_13 RS1_07 7 1 +RD_13 RS1_08 5 1 +RD_13 RS1_09 3 1 +RD_13 RS1_0a 3 1 +RD_13 RS1_0b 4 1 +RD_13 RS1_0c 4 1 +RD_13 RS1_0d 10 1 +RD_13 RS1_0e 2 1 +RD_13 RS1_0f 4 1 +RD_13 RS1_10 5 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 5 1 +RD_13 RS1_13 4 1 +RD_13 RS1_14 10 1 +RD_13 RS1_15 11 1 +RD_13 RS1_16 3 1 +RD_13 RS1_17 1 1 +RD_13 RS1_18 4 1 +RD_13 RS1_19 6 1 +RD_13 RS1_1a 10 1 +RD_13 RS1_1b 5 1 +RD_13 RS1_1c 4 1 +RD_13 RS1_1d 6 1 +RD_13 RS1_1e 6 1 +RD_13 RS1_1f 6 1 +RD_14 RS1_00 2 1 +RD_14 RS1_01 6 1 +RD_14 RS1_02 9 1 +RD_14 RS1_03 4 1 +RD_14 RS1_04 5 1 +RD_14 RS1_05 5 1 +RD_14 RS1_06 6 1 +RD_14 RS1_07 5 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 4 1 +RD_14 RS1_0a 3 1 +RD_14 RS1_0b 4 1 +RD_14 RS1_0c 5 1 +RD_14 RS1_0d 5 1 +RD_14 RS1_0e 8 1 +RD_14 RS1_0f 10 1 +RD_14 RS1_10 5 1 +RD_14 RS1_11 3 1 +RD_14 RS1_12 5 1 +RD_14 RS1_13 4 1 +RD_14 RS1_14 5 1 +RD_14 RS1_15 4 1 +RD_14 RS1_16 6 1 +RD_14 RS1_17 6 1 +RD_14 RS1_18 3 1 +RD_14 RS1_19 3 1 +RD_14 RS1_1a 8 1 +RD_14 RS1_1b 6 1 +RD_14 RS1_1c 2 1 +RD_14 RS1_1d 5 1 +RD_14 RS1_1e 6 1 +RD_14 RS1_1f 2 1 +RD_15 RS1_00 6 1 +RD_15 RS1_01 5 1 +RD_15 RS1_02 9 1 +RD_15 RS1_03 2 1 +RD_15 RS1_04 6 1 +RD_15 RS1_05 8 1 +RD_15 RS1_06 3 1 +RD_15 RS1_07 6 1 +RD_15 RS1_08 4 1 +RD_15 RS1_09 4 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 5 1 +RD_15 RS1_0c 10 1 +RD_15 RS1_0d 5 1 +RD_15 RS1_0e 5 1 +RD_15 RS1_0f 5 1 +RD_15 RS1_10 4 1 +RD_15 RS1_11 7 1 +RD_15 RS1_12 11 1 +RD_15 RS1_13 6 1 +RD_15 RS1_14 11 1 +RD_15 RS1_15 7 1 +RD_15 RS1_16 4 1 +RD_15 RS1_17 3 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 5 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 8 1 +RD_15 RS1_1c 5 1 +RD_15 RS1_1d 8 1 +RD_15 RS1_1e 8 1 +RD_15 RS1_1f 4 1 +RD_16 RS1_00 5 1 +RD_16 RS1_01 3 1 +RD_16 RS1_02 8 1 +RD_16 RS1_03 2 1 +RD_16 RS1_04 5 1 +RD_16 RS1_05 2 1 +RD_16 RS1_06 6 1 +RD_16 RS1_07 6 1 +RD_16 RS1_08 4 1 +RD_16 RS1_09 3 1 +RD_16 RS1_0a 6 1 +RD_16 RS1_0b 3 1 +RD_16 RS1_0c 4 1 +RD_16 RS1_0d 6 1 +RD_16 RS1_0e 4 1 +RD_16 RS1_0f 6 1 +RD_16 RS1_10 5 1 +RD_16 RS1_11 6 1 +RD_16 RS1_12 3 1 +RD_16 RS1_13 7 1 +RD_16 RS1_14 5 1 +RD_16 RS1_16 5 1 +RD_16 RS1_17 6 1 +RD_16 RS1_18 4 1 +RD_16 RS1_19 11 1 +RD_16 RS1_1a 2 1 +RD_16 RS1_1b 8 1 +RD_16 RS1_1c 9 1 +RD_16 RS1_1d 6 1 +RD_16 RS1_1e 2 1 +RD_16 RS1_1f 7 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 14 1 +RD_17 RS1_02 9 1 +RD_17 RS1_03 6 1 +RD_17 RS1_04 11 1 +RD_17 RS1_05 4 1 +RD_17 RS1_06 4 1 +RD_17 RS1_07 5 1 +RD_17 RS1_08 5 1 +RD_17 RS1_09 9 1 +RD_17 RS1_0a 7 1 +RD_17 RS1_0b 4 1 +RD_17 RS1_0c 2 1 +RD_17 RS1_0d 5 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 4 1 +RD_17 RS1_10 2 1 +RD_17 RS1_11 2 1 +RD_17 RS1_12 7 1 +RD_17 RS1_13 4 1 +RD_17 RS1_14 6 1 +RD_17 RS1_15 4 1 +RD_17 RS1_16 2 1 +RD_17 RS1_17 8 1 +RD_17 RS1_18 9 1 +RD_17 RS1_19 5 1 +RD_17 RS1_1a 5 1 +RD_17 RS1_1b 3 1 +RD_17 RS1_1c 5 1 +RD_17 RS1_1d 5 1 +RD_17 RS1_1e 6 1 +RD_17 RS1_1f 5 1 +RD_18 RS1_00 2 1 +RD_18 RS1_01 5 1 +RD_18 RS1_02 2 1 +RD_18 RS1_03 6 1 +RD_18 RS1_04 8 1 +RD_18 RS1_05 5 1 +RD_18 RS1_06 4 1 +RD_18 RS1_07 7 1 +RD_18 RS1_08 6 1 +RD_18 RS1_09 5 1 +RD_18 RS1_0a 4 1 +RD_18 RS1_0b 2 1 +RD_18 RS1_0c 3 1 +RD_18 RS1_0d 6 1 +RD_18 RS1_0e 1 1 +RD_18 RS1_0f 5 1 +RD_18 RS1_10 4 1 +RD_18 RS1_11 2 1 +RD_18 RS1_12 3 1 +RD_18 RS1_13 4 1 +RD_18 RS1_14 4 1 +RD_18 RS1_15 4 1 +RD_18 RS1_16 6 1 +RD_18 RS1_17 4 1 +RD_18 RS1_18 9 1 +RD_18 RS1_19 8 1 +RD_18 RS1_1a 6 1 +RD_18 RS1_1b 5 1 +RD_18 RS1_1c 3 1 +RD_18 RS1_1d 2 1 +RD_18 RS1_1e 7 1 +RD_18 RS1_1f 8 1 +RD_19 RS1_00 5 1 +RD_19 RS1_01 1 1 +RD_19 RS1_02 7 1 +RD_19 RS1_03 2 1 +RD_19 RS1_04 11 1 +RD_19 RS1_05 1 1 +RD_19 RS1_06 2 1 +RD_19 RS1_07 4 1 +RD_19 RS1_08 7 1 +RD_19 RS1_09 2 1 +RD_19 RS1_0a 8 1 +RD_19 RS1_0b 9 1 +RD_19 RS1_0c 4 1 +RD_19 RS1_0d 6 1 +RD_19 RS1_0e 4 1 +RD_19 RS1_0f 5 1 +RD_19 RS1_10 10 1 +RD_19 RS1_11 5 1 +RD_19 RS1_12 3 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 5 1 +RD_19 RS1_15 3 1 +RD_19 RS1_16 2 1 +RD_19 RS1_17 5 1 +RD_19 RS1_18 5 1 +RD_19 RS1_19 3 1 +RD_19 RS1_1a 4 1 +RD_19 RS1_1b 3 1 +RD_19 RS1_1c 1 1 +RD_19 RS1_1d 5 1 +RD_19 RS1_1e 7 1 +RD_19 RS1_1f 6 1 +RD_1a RS1_00 5 1 +RD_1a RS1_01 9 1 +RD_1a RS1_02 5 1 +RD_1a RS1_03 5 1 +RD_1a RS1_04 2 1 +RD_1a RS1_05 5 1 +RD_1a RS1_06 10 1 +RD_1a RS1_07 4 1 +RD_1a RS1_08 4 1 +RD_1a RS1_09 5 1 +RD_1a RS1_0a 4 1 +RD_1a RS1_0b 4 1 +RD_1a RS1_0c 7 1 +RD_1a RS1_0d 9 1 +RD_1a RS1_0e 2 1 +RD_1a RS1_0f 7 1 +RD_1a RS1_10 5 1 +RD_1a RS1_11 4 1 +RD_1a RS1_12 7 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 8 1 +RD_1a RS1_15 4 1 +RD_1a RS1_16 3 1 +RD_1a RS1_17 3 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 5 1 +RD_1a RS1_1a 8 1 +RD_1a RS1_1b 2 1 +RD_1a RS1_1c 6 1 +RD_1a RS1_1d 8 1 +RD_1a RS1_1e 2 1 +RD_1a RS1_1f 7 1 +RD_1b RS1_00 3 1 +RD_1b RS1_01 9 1 +RD_1b RS1_02 7 1 +RD_1b RS1_03 6 1 +RD_1b RS1_04 7 1 +RD_1b RS1_05 2 1 +RD_1b RS1_06 5 1 +RD_1b RS1_07 1 1 +RD_1b RS1_08 8 1 +RD_1b RS1_09 7 1 +RD_1b RS1_0a 5 1 +RD_1b RS1_0b 6 1 +RD_1b RS1_0c 1 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 3 1 +RD_1b RS1_0f 4 1 +RD_1b RS1_10 1 1 +RD_1b RS1_11 5 1 +RD_1b RS1_12 3 1 +RD_1b RS1_13 6 1 +RD_1b RS1_14 6 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 6 1 +RD_1b RS1_17 4 1 +RD_1b RS1_18 3 1 +RD_1b RS1_19 2 1 +RD_1b RS1_1a 6 1 +RD_1b RS1_1b 5 1 +RD_1b RS1_1c 4 1 +RD_1b RS1_1d 6 1 +RD_1b RS1_1e 2 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 2 1 +RD_1c RS1_01 1 1 +RD_1c RS1_02 3 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 5 1 +RD_1c RS1_05 3 1 +RD_1c RS1_06 3 1 +RD_1c RS1_07 5 1 +RD_1c RS1_08 6 1 +RD_1c RS1_09 4 1 +RD_1c RS1_0b 4 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 1 1 +RD_1c RS1_0e 6 1 +RD_1c RS1_0f 9 1 +RD_1c RS1_10 4 1 +RD_1c RS1_11 4 1 +RD_1c RS1_12 5 1 +RD_1c RS1_13 4 1 +RD_1c RS1_14 4 1 +RD_1c RS1_15 2 1 +RD_1c RS1_16 5 1 +RD_1c RS1_17 10 1 +RD_1c RS1_18 3 1 +RD_1c RS1_19 7 1 +RD_1c RS1_1a 3 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 6 1 +RD_1c RS1_1d 8 1 +RD_1c RS1_1e 6 1 +RD_1c RS1_1f 3 1 +RD_1d RS1_00 4 1 +RD_1d RS1_01 5 1 +RD_1d RS1_02 9 1 +RD_1d RS1_03 4 1 +RD_1d RS1_04 3 1 +RD_1d RS1_05 8 1 +RD_1d RS1_06 5 1 +RD_1d RS1_07 2 1 +RD_1d RS1_08 3 1 +RD_1d RS1_09 5 1 +RD_1d RS1_0a 8 1 +RD_1d RS1_0b 1 1 +RD_1d RS1_0c 6 1 +RD_1d RS1_0d 2 1 +RD_1d RS1_0e 5 1 +RD_1d RS1_0f 3 1 +RD_1d RS1_10 5 1 +RD_1d RS1_11 2 1 +RD_1d RS1_12 4 1 +RD_1d RS1_13 6 1 +RD_1d RS1_14 4 1 +RD_1d RS1_15 4 1 +RD_1d RS1_16 7 1 +RD_1d RS1_17 7 1 +RD_1d RS1_18 3 1 +RD_1d RS1_19 6 1 +RD_1d RS1_1a 2 1 +RD_1d RS1_1b 7 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 2 1 +RD_1d RS1_1e 8 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 7 1 +RD_1e RS1_02 2 1 +RD_1e RS1_03 6 1 +RD_1e RS1_04 2 1 +RD_1e RS1_05 8 1 +RD_1e RS1_06 1 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 3 1 +RD_1e RS1_0a 3 1 +RD_1e RS1_0b 6 1 +RD_1e RS1_0c 4 1 +RD_1e RS1_0d 4 1 +RD_1e RS1_0e 9 1 +RD_1e RS1_0f 7 1 +RD_1e RS1_10 6 1 +RD_1e RS1_11 9 1 +RD_1e RS1_12 6 1 +RD_1e RS1_13 6 1 +RD_1e RS1_14 2 1 +RD_1e RS1_15 4 1 +RD_1e RS1_16 2 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 3 1 +RD_1e RS1_1a 8 1 +RD_1e RS1_1b 10 1 +RD_1e RS1_1c 7 1 +RD_1e RS1_1d 3 1 +RD_1e RS1_1e 6 1 +RD_1e RS1_1f 7 1 +RD_1f RS1_00 9 1 +RD_1f RS1_01 5 1 +RD_1f RS1_02 2 1 +RD_1f RS1_03 3 1 +RD_1f RS1_04 10 1 +RD_1f RS1_05 7 1 +RD_1f RS1_06 4 1 +RD_1f RS1_07 5 1 +RD_1f RS1_08 10 1 +RD_1f RS1_09 6 1 +RD_1f RS1_0a 10 1 +RD_1f RS1_0b 3 1 +RD_1f RS1_0c 2 1 +RD_1f RS1_0d 6 1 +RD_1f RS1_0e 7 1 +RD_1f RS1_0f 8 1 +RD_1f RS1_10 6 1 +RD_1f RS1_11 3 1 +RD_1f RS1_12 4 1 +RD_1f RS1_13 5 1 +RD_1f RS1_14 7 1 +RD_1f RS1_15 8 1 +RD_1f RS1_16 9 1 +RD_1f RS1_17 3 1 +RD_1f RS1_18 5 1 +RD_1f RS1_19 4 1 +RD_1f RS1_1a 7 1 +RD_1f RS1_1b 2 1 +RD_1f RS1_1c 2 1 +RD_1f RS1_1d 6 1 +RD_1f RS1_1e 3 1 +RD_1f RS1_1f 8 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 8 1016 99.22 8 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_07] [RS2_0e] 0 1 1 +[RD_0f] [RS2_10] 0 1 1 +[RD_10] [RS2_03] 0 1 1 +[RD_14] [RS2_01] 0 1 1 +[RD_16] [RS2_1a] 0 1 1 +[RD_19] [RS2_0c] 0 1 1 +[RD_1c] [RS2_10] 0 1 1 +[RD_1c] [RS2_1d] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 5 1 +RD_00 RS2_01 7 1 +RD_00 RS2_02 4 1 +RD_00 RS2_03 4 1 +RD_00 RS2_04 10 1 +RD_00 RS2_05 8 1 +RD_00 RS2_06 3 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 3 1 +RD_00 RS2_09 2 1 +RD_00 RS2_0a 5 1 +RD_00 RS2_0b 8 1 +RD_00 RS2_0c 3 1 +RD_00 RS2_0d 9 1 +RD_00 RS2_0e 6 1 +RD_00 RS2_0f 10 1 +RD_00 RS2_10 2 1 +RD_00 RS2_11 9 1 +RD_00 RS2_12 6 1 +RD_00 RS2_13 3 1 +RD_00 RS2_14 5 1 +RD_00 RS2_15 8 1 +RD_00 RS2_16 5 1 +RD_00 RS2_17 3 1 +RD_00 RS2_18 10 1 +RD_00 RS2_19 10 1 +RD_00 RS2_1a 4 1 +RD_00 RS2_1b 7 1 +RD_00 RS2_1c 6 1 +RD_00 RS2_1d 1 1 +RD_00 RS2_1e 3 1 +RD_00 RS2_1f 6 1 +RD_01 RS2_00 7 1 +RD_01 RS2_01 1 1 +RD_01 RS2_02 3 1 +RD_01 RS2_03 5 1 +RD_01 RS2_04 5 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 4 1 +RD_01 RS2_07 6 1 +RD_01 RS2_08 4 1 +RD_01 RS2_09 10 1 +RD_01 RS2_0a 6 1 +RD_01 RS2_0b 4 1 +RD_01 RS2_0c 9 1 +RD_01 RS2_0d 5 1 +RD_01 RS2_0e 4 1 +RD_01 RS2_0f 4 1 +RD_01 RS2_10 4 1 +RD_01 RS2_11 5 1 +RD_01 RS2_12 5 1 +RD_01 RS2_13 2 1 +RD_01 RS2_14 4 1 +RD_01 RS2_15 2 1 +RD_01 RS2_16 2 1 +RD_01 RS2_17 7 1 +RD_01 RS2_18 8 1 +RD_01 RS2_19 10 1 +RD_01 RS2_1a 4 1 +RD_01 RS2_1b 5 1 +RD_01 RS2_1c 3 1 +RD_01 RS2_1d 3 1 +RD_01 RS2_1e 9 1 +RD_01 RS2_1f 4 1 +RD_02 RS2_00 4 1 +RD_02 RS2_01 2 1 +RD_02 RS2_02 10 1 +RD_02 RS2_03 7 1 +RD_02 RS2_04 2 1 +RD_02 RS2_05 5 1 +RD_02 RS2_06 4 1 +RD_02 RS2_07 6 1 +RD_02 RS2_08 7 1 +RD_02 RS2_09 4 1 +RD_02 RS2_0a 7 1 +RD_02 RS2_0b 5 1 +RD_02 RS2_0c 9 1 +RD_02 RS2_0d 4 1 +RD_02 RS2_0e 4 1 +RD_02 RS2_0f 7 1 +RD_02 RS2_10 8 1 +RD_02 RS2_11 3 1 +RD_02 RS2_12 3 1 +RD_02 RS2_13 5 1 +RD_02 RS2_14 4 1 +RD_02 RS2_15 6 1 +RD_02 RS2_16 4 1 +RD_02 RS2_17 3 1 +RD_02 RS2_18 3 1 +RD_02 RS2_19 7 1 +RD_02 RS2_1a 4 1 +RD_02 RS2_1b 3 1 +RD_02 RS2_1c 4 1 +RD_02 RS2_1d 4 1 +RD_02 RS2_1e 2 1 +RD_02 RS2_1f 5 1 +RD_03 RS2_00 4 1 +RD_03 RS2_01 5 1 +RD_03 RS2_02 8 1 +RD_03 RS2_03 15 1 +RD_03 RS2_04 4 1 +RD_03 RS2_05 12 1 +RD_03 RS2_06 7 1 +RD_03 RS2_07 6 1 +RD_03 RS2_08 4 1 +RD_03 RS2_09 6 1 +RD_03 RS2_0a 5 1 +RD_03 RS2_0b 10 1 +RD_03 RS2_0c 3 1 +RD_03 RS2_0d 7 1 +RD_03 RS2_0e 7 1 +RD_03 RS2_0f 6 1 +RD_03 RS2_10 3 1 +RD_03 RS2_11 3 1 +RD_03 RS2_12 3 1 +RD_03 RS2_13 9 1 +RD_03 RS2_14 3 1 +RD_03 RS2_15 5 1 +RD_03 RS2_16 3 1 +RD_03 RS2_17 5 1 +RD_03 RS2_18 3 1 +RD_03 RS2_19 9 1 +RD_03 RS2_1a 7 1 +RD_03 RS2_1b 7 1 +RD_03 RS2_1c 6 1 +RD_03 RS2_1d 2 1 +RD_03 RS2_1e 3 1 +RD_03 RS2_1f 6 1 +RD_04 RS2_00 5 1 +RD_04 RS2_01 9 1 +RD_04 RS2_02 2 1 +RD_04 RS2_03 6 1 +RD_04 RS2_04 5 1 +RD_04 RS2_05 4 1 +RD_04 RS2_06 10 1 +RD_04 RS2_07 4 1 +RD_04 RS2_08 5 1 +RD_04 RS2_09 8 1 +RD_04 RS2_0a 12 1 +RD_04 RS2_0b 3 1 +RD_04 RS2_0c 5 1 +RD_04 RS2_0d 6 1 +RD_04 RS2_0e 11 1 +RD_04 RS2_0f 2 1 +RD_04 RS2_10 10 1 +RD_04 RS2_11 6 1 +RD_04 RS2_12 3 1 +RD_04 RS2_13 6 1 +RD_04 RS2_14 2 1 +RD_04 RS2_15 5 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 2 1 +RD_04 RS2_18 8 1 +RD_04 RS2_19 3 1 +RD_04 RS2_1a 6 1 +RD_04 RS2_1b 4 1 +RD_04 RS2_1c 4 1 +RD_04 RS2_1d 4 1 +RD_04 RS2_1e 5 1 +RD_04 RS2_1f 7 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 3 1 +RD_05 RS2_02 6 1 +RD_05 RS2_03 5 1 +RD_05 RS2_04 3 1 +RD_05 RS2_05 2 1 +RD_05 RS2_06 3 1 +RD_05 RS2_07 4 1 +RD_05 RS2_08 5 1 +RD_05 RS2_09 7 1 +RD_05 RS2_0a 1 1 +RD_05 RS2_0b 6 1 +RD_05 RS2_0c 7 1 +RD_05 RS2_0d 2 1 +RD_05 RS2_0e 10 1 +RD_05 RS2_0f 5 1 +RD_05 RS2_10 6 1 +RD_05 RS2_11 8 1 +RD_05 RS2_12 5 1 +RD_05 RS2_13 6 1 +RD_05 RS2_14 11 1 +RD_05 RS2_15 4 1 +RD_05 RS2_16 7 1 +RD_05 RS2_17 5 1 +RD_05 RS2_18 6 1 +RD_05 RS2_19 4 1 +RD_05 RS2_1a 7 1 +RD_05 RS2_1b 5 1 +RD_05 RS2_1c 2 1 +RD_05 RS2_1d 4 1 +RD_05 RS2_1e 7 1 +RD_05 RS2_1f 7 1 +RD_06 RS2_00 4 1 +RD_06 RS2_01 4 1 +RD_06 RS2_02 6 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 9 1 +RD_06 RS2_05 8 1 +RD_06 RS2_06 4 1 +RD_06 RS2_07 7 1 +RD_06 RS2_08 4 1 +RD_06 RS2_09 10 1 +RD_06 RS2_0a 9 1 +RD_06 RS2_0b 2 1 +RD_06 RS2_0c 5 1 +RD_06 RS2_0d 9 1 +RD_06 RS2_0e 6 1 +RD_06 RS2_0f 5 1 +RD_06 RS2_10 6 1 +RD_06 RS2_11 10 1 +RD_06 RS2_12 7 1 +RD_06 RS2_13 7 1 +RD_06 RS2_14 2 1 +RD_06 RS2_15 6 1 +RD_06 RS2_16 2 1 +RD_06 RS2_17 2 1 +RD_06 RS2_18 6 1 +RD_06 RS2_19 5 1 +RD_06 RS2_1a 4 1 +RD_06 RS2_1b 4 1 +RD_06 RS2_1c 9 1 +RD_06 RS2_1d 4 1 +RD_06 RS2_1e 5 1 +RD_06 RS2_1f 10 1 +RD_07 RS2_00 5 1 +RD_07 RS2_01 4 1 +RD_07 RS2_02 3 1 +RD_07 RS2_03 6 1 +RD_07 RS2_04 5 1 +RD_07 RS2_05 8 1 +RD_07 RS2_06 2 1 +RD_07 RS2_07 6 1 +RD_07 RS2_08 5 1 +RD_07 RS2_09 6 1 +RD_07 RS2_0a 5 1 +RD_07 RS2_0b 6 1 +RD_07 RS2_0c 4 1 +RD_07 RS2_0d 5 1 +RD_07 RS2_0f 8 1 +RD_07 RS2_10 7 1 +RD_07 RS2_11 1 1 +RD_07 RS2_12 2 1 +RD_07 RS2_13 2 1 +RD_07 RS2_14 7 1 +RD_07 RS2_15 8 1 +RD_07 RS2_16 5 1 +RD_07 RS2_17 5 1 +RD_07 RS2_18 7 1 +RD_07 RS2_19 3 1 +RD_07 RS2_1a 1 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 5 1 +RD_07 RS2_1d 5 1 +RD_07 RS2_1e 5 1 +RD_07 RS2_1f 8 1 +RD_08 RS2_00 4 1 +RD_08 RS2_01 3 1 +RD_08 RS2_02 6 1 +RD_08 RS2_03 8 1 +RD_08 RS2_04 2 1 +RD_08 RS2_05 2 1 +RD_08 RS2_06 2 1 +RD_08 RS2_07 9 1 +RD_08 RS2_08 4 1 +RD_08 RS2_09 4 1 +RD_08 RS2_0a 9 1 +RD_08 RS2_0b 6 1 +RD_08 RS2_0c 4 1 +RD_08 RS2_0d 10 1 +RD_08 RS2_0e 5 1 +RD_08 RS2_0f 4 1 +RD_08 RS2_10 3 1 +RD_08 RS2_11 11 1 +RD_08 RS2_12 6 1 +RD_08 RS2_13 5 1 +RD_08 RS2_14 2 1 +RD_08 RS2_15 8 1 +RD_08 RS2_16 3 1 +RD_08 RS2_17 4 1 +RD_08 RS2_18 5 1 +RD_08 RS2_19 7 1 +RD_08 RS2_1a 5 1 +RD_08 RS2_1b 9 1 +RD_08 RS2_1c 6 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 16 1 +RD_09 RS2_00 9 1 +RD_09 RS2_01 6 1 +RD_09 RS2_02 7 1 +RD_09 RS2_03 2 1 +RD_09 RS2_04 2 1 +RD_09 RS2_05 7 1 +RD_09 RS2_06 3 1 +RD_09 RS2_07 6 1 +RD_09 RS2_08 3 1 +RD_09 RS2_09 7 1 +RD_09 RS2_0a 3 1 +RD_09 RS2_0b 6 1 +RD_09 RS2_0c 5 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 5 1 +RD_09 RS2_10 7 1 +RD_09 RS2_11 5 1 +RD_09 RS2_12 7 1 +RD_09 RS2_13 6 1 +RD_09 RS2_14 8 1 +RD_09 RS2_15 3 1 +RD_09 RS2_16 7 1 +RD_09 RS2_17 6 1 +RD_09 RS2_18 5 1 +RD_09 RS2_19 6 1 +RD_09 RS2_1a 2 1 +RD_09 RS2_1b 6 1 +RD_09 RS2_1c 4 1 +RD_09 RS2_1d 6 1 +RD_09 RS2_1e 8 1 +RD_09 RS2_1f 6 1 +RD_0a RS2_00 4 1 +RD_0a RS2_01 2 1 +RD_0a RS2_02 1 1 +RD_0a RS2_03 4 1 +RD_0a RS2_04 1 1 +RD_0a RS2_05 7 1 +RD_0a RS2_06 10 1 +RD_0a RS2_07 2 1 +RD_0a RS2_08 6 1 +RD_0a RS2_09 7 1 +RD_0a RS2_0a 2 1 +RD_0a RS2_0b 9 1 +RD_0a RS2_0c 3 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 4 1 +RD_0a RS2_0f 7 1 +RD_0a RS2_10 4 1 +RD_0a RS2_11 9 1 +RD_0a RS2_12 4 1 +RD_0a RS2_13 5 1 +RD_0a RS2_14 4 1 +RD_0a RS2_15 3 1 +RD_0a RS2_16 6 1 +RD_0a RS2_17 3 1 +RD_0a RS2_18 4 1 +RD_0a RS2_19 2 1 +RD_0a RS2_1a 7 1 +RD_0a RS2_1b 1 1 +RD_0a RS2_1c 8 1 +RD_0a RS2_1d 7 1 +RD_0a RS2_1e 5 1 +RD_0a RS2_1f 4 1 +RD_0b RS2_00 6 1 +RD_0b RS2_01 4 1 +RD_0b RS2_02 6 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 4 1 +RD_0b RS2_05 9 1 +RD_0b RS2_06 6 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 5 1 +RD_0b RS2_09 3 1 +RD_0b RS2_0a 9 1 +RD_0b RS2_0b 7 1 +RD_0b RS2_0c 3 1 +RD_0b RS2_0d 9 1 +RD_0b RS2_0e 6 1 +RD_0b RS2_0f 3 1 +RD_0b RS2_10 8 1 +RD_0b RS2_11 12 1 +RD_0b RS2_12 1 1 +RD_0b RS2_13 5 1 +RD_0b RS2_14 9 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 7 1 +RD_0b RS2_17 6 1 +RD_0b RS2_18 5 1 +RD_0b RS2_19 3 1 +RD_0b RS2_1a 6 1 +RD_0b RS2_1b 3 1 +RD_0b RS2_1c 7 1 +RD_0b RS2_1d 4 1 +RD_0b RS2_1e 6 1 +RD_0b RS2_1f 4 1 +RD_0c RS2_00 8 1 +RD_0c RS2_01 2 1 +RD_0c RS2_02 4 1 +RD_0c RS2_03 3 1 +RD_0c RS2_04 7 1 +RD_0c RS2_05 6 1 +RD_0c RS2_06 7 1 +RD_0c RS2_07 2 1 +RD_0c RS2_08 5 1 +RD_0c RS2_09 4 1 +RD_0c RS2_0a 2 1 +RD_0c RS2_0b 4 1 +RD_0c RS2_0c 10 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 2 1 +RD_0c RS2_0f 9 1 +RD_0c RS2_10 7 1 +RD_0c RS2_11 6 1 +RD_0c RS2_12 4 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 6 1 +RD_0c RS2_15 3 1 +RD_0c RS2_16 4 1 +RD_0c RS2_17 10 1 +RD_0c RS2_18 2 1 +RD_0c RS2_19 4 1 +RD_0c RS2_1a 4 1 +RD_0c RS2_1b 6 1 +RD_0c RS2_1c 1 1 +RD_0c RS2_1d 5 1 +RD_0c RS2_1e 1 1 +RD_0c RS2_1f 6 1 +RD_0d RS2_00 3 1 +RD_0d RS2_01 5 1 +RD_0d RS2_02 9 1 +RD_0d RS2_03 5 1 +RD_0d RS2_04 5 1 +RD_0d RS2_05 4 1 +RD_0d RS2_06 8 1 +RD_0d RS2_07 5 1 +RD_0d RS2_08 3 1 +RD_0d RS2_09 5 1 +RD_0d RS2_0a 3 1 +RD_0d RS2_0b 6 1 +RD_0d RS2_0c 9 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 5 1 +RD_0d RS2_0f 5 1 +RD_0d RS2_10 1 1 +RD_0d RS2_11 3 1 +RD_0d RS2_12 4 1 +RD_0d RS2_13 4 1 +RD_0d RS2_14 4 1 +RD_0d RS2_15 3 1 +RD_0d RS2_16 6 1 +RD_0d RS2_17 3 1 +RD_0d RS2_18 3 1 +RD_0d RS2_19 6 1 +RD_0d RS2_1a 4 1 +RD_0d RS2_1b 2 1 +RD_0d RS2_1c 11 1 +RD_0d RS2_1d 6 1 +RD_0d RS2_1e 3 1 +RD_0d RS2_1f 4 1 +RD_0e RS2_00 4 1 +RD_0e RS2_01 5 1 +RD_0e RS2_02 9 1 +RD_0e RS2_03 3 1 +RD_0e RS2_04 7 1 +RD_0e RS2_05 5 1 +RD_0e RS2_06 2 1 +RD_0e RS2_07 3 1 +RD_0e RS2_08 5 1 +RD_0e RS2_09 4 1 +RD_0e RS2_0a 3 1 +RD_0e RS2_0b 4 1 +RD_0e RS2_0c 7 1 +RD_0e RS2_0d 3 1 +RD_0e RS2_0e 3 1 +RD_0e RS2_0f 5 1 +RD_0e RS2_10 2 1 +RD_0e RS2_11 2 1 +RD_0e RS2_12 3 1 +RD_0e RS2_13 3 1 +RD_0e RS2_14 10 1 +RD_0e RS2_15 4 1 +RD_0e RS2_16 6 1 +RD_0e RS2_17 7 1 +RD_0e RS2_18 2 1 +RD_0e RS2_19 2 1 +RD_0e RS2_1a 3 1 +RD_0e RS2_1b 3 1 +RD_0e RS2_1c 6 1 +RD_0e RS2_1d 11 1 +RD_0e RS2_1e 4 1 +RD_0e RS2_1f 1 1 +RD_0f RS2_00 2 1 +RD_0f RS2_01 2 1 +RD_0f RS2_02 4 1 +RD_0f RS2_03 4 1 +RD_0f RS2_04 3 1 +RD_0f RS2_05 8 1 +RD_0f RS2_06 5 1 +RD_0f RS2_07 2 1 +RD_0f RS2_08 5 1 +RD_0f RS2_09 4 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 5 1 +RD_0f RS2_0c 2 1 +RD_0f RS2_0d 7 1 +RD_0f RS2_0e 8 1 +RD_0f RS2_0f 4 1 +RD_0f RS2_11 10 1 +RD_0f RS2_12 5 1 +RD_0f RS2_13 4 1 +RD_0f RS2_14 6 1 +RD_0f RS2_15 6 1 +RD_0f RS2_16 3 1 +RD_0f RS2_17 10 1 +RD_0f RS2_18 2 1 +RD_0f RS2_19 7 1 +RD_0f RS2_1a 5 1 +RD_0f RS2_1b 8 1 +RD_0f RS2_1c 4 1 +RD_0f RS2_1d 5 1 +RD_0f RS2_1e 10 1 +RD_0f RS2_1f 2 1 +RD_10 RS2_00 5 1 +RD_10 RS2_01 12 1 +RD_10 RS2_02 3 1 +RD_10 RS2_04 5 1 +RD_10 RS2_05 3 1 +RD_10 RS2_06 1 1 +RD_10 RS2_07 3 1 +RD_10 RS2_08 3 1 +RD_10 RS2_09 5 1 +RD_10 RS2_0a 3 1 +RD_10 RS2_0b 5 1 +RD_10 RS2_0c 7 1 +RD_10 RS2_0d 8 1 +RD_10 RS2_0e 5 1 +RD_10 RS2_0f 2 1 +RD_10 RS2_10 6 1 +RD_10 RS2_11 11 1 +RD_10 RS2_12 9 1 +RD_10 RS2_13 5 1 +RD_10 RS2_14 6 1 +RD_10 RS2_15 8 1 +RD_10 RS2_16 2 1 +RD_10 RS2_17 5 1 +RD_10 RS2_18 6 1 +RD_10 RS2_19 7 1 +RD_10 RS2_1a 1 1 +RD_10 RS2_1b 4 1 +RD_10 RS2_1c 6 1 +RD_10 RS2_1d 5 1 +RD_10 RS2_1e 2 1 +RD_10 RS2_1f 4 1 +RD_11 RS2_00 8 1 +RD_11 RS2_01 13 1 +RD_11 RS2_02 2 1 +RD_11 RS2_03 9 1 +RD_11 RS2_04 3 1 +RD_11 RS2_05 9 1 +RD_11 RS2_06 8 1 +RD_11 RS2_07 4 1 +RD_11 RS2_08 5 1 +RD_11 RS2_09 4 1 +RD_11 RS2_0a 2 1 +RD_11 RS2_0b 6 1 +RD_11 RS2_0c 6 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 2 1 +RD_11 RS2_0f 5 1 +RD_11 RS2_10 2 1 +RD_11 RS2_11 5 1 +RD_11 RS2_12 2 1 +RD_11 RS2_13 3 1 +RD_11 RS2_14 6 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 2 1 +RD_11 RS2_17 3 1 +RD_11 RS2_18 7 1 +RD_11 RS2_19 3 1 +RD_11 RS2_1a 3 1 +RD_11 RS2_1b 9 1 +RD_11 RS2_1c 8 1 +RD_11 RS2_1d 2 1 +RD_11 RS2_1e 10 1 +RD_11 RS2_1f 3 1 +RD_12 RS2_00 3 1 +RD_12 RS2_01 8 1 +RD_12 RS2_02 5 1 +RD_12 RS2_03 3 1 +RD_12 RS2_04 2 1 +RD_12 RS2_05 6 1 +RD_12 RS2_06 10 1 +RD_12 RS2_07 11 1 +RD_12 RS2_08 6 1 +RD_12 RS2_09 4 1 +RD_12 RS2_0a 5 1 +RD_12 RS2_0b 4 1 +RD_12 RS2_0c 6 1 +RD_12 RS2_0d 5 1 +RD_12 RS2_0e 4 1 +RD_12 RS2_0f 3 1 +RD_12 RS2_10 7 1 +RD_12 RS2_11 4 1 +RD_12 RS2_12 6 1 +RD_12 RS2_13 7 1 +RD_12 RS2_14 4 1 +RD_12 RS2_15 5 1 +RD_12 RS2_16 3 1 +RD_12 RS2_17 3 1 +RD_12 RS2_18 2 1 +RD_12 RS2_19 4 1 +RD_12 RS2_1a 3 1 +RD_12 RS2_1b 3 1 +RD_12 RS2_1c 3 1 +RD_12 RS2_1d 9 1 +RD_12 RS2_1e 4 1 +RD_12 RS2_1f 3 1 +RD_13 RS2_00 5 1 +RD_13 RS2_01 8 1 +RD_13 RS2_02 5 1 +RD_13 RS2_03 6 1 +RD_13 RS2_04 3 1 +RD_13 RS2_05 8 1 +RD_13 RS2_06 4 1 +RD_13 RS2_07 5 1 +RD_13 RS2_08 7 1 +RD_13 RS2_09 6 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 6 1 +RD_13 RS2_0c 10 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 7 1 +RD_13 RS2_0f 9 1 +RD_13 RS2_10 7 1 +RD_13 RS2_11 5 1 +RD_13 RS2_12 6 1 +RD_13 RS2_13 5 1 +RD_13 RS2_14 4 1 +RD_13 RS2_15 6 1 +RD_13 RS2_16 9 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 2 1 +RD_13 RS2_19 3 1 +RD_13 RS2_1a 5 1 +RD_13 RS2_1b 1 1 +RD_13 RS2_1c 7 1 +RD_13 RS2_1d 2 1 +RD_13 RS2_1e 6 1 +RD_13 RS2_1f 2 1 +RD_14 RS2_00 3 1 +RD_14 RS2_02 9 1 +RD_14 RS2_03 7 1 +RD_14 RS2_04 6 1 +RD_14 RS2_05 9 1 +RD_14 RS2_06 4 1 +RD_14 RS2_07 3 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 6 1 +RD_14 RS2_0a 6 1 +RD_14 RS2_0b 7 1 +RD_14 RS2_0c 7 1 +RD_14 RS2_0d 1 1 +RD_14 RS2_0e 3 1 +RD_14 RS2_0f 3 1 +RD_14 RS2_10 1 1 +RD_14 RS2_11 4 1 +RD_14 RS2_12 6 1 +RD_14 RS2_13 6 1 +RD_14 RS2_14 2 1 +RD_14 RS2_15 4 1 +RD_14 RS2_16 3 1 +RD_14 RS2_17 5 1 +RD_14 RS2_18 10 1 +RD_14 RS2_19 3 1 +RD_14 RS2_1a 6 1 +RD_14 RS2_1b 7 1 +RD_14 RS2_1c 6 1 +RD_14 RS2_1d 6 1 +RD_14 RS2_1e 4 1 +RD_14 RS2_1f 9 1 +RD_15 RS2_00 6 1 +RD_15 RS2_01 5 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 5 1 +RD_15 RS2_04 5 1 +RD_15 RS2_05 7 1 +RD_15 RS2_06 4 1 +RD_15 RS2_07 10 1 +RD_15 RS2_08 4 1 +RD_15 RS2_09 9 1 +RD_15 RS2_0a 4 1 +RD_15 RS2_0b 1 1 +RD_15 RS2_0c 12 1 +RD_15 RS2_0d 3 1 +RD_15 RS2_0e 6 1 +RD_15 RS2_0f 8 1 +RD_15 RS2_10 3 1 +RD_15 RS2_11 8 1 +RD_15 RS2_12 6 1 +RD_15 RS2_13 5 1 +RD_15 RS2_14 1 1 +RD_15 RS2_15 9 1 +RD_15 RS2_16 3 1 +RD_15 RS2_17 6 1 +RD_15 RS2_18 6 1 +RD_15 RS2_19 8 1 +RD_15 RS2_1a 5 1 +RD_15 RS2_1b 5 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 7 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 9 1 +RD_16 RS2_00 4 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 3 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 5 1 +RD_16 RS2_05 8 1 +RD_16 RS2_06 11 1 +RD_16 RS2_07 6 1 +RD_16 RS2_08 5 1 +RD_16 RS2_09 10 1 +RD_16 RS2_0a 5 1 +RD_16 RS2_0b 4 1 +RD_16 RS2_0c 3 1 +RD_16 RS2_0d 2 1 +RD_16 RS2_0e 6 1 +RD_16 RS2_0f 3 1 +RD_16 RS2_10 3 1 +RD_16 RS2_11 10 1 +RD_16 RS2_12 3 1 +RD_16 RS2_13 5 1 +RD_16 RS2_14 1 1 +RD_16 RS2_15 9 1 +RD_16 RS2_16 5 1 +RD_16 RS2_17 2 1 +RD_16 RS2_18 3 1 +RD_16 RS2_19 7 1 +RD_16 RS2_1b 3 1 +RD_16 RS2_1c 11 1 +RD_16 RS2_1d 1 1 +RD_16 RS2_1e 3 1 +RD_16 RS2_1f 5 1 +RD_17 RS2_00 5 1 +RD_17 RS2_01 7 1 +RD_17 RS2_02 5 1 +RD_17 RS2_03 4 1 +RD_17 RS2_04 6 1 +RD_17 RS2_05 4 1 +RD_17 RS2_06 11 1 +RD_17 RS2_07 4 1 +RD_17 RS2_08 5 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 6 1 +RD_17 RS2_0b 4 1 +RD_17 RS2_0c 2 1 +RD_17 RS2_0d 4 1 +RD_17 RS2_0e 4 1 +RD_17 RS2_0f 9 1 +RD_17 RS2_10 5 1 +RD_17 RS2_11 8 1 +RD_17 RS2_12 11 1 +RD_17 RS2_13 8 1 +RD_17 RS2_14 7 1 +RD_17 RS2_15 2 1 +RD_17 RS2_16 4 1 +RD_17 RS2_17 8 1 +RD_17 RS2_18 5 1 +RD_17 RS2_19 6 1 +RD_17 RS2_1a 2 1 +RD_17 RS2_1b 5 1 +RD_17 RS2_1c 8 1 +RD_17 RS2_1d 2 1 +RD_17 RS2_1e 8 1 +RD_17 RS2_1f 4 1 +RD_18 RS2_00 5 1 +RD_18 RS2_01 3 1 +RD_18 RS2_02 7 1 +RD_18 RS2_03 4 1 +RD_18 RS2_04 2 1 +RD_18 RS2_05 3 1 +RD_18 RS2_06 10 1 +RD_18 RS2_07 3 1 +RD_18 RS2_08 9 1 +RD_18 RS2_09 6 1 +RD_18 RS2_0a 5 1 +RD_18 RS2_0b 2 1 +RD_18 RS2_0c 7 1 +RD_18 RS2_0d 8 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 2 1 +RD_18 RS2_10 6 1 +RD_18 RS2_11 2 1 +RD_18 RS2_12 8 1 +RD_18 RS2_13 3 1 +RD_18 RS2_14 5 1 +RD_18 RS2_15 5 1 +RD_18 RS2_16 5 1 +RD_18 RS2_17 4 1 +RD_18 RS2_18 8 1 +RD_18 RS2_19 6 1 +RD_18 RS2_1a 1 1 +RD_18 RS2_1b 4 1 +RD_18 RS2_1c 7 1 +RD_18 RS2_1d 4 1 +RD_18 RS2_1e 2 1 +RD_18 RS2_1f 1 1 +RD_19 RS2_00 3 1 +RD_19 RS2_01 2 1 +RD_19 RS2_02 5 1 +RD_19 RS2_03 6 1 +RD_19 RS2_04 8 1 +RD_19 RS2_05 7 1 +RD_19 RS2_06 3 1 +RD_19 RS2_07 6 1 +RD_19 RS2_08 4 1 +RD_19 RS2_09 3 1 +RD_19 RS2_0a 10 1 +RD_19 RS2_0b 3 1 +RD_19 RS2_0d 4 1 +RD_19 RS2_0e 6 1 +RD_19 RS2_0f 5 1 +RD_19 RS2_10 6 1 +RD_19 RS2_11 1 1 +RD_19 RS2_12 6 1 +RD_19 RS2_13 6 1 +RD_19 RS2_14 3 1 +RD_19 RS2_15 5 1 +RD_19 RS2_16 2 1 +RD_19 RS2_17 9 1 +RD_19 RS2_18 1 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 10 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 1 1 +RD_19 RS2_1d 4 1 +RD_19 RS2_1e 5 1 +RD_19 RS2_1f 6 1 +RD_1a RS2_00 1 1 +RD_1a RS2_01 5 1 +RD_1a RS2_02 5 1 +RD_1a RS2_03 7 1 +RD_1a RS2_04 5 1 +RD_1a RS2_05 6 1 +RD_1a RS2_06 8 1 +RD_1a RS2_07 5 1 +RD_1a RS2_08 3 1 +RD_1a RS2_09 6 1 +RD_1a RS2_0a 5 1 +RD_1a RS2_0b 8 1 +RD_1a RS2_0c 2 1 +RD_1a RS2_0d 6 1 +RD_1a RS2_0e 6 1 +RD_1a RS2_0f 9 1 +RD_1a RS2_10 5 1 +RD_1a RS2_11 6 1 +RD_1a RS2_12 5 1 +RD_1a RS2_13 4 1 +RD_1a RS2_14 4 1 +RD_1a RS2_15 9 1 +RD_1a RS2_16 5 1 +RD_1a RS2_17 6 1 +RD_1a RS2_18 6 1 +RD_1a RS2_19 4 1 +RD_1a RS2_1a 2 1 +RD_1a RS2_1b 5 1 +RD_1a RS2_1c 2 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 5 1 +RD_1a RS2_1f 6 1 +RD_1b RS2_00 8 1 +RD_1b RS2_01 6 1 +RD_1b RS2_02 3 1 +RD_1b RS2_03 2 1 +RD_1b RS2_04 8 1 +RD_1b RS2_05 5 1 +RD_1b RS2_06 5 1 +RD_1b RS2_07 5 1 +RD_1b RS2_08 8 1 +RD_1b RS2_09 1 1 +RD_1b RS2_0a 6 1 +RD_1b RS2_0b 3 1 +RD_1b RS2_0c 5 1 +RD_1b RS2_0d 1 1 +RD_1b RS2_0e 4 1 +RD_1b RS2_0f 3 1 +RD_1b RS2_10 9 1 +RD_1b RS2_11 3 1 +RD_1b RS2_12 3 1 +RD_1b RS2_13 5 1 +RD_1b RS2_14 3 1 +RD_1b RS2_15 3 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 2 1 +RD_1b RS2_18 11 1 +RD_1b RS2_19 7 1 +RD_1b RS2_1a 5 1 +RD_1b RS2_1b 1 1 +RD_1b RS2_1c 1 1 +RD_1b RS2_1d 7 1 +RD_1b RS2_1e 3 1 +RD_1b RS2_1f 4 1 +RD_1c RS2_00 6 1 +RD_1c RS2_01 6 1 +RD_1c RS2_02 4 1 +RD_1c RS2_03 7 1 +RD_1c RS2_04 1 1 +RD_1c RS2_05 3 1 +RD_1c RS2_06 4 1 +RD_1c RS2_07 11 1 +RD_1c RS2_08 8 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 5 1 +RD_1c RS2_0b 2 1 +RD_1c RS2_0c 4 1 +RD_1c RS2_0d 7 1 +RD_1c RS2_0e 4 1 +RD_1c RS2_0f 7 1 +RD_1c RS2_11 3 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 3 1 +RD_1c RS2_14 4 1 +RD_1c RS2_15 2 1 +RD_1c RS2_16 6 1 +RD_1c RS2_17 4 1 +RD_1c RS2_18 2 1 +RD_1c RS2_19 2 1 +RD_1c RS2_1a 8 1 +RD_1c RS2_1b 5 1 +RD_1c RS2_1c 4 1 +RD_1c RS2_1e 3 1 +RD_1c RS2_1f 6 1 +RD_1d RS2_00 1 1 +RD_1d RS2_01 5 1 +RD_1d RS2_02 5 1 +RD_1d RS2_03 5 1 +RD_1d RS2_04 4 1 +RD_1d RS2_05 2 1 +RD_1d RS2_06 3 1 +RD_1d RS2_07 5 1 +RD_1d RS2_08 4 1 +RD_1d RS2_09 5 1 +RD_1d RS2_0a 1 1 +RD_1d RS2_0b 5 1 +RD_1d RS2_0c 5 1 +RD_1d RS2_0d 5 1 +RD_1d RS2_0e 4 1 +RD_1d RS2_0f 6 1 +RD_1d RS2_10 3 1 +RD_1d RS2_11 6 1 +RD_1d RS2_12 6 1 +RD_1d RS2_13 7 1 +RD_1d RS2_14 4 1 +RD_1d RS2_15 6 1 +RD_1d RS2_16 4 1 +RD_1d RS2_17 4 1 +RD_1d RS2_18 9 1 +RD_1d RS2_19 7 1 +RD_1d RS2_1a 5 1 +RD_1d RS2_1b 5 1 +RD_1d RS2_1c 6 1 +RD_1d RS2_1d 3 1 +RD_1d RS2_1e 8 1 +RD_1d RS2_1f 1 1 +RD_1e RS2_00 8 1 +RD_1e RS2_01 4 1 +RD_1e RS2_02 6 1 +RD_1e RS2_03 7 1 +RD_1e RS2_04 3 1 +RD_1e RS2_05 1 1 +RD_1e RS2_06 6 1 +RD_1e RS2_07 5 1 +RD_1e RS2_08 8 1 +RD_1e RS2_09 4 1 +RD_1e RS2_0a 4 1 +RD_1e RS2_0b 3 1 +RD_1e RS2_0c 4 1 +RD_1e RS2_0d 7 1 +RD_1e RS2_0e 5 1 +RD_1e RS2_0f 3 1 +RD_1e RS2_10 6 1 +RD_1e RS2_11 5 1 +RD_1e RS2_12 7 1 +RD_1e RS2_13 4 1 +RD_1e RS2_14 3 1 +RD_1e RS2_15 2 1 +RD_1e RS2_16 5 1 +RD_1e RS2_17 4 1 +RD_1e RS2_18 4 1 +RD_1e RS2_19 6 1 +RD_1e RS2_1a 4 1 +RD_1e RS2_1b 5 1 +RD_1e RS2_1c 9 1 +RD_1e RS2_1d 4 1 +RD_1e RS2_1e 9 1 +RD_1e RS2_1f 5 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 6 1 +RD_1f RS2_02 3 1 +RD_1f RS2_03 3 1 +RD_1f RS2_04 6 1 +RD_1f RS2_05 11 1 +RD_1f RS2_06 9 1 +RD_1f RS2_07 4 1 +RD_1f RS2_08 4 1 +RD_1f RS2_09 8 1 +RD_1f RS2_0a 7 1 +RD_1f RS2_0b 5 1 +RD_1f RS2_0c 4 1 +RD_1f RS2_0d 6 1 +RD_1f RS2_0e 3 1 +RD_1f RS2_0f 10 1 +RD_1f RS2_10 6 1 +RD_1f RS2_11 3 1 +RD_1f RS2_12 3 1 +RD_1f RS2_13 5 1 +RD_1f RS2_14 4 1 +RD_1f RS2_15 4 1 +RD_1f RS2_16 9 1 +RD_1f RS2_17 4 1 +RD_1f RS2_18 5 1 +RD_1f RS2_19 7 1 +RD_1f RS2_1a 2 1 +RD_1f RS2_1b 6 1 +RD_1f RS2_1c 4 1 +RD_1f RS2_1d 8 1 +RD_1f RS2_1e 10 1 +RD_1f RS2_1f 3 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_madd_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.79 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 15 2033 99.27 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 8 1016 99.22 100 1 1 0 +cross_rd_rs2 1024 7 1017 99.32 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 200 1 +RD_01 154 1 +RD_02 167 1 +RD_03 178 1 +RD_04 163 1 +RD_05 145 1 +RD_06 176 1 +RD_07 165 1 +RD_08 148 1 +RD_09 170 1 +RD_0a 154 1 +RD_0b 184 1 +RD_0c 161 1 +RD_0d 169 1 +RD_0e 144 1 +RD_0f 191 1 +RD_10 156 1 +RD_11 183 1 +RD_12 179 1 +RD_13 165 1 +RD_14 179 1 +RD_15 163 1 +RD_16 181 1 +RD_17 158 1 +RD_18 156 1 +RD_19 157 1 +RD_1a 168 1 +RD_1b 194 1 +RD_1c 177 1 +RD_1d 154 1 +RD_1e 169 1 +RD_1f 168 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 173 1 +RS1_01 142 1 +RS1_02 168 1 +RS1_03 132 1 +RS1_04 173 1 +RS1_05 189 1 +RS1_06 173 1 +RS1_07 163 1 +RS1_08 151 1 +RS1_09 181 1 +RS1_0a 163 1 +RS1_0b 165 1 +RS1_0c 149 1 +RS1_0d 158 1 +RS1_0e 176 1 +RS1_0f 163 1 +RS1_10 164 1 +RS1_11 185 1 +RS1_12 174 1 +RS1_13 167 1 +RS1_14 183 1 +RS1_15 184 1 +RS1_16 158 1 +RS1_17 159 1 +RS1_18 168 1 +RS1_19 204 1 +RS1_1a 158 1 +RS1_1b 178 1 +RS1_1c 161 1 +RS1_1d 190 1 +RS1_1e 148 1 +RS1_1f 176 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 164 1 +RS2_01 169 1 +RS2_02 174 1 +RS2_03 191 1 +RS2_04 186 1 +RS2_05 157 1 +RS2_06 190 1 +RS2_07 164 1 +RS2_08 168 1 +RS2_09 173 1 +RS2_0a 173 1 +RS2_0b 166 1 +RS2_0c 175 1 +RS2_0d 150 1 +RS2_0e 157 1 +RS2_0f 169 1 +RS2_10 149 1 +RS2_11 153 1 +RS2_12 170 1 +RS2_13 173 1 +RS2_14 167 1 +RS2_15 177 1 +RS2_16 155 1 +RS2_17 168 1 +RS2_18 163 1 +RS2_19 191 1 +RS2_1a 163 1 +RS2_1b 155 1 +RS2_1c 160 1 +RS2_1d 146 1 +RS2_1e 175 1 +RS2_1f 185 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2518 1 +BIT30_1 1958 1 +BIT29_1 1957 1 +BIT28_1 2018 1 +BIT27_1 1894 1 +BIT26_1 1888 1 +BIT25_1 1944 1 +BIT24_1 1945 1 +BIT23_1 1876 1 +BIT22_1 1939 1 +BIT21_1 1908 1 +BIT20_1 1938 1 +BIT19_1 1910 1 +BIT18_1 1902 1 +BIT17_1 1924 1 +BIT16_1 2044 1 +BIT15_1 2122 1 +BIT14_1 2186 1 +BIT13_1 2025 1 +BIT12_1 2421 1 +BIT11_1 2359 1 +BIT10_1 2291 1 +BIT9_1 2161 1 +BIT8_1 2092 1 +BIT7_1 2216 1 +BIT6_1 2017 1 +BIT5_1 2159 1 +BIT4_1 2503 1 +BIT3_1 2550 1 +BIT2_1 2497 1 +BIT1_1 2053 1 +BIT0_1 1713 1 +BIT31_0 2858 1 +BIT30_0 3418 1 +BIT29_0 3419 1 +BIT28_0 3358 1 +BIT27_0 3482 1 +BIT26_0 3488 1 +BIT25_0 3432 1 +BIT24_0 3431 1 +BIT23_0 3500 1 +BIT22_0 3437 1 +BIT21_0 3468 1 +BIT20_0 3438 1 +BIT19_0 3466 1 +BIT18_0 3474 1 +BIT17_0 3452 1 +BIT16_0 3332 1 +BIT15_0 3254 1 +BIT14_0 3190 1 +BIT13_0 3351 1 +BIT12_0 2955 1 +BIT11_0 3017 1 +BIT10_0 3085 1 +BIT9_0 3215 1 +BIT8_0 3284 1 +BIT7_0 3160 1 +BIT6_0 3359 1 +BIT5_0 3217 1 +BIT4_0 2873 1 +BIT3_0 2826 1 +BIT2_0 2879 1 +BIT1_0 3323 1 +BIT0_0 3663 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2550 1 +BIT30_1 1943 1 +BIT29_1 1955 1 +BIT28_1 2000 1 +BIT27_1 1895 1 +BIT26_1 1910 1 +BIT25_1 1913 1 +BIT24_1 1914 1 +BIT23_1 1903 1 +BIT22_1 1881 1 +BIT21_1 1933 1 +BIT20_1 1912 1 +BIT19_1 1927 1 +BIT18_1 1909 1 +BIT17_1 1870 1 +BIT16_1 1972 1 +BIT15_1 2132 1 +BIT14_1 2096 1 +BIT13_1 2029 1 +BIT12_1 2422 1 +BIT11_1 2383 1 +BIT10_1 2326 1 +BIT9_1 2126 1 +BIT8_1 2032 1 +BIT7_1 2155 1 +BIT6_1 1984 1 +BIT5_1 1969 1 +BIT4_1 2460 1 +BIT3_1 2511 1 +BIT2_1 2423 1 +BIT1_1 1976 1 +BIT0_1 1768 1 +BIT31_0 2826 1 +BIT30_0 3433 1 +BIT29_0 3421 1 +BIT28_0 3376 1 +BIT27_0 3481 1 +BIT26_0 3466 1 +BIT25_0 3463 1 +BIT24_0 3462 1 +BIT23_0 3473 1 +BIT22_0 3495 1 +BIT21_0 3443 1 +BIT20_0 3464 1 +BIT19_0 3449 1 +BIT18_0 3467 1 +BIT17_0 3506 1 +BIT16_0 3404 1 +BIT15_0 3244 1 +BIT14_0 3280 1 +BIT13_0 3347 1 +BIT12_0 2954 1 +BIT11_0 2993 1 +BIT10_0 3050 1 +BIT9_0 3250 1 +BIT8_0 3344 1 +BIT7_0 3221 1 +BIT6_0 3392 1 +BIT5_0 3407 1 +BIT4_0 2916 1 +BIT3_0 2865 1 +BIT2_0 2953 1 +BIT1_0 3400 1 +BIT0_0 3608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 8 1016 99.22 8 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_03] [RS1_16] 0 1 1 +[RD_08] [RS1_1f] 0 1 1 +[RD_0b] [RS1_01] 0 1 1 +[RD_0e] [RS1_01] 0 1 1 +[RD_0e] [RS1_03] 0 1 1 +[RD_0f] [RS1_14] 0 1 1 +[RD_1e] [RS1_02] 0 1 1 +[RD_1f] [RS1_11] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 2 1 +RD_00 RS1_01 5 1 +RD_00 RS1_02 8 1 +RD_00 RS1_03 6 1 +RD_00 RS1_04 8 1 +RD_00 RS1_05 4 1 +RD_00 RS1_06 7 1 +RD_00 RS1_07 9 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 6 1 +RD_00 RS1_0a 3 1 +RD_00 RS1_0b 2 1 +RD_00 RS1_0c 9 1 +RD_00 RS1_0d 4 1 +RD_00 RS1_0e 5 1 +RD_00 RS1_0f 7 1 +RD_00 RS1_10 5 1 +RD_00 RS1_11 3 1 +RD_00 RS1_12 12 1 +RD_00 RS1_13 8 1 +RD_00 RS1_14 9 1 +RD_00 RS1_15 14 1 +RD_00 RS1_16 7 1 +RD_00 RS1_17 4 1 +RD_00 RS1_18 9 1 +RD_00 RS1_19 4 1 +RD_00 RS1_1a 6 1 +RD_00 RS1_1b 10 1 +RD_00 RS1_1c 5 1 +RD_00 RS1_1d 4 1 +RD_00 RS1_1e 9 1 +RD_00 RS1_1f 3 1 +RD_01 RS1_00 2 1 +RD_01 RS1_01 5 1 +RD_01 RS1_02 6 1 +RD_01 RS1_03 1 1 +RD_01 RS1_04 4 1 +RD_01 RS1_05 10 1 +RD_01 RS1_06 9 1 +RD_01 RS1_07 5 1 +RD_01 RS1_08 3 1 +RD_01 RS1_09 8 1 +RD_01 RS1_0a 6 1 +RD_01 RS1_0b 4 1 +RD_01 RS1_0c 4 1 +RD_01 RS1_0d 1 1 +RD_01 RS1_0e 4 1 +RD_01 RS1_0f 3 1 +RD_01 RS1_10 1 1 +RD_01 RS1_11 13 1 +RD_01 RS1_12 3 1 +RD_01 RS1_13 3 1 +RD_01 RS1_14 5 1 +RD_01 RS1_15 4 1 +RD_01 RS1_16 2 1 +RD_01 RS1_17 8 1 +RD_01 RS1_18 6 1 +RD_01 RS1_19 8 1 +RD_01 RS1_1a 7 1 +RD_01 RS1_1b 4 1 +RD_01 RS1_1c 3 1 +RD_01 RS1_1d 3 1 +RD_01 RS1_1e 3 1 +RD_01 RS1_1f 6 1 +RD_02 RS1_00 10 1 +RD_02 RS1_01 4 1 +RD_02 RS1_02 7 1 +RD_02 RS1_03 9 1 +RD_02 RS1_04 6 1 +RD_02 RS1_05 9 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 1 1 +RD_02 RS1_08 3 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 4 1 +RD_02 RS1_0b 4 1 +RD_02 RS1_0c 4 1 +RD_02 RS1_0d 8 1 +RD_02 RS1_0e 5 1 +RD_02 RS1_0f 3 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 3 1 +RD_02 RS1_12 4 1 +RD_02 RS1_13 6 1 +RD_02 RS1_14 8 1 +RD_02 RS1_15 4 1 +RD_02 RS1_16 4 1 +RD_02 RS1_17 2 1 +RD_02 RS1_18 6 1 +RD_02 RS1_19 8 1 +RD_02 RS1_1a 3 1 +RD_02 RS1_1b 6 1 +RD_02 RS1_1c 7 1 +RD_02 RS1_1d 6 1 +RD_02 RS1_1e 4 1 +RD_02 RS1_1f 5 1 +RD_03 RS1_00 8 1 +RD_03 RS1_01 1 1 +RD_03 RS1_02 4 1 +RD_03 RS1_03 3 1 +RD_03 RS1_04 6 1 +RD_03 RS1_05 11 1 +RD_03 RS1_06 3 1 +RD_03 RS1_07 4 1 +RD_03 RS1_08 5 1 +RD_03 RS1_09 3 1 +RD_03 RS1_0a 9 1 +RD_03 RS1_0b 7 1 +RD_03 RS1_0c 4 1 +RD_03 RS1_0d 3 1 +RD_03 RS1_0e 9 1 +RD_03 RS1_0f 7 1 +RD_03 RS1_10 6 1 +RD_03 RS1_11 11 1 +RD_03 RS1_12 6 1 +RD_03 RS1_13 7 1 +RD_03 RS1_14 4 1 +RD_03 RS1_15 6 1 +RD_03 RS1_17 6 1 +RD_03 RS1_18 7 1 +RD_03 RS1_19 3 1 +RD_03 RS1_1a 6 1 +RD_03 RS1_1b 7 1 +RD_03 RS1_1c 4 1 +RD_03 RS1_1d 8 1 +RD_03 RS1_1e 3 1 +RD_03 RS1_1f 7 1 +RD_04 RS1_00 5 1 +RD_04 RS1_01 5 1 +RD_04 RS1_02 4 1 +RD_04 RS1_03 2 1 +RD_04 RS1_04 7 1 +RD_04 RS1_05 4 1 +RD_04 RS1_06 7 1 +RD_04 RS1_07 4 1 +RD_04 RS1_08 4 1 +RD_04 RS1_09 1 1 +RD_04 RS1_0a 10 1 +RD_04 RS1_0b 5 1 +RD_04 RS1_0c 4 1 +RD_04 RS1_0d 2 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 7 1 +RD_04 RS1_10 2 1 +RD_04 RS1_11 9 1 +RD_04 RS1_12 3 1 +RD_04 RS1_13 5 1 +RD_04 RS1_14 4 1 +RD_04 RS1_15 9 1 +RD_04 RS1_16 5 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 2 1 +RD_04 RS1_19 10 1 +RD_04 RS1_1a 6 1 +RD_04 RS1_1b 7 1 +RD_04 RS1_1c 3 1 +RD_04 RS1_1d 5 1 +RD_04 RS1_1e 5 1 +RD_04 RS1_1f 5 1 +RD_05 RS1_00 5 1 +RD_05 RS1_01 5 1 +RD_05 RS1_02 7 1 +RD_05 RS1_03 7 1 +RD_05 RS1_04 7 1 +RD_05 RS1_05 5 1 +RD_05 RS1_06 5 1 +RD_05 RS1_07 8 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 9 1 +RD_05 RS1_0a 7 1 +RD_05 RS1_0b 4 1 +RD_05 RS1_0c 2 1 +RD_05 RS1_0d 3 1 +RD_05 RS1_0e 5 1 +RD_05 RS1_0f 3 1 +RD_05 RS1_10 5 1 +RD_05 RS1_11 2 1 +RD_05 RS1_12 5 1 +RD_05 RS1_13 1 1 +RD_05 RS1_14 8 1 +RD_05 RS1_15 4 1 +RD_05 RS1_16 4 1 +RD_05 RS1_17 5 1 +RD_05 RS1_18 4 1 +RD_05 RS1_19 6 1 +RD_05 RS1_1a 1 1 +RD_05 RS1_1b 2 1 +RD_05 RS1_1c 2 1 +RD_05 RS1_1d 4 1 +RD_05 RS1_1e 3 1 +RD_05 RS1_1f 3 1 +RD_06 RS1_00 8 1 +RD_06 RS1_01 4 1 +RD_06 RS1_02 7 1 +RD_06 RS1_03 3 1 +RD_06 RS1_04 13 1 +RD_06 RS1_05 5 1 +RD_06 RS1_06 7 1 +RD_06 RS1_07 5 1 +RD_06 RS1_08 5 1 +RD_06 RS1_09 9 1 +RD_06 RS1_0a 3 1 +RD_06 RS1_0b 7 1 +RD_06 RS1_0c 3 1 +RD_06 RS1_0d 3 1 +RD_06 RS1_0e 1 1 +RD_06 RS1_0f 4 1 +RD_06 RS1_10 6 1 +RD_06 RS1_11 6 1 +RD_06 RS1_12 3 1 +RD_06 RS1_13 6 1 +RD_06 RS1_14 8 1 +RD_06 RS1_15 4 1 +RD_06 RS1_16 5 1 +RD_06 RS1_17 7 1 +RD_06 RS1_18 4 1 +RD_06 RS1_19 12 1 +RD_06 RS1_1a 1 1 +RD_06 RS1_1b 7 1 +RD_06 RS1_1c 6 1 +RD_06 RS1_1d 4 1 +RD_06 RS1_1e 1 1 +RD_06 RS1_1f 9 1 +RD_07 RS1_00 4 1 +RD_07 RS1_01 4 1 +RD_07 RS1_02 2 1 +RD_07 RS1_03 4 1 +RD_07 RS1_04 4 1 +RD_07 RS1_05 6 1 +RD_07 RS1_06 3 1 +RD_07 RS1_07 4 1 +RD_07 RS1_08 5 1 +RD_07 RS1_09 7 1 +RD_07 RS1_0a 4 1 +RD_07 RS1_0b 3 1 +RD_07 RS1_0c 7 1 +RD_07 RS1_0d 4 1 +RD_07 RS1_0e 9 1 +RD_07 RS1_0f 4 1 +RD_07 RS1_10 8 1 +RD_07 RS1_11 6 1 +RD_07 RS1_12 3 1 +RD_07 RS1_13 3 1 +RD_07 RS1_14 9 1 +RD_07 RS1_15 5 1 +RD_07 RS1_16 7 1 +RD_07 RS1_17 8 1 +RD_07 RS1_18 7 1 +RD_07 RS1_19 5 1 +RD_07 RS1_1a 6 1 +RD_07 RS1_1b 4 1 +RD_07 RS1_1c 5 1 +RD_07 RS1_1d 3 1 +RD_07 RS1_1e 4 1 +RD_07 RS1_1f 8 1 +RD_08 RS1_00 3 1 +RD_08 RS1_01 4 1 +RD_08 RS1_02 8 1 +RD_08 RS1_03 4 1 +RD_08 RS1_04 2 1 +RD_08 RS1_05 2 1 +RD_08 RS1_06 8 1 +RD_08 RS1_07 7 1 +RD_08 RS1_08 4 1 +RD_08 RS1_09 6 1 +RD_08 RS1_0a 3 1 +RD_08 RS1_0b 5 1 +RD_08 RS1_0c 5 1 +RD_08 RS1_0d 5 1 +RD_08 RS1_0e 2 1 +RD_08 RS1_0f 2 1 +RD_08 RS1_10 3 1 +RD_08 RS1_11 9 1 +RD_08 RS1_12 3 1 +RD_08 RS1_13 1 1 +RD_08 RS1_14 5 1 +RD_08 RS1_15 5 1 +RD_08 RS1_16 5 1 +RD_08 RS1_17 5 1 +RD_08 RS1_18 4 1 +RD_08 RS1_19 5 1 +RD_08 RS1_1a 5 1 +RD_08 RS1_1b 9 1 +RD_08 RS1_1c 5 1 +RD_08 RS1_1d 6 1 +RD_08 RS1_1e 8 1 +RD_09 RS1_00 8 1 +RD_09 RS1_01 3 1 +RD_09 RS1_02 5 1 +RD_09 RS1_03 2 1 +RD_09 RS1_04 1 1 +RD_09 RS1_05 12 1 +RD_09 RS1_06 7 1 +RD_09 RS1_07 7 1 +RD_09 RS1_08 7 1 +RD_09 RS1_09 6 1 +RD_09 RS1_0a 5 1 +RD_09 RS1_0b 3 1 +RD_09 RS1_0c 3 1 +RD_09 RS1_0d 3 1 +RD_09 RS1_0e 6 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 3 1 +RD_09 RS1_11 3 1 +RD_09 RS1_12 7 1 +RD_09 RS1_13 7 1 +RD_09 RS1_14 7 1 +RD_09 RS1_15 6 1 +RD_09 RS1_16 1 1 +RD_09 RS1_17 4 1 +RD_09 RS1_18 8 1 +RD_09 RS1_19 5 1 +RD_09 RS1_1a 3 1 +RD_09 RS1_1b 7 1 +RD_09 RS1_1c 3 1 +RD_09 RS1_1d 11 1 +RD_09 RS1_1e 5 1 +RD_09 RS1_1f 7 1 +RD_0a RS1_00 3 1 +RD_0a RS1_01 5 1 +RD_0a RS1_02 5 1 +RD_0a RS1_03 8 1 +RD_0a RS1_04 4 1 +RD_0a RS1_05 4 1 +RD_0a RS1_06 6 1 +RD_0a RS1_07 6 1 +RD_0a RS1_08 5 1 +RD_0a RS1_09 2 1 +RD_0a RS1_0a 2 1 +RD_0a RS1_0b 6 1 +RD_0a RS1_0c 4 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 8 1 +RD_0a RS1_0f 11 1 +RD_0a RS1_10 5 1 +RD_0a RS1_11 8 1 +RD_0a RS1_12 7 1 +RD_0a RS1_13 3 1 +RD_0a RS1_14 4 1 +RD_0a RS1_15 6 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 3 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 8 1 +RD_0a RS1_1a 5 1 +RD_0a RS1_1b 4 1 +RD_0a RS1_1c 2 1 +RD_0a RS1_1d 3 1 +RD_0a RS1_1e 2 1 +RD_0a RS1_1f 2 1 +RD_0b RS1_00 5 1 +RD_0b RS1_02 3 1 +RD_0b RS1_03 2 1 +RD_0b RS1_04 6 1 +RD_0b RS1_05 12 1 +RD_0b RS1_06 4 1 +RD_0b RS1_07 4 1 +RD_0b RS1_08 3 1 +RD_0b RS1_09 6 1 +RD_0b RS1_0a 5 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 5 1 +RD_0b RS1_0e 6 1 +RD_0b RS1_0f 7 1 +RD_0b RS1_10 9 1 +RD_0b RS1_11 4 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 9 1 +RD_0b RS1_14 6 1 +RD_0b RS1_15 2 1 +RD_0b RS1_16 3 1 +RD_0b RS1_17 7 1 +RD_0b RS1_18 10 1 +RD_0b RS1_19 6 1 +RD_0b RS1_1a 11 1 +RD_0b RS1_1b 5 1 +RD_0b RS1_1c 8 1 +RD_0b RS1_1d 14 1 +RD_0b RS1_1e 3 1 +RD_0b RS1_1f 4 1 +RD_0c RS1_00 6 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 5 1 +RD_0c RS1_03 2 1 +RD_0c RS1_04 7 1 +RD_0c RS1_05 3 1 +RD_0c RS1_06 2 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 3 1 +RD_0c RS1_09 6 1 +RD_0c RS1_0a 3 1 +RD_0c RS1_0b 1 1 +RD_0c RS1_0c 7 1 +RD_0c RS1_0d 6 1 +RD_0c RS1_0e 1 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 4 1 +RD_0c RS1_11 6 1 +RD_0c RS1_12 4 1 +RD_0c RS1_13 5 1 +RD_0c RS1_14 12 1 +RD_0c RS1_15 1 1 +RD_0c RS1_16 10 1 +RD_0c RS1_17 3 1 +RD_0c RS1_18 4 1 +RD_0c RS1_19 2 1 +RD_0c RS1_1a 4 1 +RD_0c RS1_1b 10 1 +RD_0c RS1_1c 6 1 +RD_0c RS1_1d 5 1 +RD_0c RS1_1e 8 1 +RD_0c RS1_1f 11 1 +RD_0d RS1_00 3 1 +RD_0d RS1_01 3 1 +RD_0d RS1_02 6 1 +RD_0d RS1_03 9 1 +RD_0d RS1_04 5 1 +RD_0d RS1_05 5 1 +RD_0d RS1_06 6 1 +RD_0d RS1_07 4 1 +RD_0d RS1_08 5 1 +RD_0d RS1_09 3 1 +RD_0d RS1_0a 6 1 +RD_0d RS1_0b 4 1 +RD_0d RS1_0c 3 1 +RD_0d RS1_0d 3 1 +RD_0d RS1_0e 4 1 +RD_0d RS1_0f 6 1 +RD_0d RS1_10 4 1 +RD_0d RS1_11 7 1 +RD_0d RS1_12 5 1 +RD_0d RS1_13 9 1 +RD_0d RS1_14 3 1 +RD_0d RS1_15 9 1 +RD_0d RS1_16 7 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 6 1 +RD_0d RS1_19 6 1 +RD_0d RS1_1a 8 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 9 1 +RD_0d RS1_1d 8 1 +RD_0d RS1_1e 3 1 +RD_0d RS1_1f 4 1 +RD_0e RS1_00 3 1 +RD_0e RS1_02 4 1 +RD_0e RS1_04 2 1 +RD_0e RS1_05 10 1 +RD_0e RS1_06 5 1 +RD_0e RS1_07 1 1 +RD_0e RS1_08 4 1 +RD_0e RS1_09 6 1 +RD_0e RS1_0a 4 1 +RD_0e RS1_0b 7 1 +RD_0e RS1_0c 4 1 +RD_0e RS1_0d 3 1 +RD_0e RS1_0e 6 1 +RD_0e RS1_0f 7 1 +RD_0e RS1_10 6 1 +RD_0e RS1_11 4 1 +RD_0e RS1_12 9 1 +RD_0e RS1_13 6 1 +RD_0e RS1_14 5 1 +RD_0e RS1_15 6 1 +RD_0e RS1_16 2 1 +RD_0e RS1_17 1 1 +RD_0e RS1_18 2 1 +RD_0e RS1_19 6 1 +RD_0e RS1_1a 3 1 +RD_0e RS1_1b 8 1 +RD_0e RS1_1c 4 1 +RD_0e RS1_1d 7 1 +RD_0e RS1_1e 4 1 +RD_0e RS1_1f 5 1 +RD_0f RS1_00 10 1 +RD_0f RS1_01 2 1 +RD_0f RS1_02 7 1 +RD_0f RS1_03 4 1 +RD_0f RS1_04 7 1 +RD_0f RS1_05 7 1 +RD_0f RS1_06 3 1 +RD_0f RS1_07 4 1 +RD_0f RS1_08 8 1 +RD_0f RS1_09 3 1 +RD_0f RS1_0a 4 1 +RD_0f RS1_0b 3 1 +RD_0f RS1_0c 6 1 +RD_0f RS1_0d 5 1 +RD_0f RS1_0e 3 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 7 1 +RD_0f RS1_11 10 1 +RD_0f RS1_12 9 1 +RD_0f RS1_13 8 1 +RD_0f RS1_15 6 1 +RD_0f RS1_16 5 1 +RD_0f RS1_17 10 1 +RD_0f RS1_18 6 1 +RD_0f RS1_19 9 1 +RD_0f RS1_1a 3 1 +RD_0f RS1_1b 6 1 +RD_0f RS1_1c 9 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 11 1 +RD_0f RS1_1f 5 1 +RD_10 RS1_00 5 1 +RD_10 RS1_01 9 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 5 1 +RD_10 RS1_04 3 1 +RD_10 RS1_05 6 1 +RD_10 RS1_06 2 1 +RD_10 RS1_07 8 1 +RD_10 RS1_08 5 1 +RD_10 RS1_09 4 1 +RD_10 RS1_0a 4 1 +RD_10 RS1_0b 5 1 +RD_10 RS1_0c 5 1 +RD_10 RS1_0d 5 1 +RD_10 RS1_0e 6 1 +RD_10 RS1_0f 3 1 +RD_10 RS1_10 4 1 +RD_10 RS1_11 11 1 +RD_10 RS1_12 1 1 +RD_10 RS1_13 8 1 +RD_10 RS1_14 6 1 +RD_10 RS1_15 4 1 +RD_10 RS1_16 5 1 +RD_10 RS1_17 3 1 +RD_10 RS1_18 3 1 +RD_10 RS1_19 5 1 +RD_10 RS1_1a 6 1 +RD_10 RS1_1b 4 1 +RD_10 RS1_1c 10 1 +RD_10 RS1_1d 3 1 +RD_10 RS1_1e 1 1 +RD_10 RS1_1f 3 1 +RD_11 RS1_00 8 1 +RD_11 RS1_01 5 1 +RD_11 RS1_02 2 1 +RD_11 RS1_03 4 1 +RD_11 RS1_04 8 1 +RD_11 RS1_05 9 1 +RD_11 RS1_06 11 1 +RD_11 RS1_07 4 1 +RD_11 RS1_08 3 1 +RD_11 RS1_09 12 1 +RD_11 RS1_0a 5 1 +RD_11 RS1_0b 4 1 +RD_11 RS1_0c 5 1 +RD_11 RS1_0d 9 1 +RD_11 RS1_0e 4 1 +RD_11 RS1_0f 7 1 +RD_11 RS1_10 3 1 +RD_11 RS1_11 5 1 +RD_11 RS1_12 4 1 +RD_11 RS1_13 4 1 +RD_11 RS1_14 5 1 +RD_11 RS1_15 12 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 7 1 +RD_11 RS1_18 4 1 +RD_11 RS1_19 5 1 +RD_11 RS1_1a 4 1 +RD_11 RS1_1b 9 1 +RD_11 RS1_1c 4 1 +RD_11 RS1_1d 5 1 +RD_11 RS1_1e 2 1 +RD_11 RS1_1f 6 1 +RD_12 RS1_00 6 1 +RD_12 RS1_01 6 1 +RD_12 RS1_02 6 1 +RD_12 RS1_03 4 1 +RD_12 RS1_04 6 1 +RD_12 RS1_05 3 1 +RD_12 RS1_06 8 1 +RD_12 RS1_07 7 1 +RD_12 RS1_08 7 1 +RD_12 RS1_09 6 1 +RD_12 RS1_0a 12 1 +RD_12 RS1_0b 7 1 +RD_12 RS1_0c 6 1 +RD_12 RS1_0d 9 1 +RD_12 RS1_0e 3 1 +RD_12 RS1_0f 5 1 +RD_12 RS1_10 3 1 +RD_12 RS1_11 5 1 +RD_12 RS1_12 5 1 +RD_12 RS1_13 3 1 +RD_12 RS1_14 5 1 +RD_12 RS1_15 4 1 +RD_12 RS1_16 6 1 +RD_12 RS1_17 4 1 +RD_12 RS1_18 7 1 +RD_12 RS1_19 6 1 +RD_12 RS1_1a 4 1 +RD_12 RS1_1b 3 1 +RD_12 RS1_1c 6 1 +RD_12 RS1_1d 3 1 +RD_12 RS1_1e 4 1 +RD_12 RS1_1f 10 1 +RD_13 RS1_00 4 1 +RD_13 RS1_01 7 1 +RD_13 RS1_02 5 1 +RD_13 RS1_03 4 1 +RD_13 RS1_04 3 1 +RD_13 RS1_05 5 1 +RD_13 RS1_06 6 1 +RD_13 RS1_07 4 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 10 1 +RD_13 RS1_0a 4 1 +RD_13 RS1_0b 5 1 +RD_13 RS1_0c 4 1 +RD_13 RS1_0d 4 1 +RD_13 RS1_0e 6 1 +RD_13 RS1_0f 2 1 +RD_13 RS1_10 3 1 +RD_13 RS1_11 2 1 +RD_13 RS1_12 5 1 +RD_13 RS1_13 4 1 +RD_13 RS1_14 3 1 +RD_13 RS1_15 12 1 +RD_13 RS1_16 6 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 6 1 +RD_13 RS1_19 7 1 +RD_13 RS1_1a 4 1 +RD_13 RS1_1b 6 1 +RD_13 RS1_1c 6 1 +RD_13 RS1_1d 10 1 +RD_13 RS1_1e 9 1 +RD_13 RS1_1f 1 1 +RD_14 RS1_00 4 1 +RD_14 RS1_01 4 1 +RD_14 RS1_02 6 1 +RD_14 RS1_03 3 1 +RD_14 RS1_04 8 1 +RD_14 RS1_05 4 1 +RD_14 RS1_06 5 1 +RD_14 RS1_07 5 1 +RD_14 RS1_08 2 1 +RD_14 RS1_09 3 1 +RD_14 RS1_0a 1 1 +RD_14 RS1_0b 10 1 +RD_14 RS1_0c 3 1 +RD_14 RS1_0d 5 1 +RD_14 RS1_0e 2 1 +RD_14 RS1_0f 9 1 +RD_14 RS1_10 12 1 +RD_14 RS1_11 7 1 +RD_14 RS1_12 7 1 +RD_14 RS1_13 8 1 +RD_14 RS1_14 6 1 +RD_14 RS1_15 3 1 +RD_14 RS1_16 4 1 +RD_14 RS1_17 3 1 +RD_14 RS1_18 6 1 +RD_14 RS1_19 2 1 +RD_14 RS1_1a 12 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 7 1 +RD_14 RS1_1d 6 1 +RD_14 RS1_1e 8 1 +RD_14 RS1_1f 10 1 +RD_15 RS1_00 2 1 +RD_15 RS1_01 6 1 +RD_15 RS1_02 9 1 +RD_15 RS1_03 7 1 +RD_15 RS1_04 7 1 +RD_15 RS1_05 5 1 +RD_15 RS1_06 6 1 +RD_15 RS1_07 9 1 +RD_15 RS1_08 4 1 +RD_15 RS1_09 7 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 7 1 +RD_15 RS1_0c 2 1 +RD_15 RS1_0d 2 1 +RD_15 RS1_0e 6 1 +RD_15 RS1_0f 6 1 +RD_15 RS1_10 3 1 +RD_15 RS1_11 5 1 +RD_15 RS1_12 5 1 +RD_15 RS1_13 3 1 +RD_15 RS1_14 5 1 +RD_15 RS1_15 1 1 +RD_15 RS1_16 3 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 4 1 +RD_15 RS1_1a 6 1 +RD_15 RS1_1b 6 1 +RD_15 RS1_1c 1 1 +RD_15 RS1_1d 8 1 +RD_15 RS1_1e 7 1 +RD_15 RS1_1f 5 1 +RD_16 RS1_00 4 1 +RD_16 RS1_01 5 1 +RD_16 RS1_02 5 1 +RD_16 RS1_03 3 1 +RD_16 RS1_04 8 1 +RD_16 RS1_05 6 1 +RD_16 RS1_06 3 1 +RD_16 RS1_07 4 1 +RD_16 RS1_08 6 1 +RD_16 RS1_09 3 1 +RD_16 RS1_0a 7 1 +RD_16 RS1_0b 8 1 +RD_16 RS1_0c 2 1 +RD_16 RS1_0d 6 1 +RD_16 RS1_0e 12 1 +RD_16 RS1_0f 7 1 +RD_16 RS1_10 4 1 +RD_16 RS1_11 3 1 +RD_16 RS1_12 8 1 +RD_16 RS1_13 6 1 +RD_16 RS1_14 6 1 +RD_16 RS1_15 5 1 +RD_16 RS1_16 6 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 6 1 +RD_16 RS1_19 11 1 +RD_16 RS1_1a 2 1 +RD_16 RS1_1b 5 1 +RD_16 RS1_1c 5 1 +RD_16 RS1_1d 7 1 +RD_16 RS1_1e 6 1 +RD_16 RS1_1f 4 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 4 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 1 1 +RD_17 RS1_04 6 1 +RD_17 RS1_05 4 1 +RD_17 RS1_06 5 1 +RD_17 RS1_07 6 1 +RD_17 RS1_08 3 1 +RD_17 RS1_09 4 1 +RD_17 RS1_0a 4 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 8 1 +RD_17 RS1_0d 6 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 3 1 +RD_17 RS1_10 6 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 7 1 +RD_17 RS1_13 7 1 +RD_17 RS1_14 5 1 +RD_17 RS1_15 6 1 +RD_17 RS1_16 3 1 +RD_17 RS1_17 4 1 +RD_17 RS1_18 7 1 +RD_17 RS1_19 6 1 +RD_17 RS1_1a 6 1 +RD_17 RS1_1b 4 1 +RD_17 RS1_1c 5 1 +RD_17 RS1_1d 4 1 +RD_17 RS1_1e 4 1 +RD_17 RS1_1f 4 1 +RD_18 RS1_00 6 1 +RD_18 RS1_01 6 1 +RD_18 RS1_02 6 1 +RD_18 RS1_03 1 1 +RD_18 RS1_04 5 1 +RD_18 RS1_05 3 1 +RD_18 RS1_06 2 1 +RD_18 RS1_07 7 1 +RD_18 RS1_08 1 1 +RD_18 RS1_09 2 1 +RD_18 RS1_0a 4 1 +RD_18 RS1_0b 2 1 +RD_18 RS1_0c 2 1 +RD_18 RS1_0d 13 1 +RD_18 RS1_0e 3 1 +RD_18 RS1_0f 2 1 +RD_18 RS1_10 6 1 +RD_18 RS1_11 5 1 +RD_18 RS1_12 7 1 +RD_18 RS1_13 6 1 +RD_18 RS1_14 8 1 +RD_18 RS1_15 3 1 +RD_18 RS1_16 6 1 +RD_18 RS1_17 5 1 +RD_18 RS1_18 5 1 +RD_18 RS1_19 11 1 +RD_18 RS1_1a 6 1 +RD_18 RS1_1b 2 1 +RD_18 RS1_1c 8 1 +RD_18 RS1_1d 4 1 +RD_18 RS1_1e 4 1 +RD_18 RS1_1f 5 1 +RD_19 RS1_00 4 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 5 1 +RD_19 RS1_03 9 1 +RD_19 RS1_04 2 1 +RD_19 RS1_05 2 1 +RD_19 RS1_06 6 1 +RD_19 RS1_07 2 1 +RD_19 RS1_08 7 1 +RD_19 RS1_09 5 1 +RD_19 RS1_0a 2 1 +RD_19 RS1_0b 5 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 4 1 +RD_19 RS1_0e 5 1 +RD_19 RS1_0f 5 1 +RD_19 RS1_10 5 1 +RD_19 RS1_11 1 1 +RD_19 RS1_12 7 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 8 1 +RD_19 RS1_15 2 1 +RD_19 RS1_16 8 1 +RD_19 RS1_17 6 1 +RD_19 RS1_18 9 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 3 1 +RD_19 RS1_1b 4 1 +RD_19 RS1_1c 7 1 +RD_19 RS1_1d 8 1 +RD_19 RS1_1e 4 1 +RD_19 RS1_1f 3 1 +RD_1a RS1_00 5 1 +RD_1a RS1_01 4 1 +RD_1a RS1_02 2 1 +RD_1a RS1_03 5 1 +RD_1a RS1_04 4 1 +RD_1a RS1_05 4 1 +RD_1a RS1_06 12 1 +RD_1a RS1_07 5 1 +RD_1a RS1_08 3 1 +RD_1a RS1_09 8 1 +RD_1a RS1_0a 9 1 +RD_1a RS1_0b 9 1 +RD_1a RS1_0c 11 1 +RD_1a RS1_0d 9 1 +RD_1a RS1_0e 6 1 +RD_1a RS1_0f 2 1 +RD_1a RS1_10 4 1 +RD_1a RS1_11 3 1 +RD_1a RS1_12 10 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 8 1 +RD_1a RS1_15 2 1 +RD_1a RS1_16 10 1 +RD_1a RS1_17 1 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 4 1 +RD_1a RS1_1a 1 1 +RD_1a RS1_1b 4 1 +RD_1a RS1_1c 2 1 +RD_1a RS1_1d 2 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 7 1 +RD_1b RS1_00 11 1 +RD_1b RS1_01 7 1 +RD_1b RS1_02 5 1 +RD_1b RS1_03 5 1 +RD_1b RS1_04 5 1 +RD_1b RS1_05 7 1 +RD_1b RS1_06 6 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 9 1 +RD_1b RS1_09 14 1 +RD_1b RS1_0a 5 1 +RD_1b RS1_0b 7 1 +RD_1b RS1_0c 4 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 9 1 +RD_1b RS1_0f 4 1 +RD_1b RS1_10 5 1 +RD_1b RS1_11 10 1 +RD_1b RS1_12 4 1 +RD_1b RS1_13 7 1 +RD_1b RS1_14 4 1 +RD_1b RS1_15 5 1 +RD_1b RS1_16 2 1 +RD_1b RS1_17 7 1 +RD_1b RS1_18 4 1 +RD_1b RS1_19 8 1 +RD_1b RS1_1a 6 1 +RD_1b RS1_1b 8 1 +RD_1b RS1_1c 5 1 +RD_1b RS1_1d 5 1 +RD_1b RS1_1e 2 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 10 1 +RD_1c RS1_01 2 1 +RD_1c RS1_02 6 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 6 1 +RD_1c RS1_05 3 1 +RD_1c RS1_06 4 1 +RD_1c RS1_07 6 1 +RD_1c RS1_08 4 1 +RD_1c RS1_09 3 1 +RD_1c RS1_0a 5 1 +RD_1c RS1_0b 4 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 2 1 +RD_1c RS1_0e 7 1 +RD_1c RS1_0f 3 1 +RD_1c RS1_10 8 1 +RD_1c RS1_11 5 1 +RD_1c RS1_12 5 1 +RD_1c RS1_13 5 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 14 1 +RD_1c RS1_16 6 1 +RD_1c RS1_17 6 1 +RD_1c RS1_18 5 1 +RD_1c RS1_19 10 1 +RD_1c RS1_1a 3 1 +RD_1c RS1_1b 4 1 +RD_1c RS1_1c 5 1 +RD_1c RS1_1d 10 1 +RD_1c RS1_1e 4 1 +RD_1c RS1_1f 8 1 +RD_1d RS1_00 2 1 +RD_1d RS1_01 7 1 +RD_1d RS1_02 7 1 +RD_1d RS1_03 2 1 +RD_1d RS1_04 5 1 +RD_1d RS1_05 1 1 +RD_1d RS1_06 4 1 +RD_1d RS1_07 2 1 +RD_1d RS1_08 9 1 +RD_1d RS1_09 2 1 +RD_1d RS1_0a 8 1 +RD_1d RS1_0b 3 1 +RD_1d RS1_0c 4 1 +RD_1d RS1_0d 6 1 +RD_1d RS1_0e 8 1 +RD_1d RS1_0f 9 1 +RD_1d RS1_10 3 1 +RD_1d RS1_11 10 1 +RD_1d RS1_12 4 1 +RD_1d RS1_13 5 1 +RD_1d RS1_14 3 1 +RD_1d RS1_15 7 1 +RD_1d RS1_16 9 1 +RD_1d RS1_17 1 1 +RD_1d RS1_18 1 1 +RD_1d RS1_19 6 1 +RD_1d RS1_1a 6 1 +RD_1d RS1_1b 4 1 +RD_1d RS1_1c 3 1 +RD_1d RS1_1d 3 1 +RD_1d RS1_1e 6 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 7 1 +RD_1e RS1_01 4 1 +RD_1e RS1_03 4 1 +RD_1e RS1_04 3 1 +RD_1e RS1_05 15 1 +RD_1e RS1_06 2 1 +RD_1e RS1_07 4 1 +RD_1e RS1_08 5 1 +RD_1e RS1_09 9 1 +RD_1e RS1_0a 4 1 +RD_1e RS1_0b 8 1 +RD_1e RS1_0c 2 1 +RD_1e RS1_0d 4 1 +RD_1e RS1_0e 5 1 +RD_1e RS1_0f 4 1 +RD_1e RS1_10 4 1 +RD_1e RS1_11 4 1 +RD_1e RS1_12 5 1 +RD_1e RS1_13 4 1 +RD_1e RS1_14 6 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 5 1 +RD_1e RS1_17 8 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 7 1 +RD_1e RS1_1a 8 1 +RD_1e RS1_1b 4 1 +RD_1e RS1_1c 3 1 +RD_1e RS1_1d 7 1 +RD_1e RS1_1e 4 1 +RD_1e RS1_1f 9 1 +RD_1f RS1_00 4 1 +RD_1f RS1_01 7 1 +RD_1f RS1_02 7 1 +RD_1f RS1_03 4 1 +RD_1f RS1_04 5 1 +RD_1f RS1_05 3 1 +RD_1f RS1_06 4 1 +RD_1f RS1_07 9 1 +RD_1f RS1_08 8 1 +RD_1f RS1_09 3 1 +RD_1f RS1_0a 4 1 +RD_1f RS1_0b 7 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 8 1 +RD_1f RS1_0e 9 1 +RD_1f RS1_0f 5 1 +RD_1f RS1_10 13 1 +RD_1f RS1_12 2 1 +RD_1f RS1_13 4 1 +RD_1f RS1_14 3 1 +RD_1f RS1_15 8 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 5 1 +RD_1f RS1_18 1 1 +RD_1f RS1_19 4 1 +RD_1f RS1_1a 3 1 +RD_1f RS1_1b 8 1 +RD_1f RS1_1c 3 1 +RD_1f RS1_1d 9 1 +RD_1f RS1_1e 2 1 +RD_1f RS1_1f 8 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 7 1017 99.32 7 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_03] [RS2_1a] 0 1 1 +[RD_0e] [RS2_07] 0 1 1 +[RD_1b] [RS2_12] 0 1 1 +[RD_1c] [RS2_02] 0 1 1 +[RD_1c] [RS2_1d] 0 1 1 +[RD_1d] [RS2_01] 0 1 1 +[RD_1e] [RS2_10] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 6 1 +RD_00 RS2_01 9 1 +RD_00 RS2_02 6 1 +RD_00 RS2_03 6 1 +RD_00 RS2_04 8 1 +RD_00 RS2_05 3 1 +RD_00 RS2_06 8 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 6 1 +RD_00 RS2_09 5 1 +RD_00 RS2_0a 5 1 +RD_00 RS2_0b 3 1 +RD_00 RS2_0c 6 1 +RD_00 RS2_0d 9 1 +RD_00 RS2_0e 7 1 +RD_00 RS2_0f 7 1 +RD_00 RS2_10 6 1 +RD_00 RS2_11 8 1 +RD_00 RS2_12 7 1 +RD_00 RS2_13 5 1 +RD_00 RS2_14 13 1 +RD_00 RS2_15 7 1 +RD_00 RS2_16 3 1 +RD_00 RS2_17 6 1 +RD_00 RS2_18 9 1 +RD_00 RS2_19 9 1 +RD_00 RS2_1a 7 1 +RD_00 RS2_1b 6 1 +RD_00 RS2_1c 5 1 +RD_00 RS2_1d 5 1 +RD_00 RS2_1e 2 1 +RD_00 RS2_1f 4 1 +RD_01 RS2_00 4 1 +RD_01 RS2_01 5 1 +RD_01 RS2_02 5 1 +RD_01 RS2_03 6 1 +RD_01 RS2_04 4 1 +RD_01 RS2_05 9 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 5 1 +RD_01 RS2_08 3 1 +RD_01 RS2_09 8 1 +RD_01 RS2_0a 1 1 +RD_01 RS2_0b 9 1 +RD_01 RS2_0c 6 1 +RD_01 RS2_0d 3 1 +RD_01 RS2_0e 6 1 +RD_01 RS2_0f 8 1 +RD_01 RS2_10 1 1 +RD_01 RS2_11 5 1 +RD_01 RS2_12 2 1 +RD_01 RS2_13 3 1 +RD_01 RS2_14 3 1 +RD_01 RS2_15 6 1 +RD_01 RS2_16 6 1 +RD_01 RS2_17 5 1 +RD_01 RS2_18 8 1 +RD_01 RS2_19 3 1 +RD_01 RS2_1a 4 1 +RD_01 RS2_1b 2 1 +RD_01 RS2_1c 4 1 +RD_01 RS2_1d 3 1 +RD_01 RS2_1e 9 1 +RD_01 RS2_1f 3 1 +RD_02 RS2_00 4 1 +RD_02 RS2_01 4 1 +RD_02 RS2_02 4 1 +RD_02 RS2_03 5 1 +RD_02 RS2_04 6 1 +RD_02 RS2_05 13 1 +RD_02 RS2_06 9 1 +RD_02 RS2_07 4 1 +RD_02 RS2_08 6 1 +RD_02 RS2_09 4 1 +RD_02 RS2_0a 1 1 +RD_02 RS2_0b 4 1 +RD_02 RS2_0c 5 1 +RD_02 RS2_0d 1 1 +RD_02 RS2_0e 5 1 +RD_02 RS2_0f 13 1 +RD_02 RS2_10 6 1 +RD_02 RS2_11 7 1 +RD_02 RS2_12 5 1 +RD_02 RS2_13 5 1 +RD_02 RS2_14 3 1 +RD_02 RS2_15 7 1 +RD_02 RS2_16 4 1 +RD_02 RS2_17 4 1 +RD_02 RS2_18 8 1 +RD_02 RS2_19 4 1 +RD_02 RS2_1a 4 1 +RD_02 RS2_1b 3 1 +RD_02 RS2_1c 2 1 +RD_02 RS2_1d 3 1 +RD_02 RS2_1e 8 1 +RD_02 RS2_1f 6 1 +RD_03 RS2_00 2 1 +RD_03 RS2_01 9 1 +RD_03 RS2_02 5 1 +RD_03 RS2_03 3 1 +RD_03 RS2_04 8 1 +RD_03 RS2_05 2 1 +RD_03 RS2_06 5 1 +RD_03 RS2_07 3 1 +RD_03 RS2_08 3 1 +RD_03 RS2_09 12 1 +RD_03 RS2_0a 10 1 +RD_03 RS2_0b 4 1 +RD_03 RS2_0c 4 1 +RD_03 RS2_0d 5 1 +RD_03 RS2_0e 5 1 +RD_03 RS2_0f 2 1 +RD_03 RS2_10 6 1 +RD_03 RS2_11 6 1 +RD_03 RS2_12 6 1 +RD_03 RS2_13 7 1 +RD_03 RS2_14 5 1 +RD_03 RS2_15 5 1 +RD_03 RS2_16 9 1 +RD_03 RS2_17 8 1 +RD_03 RS2_18 6 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1b 4 1 +RD_03 RS2_1c 7 1 +RD_03 RS2_1d 5 1 +RD_03 RS2_1e 9 1 +RD_03 RS2_1f 8 1 +RD_04 RS2_00 8 1 +RD_04 RS2_01 9 1 +RD_04 RS2_02 5 1 +RD_04 RS2_03 11 1 +RD_04 RS2_04 8 1 +RD_04 RS2_05 4 1 +RD_04 RS2_06 7 1 +RD_04 RS2_07 7 1 +RD_04 RS2_08 4 1 +RD_04 RS2_09 5 1 +RD_04 RS2_0a 1 1 +RD_04 RS2_0b 2 1 +RD_04 RS2_0c 7 1 +RD_04 RS2_0d 6 1 +RD_04 RS2_0e 2 1 +RD_04 RS2_0f 8 1 +RD_04 RS2_10 4 1 +RD_04 RS2_11 3 1 +RD_04 RS2_12 6 1 +RD_04 RS2_13 5 1 +RD_04 RS2_14 4 1 +RD_04 RS2_15 2 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 4 1 +RD_04 RS2_18 4 1 +RD_04 RS2_19 4 1 +RD_04 RS2_1a 9 1 +RD_04 RS2_1b 5 1 +RD_04 RS2_1c 5 1 +RD_04 RS2_1d 2 1 +RD_04 RS2_1e 6 1 +RD_04 RS2_1f 1 1 +RD_05 RS2_00 4 1 +RD_05 RS2_01 3 1 +RD_05 RS2_02 5 1 +RD_05 RS2_03 7 1 +RD_05 RS2_04 4 1 +RD_05 RS2_05 4 1 +RD_05 RS2_06 8 1 +RD_05 RS2_07 5 1 +RD_05 RS2_08 5 1 +RD_05 RS2_09 4 1 +RD_05 RS2_0a 1 1 +RD_05 RS2_0b 4 1 +RD_05 RS2_0c 7 1 +RD_05 RS2_0d 4 1 +RD_05 RS2_0e 5 1 +RD_05 RS2_0f 5 1 +RD_05 RS2_10 4 1 +RD_05 RS2_11 3 1 +RD_05 RS2_12 7 1 +RD_05 RS2_13 3 1 +RD_05 RS2_14 9 1 +RD_05 RS2_15 5 1 +RD_05 RS2_16 1 1 +RD_05 RS2_17 3 1 +RD_05 RS2_18 3 1 +RD_05 RS2_19 5 1 +RD_05 RS2_1a 6 1 +RD_05 RS2_1b 3 1 +RD_05 RS2_1c 3 1 +RD_05 RS2_1d 5 1 +RD_05 RS2_1e 4 1 +RD_05 RS2_1f 6 1 +RD_06 RS2_00 3 1 +RD_06 RS2_01 7 1 +RD_06 RS2_02 7 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 7 1 +RD_06 RS2_05 3 1 +RD_06 RS2_06 9 1 +RD_06 RS2_07 2 1 +RD_06 RS2_08 8 1 +RD_06 RS2_09 7 1 +RD_06 RS2_0a 8 1 +RD_06 RS2_0b 2 1 +RD_06 RS2_0c 6 1 +RD_06 RS2_0d 1 1 +RD_06 RS2_0e 9 1 +RD_06 RS2_0f 2 1 +RD_06 RS2_10 7 1 +RD_06 RS2_11 6 1 +RD_06 RS2_12 7 1 +RD_06 RS2_13 8 1 +RD_06 RS2_14 4 1 +RD_06 RS2_15 2 1 +RD_06 RS2_16 5 1 +RD_06 RS2_17 5 1 +RD_06 RS2_18 5 1 +RD_06 RS2_19 12 1 +RD_06 RS2_1a 3 1 +RD_06 RS2_1b 7 1 +RD_06 RS2_1c 6 1 +RD_06 RS2_1d 3 1 +RD_06 RS2_1e 5 1 +RD_06 RS2_1f 5 1 +RD_07 RS2_00 4 1 +RD_07 RS2_01 8 1 +RD_07 RS2_02 4 1 +RD_07 RS2_03 5 1 +RD_07 RS2_04 3 1 +RD_07 RS2_05 4 1 +RD_07 RS2_06 10 1 +RD_07 RS2_07 4 1 +RD_07 RS2_08 3 1 +RD_07 RS2_09 3 1 +RD_07 RS2_0a 9 1 +RD_07 RS2_0b 3 1 +RD_07 RS2_0c 8 1 +RD_07 RS2_0d 6 1 +RD_07 RS2_0e 9 1 +RD_07 RS2_0f 8 1 +RD_07 RS2_10 3 1 +RD_07 RS2_11 3 1 +RD_07 RS2_12 4 1 +RD_07 RS2_13 7 1 +RD_07 RS2_14 2 1 +RD_07 RS2_15 4 1 +RD_07 RS2_16 7 1 +RD_07 RS2_17 3 1 +RD_07 RS2_18 4 1 +RD_07 RS2_19 5 1 +RD_07 RS2_1a 6 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 7 1 +RD_07 RS2_1d 2 1 +RD_07 RS2_1e 3 1 +RD_07 RS2_1f 8 1 +RD_08 RS2_00 4 1 +RD_08 RS2_01 2 1 +RD_08 RS2_02 3 1 +RD_08 RS2_03 4 1 +RD_08 RS2_04 8 1 +RD_08 RS2_05 2 1 +RD_08 RS2_06 4 1 +RD_08 RS2_07 8 1 +RD_08 RS2_08 6 1 +RD_08 RS2_09 2 1 +RD_08 RS2_0a 4 1 +RD_08 RS2_0b 5 1 +RD_08 RS2_0c 5 1 +RD_08 RS2_0d 6 1 +RD_08 RS2_0e 2 1 +RD_08 RS2_0f 6 1 +RD_08 RS2_10 4 1 +RD_08 RS2_11 5 1 +RD_08 RS2_12 3 1 +RD_08 RS2_13 6 1 +RD_08 RS2_14 7 1 +RD_08 RS2_15 5 1 +RD_08 RS2_16 3 1 +RD_08 RS2_17 6 1 +RD_08 RS2_18 2 1 +RD_08 RS2_19 2 1 +RD_08 RS2_1a 8 1 +RD_08 RS2_1b 3 1 +RD_08 RS2_1c 7 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 4 1 +RD_08 RS2_1f 9 1 +RD_09 RS2_00 5 1 +RD_09 RS2_01 1 1 +RD_09 RS2_02 5 1 +RD_09 RS2_03 4 1 +RD_09 RS2_04 4 1 +RD_09 RS2_05 10 1 +RD_09 RS2_06 3 1 +RD_09 RS2_07 10 1 +RD_09 RS2_08 6 1 +RD_09 RS2_09 5 1 +RD_09 RS2_0a 4 1 +RD_09 RS2_0b 2 1 +RD_09 RS2_0c 10 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 5 1 +RD_09 RS2_0f 5 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 4 1 +RD_09 RS2_12 2 1 +RD_09 RS2_13 4 1 +RD_09 RS2_14 7 1 +RD_09 RS2_15 13 1 +RD_09 RS2_16 6 1 +RD_09 RS2_17 9 1 +RD_09 RS2_18 9 1 +RD_09 RS2_19 3 1 +RD_09 RS2_1a 5 1 +RD_09 RS2_1b 1 1 +RD_09 RS2_1c 6 1 +RD_09 RS2_1d 3 1 +RD_09 RS2_1e 2 1 +RD_09 RS2_1f 8 1 +RD_0a RS2_00 5 1 +RD_0a RS2_01 6 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 3 1 +RD_0a RS2_04 4 1 +RD_0a RS2_05 3 1 +RD_0a RS2_06 3 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 4 1 +RD_0a RS2_09 3 1 +RD_0a RS2_0a 10 1 +RD_0a RS2_0b 2 1 +RD_0a RS2_0c 8 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 3 1 +RD_0a RS2_0f 4 1 +RD_0a RS2_10 5 1 +RD_0a RS2_11 4 1 +RD_0a RS2_12 5 1 +RD_0a RS2_13 4 1 +RD_0a RS2_14 5 1 +RD_0a RS2_15 5 1 +RD_0a RS2_16 5 1 +RD_0a RS2_17 7 1 +RD_0a RS2_18 5 1 +RD_0a RS2_19 4 1 +RD_0a RS2_1a 4 1 +RD_0a RS2_1b 5 1 +RD_0a RS2_1c 2 1 +RD_0a RS2_1d 6 1 +RD_0a RS2_1e 8 1 +RD_0a RS2_1f 9 1 +RD_0b RS2_00 5 1 +RD_0b RS2_01 5 1 +RD_0b RS2_02 6 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 6 1 +RD_0b RS2_05 5 1 +RD_0b RS2_06 3 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 6 1 +RD_0b RS2_09 8 1 +RD_0b RS2_0a 4 1 +RD_0b RS2_0b 11 1 +RD_0b RS2_0c 5 1 +RD_0b RS2_0d 3 1 +RD_0b RS2_0e 7 1 +RD_0b RS2_0f 2 1 +RD_0b RS2_10 4 1 +RD_0b RS2_11 6 1 +RD_0b RS2_12 11 1 +RD_0b RS2_13 2 1 +RD_0b RS2_14 6 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 7 1 +RD_0b RS2_17 7 1 +RD_0b RS2_18 4 1 +RD_0b RS2_19 4 1 +RD_0b RS2_1a 8 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 9 1 +RD_0b RS2_1d 2 1 +RD_0b RS2_1e 5 1 +RD_0b RS2_1f 8 1 +RD_0c RS2_00 1 1 +RD_0c RS2_01 3 1 +RD_0c RS2_02 8 1 +RD_0c RS2_03 4 1 +RD_0c RS2_04 1 1 +RD_0c RS2_05 4 1 +RD_0c RS2_06 3 1 +RD_0c RS2_07 4 1 +RD_0c RS2_08 9 1 +RD_0c RS2_09 6 1 +RD_0c RS2_0a 4 1 +RD_0c RS2_0b 5 1 +RD_0c RS2_0c 14 1 +RD_0c RS2_0d 4 1 +RD_0c RS2_0e 6 1 +RD_0c RS2_0f 6 1 +RD_0c RS2_10 1 1 +RD_0c RS2_11 6 1 +RD_0c RS2_12 3 1 +RD_0c RS2_13 5 1 +RD_0c RS2_14 9 1 +RD_0c RS2_15 11 1 +RD_0c RS2_16 2 1 +RD_0c RS2_17 6 1 +RD_0c RS2_18 7 1 +RD_0c RS2_19 4 1 +RD_0c RS2_1a 2 1 +RD_0c RS2_1b 5 1 +RD_0c RS2_1c 3 1 +RD_0c RS2_1d 5 1 +RD_0c RS2_1e 7 1 +RD_0c RS2_1f 3 1 +RD_0d RS2_00 8 1 +RD_0d RS2_01 2 1 +RD_0d RS2_02 3 1 +RD_0d RS2_03 7 1 +RD_0d RS2_04 4 1 +RD_0d RS2_05 1 1 +RD_0d RS2_06 7 1 +RD_0d RS2_07 6 1 +RD_0d RS2_08 4 1 +RD_0d RS2_09 3 1 +RD_0d RS2_0a 1 1 +RD_0d RS2_0b 5 1 +RD_0d RS2_0c 6 1 +RD_0d RS2_0d 6 1 +RD_0d RS2_0e 3 1 +RD_0d RS2_0f 7 1 +RD_0d RS2_10 7 1 +RD_0d RS2_11 6 1 +RD_0d RS2_12 6 1 +RD_0d RS2_13 8 1 +RD_0d RS2_14 3 1 +RD_0d RS2_15 6 1 +RD_0d RS2_16 5 1 +RD_0d RS2_17 6 1 +RD_0d RS2_18 4 1 +RD_0d RS2_19 11 1 +RD_0d RS2_1a 7 1 +RD_0d RS2_1b 6 1 +RD_0d RS2_1c 3 1 +RD_0d RS2_1d 8 1 +RD_0d RS2_1e 3 1 +RD_0d RS2_1f 7 1 +RD_0e RS2_00 6 1 +RD_0e RS2_01 3 1 +RD_0e RS2_02 5 1 +RD_0e RS2_03 17 1 +RD_0e RS2_04 8 1 +RD_0e RS2_05 3 1 +RD_0e RS2_06 4 1 +RD_0e RS2_08 6 1 +RD_0e RS2_09 4 1 +RD_0e RS2_0a 2 1 +RD_0e RS2_0b 5 1 +RD_0e RS2_0c 5 1 +RD_0e RS2_0d 2 1 +RD_0e RS2_0e 3 1 +RD_0e RS2_0f 2 1 +RD_0e RS2_10 5 1 +RD_0e RS2_11 10 1 +RD_0e RS2_12 6 1 +RD_0e RS2_13 4 1 +RD_0e RS2_14 6 1 +RD_0e RS2_15 4 1 +RD_0e RS2_16 1 1 +RD_0e RS2_17 2 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 4 1 +RD_0e RS2_1a 2 1 +RD_0e RS2_1b 7 1 +RD_0e RS2_1c 1 1 +RD_0e RS2_1d 2 1 +RD_0e RS2_1e 6 1 +RD_0e RS2_1f 3 1 +RD_0f RS2_00 12 1 +RD_0f RS2_01 5 1 +RD_0f RS2_02 5 1 +RD_0f RS2_03 4 1 +RD_0f RS2_04 10 1 +RD_0f RS2_05 3 1 +RD_0f RS2_06 4 1 +RD_0f RS2_07 8 1 +RD_0f RS2_08 4 1 +RD_0f RS2_09 2 1 +RD_0f RS2_0a 15 1 +RD_0f RS2_0b 8 1 +RD_0f RS2_0c 3 1 +RD_0f RS2_0d 4 1 +RD_0f RS2_0e 4 1 +RD_0f RS2_0f 9 1 +RD_0f RS2_10 5 1 +RD_0f RS2_11 4 1 +RD_0f RS2_12 4 1 +RD_0f RS2_13 8 1 +RD_0f RS2_14 3 1 +RD_0f RS2_15 8 1 +RD_0f RS2_16 8 1 +RD_0f RS2_17 3 1 +RD_0f RS2_18 3 1 +RD_0f RS2_19 7 1 +RD_0f RS2_1a 8 1 +RD_0f RS2_1b 2 1 +RD_0f RS2_1c 7 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 3 1 +RD_0f RS2_1f 12 1 +RD_10 RS2_00 4 1 +RD_10 RS2_01 4 1 +RD_10 RS2_02 3 1 +RD_10 RS2_03 8 1 +RD_10 RS2_04 2 1 +RD_10 RS2_05 2 1 +RD_10 RS2_06 7 1 +RD_10 RS2_07 6 1 +RD_10 RS2_08 7 1 +RD_10 RS2_09 2 1 +RD_10 RS2_0a 6 1 +RD_10 RS2_0b 10 1 +RD_10 RS2_0c 1 1 +RD_10 RS2_0d 3 1 +RD_10 RS2_0e 1 1 +RD_10 RS2_0f 8 1 +RD_10 RS2_10 7 1 +RD_10 RS2_11 9 1 +RD_10 RS2_12 6 1 +RD_10 RS2_13 5 1 +RD_10 RS2_14 5 1 +RD_10 RS2_15 3 1 +RD_10 RS2_16 4 1 +RD_10 RS2_17 8 1 +RD_10 RS2_18 8 1 +RD_10 RS2_19 5 1 +RD_10 RS2_1a 1 1 +RD_10 RS2_1b 4 1 +RD_10 RS2_1c 3 1 +RD_10 RS2_1d 6 1 +RD_10 RS2_1e 3 1 +RD_10 RS2_1f 5 1 +RD_11 RS2_00 10 1 +RD_11 RS2_01 9 1 +RD_11 RS2_02 13 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 6 1 +RD_11 RS2_05 4 1 +RD_11 RS2_06 10 1 +RD_11 RS2_07 3 1 +RD_11 RS2_08 3 1 +RD_11 RS2_09 7 1 +RD_11 RS2_0a 1 1 +RD_11 RS2_0b 4 1 +RD_11 RS2_0c 2 1 +RD_11 RS2_0d 2 1 +RD_11 RS2_0e 6 1 +RD_11 RS2_0f 2 1 +RD_11 RS2_10 5 1 +RD_11 RS2_11 4 1 +RD_11 RS2_12 8 1 +RD_11 RS2_13 5 1 +RD_11 RS2_14 2 1 +RD_11 RS2_15 10 1 +RD_11 RS2_16 3 1 +RD_11 RS2_17 8 1 +RD_11 RS2_18 10 1 +RD_11 RS2_19 4 1 +RD_11 RS2_1a 3 1 +RD_11 RS2_1b 5 1 +RD_11 RS2_1c 7 1 +RD_11 RS2_1d 8 1 +RD_11 RS2_1e 4 1 +RD_11 RS2_1f 8 1 +RD_12 RS2_00 2 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 7 1 +RD_12 RS2_03 6 1 +RD_12 RS2_04 8 1 +RD_12 RS2_05 6 1 +RD_12 RS2_06 4 1 +RD_12 RS2_07 6 1 +RD_12 RS2_08 7 1 +RD_12 RS2_09 11 1 +RD_12 RS2_0a 13 1 +RD_12 RS2_0b 6 1 +RD_12 RS2_0c 4 1 +RD_12 RS2_0d 5 1 +RD_12 RS2_0e 6 1 +RD_12 RS2_0f 6 1 +RD_12 RS2_10 3 1 +RD_12 RS2_11 3 1 +RD_12 RS2_12 5 1 +RD_12 RS2_13 4 1 +RD_12 RS2_14 7 1 +RD_12 RS2_15 2 1 +RD_12 RS2_16 5 1 +RD_12 RS2_17 4 1 +RD_12 RS2_18 5 1 +RD_12 RS2_19 6 1 +RD_12 RS2_1a 6 1 +RD_12 RS2_1b 6 1 +RD_12 RS2_1c 10 1 +RD_12 RS2_1d 5 1 +RD_12 RS2_1e 3 1 +RD_12 RS2_1f 4 1 +RD_13 RS2_00 8 1 +RD_13 RS2_01 5 1 +RD_13 RS2_02 3 1 +RD_13 RS2_03 5 1 +RD_13 RS2_04 2 1 +RD_13 RS2_05 10 1 +RD_13 RS2_06 8 1 +RD_13 RS2_07 10 1 +RD_13 RS2_08 6 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 4 1 +RD_13 RS2_0c 7 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 5 1 +RD_13 RS2_0f 3 1 +RD_13 RS2_10 4 1 +RD_13 RS2_11 3 1 +RD_13 RS2_12 11 1 +RD_13 RS2_13 10 1 +RD_13 RS2_14 3 1 +RD_13 RS2_15 4 1 +RD_13 RS2_16 7 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 2 1 +RD_13 RS2_19 6 1 +RD_13 RS2_1a 2 1 +RD_13 RS2_1b 2 1 +RD_13 RS2_1c 5 1 +RD_13 RS2_1d 7 1 +RD_13 RS2_1e 3 1 +RD_13 RS2_1f 2 1 +RD_14 RS2_00 7 1 +RD_14 RS2_01 7 1 +RD_14 RS2_02 5 1 +RD_14 RS2_03 9 1 +RD_14 RS2_04 5 1 +RD_14 RS2_05 5 1 +RD_14 RS2_06 1 1 +RD_14 RS2_07 3 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 6 1 +RD_14 RS2_0a 2 1 +RD_14 RS2_0b 6 1 +RD_14 RS2_0c 6 1 +RD_14 RS2_0d 5 1 +RD_14 RS2_0e 9 1 +RD_14 RS2_0f 6 1 +RD_14 RS2_10 3 1 +RD_14 RS2_11 7 1 +RD_14 RS2_12 8 1 +RD_14 RS2_13 6 1 +RD_14 RS2_14 11 1 +RD_14 RS2_15 3 1 +RD_14 RS2_16 7 1 +RD_14 RS2_17 5 1 +RD_14 RS2_18 2 1 +RD_14 RS2_19 8 1 +RD_14 RS2_1a 7 1 +RD_14 RS2_1b 4 1 +RD_14 RS2_1c 4 1 +RD_14 RS2_1d 6 1 +RD_14 RS2_1e 10 1 +RD_14 RS2_1f 3 1 +RD_15 RS2_00 6 1 +RD_15 RS2_01 6 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 3 1 +RD_15 RS2_04 4 1 +RD_15 RS2_05 4 1 +RD_15 RS2_06 5 1 +RD_15 RS2_07 4 1 +RD_15 RS2_08 6 1 +RD_15 RS2_09 7 1 +RD_15 RS2_0a 4 1 +RD_15 RS2_0b 3 1 +RD_15 RS2_0c 5 1 +RD_15 RS2_0d 2 1 +RD_15 RS2_0e 7 1 +RD_15 RS2_0f 3 1 +RD_15 RS2_10 3 1 +RD_15 RS2_11 2 1 +RD_15 RS2_12 6 1 +RD_15 RS2_13 8 1 +RD_15 RS2_14 3 1 +RD_15 RS2_15 5 1 +RD_15 RS2_16 9 1 +RD_15 RS2_17 6 1 +RD_15 RS2_18 1 1 +RD_15 RS2_19 10 1 +RD_15 RS2_1a 8 1 +RD_15 RS2_1b 2 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 6 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 6 1 +RD_16 RS2_00 7 1 +RD_16 RS2_01 5 1 +RD_16 RS2_02 9 1 +RD_16 RS2_03 8 1 +RD_16 RS2_04 9 1 +RD_16 RS2_05 4 1 +RD_16 RS2_06 3 1 +RD_16 RS2_07 4 1 +RD_16 RS2_08 8 1 +RD_16 RS2_09 8 1 +RD_16 RS2_0a 5 1 +RD_16 RS2_0b 10 1 +RD_16 RS2_0c 6 1 +RD_16 RS2_0d 8 1 +RD_16 RS2_0e 4 1 +RD_16 RS2_0f 3 1 +RD_16 RS2_10 6 1 +RD_16 RS2_11 4 1 +RD_16 RS2_12 6 1 +RD_16 RS2_13 5 1 +RD_16 RS2_14 8 1 +RD_16 RS2_15 2 1 +RD_16 RS2_16 4 1 +RD_16 RS2_17 3 1 +RD_16 RS2_18 5 1 +RD_16 RS2_19 10 1 +RD_16 RS2_1a 3 1 +RD_16 RS2_1b 6 1 +RD_16 RS2_1c 4 1 +RD_16 RS2_1d 3 1 +RD_16 RS2_1e 6 1 +RD_16 RS2_1f 5 1 +RD_17 RS2_00 2 1 +RD_17 RS2_01 2 1 +RD_17 RS2_02 7 1 +RD_17 RS2_03 5 1 +RD_17 RS2_04 4 1 +RD_17 RS2_05 7 1 +RD_17 RS2_06 4 1 +RD_17 RS2_07 6 1 +RD_17 RS2_08 6 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 7 1 +RD_17 RS2_0b 3 1 +RD_17 RS2_0c 6 1 +RD_17 RS2_0d 4 1 +RD_17 RS2_0e 4 1 +RD_17 RS2_0f 5 1 +RD_17 RS2_10 8 1 +RD_17 RS2_11 4 1 +RD_17 RS2_12 1 1 +RD_17 RS2_13 2 1 +RD_17 RS2_14 4 1 +RD_17 RS2_15 5 1 +RD_17 RS2_16 4 1 +RD_17 RS2_17 4 1 +RD_17 RS2_18 4 1 +RD_17 RS2_19 3 1 +RD_17 RS2_1a 5 1 +RD_17 RS2_1b 6 1 +RD_17 RS2_1c 5 1 +RD_17 RS2_1d 8 1 +RD_17 RS2_1e 9 1 +RD_17 RS2_1f 9 1 +RD_18 RS2_00 6 1 +RD_18 RS2_01 8 1 +RD_18 RS2_02 4 1 +RD_18 RS2_03 9 1 +RD_18 RS2_04 6 1 +RD_18 RS2_05 8 1 +RD_18 RS2_06 3 1 +RD_18 RS2_07 6 1 +RD_18 RS2_08 3 1 +RD_18 RS2_09 9 1 +RD_18 RS2_0a 6 1 +RD_18 RS2_0b 5 1 +RD_18 RS2_0c 4 1 +RD_18 RS2_0d 6 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 2 1 +RD_18 RS2_10 2 1 +RD_18 RS2_11 3 1 +RD_18 RS2_12 3 1 +RD_18 RS2_13 3 1 +RD_18 RS2_14 5 1 +RD_18 RS2_15 4 1 +RD_18 RS2_16 3 1 +RD_18 RS2_17 7 1 +RD_18 RS2_18 3 1 +RD_18 RS2_19 10 1 +RD_18 RS2_1a 4 1 +RD_18 RS2_1b 7 1 +RD_18 RS2_1c 4 1 +RD_18 RS2_1d 5 1 +RD_18 RS2_1e 3 1 +RD_18 RS2_1f 2 1 +RD_19 RS2_00 4 1 +RD_19 RS2_01 5 1 +RD_19 RS2_02 5 1 +RD_19 RS2_03 1 1 +RD_19 RS2_04 6 1 +RD_19 RS2_05 9 1 +RD_19 RS2_06 7 1 +RD_19 RS2_07 4 1 +RD_19 RS2_08 6 1 +RD_19 RS2_09 4 1 +RD_19 RS2_0a 4 1 +RD_19 RS2_0b 3 1 +RD_19 RS2_0c 3 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 5 1 +RD_19 RS2_0f 6 1 +RD_19 RS2_10 9 1 +RD_19 RS2_11 4 1 +RD_19 RS2_12 6 1 +RD_19 RS2_13 2 1 +RD_19 RS2_14 3 1 +RD_19 RS2_15 2 1 +RD_19 RS2_16 3 1 +RD_19 RS2_17 3 1 +RD_19 RS2_18 4 1 +RD_19 RS2_19 8 1 +RD_19 RS2_1a 4 1 +RD_19 RS2_1b 6 1 +RD_19 RS2_1c 5 1 +RD_19 RS2_1d 4 1 +RD_19 RS2_1e 12 1 +RD_19 RS2_1f 7 1 +RD_1a RS2_00 7 1 +RD_1a RS2_01 5 1 +RD_1a RS2_02 3 1 +RD_1a RS2_03 6 1 +RD_1a RS2_04 4 1 +RD_1a RS2_05 2 1 +RD_1a RS2_06 4 1 +RD_1a RS2_07 7 1 +RD_1a RS2_08 3 1 +RD_1a RS2_09 5 1 +RD_1a RS2_0a 5 1 +RD_1a RS2_0b 8 1 +RD_1a RS2_0c 2 1 +RD_1a RS2_0d 5 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 7 1 +RD_1a RS2_10 6 1 +RD_1a RS2_11 3 1 +RD_1a RS2_12 6 1 +RD_1a RS2_13 4 1 +RD_1a RS2_14 7 1 +RD_1a RS2_15 4 1 +RD_1a RS2_16 6 1 +RD_1a RS2_17 10 1 +RD_1a RS2_18 5 1 +RD_1a RS2_19 7 1 +RD_1a RS2_1a 6 1 +RD_1a RS2_1b 4 1 +RD_1a RS2_1c 5 1 +RD_1a RS2_1d 6 1 +RD_1a RS2_1e 4 1 +RD_1a RS2_1f 8 1 +RD_1b RS2_00 5 1 +RD_1b RS2_01 8 1 +RD_1b RS2_02 14 1 +RD_1b RS2_03 5 1 +RD_1b RS2_04 9 1 +RD_1b RS2_05 4 1 +RD_1b RS2_06 14 1 +RD_1b RS2_07 3 1 +RD_1b RS2_08 7 1 +RD_1b RS2_09 5 1 +RD_1b RS2_0a 9 1 +RD_1b RS2_0b 2 1 +RD_1b RS2_0c 5 1 +RD_1b RS2_0d 8 1 +RD_1b RS2_0e 5 1 +RD_1b RS2_0f 3 1 +RD_1b RS2_10 4 1 +RD_1b RS2_11 5 1 +RD_1b RS2_13 10 1 +RD_1b RS2_14 7 1 +RD_1b RS2_15 7 1 +RD_1b RS2_16 10 1 +RD_1b RS2_17 3 1 +RD_1b RS2_18 7 1 +RD_1b RS2_19 4 1 +RD_1b RS2_1a 3 1 +RD_1b RS2_1b 9 1 +RD_1b RS2_1c 4 1 +RD_1b RS2_1d 9 1 +RD_1b RS2_1e 4 1 +RD_1b RS2_1f 2 1 +RD_1c RS2_00 4 1 +RD_1c RS2_01 10 1 +RD_1c RS2_03 6 1 +RD_1c RS2_04 8 1 +RD_1c RS2_05 7 1 +RD_1c RS2_06 6 1 +RD_1c RS2_07 7 1 +RD_1c RS2_08 6 1 +RD_1c RS2_09 3 1 +RD_1c RS2_0a 9 1 +RD_1c RS2_0b 6 1 +RD_1c RS2_0c 2 1 +RD_1c RS2_0d 5 1 +RD_1c RS2_0e 7 1 +RD_1c RS2_0f 7 1 +RD_1c RS2_10 7 1 +RD_1c RS2_11 5 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 8 1 +RD_1c RS2_14 4 1 +RD_1c RS2_15 5 1 +RD_1c RS2_16 3 1 +RD_1c RS2_17 2 1 +RD_1c RS2_18 7 1 +RD_1c RS2_19 3 1 +RD_1c RS2_1a 5 1 +RD_1c RS2_1b 4 1 +RD_1c RS2_1c 10 1 +RD_1c RS2_1e 7 1 +RD_1c RS2_1f 10 1 +RD_1d RS2_00 1 1 +RD_1d RS2_02 4 1 +RD_1d RS2_03 3 1 +RD_1d RS2_04 9 1 +RD_1d RS2_05 3 1 +RD_1d RS2_06 5 1 +RD_1d RS2_07 3 1 +RD_1d RS2_08 8 1 +RD_1d RS2_09 3 1 +RD_1d RS2_0a 7 1 +RD_1d RS2_0b 8 1 +RD_1d RS2_0c 3 1 +RD_1d RS2_0d 8 1 +RD_1d RS2_0e 4 1 +RD_1d RS2_0f 2 1 +RD_1d RS2_10 5 1 +RD_1d RS2_11 1 1 +RD_1d RS2_12 5 1 +RD_1d RS2_13 5 1 +RD_1d RS2_14 4 1 +RD_1d RS2_15 9 1 +RD_1d RS2_16 5 1 +RD_1d RS2_17 4 1 +RD_1d RS2_18 7 1 +RD_1d RS2_19 7 1 +RD_1d RS2_1a 8 1 +RD_1d RS2_1b 9 1 +RD_1d RS2_1c 2 1 +RD_1d RS2_1d 4 1 +RD_1d RS2_1e 4 1 +RD_1d RS2_1f 4 1 +RD_1e RS2_00 6 1 +RD_1e RS2_01 5 1 +RD_1e RS2_02 5 1 +RD_1e RS2_03 4 1 +RD_1e RS2_04 5 1 +RD_1e RS2_05 5 1 +RD_1e RS2_06 8 1 +RD_1e RS2_07 8 1 +RD_1e RS2_08 2 1 +RD_1e RS2_09 6 1 +RD_1e RS2_0a 8 1 +RD_1e RS2_0b 5 1 +RD_1e RS2_0c 9 1 +RD_1e RS2_0d 8 1 +RD_1e RS2_0e 3 1 +RD_1e RS2_0f 5 1 +RD_1e RS2_11 2 1 +RD_1e RS2_12 4 1 +RD_1e RS2_13 8 1 +RD_1e RS2_14 3 1 +RD_1e RS2_15 6 1 +RD_1e RS2_16 2 1 +RD_1e RS2_17 7 1 +RD_1e RS2_18 2 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 8 1 +RD_1e RS2_1b 6 1 +RD_1e RS2_1c 7 1 +RD_1e RS2_1d 3 1 +RD_1e RS2_1e 9 1 +RD_1e RS2_1f 3 1 +RD_1f RS2_00 4 1 +RD_1f RS2_01 5 1 +RD_1f RS2_02 6 1 +RD_1f RS2_03 6 1 +RD_1f RS2_04 6 1 +RD_1f RS2_05 4 1 +RD_1f RS2_06 9 1 +RD_1f RS2_07 5 1 +RD_1f RS2_08 4 1 +RD_1f RS2_09 6 1 +RD_1f RS2_0a 4 1 +RD_1f RS2_0b 9 1 +RD_1f RS2_0c 5 1 +RD_1f RS2_0d 5 1 +RD_1f RS2_0e 3 1 +RD_1f RS2_0f 7 1 +RD_1f RS2_10 4 1 +RD_1f RS2_11 8 1 +RD_1f RS2_12 7 1 +RD_1f RS2_13 4 1 +RD_1f RS2_14 2 1 +RD_1f RS2_15 8 1 +RD_1f RS2_16 3 1 +RD_1f RS2_17 5 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 7 1 +RD_1f RS2_1a 7 1 +RD_1f RS2_1b 6 1 +RD_1f RS2_1c 4 1 +RD_1f RS2_1d 3 1 +RD_1f RS2_1e 1 1 +RD_1f RS2_1f 7 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_nmadd_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.79 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 15 2033 99.27 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 10 1014 99.02 100 1 1 0 +cross_rd_rs2 1024 5 1019 99.51 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 179 1 +RD_01 177 1 +RD_02 156 1 +RD_03 165 1 +RD_04 157 1 +RD_05 170 1 +RD_06 151 1 +RD_07 154 1 +RD_08 165 1 +RD_09 176 1 +RD_0a 167 1 +RD_0b 173 1 +RD_0c 143 1 +RD_0d 151 1 +RD_0e 175 1 +RD_0f 183 1 +RD_10 177 1 +RD_11 159 1 +RD_12 147 1 +RD_13 183 1 +RD_14 168 1 +RD_15 152 1 +RD_16 156 1 +RD_17 198 1 +RD_18 158 1 +RD_19 154 1 +RD_1a 152 1 +RD_1b 188 1 +RD_1c 164 1 +RD_1d 158 1 +RD_1e 178 1 +RD_1f 180 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 180 1 +RS1_01 149 1 +RS1_02 169 1 +RS1_03 161 1 +RS1_04 175 1 +RS1_05 182 1 +RS1_06 174 1 +RS1_07 180 1 +RS1_08 164 1 +RS1_09 188 1 +RS1_0a 167 1 +RS1_0b 144 1 +RS1_0c 160 1 +RS1_0d 157 1 +RS1_0e 189 1 +RS1_0f 155 1 +RS1_10 168 1 +RS1_11 140 1 +RS1_12 147 1 +RS1_13 145 1 +RS1_14 186 1 +RS1_15 158 1 +RS1_16 166 1 +RS1_17 171 1 +RS1_18 167 1 +RS1_19 174 1 +RS1_1a 153 1 +RS1_1b 176 1 +RS1_1c 199 1 +RS1_1d 161 1 +RS1_1e 158 1 +RS1_1f 151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 183 1 +RS2_01 173 1 +RS2_02 155 1 +RS2_03 186 1 +RS2_04 184 1 +RS2_05 186 1 +RS2_06 150 1 +RS2_07 178 1 +RS2_08 158 1 +RS2_09 156 1 +RS2_0a 147 1 +RS2_0b 161 1 +RS2_0c 160 1 +RS2_0d 172 1 +RS2_0e 176 1 +RS2_0f 166 1 +RS2_10 168 1 +RS2_11 142 1 +RS2_12 168 1 +RS2_13 165 1 +RS2_14 161 1 +RS2_15 167 1 +RS2_16 174 1 +RS2_17 155 1 +RS2_18 152 1 +RS2_19 174 1 +RS2_1a 165 1 +RS2_1b 150 1 +RS2_1c 193 1 +RS2_1d 179 1 +RS2_1e 159 1 +RS2_1f 151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2531 1 +BIT30_1 2008 1 +BIT29_1 1971 1 +BIT28_1 1957 1 +BIT27_1 1908 1 +BIT26_1 1943 1 +BIT25_1 1960 1 +BIT24_1 1903 1 +BIT23_1 1912 1 +BIT22_1 1964 1 +BIT21_1 1872 1 +BIT20_1 1868 1 +BIT19_1 1887 1 +BIT18_1 1917 1 +BIT17_1 1960 1 +BIT16_1 2066 1 +BIT15_1 2164 1 +BIT14_1 2094 1 +BIT13_1 2013 1 +BIT12_1 2388 1 +BIT11_1 2379 1 +BIT10_1 2380 1 +BIT9_1 2176 1 +BIT8_1 2028 1 +BIT7_1 2183 1 +BIT6_1 1991 1 +BIT5_1 2010 1 +BIT4_1 2414 1 +BIT3_1 2473 1 +BIT2_1 2385 1 +BIT1_1 1944 1 +BIT0_1 1670 1 +BIT31_0 2783 1 +BIT30_0 3306 1 +BIT29_0 3343 1 +BIT28_0 3357 1 +BIT27_0 3406 1 +BIT26_0 3371 1 +BIT25_0 3354 1 +BIT24_0 3411 1 +BIT23_0 3402 1 +BIT22_0 3350 1 +BIT21_0 3442 1 +BIT20_0 3446 1 +BIT19_0 3427 1 +BIT18_0 3397 1 +BIT17_0 3354 1 +BIT16_0 3248 1 +BIT15_0 3150 1 +BIT14_0 3220 1 +BIT13_0 3301 1 +BIT12_0 2926 1 +BIT11_0 2935 1 +BIT10_0 2934 1 +BIT9_0 3138 1 +BIT8_0 3286 1 +BIT7_0 3131 1 +BIT6_0 3323 1 +BIT5_0 3304 1 +BIT4_0 2900 1 +BIT3_0 2841 1 +BIT2_0 2929 1 +BIT1_0 3370 1 +BIT0_0 3644 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2496 1 +BIT30_1 1981 1 +BIT29_1 1973 1 +BIT28_1 1980 1 +BIT27_1 1897 1 +BIT26_1 1927 1 +BIT25_1 1977 1 +BIT24_1 1877 1 +BIT23_1 1955 1 +BIT22_1 1891 1 +BIT21_1 1838 1 +BIT20_1 1842 1 +BIT19_1 1915 1 +BIT18_1 1868 1 +BIT17_1 1940 1 +BIT16_1 1987 1 +BIT15_1 2190 1 +BIT14_1 2130 1 +BIT13_1 2052 1 +BIT12_1 2362 1 +BIT11_1 2377 1 +BIT10_1 2326 1 +BIT9_1 2171 1 +BIT8_1 1974 1 +BIT7_1 2224 1 +BIT6_1 1889 1 +BIT5_1 2064 1 +BIT4_1 2459 1 +BIT3_1 2520 1 +BIT2_1 2419 1 +BIT1_1 1954 1 +BIT0_1 1783 1 +BIT31_0 2815 1 +BIT30_0 3330 1 +BIT29_0 3338 1 +BIT28_0 3331 1 +BIT27_0 3414 1 +BIT26_0 3384 1 +BIT25_0 3334 1 +BIT24_0 3434 1 +BIT23_0 3356 1 +BIT22_0 3420 1 +BIT21_0 3473 1 +BIT20_0 3469 1 +BIT19_0 3396 1 +BIT18_0 3443 1 +BIT17_0 3371 1 +BIT16_0 3324 1 +BIT15_0 3121 1 +BIT14_0 3181 1 +BIT13_0 3259 1 +BIT12_0 2949 1 +BIT11_0 2934 1 +BIT10_0 2985 1 +BIT9_0 3140 1 +BIT8_0 3337 1 +BIT7_0 3087 1 +BIT6_0 3422 1 +BIT5_0 3247 1 +BIT4_0 2852 1 +BIT3_0 2791 1 +BIT2_0 2892 1 +BIT1_0 3357 1 +BIT0_0 3528 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 10 1014 99.02 10 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_00] [RS1_01] 0 1 1 +[RD_01] [RS1_13] 0 1 1 +[RD_02] [RS1_0d] 0 1 1 +[RD_04] [RS1_01] 0 1 1 +[RD_04] [RS1_05] 0 1 1 +[RD_04] [RS1_1c] 0 1 1 +[RD_0c] [RS1_18] 0 1 1 +[RD_0e] [RS1_12] 0 1 1 +[RD_16] [RS1_11] 0 1 1 +[RD_19] [RS1_00] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 4 1 +RD_00 RS1_02 9 1 +RD_00 RS1_03 4 1 +RD_00 RS1_04 5 1 +RD_00 RS1_05 6 1 +RD_00 RS1_06 6 1 +RD_00 RS1_07 10 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 9 1 +RD_00 RS1_0a 7 1 +RD_00 RS1_0b 5 1 +RD_00 RS1_0c 3 1 +RD_00 RS1_0d 4 1 +RD_00 RS1_0e 5 1 +RD_00 RS1_0f 1 1 +RD_00 RS1_10 9 1 +RD_00 RS1_11 8 1 +RD_00 RS1_12 4 1 +RD_00 RS1_13 1 1 +RD_00 RS1_14 5 1 +RD_00 RS1_15 16 1 +RD_00 RS1_16 7 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 2 1 +RD_00 RS1_19 5 1 +RD_00 RS1_1a 7 1 +RD_00 RS1_1b 6 1 +RD_00 RS1_1c 7 1 +RD_00 RS1_1d 8 1 +RD_00 RS1_1e 4 1 +RD_00 RS1_1f 4 1 +RD_01 RS1_00 9 1 +RD_01 RS1_01 6 1 +RD_01 RS1_02 7 1 +RD_01 RS1_03 12 1 +RD_01 RS1_04 6 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 3 1 +RD_01 RS1_07 13 1 +RD_01 RS1_08 7 1 +RD_01 RS1_09 2 1 +RD_01 RS1_0a 2 1 +RD_01 RS1_0b 5 1 +RD_01 RS1_0c 4 1 +RD_01 RS1_0d 4 1 +RD_01 RS1_0e 6 1 +RD_01 RS1_0f 4 1 +RD_01 RS1_10 3 1 +RD_01 RS1_11 3 1 +RD_01 RS1_12 5 1 +RD_01 RS1_14 5 1 +RD_01 RS1_15 5 1 +RD_01 RS1_16 7 1 +RD_01 RS1_17 6 1 +RD_01 RS1_18 8 1 +RD_01 RS1_19 3 1 +RD_01 RS1_1a 6 1 +RD_01 RS1_1b 3 1 +RD_01 RS1_1c 9 1 +RD_01 RS1_1d 4 1 +RD_01 RS1_1e 8 1 +RD_01 RS1_1f 7 1 +RD_02 RS1_00 7 1 +RD_02 RS1_01 6 1 +RD_02 RS1_02 6 1 +RD_02 RS1_03 4 1 +RD_02 RS1_04 8 1 +RD_02 RS1_05 5 1 +RD_02 RS1_06 9 1 +RD_02 RS1_07 3 1 +RD_02 RS1_08 7 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 7 1 +RD_02 RS1_0b 3 1 +RD_02 RS1_0c 5 1 +RD_02 RS1_0e 5 1 +RD_02 RS1_0f 2 1 +RD_02 RS1_10 1 1 +RD_02 RS1_11 6 1 +RD_02 RS1_12 6 1 +RD_02 RS1_13 7 1 +RD_02 RS1_14 4 1 +RD_02 RS1_15 4 1 +RD_02 RS1_16 8 1 +RD_02 RS1_17 3 1 +RD_02 RS1_18 3 1 +RD_02 RS1_19 6 1 +RD_02 RS1_1a 4 1 +RD_02 RS1_1b 7 1 +RD_02 RS1_1c 5 1 +RD_02 RS1_1d 4 1 +RD_02 RS1_1e 3 1 +RD_02 RS1_1f 3 1 +RD_03 RS1_00 5 1 +RD_03 RS1_01 1 1 +RD_03 RS1_02 2 1 +RD_03 RS1_03 6 1 +RD_03 RS1_04 3 1 +RD_03 RS1_05 12 1 +RD_03 RS1_06 2 1 +RD_03 RS1_07 6 1 +RD_03 RS1_08 7 1 +RD_03 RS1_09 6 1 +RD_03 RS1_0a 8 1 +RD_03 RS1_0b 2 1 +RD_03 RS1_0c 7 1 +RD_03 RS1_0d 5 1 +RD_03 RS1_0e 6 1 +RD_03 RS1_0f 5 1 +RD_03 RS1_10 9 1 +RD_03 RS1_11 5 1 +RD_03 RS1_12 9 1 +RD_03 RS1_13 4 1 +RD_03 RS1_14 8 1 +RD_03 RS1_15 1 1 +RD_03 RS1_16 6 1 +RD_03 RS1_17 4 1 +RD_03 RS1_18 8 1 +RD_03 RS1_19 4 1 +RD_03 RS1_1a 3 1 +RD_03 RS1_1b 5 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 2 1 +RD_03 RS1_1e 4 1 +RD_03 RS1_1f 3 1 +RD_04 RS1_00 8 1 +RD_04 RS1_02 2 1 +RD_04 RS1_03 4 1 +RD_04 RS1_04 7 1 +RD_04 RS1_06 5 1 +RD_04 RS1_07 5 1 +RD_04 RS1_08 7 1 +RD_04 RS1_09 7 1 +RD_04 RS1_0a 4 1 +RD_04 RS1_0b 3 1 +RD_04 RS1_0c 5 1 +RD_04 RS1_0d 3 1 +RD_04 RS1_0e 5 1 +RD_04 RS1_0f 1 1 +RD_04 RS1_10 7 1 +RD_04 RS1_11 7 1 +RD_04 RS1_12 3 1 +RD_04 RS1_13 3 1 +RD_04 RS1_14 9 1 +RD_04 RS1_15 8 1 +RD_04 RS1_16 6 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 5 1 +RD_04 RS1_19 11 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 4 1 +RD_04 RS1_1d 5 1 +RD_04 RS1_1e 8 1 +RD_04 RS1_1f 5 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 5 1 +RD_05 RS1_02 2 1 +RD_05 RS1_03 4 1 +RD_05 RS1_04 3 1 +RD_05 RS1_05 5 1 +RD_05 RS1_06 5 1 +RD_05 RS1_07 7 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 6 1 +RD_05 RS1_0a 2 1 +RD_05 RS1_0b 8 1 +RD_05 RS1_0c 7 1 +RD_05 RS1_0d 4 1 +RD_05 RS1_0e 3 1 +RD_05 RS1_0f 4 1 +RD_05 RS1_10 10 1 +RD_05 RS1_11 3 1 +RD_05 RS1_12 4 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 6 1 +RD_05 RS1_15 4 1 +RD_05 RS1_16 5 1 +RD_05 RS1_17 6 1 +RD_05 RS1_18 7 1 +RD_05 RS1_19 7 1 +RD_05 RS1_1a 10 1 +RD_05 RS1_1b 3 1 +RD_05 RS1_1c 10 1 +RD_05 RS1_1d 8 1 +RD_05 RS1_1e 7 1 +RD_05 RS1_1f 1 1 +RD_06 RS1_00 3 1 +RD_06 RS1_01 1 1 +RD_06 RS1_02 2 1 +RD_06 RS1_03 4 1 +RD_06 RS1_04 9 1 +RD_06 RS1_05 7 1 +RD_06 RS1_06 8 1 +RD_06 RS1_07 3 1 +RD_06 RS1_08 4 1 +RD_06 RS1_09 7 1 +RD_06 RS1_0a 7 1 +RD_06 RS1_0b 5 1 +RD_06 RS1_0c 5 1 +RD_06 RS1_0d 5 1 +RD_06 RS1_0e 7 1 +RD_06 RS1_0f 5 1 +RD_06 RS1_10 6 1 +RD_06 RS1_11 1 1 +RD_06 RS1_12 1 1 +RD_06 RS1_13 5 1 +RD_06 RS1_14 6 1 +RD_06 RS1_15 7 1 +RD_06 RS1_16 3 1 +RD_06 RS1_17 2 1 +RD_06 RS1_18 7 1 +RD_06 RS1_19 5 1 +RD_06 RS1_1a 2 1 +RD_06 RS1_1b 6 1 +RD_06 RS1_1c 4 1 +RD_06 RS1_1d 8 1 +RD_06 RS1_1e 4 1 +RD_06 RS1_1f 2 1 +RD_07 RS1_00 8 1 +RD_07 RS1_01 9 1 +RD_07 RS1_02 3 1 +RD_07 RS1_03 3 1 +RD_07 RS1_04 4 1 +RD_07 RS1_05 3 1 +RD_07 RS1_06 3 1 +RD_07 RS1_07 3 1 +RD_07 RS1_08 4 1 +RD_07 RS1_09 9 1 +RD_07 RS1_0a 3 1 +RD_07 RS1_0b 5 1 +RD_07 RS1_0c 5 1 +RD_07 RS1_0d 2 1 +RD_07 RS1_0e 5 1 +RD_07 RS1_0f 3 1 +RD_07 RS1_10 4 1 +RD_07 RS1_11 6 1 +RD_07 RS1_12 2 1 +RD_07 RS1_13 6 1 +RD_07 RS1_14 9 1 +RD_07 RS1_15 2 1 +RD_07 RS1_16 3 1 +RD_07 RS1_17 5 1 +RD_07 RS1_18 7 1 +RD_07 RS1_19 4 1 +RD_07 RS1_1a 5 1 +RD_07 RS1_1b 7 1 +RD_07 RS1_1c 7 1 +RD_07 RS1_1d 6 1 +RD_07 RS1_1e 5 1 +RD_07 RS1_1f 4 1 +RD_08 RS1_00 4 1 +RD_08 RS1_01 5 1 +RD_08 RS1_02 4 1 +RD_08 RS1_03 3 1 +RD_08 RS1_04 4 1 +RD_08 RS1_05 3 1 +RD_08 RS1_06 4 1 +RD_08 RS1_07 8 1 +RD_08 RS1_08 4 1 +RD_08 RS1_09 4 1 +RD_08 RS1_0a 6 1 +RD_08 RS1_0b 4 1 +RD_08 RS1_0c 3 1 +RD_08 RS1_0d 7 1 +RD_08 RS1_0e 6 1 +RD_08 RS1_0f 7 1 +RD_08 RS1_10 5 1 +RD_08 RS1_11 4 1 +RD_08 RS1_12 6 1 +RD_08 RS1_13 6 1 +RD_08 RS1_14 2 1 +RD_08 RS1_15 6 1 +RD_08 RS1_16 7 1 +RD_08 RS1_17 3 1 +RD_08 RS1_18 3 1 +RD_08 RS1_19 7 1 +RD_08 RS1_1a 3 1 +RD_08 RS1_1b 8 1 +RD_08 RS1_1c 9 1 +RD_08 RS1_1d 5 1 +RD_08 RS1_1e 8 1 +RD_08 RS1_1f 7 1 +RD_09 RS1_00 6 1 +RD_09 RS1_01 5 1 +RD_09 RS1_02 9 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 4 1 +RD_09 RS1_05 3 1 +RD_09 RS1_06 6 1 +RD_09 RS1_07 4 1 +RD_09 RS1_08 2 1 +RD_09 RS1_09 7 1 +RD_09 RS1_0a 7 1 +RD_09 RS1_0b 7 1 +RD_09 RS1_0c 6 1 +RD_09 RS1_0d 11 1 +RD_09 RS1_0e 5 1 +RD_09 RS1_0f 4 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 2 1 +RD_09 RS1_12 2 1 +RD_09 RS1_13 8 1 +RD_09 RS1_14 3 1 +RD_09 RS1_15 7 1 +RD_09 RS1_16 3 1 +RD_09 RS1_17 6 1 +RD_09 RS1_18 7 1 +RD_09 RS1_19 6 1 +RD_09 RS1_1a 6 1 +RD_09 RS1_1b 6 1 +RD_09 RS1_1c 8 1 +RD_09 RS1_1d 2 1 +RD_09 RS1_1e 9 1 +RD_09 RS1_1f 6 1 +RD_0a RS1_00 6 1 +RD_0a RS1_01 3 1 +RD_0a RS1_02 9 1 +RD_0a RS1_03 5 1 +RD_0a RS1_04 2 1 +RD_0a RS1_05 4 1 +RD_0a RS1_06 3 1 +RD_0a RS1_07 5 1 +RD_0a RS1_08 4 1 +RD_0a RS1_09 6 1 +RD_0a RS1_0a 6 1 +RD_0a RS1_0b 7 1 +RD_0a RS1_0c 3 1 +RD_0a RS1_0d 5 1 +RD_0a RS1_0e 13 1 +RD_0a RS1_0f 7 1 +RD_0a RS1_10 7 1 +RD_0a RS1_11 8 1 +RD_0a RS1_12 4 1 +RD_0a RS1_13 5 1 +RD_0a RS1_14 9 1 +RD_0a RS1_15 4 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 4 1 +RD_0a RS1_18 3 1 +RD_0a RS1_19 1 1 +RD_0a RS1_1a 4 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 6 1 +RD_0a RS1_1d 8 1 +RD_0a RS1_1e 5 1 +RD_0a RS1_1f 3 1 +RD_0b RS1_00 6 1 +RD_0b RS1_01 4 1 +RD_0b RS1_02 8 1 +RD_0b RS1_03 8 1 +RD_0b RS1_04 2 1 +RD_0b RS1_05 6 1 +RD_0b RS1_06 4 1 +RD_0b RS1_07 6 1 +RD_0b RS1_08 9 1 +RD_0b RS1_09 6 1 +RD_0b RS1_0a 6 1 +RD_0b RS1_0b 7 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 6 1 +RD_0b RS1_0e 9 1 +RD_0b RS1_0f 6 1 +RD_0b RS1_10 2 1 +RD_0b RS1_11 3 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 5 1 +RD_0b RS1_14 2 1 +RD_0b RS1_15 2 1 +RD_0b RS1_16 5 1 +RD_0b RS1_17 4 1 +RD_0b RS1_18 6 1 +RD_0b RS1_19 5 1 +RD_0b RS1_1a 4 1 +RD_0b RS1_1b 5 1 +RD_0b RS1_1c 10 1 +RD_0b RS1_1d 8 1 +RD_0b RS1_1e 6 1 +RD_0b RS1_1f 2 1 +RD_0c RS1_00 2 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 6 1 +RD_0c RS1_03 5 1 +RD_0c RS1_04 2 1 +RD_0c RS1_05 6 1 +RD_0c RS1_06 6 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 4 1 +RD_0c RS1_09 7 1 +RD_0c RS1_0a 6 1 +RD_0c RS1_0b 6 1 +RD_0c RS1_0c 1 1 +RD_0c RS1_0d 5 1 +RD_0c RS1_0e 3 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 1 1 +RD_0c RS1_11 1 1 +RD_0c RS1_12 4 1 +RD_0c RS1_13 3 1 +RD_0c RS1_14 8 1 +RD_0c RS1_15 4 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 7 1 +RD_0c RS1_19 4 1 +RD_0c RS1_1a 8 1 +RD_0c RS1_1b 4 1 +RD_0c RS1_1c 4 1 +RD_0c RS1_1d 5 1 +RD_0c RS1_1e 9 1 +RD_0c RS1_1f 3 1 +RD_0d RS1_00 12 1 +RD_0d RS1_01 6 1 +RD_0d RS1_02 6 1 +RD_0d RS1_03 1 1 +RD_0d RS1_04 5 1 +RD_0d RS1_05 3 1 +RD_0d RS1_06 4 1 +RD_0d RS1_07 5 1 +RD_0d RS1_08 6 1 +RD_0d RS1_09 6 1 +RD_0d RS1_0a 4 1 +RD_0d RS1_0b 3 1 +RD_0d RS1_0c 5 1 +RD_0d RS1_0d 4 1 +RD_0d RS1_0e 1 1 +RD_0d RS1_0f 3 1 +RD_0d RS1_10 8 1 +RD_0d RS1_11 3 1 +RD_0d RS1_12 3 1 +RD_0d RS1_13 7 1 +RD_0d RS1_14 3 1 +RD_0d RS1_15 9 1 +RD_0d RS1_16 3 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 3 1 +RD_0d RS1_19 1 1 +RD_0d RS1_1a 6 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 8 1 +RD_0d RS1_1d 6 1 +RD_0d RS1_1e 6 1 +RD_0d RS1_1f 5 1 +RD_0e RS1_00 4 1 +RD_0e RS1_01 7 1 +RD_0e RS1_02 3 1 +RD_0e RS1_03 4 1 +RD_0e RS1_04 1 1 +RD_0e RS1_05 6 1 +RD_0e RS1_06 9 1 +RD_0e RS1_07 7 1 +RD_0e RS1_08 5 1 +RD_0e RS1_09 11 1 +RD_0e RS1_0a 6 1 +RD_0e RS1_0b 2 1 +RD_0e RS1_0c 5 1 +RD_0e RS1_0d 8 1 +RD_0e RS1_0e 9 1 +RD_0e RS1_0f 2 1 +RD_0e RS1_10 9 1 +RD_0e RS1_11 6 1 +RD_0e RS1_13 3 1 +RD_0e RS1_14 10 1 +RD_0e RS1_15 5 1 +RD_0e RS1_16 8 1 +RD_0e RS1_17 9 1 +RD_0e RS1_18 5 1 +RD_0e RS1_19 1 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 5 1 +RD_0e RS1_1c 5 1 +RD_0e RS1_1d 6 1 +RD_0e RS1_1e 8 1 +RD_0e RS1_1f 4 1 +RD_0f RS1_00 7 1 +RD_0f RS1_01 4 1 +RD_0f RS1_02 12 1 +RD_0f RS1_03 15 1 +RD_0f RS1_04 9 1 +RD_0f RS1_05 3 1 +RD_0f RS1_06 6 1 +RD_0f RS1_07 2 1 +RD_0f RS1_08 6 1 +RD_0f RS1_09 3 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 6 1 +RD_0f RS1_0c 5 1 +RD_0f RS1_0d 5 1 +RD_0f RS1_0e 10 1 +RD_0f RS1_0f 1 1 +RD_0f RS1_10 6 1 +RD_0f RS1_11 6 1 +RD_0f RS1_12 4 1 +RD_0f RS1_13 1 1 +RD_0f RS1_14 7 1 +RD_0f RS1_15 6 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 5 1 +RD_0f RS1_18 9 1 +RD_0f RS1_19 8 1 +RD_0f RS1_1a 5 1 +RD_0f RS1_1b 5 1 +RD_0f RS1_1c 8 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 2 1 +RD_0f RS1_1f 6 1 +RD_10 RS1_00 8 1 +RD_10 RS1_01 3 1 +RD_10 RS1_02 7 1 +RD_10 RS1_03 4 1 +RD_10 RS1_04 3 1 +RD_10 RS1_05 6 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 6 1 +RD_10 RS1_08 4 1 +RD_10 RS1_09 6 1 +RD_10 RS1_0a 2 1 +RD_10 RS1_0b 5 1 +RD_10 RS1_0c 3 1 +RD_10 RS1_0d 6 1 +RD_10 RS1_0e 3 1 +RD_10 RS1_0f 7 1 +RD_10 RS1_10 5 1 +RD_10 RS1_11 5 1 +RD_10 RS1_12 6 1 +RD_10 RS1_13 6 1 +RD_10 RS1_14 8 1 +RD_10 RS1_15 8 1 +RD_10 RS1_16 5 1 +RD_10 RS1_17 7 1 +RD_10 RS1_18 6 1 +RD_10 RS1_19 14 1 +RD_10 RS1_1a 5 1 +RD_10 RS1_1b 6 1 +RD_10 RS1_1c 9 1 +RD_10 RS1_1d 3 1 +RD_10 RS1_1e 4 1 +RD_10 RS1_1f 3 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 5 1 +RD_11 RS1_02 4 1 +RD_11 RS1_03 2 1 +RD_11 RS1_04 9 1 +RD_11 RS1_05 7 1 +RD_11 RS1_06 4 1 +RD_11 RS1_07 5 1 +RD_11 RS1_08 1 1 +RD_11 RS1_09 4 1 +RD_11 RS1_0a 6 1 +RD_11 RS1_0b 8 1 +RD_11 RS1_0c 5 1 +RD_11 RS1_0d 6 1 +RD_11 RS1_0e 6 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 4 1 +RD_11 RS1_11 4 1 +RD_11 RS1_12 5 1 +RD_11 RS1_13 7 1 +RD_11 RS1_14 3 1 +RD_11 RS1_15 3 1 +RD_11 RS1_16 3 1 +RD_11 RS1_17 5 1 +RD_11 RS1_18 6 1 +RD_11 RS1_19 6 1 +RD_11 RS1_1a 6 1 +RD_11 RS1_1b 6 1 +RD_11 RS1_1c 7 1 +RD_11 RS1_1d 3 1 +RD_11 RS1_1e 1 1 +RD_11 RS1_1f 10 1 +RD_12 RS1_00 10 1 +RD_12 RS1_01 1 1 +RD_12 RS1_02 3 1 +RD_12 RS1_03 9 1 +RD_12 RS1_04 4 1 +RD_12 RS1_05 10 1 +RD_12 RS1_06 6 1 +RD_12 RS1_07 5 1 +RD_12 RS1_08 5 1 +RD_12 RS1_09 4 1 +RD_12 RS1_0a 10 1 +RD_12 RS1_0b 3 1 +RD_12 RS1_0c 3 1 +RD_12 RS1_0d 2 1 +RD_12 RS1_0e 1 1 +RD_12 RS1_0f 8 1 +RD_12 RS1_10 3 1 +RD_12 RS1_11 5 1 +RD_12 RS1_12 3 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 4 1 +RD_12 RS1_15 3 1 +RD_12 RS1_16 7 1 +RD_12 RS1_17 2 1 +RD_12 RS1_18 9 1 +RD_12 RS1_19 2 1 +RD_12 RS1_1a 2 1 +RD_12 RS1_1b 6 1 +RD_12 RS1_1c 1 1 +RD_12 RS1_1d 4 1 +RD_12 RS1_1e 3 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 4 1 +RD_13 RS1_01 2 1 +RD_13 RS1_02 4 1 +RD_13 RS1_03 4 1 +RD_13 RS1_04 5 1 +RD_13 RS1_05 7 1 +RD_13 RS1_06 4 1 +RD_13 RS1_07 4 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 4 1 +RD_13 RS1_0a 9 1 +RD_13 RS1_0b 6 1 +RD_13 RS1_0c 6 1 +RD_13 RS1_0d 4 1 +RD_13 RS1_0e 3 1 +RD_13 RS1_0f 6 1 +RD_13 RS1_10 4 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 8 1 +RD_13 RS1_13 5 1 +RD_13 RS1_14 2 1 +RD_13 RS1_15 4 1 +RD_13 RS1_16 6 1 +RD_13 RS1_17 9 1 +RD_13 RS1_18 6 1 +RD_13 RS1_19 12 1 +RD_13 RS1_1a 3 1 +RD_13 RS1_1b 10 1 +RD_13 RS1_1c 8 1 +RD_13 RS1_1d 5 1 +RD_13 RS1_1e 9 1 +RD_13 RS1_1f 11 1 +RD_14 RS1_00 9 1 +RD_14 RS1_01 4 1 +RD_14 RS1_02 5 1 +RD_14 RS1_03 2 1 +RD_14 RS1_04 3 1 +RD_14 RS1_05 6 1 +RD_14 RS1_06 4 1 +RD_14 RS1_07 7 1 +RD_14 RS1_08 4 1 +RD_14 RS1_09 6 1 +RD_14 RS1_0a 5 1 +RD_14 RS1_0b 5 1 +RD_14 RS1_0c 5 1 +RD_14 RS1_0d 4 1 +RD_14 RS1_0e 11 1 +RD_14 RS1_0f 4 1 +RD_14 RS1_10 6 1 +RD_14 RS1_11 5 1 +RD_14 RS1_12 5 1 +RD_14 RS1_13 1 1 +RD_14 RS1_14 6 1 +RD_14 RS1_15 6 1 +RD_14 RS1_16 7 1 +RD_14 RS1_17 3 1 +RD_14 RS1_18 5 1 +RD_14 RS1_19 4 1 +RD_14 RS1_1a 7 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 7 1 +RD_14 RS1_1d 2 1 +RD_14 RS1_1e 11 1 +RD_14 RS1_1f 5 1 +RD_15 RS1_00 3 1 +RD_15 RS1_01 5 1 +RD_15 RS1_02 2 1 +RD_15 RS1_03 4 1 +RD_15 RS1_04 5 1 +RD_15 RS1_05 8 1 +RD_15 RS1_06 3 1 +RD_15 RS1_07 10 1 +RD_15 RS1_08 6 1 +RD_15 RS1_09 2 1 +RD_15 RS1_0a 3 1 +RD_15 RS1_0b 2 1 +RD_15 RS1_0c 6 1 +RD_15 RS1_0d 4 1 +RD_15 RS1_0e 7 1 +RD_15 RS1_0f 5 1 +RD_15 RS1_10 2 1 +RD_15 RS1_11 3 1 +RD_15 RS1_12 6 1 +RD_15 RS1_13 6 1 +RD_15 RS1_14 5 1 +RD_15 RS1_15 6 1 +RD_15 RS1_16 1 1 +RD_15 RS1_17 11 1 +RD_15 RS1_18 5 1 +RD_15 RS1_19 2 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 3 1 +RD_15 RS1_1c 9 1 +RD_15 RS1_1d 5 1 +RD_15 RS1_1e 4 1 +RD_15 RS1_1f 5 1 +RD_16 RS1_00 6 1 +RD_16 RS1_01 7 1 +RD_16 RS1_02 4 1 +RD_16 RS1_03 3 1 +RD_16 RS1_04 6 1 +RD_16 RS1_05 8 1 +RD_16 RS1_06 7 1 +RD_16 RS1_07 6 1 +RD_16 RS1_08 5 1 +RD_16 RS1_09 2 1 +RD_16 RS1_0a 5 1 +RD_16 RS1_0b 4 1 +RD_16 RS1_0c 6 1 +RD_16 RS1_0d 5 1 +RD_16 RS1_0e 2 1 +RD_16 RS1_0f 8 1 +RD_16 RS1_10 11 1 +RD_16 RS1_12 6 1 +RD_16 RS1_13 4 1 +RD_16 RS1_14 7 1 +RD_16 RS1_15 3 1 +RD_16 RS1_16 7 1 +RD_16 RS1_17 7 1 +RD_16 RS1_18 5 1 +RD_16 RS1_19 4 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 2 1 +RD_16 RS1_1c 3 1 +RD_16 RS1_1d 6 1 +RD_16 RS1_1e 2 1 +RD_16 RS1_1f 1 1 +RD_17 RS1_00 7 1 +RD_17 RS1_01 7 1 +RD_17 RS1_02 12 1 +RD_17 RS1_03 8 1 +RD_17 RS1_04 12 1 +RD_17 RS1_05 8 1 +RD_17 RS1_06 9 1 +RD_17 RS1_07 7 1 +RD_17 RS1_08 3 1 +RD_17 RS1_09 3 1 +RD_17 RS1_0a 7 1 +RD_17 RS1_0b 3 1 +RD_17 RS1_0c 4 1 +RD_17 RS1_0d 10 1 +RD_17 RS1_0e 2 1 +RD_17 RS1_0f 7 1 +RD_17 RS1_10 3 1 +RD_17 RS1_11 6 1 +RD_17 RS1_12 7 1 +RD_17 RS1_13 5 1 +RD_17 RS1_14 7 1 +RD_17 RS1_15 6 1 +RD_17 RS1_16 7 1 +RD_17 RS1_17 2 1 +RD_17 RS1_18 10 1 +RD_17 RS1_19 11 1 +RD_17 RS1_1a 4 1 +RD_17 RS1_1b 7 1 +RD_17 RS1_1c 1 1 +RD_17 RS1_1d 9 1 +RD_17 RS1_1e 2 1 +RD_17 RS1_1f 2 1 +RD_18 RS1_00 2 1 +RD_18 RS1_01 6 1 +RD_18 RS1_02 5 1 +RD_18 RS1_03 3 1 +RD_18 RS1_04 2 1 +RD_18 RS1_05 6 1 +RD_18 RS1_06 4 1 +RD_18 RS1_07 2 1 +RD_18 RS1_08 7 1 +RD_18 RS1_09 9 1 +RD_18 RS1_0a 7 1 +RD_18 RS1_0b 6 1 +RD_18 RS1_0c 5 1 +RD_18 RS1_0d 3 1 +RD_18 RS1_0e 10 1 +RD_18 RS1_0f 3 1 +RD_18 RS1_10 7 1 +RD_18 RS1_11 3 1 +RD_18 RS1_12 5 1 +RD_18 RS1_13 7 1 +RD_18 RS1_14 9 1 +RD_18 RS1_15 3 1 +RD_18 RS1_16 6 1 +RD_18 RS1_17 8 1 +RD_18 RS1_18 5 1 +RD_18 RS1_19 5 1 +RD_18 RS1_1a 6 1 +RD_18 RS1_1b 2 1 +RD_18 RS1_1c 4 1 +RD_18 RS1_1d 2 1 +RD_18 RS1_1e 3 1 +RD_18 RS1_1f 3 1 +RD_19 RS1_01 8 1 +RD_19 RS1_02 3 1 +RD_19 RS1_03 7 1 +RD_19 RS1_04 12 1 +RD_19 RS1_05 6 1 +RD_19 RS1_06 8 1 +RD_19 RS1_07 1 1 +RD_19 RS1_08 7 1 +RD_19 RS1_09 5 1 +RD_19 RS1_0a 2 1 +RD_19 RS1_0b 3 1 +RD_19 RS1_0c 3 1 +RD_19 RS1_0d 6 1 +RD_19 RS1_0e 6 1 +RD_19 RS1_0f 1 1 +RD_19 RS1_10 4 1 +RD_19 RS1_11 5 1 +RD_19 RS1_12 8 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 5 1 +RD_19 RS1_15 3 1 +RD_19 RS1_16 4 1 +RD_19 RS1_17 8 1 +RD_19 RS1_18 3 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 7 1 +RD_19 RS1_1b 6 1 +RD_19 RS1_1c 4 1 +RD_19 RS1_1d 3 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 5 1 +RD_1a RS1_00 2 1 +RD_1a RS1_01 7 1 +RD_1a RS1_02 6 1 +RD_1a RS1_03 3 1 +RD_1a RS1_04 9 1 +RD_1a RS1_05 4 1 +RD_1a RS1_06 4 1 +RD_1a RS1_07 9 1 +RD_1a RS1_08 5 1 +RD_1a RS1_09 6 1 +RD_1a RS1_0a 1 1 +RD_1a RS1_0b 2 1 +RD_1a RS1_0c 5 1 +RD_1a RS1_0d 3 1 +RD_1a RS1_0e 5 1 +RD_1a RS1_0f 7 1 +RD_1a RS1_10 6 1 +RD_1a RS1_11 6 1 +RD_1a RS1_12 3 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 6 1 +RD_1a RS1_15 3 1 +RD_1a RS1_16 2 1 +RD_1a RS1_17 4 1 +RD_1a RS1_18 1 1 +RD_1a RS1_19 5 1 +RD_1a RS1_1a 2 1 +RD_1a RS1_1b 18 1 +RD_1a RS1_1c 5 1 +RD_1a RS1_1d 1 1 +RD_1a RS1_1e 3 1 +RD_1a RS1_1f 6 1 +RD_1b RS1_00 9 1 +RD_1b RS1_01 6 1 +RD_1b RS1_02 3 1 +RD_1b RS1_03 1 1 +RD_1b RS1_04 9 1 +RD_1b RS1_05 9 1 +RD_1b RS1_06 8 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 7 1 +RD_1b RS1_09 12 1 +RD_1b RS1_0a 6 1 +RD_1b RS1_0b 3 1 +RD_1b RS1_0c 11 1 +RD_1b RS1_0d 3 1 +RD_1b RS1_0e 7 1 +RD_1b RS1_0f 7 1 +RD_1b RS1_10 2 1 +RD_1b RS1_11 7 1 +RD_1b RS1_12 6 1 +RD_1b RS1_13 1 1 +RD_1b RS1_14 10 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 6 1 +RD_1b RS1_17 8 1 +RD_1b RS1_18 7 1 +RD_1b RS1_19 2 1 +RD_1b RS1_1a 3 1 +RD_1b RS1_1b 4 1 +RD_1b RS1_1c 9 1 +RD_1b RS1_1d 6 1 +RD_1b RS1_1e 5 1 +RD_1b RS1_1f 4 1 +RD_1c RS1_00 4 1 +RD_1c RS1_01 7 1 +RD_1c RS1_02 4 1 +RD_1c RS1_03 3 1 +RD_1c RS1_04 8 1 +RD_1c RS1_05 5 1 +RD_1c RS1_06 5 1 +RD_1c RS1_07 7 1 +RD_1c RS1_08 6 1 +RD_1c RS1_09 2 1 +RD_1c RS1_0a 3 1 +RD_1c RS1_0b 3 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 9 1 +RD_1c RS1_0e 9 1 +RD_1c RS1_0f 6 1 +RD_1c RS1_10 4 1 +RD_1c RS1_11 3 1 +RD_1c RS1_12 1 1 +RD_1c RS1_13 6 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 7 1 +RD_1c RS1_16 5 1 +RD_1c RS1_17 4 1 +RD_1c RS1_18 2 1 +RD_1c RS1_19 2 1 +RD_1c RS1_1a 4 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 10 1 +RD_1c RS1_1d 12 1 +RD_1c RS1_1e 3 1 +RD_1c RS1_1f 6 1 +RD_1d RS1_00 5 1 +RD_1d RS1_01 6 1 +RD_1d RS1_02 7 1 +RD_1d RS1_03 4 1 +RD_1d RS1_04 5 1 +RD_1d RS1_05 6 1 +RD_1d RS1_06 8 1 +RD_1d RS1_07 5 1 +RD_1d RS1_08 5 1 +RD_1d RS1_09 5 1 +RD_1d RS1_0a 7 1 +RD_1d RS1_0b 3 1 +RD_1d RS1_0c 4 1 +RD_1d RS1_0d 7 1 +RD_1d RS1_0e 3 1 +RD_1d RS1_0f 6 1 +RD_1d RS1_10 8 1 +RD_1d RS1_11 3 1 +RD_1d RS1_12 5 1 +RD_1d RS1_13 4 1 +RD_1d RS1_14 4 1 +RD_1d RS1_15 1 1 +RD_1d RS1_16 4 1 +RD_1d RS1_17 6 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 9 1 +RD_1d RS1_1a 4 1 +RD_1d RS1_1b 3 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 1 1 +RD_1d RS1_1e 3 1 +RD_1d RS1_1f 7 1 +RD_1e RS1_00 7 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 6 1 +RD_1e RS1_03 9 1 +RD_1e RS1_04 3 1 +RD_1e RS1_05 5 1 +RD_1e RS1_06 5 1 +RD_1e RS1_07 3 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 10 1 +RD_1e RS1_0a 4 1 +RD_1e RS1_0b 4 1 +RD_1e RS1_0c 9 1 +RD_1e RS1_0d 5 1 +RD_1e RS1_0e 6 1 +RD_1e RS1_0f 7 1 +RD_1e RS1_10 3 1 +RD_1e RS1_11 6 1 +RD_1e RS1_12 5 1 +RD_1e RS1_13 8 1 +RD_1e RS1_14 6 1 +RD_1e RS1_15 1 1 +RD_1e RS1_16 9 1 +RD_1e RS1_17 6 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 6 1 +RD_1e RS1_1a 7 1 +RD_1e RS1_1b 7 1 +RD_1e RS1_1c 2 1 +RD_1e RS1_1d 4 1 +RD_1e RS1_1e 4 1 +RD_1e RS1_1f 8 1 +RD_1f RS1_00 3 1 +RD_1f RS1_01 5 1 +RD_1f RS1_02 4 1 +RD_1f RS1_03 10 1 +RD_1f RS1_04 6 1 +RD_1f RS1_05 4 1 +RD_1f RS1_06 8 1 +RD_1f RS1_07 8 1 +RD_1f RS1_08 8 1 +RD_1f RS1_09 7 1 +RD_1f RS1_0a 6 1 +RD_1f RS1_0b 6 1 +RD_1f RS1_0c 6 1 +RD_1f RS1_0d 2 1 +RD_1f RS1_0e 10 1 +RD_1f RS1_0f 9 1 +RD_1f RS1_10 3 1 +RD_1f RS1_11 2 1 +RD_1f RS1_12 6 1 +RD_1f RS1_13 6 1 +RD_1f RS1_14 3 1 +RD_1f RS1_15 8 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 3 1 +RD_1f RS1_18 3 1 +RD_1f RS1_19 7 1 +RD_1f RS1_1a 6 1 +RD_1f RS1_1b 7 1 +RD_1f RS1_1c 8 1 +RD_1f RS1_1d 5 1 +RD_1f RS1_1e 2 1 +RD_1f RS1_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 5 1019 99.51 5 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_02] [RS2_09] 0 1 1 +[RD_03] [RS2_0b] 0 1 1 +[RD_04] [RS2_0a] 0 1 1 +[RD_0d] [RS2_0d] 0 1 1 +[RD_14] [RS2_12] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 7 1 +RD_00 RS2_01 5 1 +RD_00 RS2_02 4 1 +RD_00 RS2_03 6 1 +RD_00 RS2_04 12 1 +RD_00 RS2_05 2 1 +RD_00 RS2_06 7 1 +RD_00 RS2_07 7 1 +RD_00 RS2_08 5 1 +RD_00 RS2_09 4 1 +RD_00 RS2_0a 6 1 +RD_00 RS2_0b 8 1 +RD_00 RS2_0c 8 1 +RD_00 RS2_0d 2 1 +RD_00 RS2_0e 1 1 +RD_00 RS2_0f 8 1 +RD_00 RS2_10 3 1 +RD_00 RS2_11 8 1 +RD_00 RS2_12 5 1 +RD_00 RS2_13 3 1 +RD_00 RS2_14 6 1 +RD_00 RS2_15 2 1 +RD_00 RS2_16 7 1 +RD_00 RS2_17 4 1 +RD_00 RS2_18 6 1 +RD_00 RS2_19 7 1 +RD_00 RS2_1a 4 1 +RD_00 RS2_1b 4 1 +RD_00 RS2_1c 7 1 +RD_00 RS2_1d 7 1 +RD_00 RS2_1e 8 1 +RD_00 RS2_1f 6 1 +RD_01 RS2_00 6 1 +RD_01 RS2_01 3 1 +RD_01 RS2_02 8 1 +RD_01 RS2_03 8 1 +RD_01 RS2_04 6 1 +RD_01 RS2_05 4 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 5 1 +RD_01 RS2_08 3 1 +RD_01 RS2_09 7 1 +RD_01 RS2_0a 5 1 +RD_01 RS2_0b 10 1 +RD_01 RS2_0c 3 1 +RD_01 RS2_0d 7 1 +RD_01 RS2_0e 7 1 +RD_01 RS2_0f 11 1 +RD_01 RS2_10 9 1 +RD_01 RS2_11 3 1 +RD_01 RS2_12 6 1 +RD_01 RS2_13 8 1 +RD_01 RS2_14 5 1 +RD_01 RS2_15 8 1 +RD_01 RS2_16 5 1 +RD_01 RS2_17 4 1 +RD_01 RS2_18 3 1 +RD_01 RS2_19 2 1 +RD_01 RS2_1a 3 1 +RD_01 RS2_1b 4 1 +RD_01 RS2_1c 5 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 3 1 +RD_01 RS2_1f 6 1 +RD_02 RS2_00 5 1 +RD_02 RS2_01 6 1 +RD_02 RS2_02 2 1 +RD_02 RS2_03 7 1 +RD_02 RS2_04 6 1 +RD_02 RS2_05 5 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 7 1 +RD_02 RS2_08 2 1 +RD_02 RS2_0a 1 1 +RD_02 RS2_0b 8 1 +RD_02 RS2_0c 8 1 +RD_02 RS2_0d 6 1 +RD_02 RS2_0e 7 1 +RD_02 RS2_0f 3 1 +RD_02 RS2_10 3 1 +RD_02 RS2_11 3 1 +RD_02 RS2_12 7 1 +RD_02 RS2_13 3 1 +RD_02 RS2_14 7 1 +RD_02 RS2_15 4 1 +RD_02 RS2_16 5 1 +RD_02 RS2_17 5 1 +RD_02 RS2_18 6 1 +RD_02 RS2_19 7 1 +RD_02 RS2_1a 7 1 +RD_02 RS2_1b 4 1 +RD_02 RS2_1c 1 1 +RD_02 RS2_1d 4 1 +RD_02 RS2_1e 5 1 +RD_02 RS2_1f 4 1 +RD_03 RS2_00 7 1 +RD_03 RS2_01 6 1 +RD_03 RS2_02 3 1 +RD_03 RS2_03 3 1 +RD_03 RS2_04 6 1 +RD_03 RS2_05 4 1 +RD_03 RS2_06 3 1 +RD_03 RS2_07 5 1 +RD_03 RS2_08 4 1 +RD_03 RS2_09 4 1 +RD_03 RS2_0a 3 1 +RD_03 RS2_0c 3 1 +RD_03 RS2_0d 5 1 +RD_03 RS2_0e 8 1 +RD_03 RS2_0f 9 1 +RD_03 RS2_10 9 1 +RD_03 RS2_11 4 1 +RD_03 RS2_12 3 1 +RD_03 RS2_13 5 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 6 1 +RD_03 RS2_16 4 1 +RD_03 RS2_17 3 1 +RD_03 RS2_18 7 1 +RD_03 RS2_19 9 1 +RD_03 RS2_1a 11 1 +RD_03 RS2_1b 3 1 +RD_03 RS2_1c 5 1 +RD_03 RS2_1d 10 1 +RD_03 RS2_1e 5 1 +RD_03 RS2_1f 4 1 +RD_04 RS2_00 7 1 +RD_04 RS2_01 3 1 +RD_04 RS2_02 3 1 +RD_04 RS2_03 7 1 +RD_04 RS2_04 1 1 +RD_04 RS2_05 8 1 +RD_04 RS2_06 3 1 +RD_04 RS2_07 3 1 +RD_04 RS2_08 3 1 +RD_04 RS2_09 7 1 +RD_04 RS2_0b 7 1 +RD_04 RS2_0c 4 1 +RD_04 RS2_0d 5 1 +RD_04 RS2_0e 7 1 +RD_04 RS2_0f 3 1 +RD_04 RS2_10 3 1 +RD_04 RS2_11 5 1 +RD_04 RS2_12 4 1 +RD_04 RS2_13 5 1 +RD_04 RS2_14 9 1 +RD_04 RS2_15 7 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 3 1 +RD_04 RS2_18 3 1 +RD_04 RS2_19 9 1 +RD_04 RS2_1a 7 1 +RD_04 RS2_1b 3 1 +RD_04 RS2_1c 5 1 +RD_04 RS2_1d 9 1 +RD_04 RS2_1e 3 1 +RD_04 RS2_1f 6 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 5 1 +RD_05 RS2_02 2 1 +RD_05 RS2_03 6 1 +RD_05 RS2_04 5 1 +RD_05 RS2_05 4 1 +RD_05 RS2_06 3 1 +RD_05 RS2_07 5 1 +RD_05 RS2_08 3 1 +RD_05 RS2_09 3 1 +RD_05 RS2_0a 8 1 +RD_05 RS2_0b 8 1 +RD_05 RS2_0c 8 1 +RD_05 RS2_0d 12 1 +RD_05 RS2_0e 10 1 +RD_05 RS2_0f 3 1 +RD_05 RS2_10 6 1 +RD_05 RS2_11 3 1 +RD_05 RS2_12 5 1 +RD_05 RS2_13 5 1 +RD_05 RS2_14 2 1 +RD_05 RS2_15 4 1 +RD_05 RS2_16 10 1 +RD_05 RS2_17 6 1 +RD_05 RS2_18 5 1 +RD_05 RS2_19 4 1 +RD_05 RS2_1a 3 1 +RD_05 RS2_1b 5 1 +RD_05 RS2_1c 6 1 +RD_05 RS2_1d 11 1 +RD_05 RS2_1e 5 1 +RD_05 RS2_1f 2 1 +RD_06 RS2_00 5 1 +RD_06 RS2_01 9 1 +RD_06 RS2_02 2 1 +RD_06 RS2_03 6 1 +RD_06 RS2_04 4 1 +RD_06 RS2_05 6 1 +RD_06 RS2_06 7 1 +RD_06 RS2_07 12 1 +RD_06 RS2_08 7 1 +RD_06 RS2_09 5 1 +RD_06 RS2_0a 3 1 +RD_06 RS2_0b 7 1 +RD_06 RS2_0c 2 1 +RD_06 RS2_0d 4 1 +RD_06 RS2_0e 6 1 +RD_06 RS2_0f 5 1 +RD_06 RS2_10 2 1 +RD_06 RS2_11 3 1 +RD_06 RS2_12 2 1 +RD_06 RS2_13 4 1 +RD_06 RS2_14 8 1 +RD_06 RS2_15 5 1 +RD_06 RS2_16 2 1 +RD_06 RS2_17 2 1 +RD_06 RS2_18 4 1 +RD_06 RS2_19 1 1 +RD_06 RS2_1a 4 1 +RD_06 RS2_1b 5 1 +RD_06 RS2_1c 8 1 +RD_06 RS2_1d 2 1 +RD_06 RS2_1e 3 1 +RD_06 RS2_1f 6 1 +RD_07 RS2_00 6 1 +RD_07 RS2_01 6 1 +RD_07 RS2_02 5 1 +RD_07 RS2_03 2 1 +RD_07 RS2_04 3 1 +RD_07 RS2_05 4 1 +RD_07 RS2_06 4 1 +RD_07 RS2_07 11 1 +RD_07 RS2_08 5 1 +RD_07 RS2_09 2 1 +RD_07 RS2_0a 4 1 +RD_07 RS2_0b 2 1 +RD_07 RS2_0c 12 1 +RD_07 RS2_0d 3 1 +RD_07 RS2_0e 3 1 +RD_07 RS2_0f 8 1 +RD_07 RS2_10 4 1 +RD_07 RS2_11 2 1 +RD_07 RS2_12 4 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 2 1 +RD_07 RS2_15 6 1 +RD_07 RS2_16 6 1 +RD_07 RS2_17 4 1 +RD_07 RS2_18 4 1 +RD_07 RS2_19 7 1 +RD_07 RS2_1a 4 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 4 1 +RD_07 RS2_1d 9 1 +RD_07 RS2_1e 4 1 +RD_07 RS2_1f 3 1 +RD_08 RS2_00 7 1 +RD_08 RS2_01 7 1 +RD_08 RS2_02 7 1 +RD_08 RS2_03 5 1 +RD_08 RS2_04 4 1 +RD_08 RS2_05 8 1 +RD_08 RS2_06 6 1 +RD_08 RS2_07 5 1 +RD_08 RS2_08 6 1 +RD_08 RS2_09 5 1 +RD_08 RS2_0a 1 1 +RD_08 RS2_0b 1 1 +RD_08 RS2_0c 2 1 +RD_08 RS2_0d 7 1 +RD_08 RS2_0e 3 1 +RD_08 RS2_0f 7 1 +RD_08 RS2_10 9 1 +RD_08 RS2_11 1 1 +RD_08 RS2_12 10 1 +RD_08 RS2_13 4 1 +RD_08 RS2_14 5 1 +RD_08 RS2_15 7 1 +RD_08 RS2_16 2 1 +RD_08 RS2_17 6 1 +RD_08 RS2_18 5 1 +RD_08 RS2_19 3 1 +RD_08 RS2_1a 8 1 +RD_08 RS2_1b 5 1 +RD_08 RS2_1c 4 1 +RD_08 RS2_1d 6 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 4 1 +RD_09 RS2_00 8 1 +RD_09 RS2_01 7 1 +RD_09 RS2_02 3 1 +RD_09 RS2_03 5 1 +RD_09 RS2_04 5 1 +RD_09 RS2_05 5 1 +RD_09 RS2_06 4 1 +RD_09 RS2_07 3 1 +RD_09 RS2_08 7 1 +RD_09 RS2_09 5 1 +RD_09 RS2_0a 3 1 +RD_09 RS2_0b 2 1 +RD_09 RS2_0c 1 1 +RD_09 RS2_0d 6 1 +RD_09 RS2_0e 6 1 +RD_09 RS2_0f 6 1 +RD_09 RS2_10 9 1 +RD_09 RS2_11 13 1 +RD_09 RS2_12 9 1 +RD_09 RS2_13 9 1 +RD_09 RS2_14 3 1 +RD_09 RS2_15 5 1 +RD_09 RS2_16 11 1 +RD_09 RS2_17 4 1 +RD_09 RS2_18 2 1 +RD_09 RS2_19 6 1 +RD_09 RS2_1a 4 1 +RD_09 RS2_1b 6 1 +RD_09 RS2_1c 3 1 +RD_09 RS2_1d 1 1 +RD_09 RS2_1e 10 1 +RD_09 RS2_1f 5 1 +RD_0a RS2_00 5 1 +RD_0a RS2_01 1 1 +RD_0a RS2_02 10 1 +RD_0a RS2_03 4 1 +RD_0a RS2_04 8 1 +RD_0a RS2_05 6 1 +RD_0a RS2_06 3 1 +RD_0a RS2_07 8 1 +RD_0a RS2_08 5 1 +RD_0a RS2_09 2 1 +RD_0a RS2_0a 8 1 +RD_0a RS2_0b 3 1 +RD_0a RS2_0c 3 1 +RD_0a RS2_0d 8 1 +RD_0a RS2_0e 11 1 +RD_0a RS2_0f 6 1 +RD_0a RS2_10 6 1 +RD_0a RS2_11 4 1 +RD_0a RS2_12 2 1 +RD_0a RS2_13 3 1 +RD_0a RS2_14 4 1 +RD_0a RS2_15 3 1 +RD_0a RS2_16 2 1 +RD_0a RS2_17 8 1 +RD_0a RS2_18 4 1 +RD_0a RS2_19 9 1 +RD_0a RS2_1a 3 1 +RD_0a RS2_1b 2 1 +RD_0a RS2_1c 4 1 +RD_0a RS2_1d 4 1 +RD_0a RS2_1e 8 1 +RD_0a RS2_1f 10 1 +RD_0b RS2_00 7 1 +RD_0b RS2_01 8 1 +RD_0b RS2_02 2 1 +RD_0b RS2_03 5 1 +RD_0b RS2_04 3 1 +RD_0b RS2_05 6 1 +RD_0b RS2_06 5 1 +RD_0b RS2_07 6 1 +RD_0b RS2_08 6 1 +RD_0b RS2_09 12 1 +RD_0b RS2_0a 2 1 +RD_0b RS2_0b 4 1 +RD_0b RS2_0c 8 1 +RD_0b RS2_0d 5 1 +RD_0b RS2_0e 4 1 +RD_0b RS2_0f 3 1 +RD_0b RS2_10 3 1 +RD_0b RS2_11 6 1 +RD_0b RS2_12 12 1 +RD_0b RS2_13 10 1 +RD_0b RS2_14 4 1 +RD_0b RS2_15 3 1 +RD_0b RS2_16 4 1 +RD_0b RS2_17 4 1 +RD_0b RS2_18 2 1 +RD_0b RS2_19 5 1 +RD_0b RS2_1a 5 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 6 1 +RD_0b RS2_1d 8 1 +RD_0b RS2_1e 4 1 +RD_0b RS2_1f 7 1 +RD_0c RS2_00 3 1 +RD_0c RS2_01 5 1 +RD_0c RS2_02 1 1 +RD_0c RS2_03 6 1 +RD_0c RS2_04 4 1 +RD_0c RS2_05 6 1 +RD_0c RS2_06 6 1 +RD_0c RS2_07 7 1 +RD_0c RS2_08 1 1 +RD_0c RS2_09 3 1 +RD_0c RS2_0a 3 1 +RD_0c RS2_0b 3 1 +RD_0c RS2_0c 3 1 +RD_0c RS2_0d 4 1 +RD_0c RS2_0e 3 1 +RD_0c RS2_0f 4 1 +RD_0c RS2_10 5 1 +RD_0c RS2_11 4 1 +RD_0c RS2_12 2 1 +RD_0c RS2_13 7 1 +RD_0c RS2_14 9 1 +RD_0c RS2_15 8 1 +RD_0c RS2_16 2 1 +RD_0c RS2_17 2 1 +RD_0c RS2_18 5 1 +RD_0c RS2_19 3 1 +RD_0c RS2_1a 7 1 +RD_0c RS2_1b 2 1 +RD_0c RS2_1c 10 1 +RD_0c RS2_1d 6 1 +RD_0c RS2_1e 4 1 +RD_0c RS2_1f 5 1 +RD_0d RS2_00 6 1 +RD_0d RS2_01 6 1 +RD_0d RS2_02 5 1 +RD_0d RS2_03 4 1 +RD_0d RS2_04 2 1 +RD_0d RS2_05 4 1 +RD_0d RS2_06 7 1 +RD_0d RS2_07 7 1 +RD_0d RS2_08 7 1 +RD_0d RS2_09 2 1 +RD_0d RS2_0a 5 1 +RD_0d RS2_0b 3 1 +RD_0d RS2_0c 3 1 +RD_0d RS2_0e 3 1 +RD_0d RS2_0f 4 1 +RD_0d RS2_10 8 1 +RD_0d RS2_11 3 1 +RD_0d RS2_12 8 1 +RD_0d RS2_13 5 1 +RD_0d RS2_14 3 1 +RD_0d RS2_15 5 1 +RD_0d RS2_16 1 1 +RD_0d RS2_17 6 1 +RD_0d RS2_18 10 1 +RD_0d RS2_19 3 1 +RD_0d RS2_1a 2 1 +RD_0d RS2_1b 3 1 +RD_0d RS2_1c 6 1 +RD_0d RS2_1d 4 1 +RD_0d RS2_1e 9 1 +RD_0d RS2_1f 7 1 +RD_0e RS2_00 8 1 +RD_0e RS2_01 8 1 +RD_0e RS2_02 8 1 +RD_0e RS2_03 5 1 +RD_0e RS2_04 4 1 +RD_0e RS2_05 3 1 +RD_0e RS2_06 7 1 +RD_0e RS2_07 6 1 +RD_0e RS2_08 4 1 +RD_0e RS2_09 8 1 +RD_0e RS2_0a 5 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 7 1 +RD_0e RS2_0d 10 1 +RD_0e RS2_0e 8 1 +RD_0e RS2_0f 4 1 +RD_0e RS2_10 8 1 +RD_0e RS2_11 6 1 +RD_0e RS2_12 3 1 +RD_0e RS2_13 3 1 +RD_0e RS2_14 9 1 +RD_0e RS2_15 3 1 +RD_0e RS2_16 1 1 +RD_0e RS2_17 8 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 4 1 +RD_0e RS2_1a 2 1 +RD_0e RS2_1b 2 1 +RD_0e RS2_1c 12 1 +RD_0e RS2_1d 4 1 +RD_0e RS2_1e 4 1 +RD_0e RS2_1f 2 1 +RD_0f RS2_00 6 1 +RD_0f RS2_01 5 1 +RD_0f RS2_02 3 1 +RD_0f RS2_03 11 1 +RD_0f RS2_04 7 1 +RD_0f RS2_05 5 1 +RD_0f RS2_06 4 1 +RD_0f RS2_07 4 1 +RD_0f RS2_08 12 1 +RD_0f RS2_09 1 1 +RD_0f RS2_0a 7 1 +RD_0f RS2_0b 6 1 +RD_0f RS2_0c 4 1 +RD_0f RS2_0d 10 1 +RD_0f RS2_0e 4 1 +RD_0f RS2_0f 4 1 +RD_0f RS2_10 7 1 +RD_0f RS2_11 4 1 +RD_0f RS2_12 4 1 +RD_0f RS2_13 4 1 +RD_0f RS2_14 5 1 +RD_0f RS2_15 4 1 +RD_0f RS2_16 4 1 +RD_0f RS2_17 6 1 +RD_0f RS2_18 7 1 +RD_0f RS2_19 5 1 +RD_0f RS2_1a 8 1 +RD_0f RS2_1b 1 1 +RD_0f RS2_1c 9 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 12 1 +RD_0f RS2_1f 4 1 +RD_10 RS2_00 6 1 +RD_10 RS2_01 8 1 +RD_10 RS2_02 5 1 +RD_10 RS2_03 5 1 +RD_10 RS2_04 3 1 +RD_10 RS2_05 8 1 +RD_10 RS2_06 5 1 +RD_10 RS2_07 11 1 +RD_10 RS2_08 2 1 +RD_10 RS2_09 6 1 +RD_10 RS2_0a 5 1 +RD_10 RS2_0b 9 1 +RD_10 RS2_0c 5 1 +RD_10 RS2_0d 5 1 +RD_10 RS2_0e 6 1 +RD_10 RS2_0f 3 1 +RD_10 RS2_10 4 1 +RD_10 RS2_11 6 1 +RD_10 RS2_12 5 1 +RD_10 RS2_13 4 1 +RD_10 RS2_14 6 1 +RD_10 RS2_15 6 1 +RD_10 RS2_16 6 1 +RD_10 RS2_17 2 1 +RD_10 RS2_18 2 1 +RD_10 RS2_19 6 1 +RD_10 RS2_1a 4 1 +RD_10 RS2_1b 8 1 +RD_10 RS2_1c 7 1 +RD_10 RS2_1d 6 1 +RD_10 RS2_1e 5 1 +RD_10 RS2_1f 8 1 +RD_11 RS2_00 6 1 +RD_11 RS2_01 3 1 +RD_11 RS2_02 6 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 7 1 +RD_11 RS2_05 10 1 +RD_11 RS2_06 7 1 +RD_11 RS2_07 3 1 +RD_11 RS2_08 6 1 +RD_11 RS2_09 4 1 +RD_11 RS2_0a 6 1 +RD_11 RS2_0b 3 1 +RD_11 RS2_0c 3 1 +RD_11 RS2_0d 7 1 +RD_11 RS2_0e 4 1 +RD_11 RS2_0f 3 1 +RD_11 RS2_10 3 1 +RD_11 RS2_11 2 1 +RD_11 RS2_12 4 1 +RD_11 RS2_13 1 1 +RD_11 RS2_14 3 1 +RD_11 RS2_15 7 1 +RD_11 RS2_16 8 1 +RD_11 RS2_17 5 1 +RD_11 RS2_18 7 1 +RD_11 RS2_19 1 1 +RD_11 RS2_1a 10 1 +RD_11 RS2_1b 2 1 +RD_11 RS2_1c 8 1 +RD_11 RS2_1d 7 1 +RD_11 RS2_1e 1 1 +RD_11 RS2_1f 5 1 +RD_12 RS2_00 3 1 +RD_12 RS2_01 5 1 +RD_12 RS2_02 4 1 +RD_12 RS2_03 3 1 +RD_12 RS2_04 2 1 +RD_12 RS2_05 11 1 +RD_12 RS2_06 5 1 +RD_12 RS2_07 7 1 +RD_12 RS2_08 9 1 +RD_12 RS2_09 6 1 +RD_12 RS2_0a 4 1 +RD_12 RS2_0b 9 1 +RD_12 RS2_0c 5 1 +RD_12 RS2_0d 2 1 +RD_12 RS2_0e 2 1 +RD_12 RS2_0f 2 1 +RD_12 RS2_10 1 1 +RD_12 RS2_11 5 1 +RD_12 RS2_12 7 1 +RD_12 RS2_13 10 1 +RD_12 RS2_14 5 1 +RD_12 RS2_15 3 1 +RD_12 RS2_16 8 1 +RD_12 RS2_17 2 1 +RD_12 RS2_18 2 1 +RD_12 RS2_19 9 1 +RD_12 RS2_1a 2 1 +RD_12 RS2_1b 5 1 +RD_12 RS2_1c 2 1 +RD_12 RS2_1d 3 1 +RD_12 RS2_1e 3 1 +RD_12 RS2_1f 1 1 +RD_13 RS2_00 16 1 +RD_13 RS2_01 7 1 +RD_13 RS2_02 8 1 +RD_13 RS2_03 1 1 +RD_13 RS2_04 8 1 +RD_13 RS2_05 6 1 +RD_13 RS2_06 7 1 +RD_13 RS2_07 4 1 +RD_13 RS2_08 3 1 +RD_13 RS2_09 11 1 +RD_13 RS2_0a 2 1 +RD_13 RS2_0b 2 1 +RD_13 RS2_0c 7 1 +RD_13 RS2_0d 3 1 +RD_13 RS2_0e 10 1 +RD_13 RS2_0f 6 1 +RD_13 RS2_10 3 1 +RD_13 RS2_11 6 1 +RD_13 RS2_12 3 1 +RD_13 RS2_13 8 1 +RD_13 RS2_14 6 1 +RD_13 RS2_15 1 1 +RD_13 RS2_16 5 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 9 1 +RD_13 RS2_19 7 1 +RD_13 RS2_1a 5 1 +RD_13 RS2_1b 10 1 +RD_13 RS2_1c 3 1 +RD_13 RS2_1d 4 1 +RD_13 RS2_1e 5 1 +RD_13 RS2_1f 2 1 +RD_14 RS2_00 5 1 +RD_14 RS2_01 7 1 +RD_14 RS2_02 4 1 +RD_14 RS2_03 4 1 +RD_14 RS2_04 7 1 +RD_14 RS2_05 7 1 +RD_14 RS2_06 7 1 +RD_14 RS2_07 3 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 3 1 +RD_14 RS2_0a 4 1 +RD_14 RS2_0b 9 1 +RD_14 RS2_0c 5 1 +RD_14 RS2_0d 6 1 +RD_14 RS2_0e 6 1 +RD_14 RS2_0f 6 1 +RD_14 RS2_10 8 1 +RD_14 RS2_11 7 1 +RD_14 RS2_13 9 1 +RD_14 RS2_14 6 1 +RD_14 RS2_15 6 1 +RD_14 RS2_16 8 1 +RD_14 RS2_17 7 1 +RD_14 RS2_18 2 1 +RD_14 RS2_19 7 1 +RD_14 RS2_1a 6 1 +RD_14 RS2_1b 2 1 +RD_14 RS2_1c 1 1 +RD_14 RS2_1d 5 1 +RD_14 RS2_1e 4 1 +RD_14 RS2_1f 4 1 +RD_15 RS2_00 5 1 +RD_15 RS2_01 6 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 7 1 +RD_15 RS2_04 4 1 +RD_15 RS2_05 5 1 +RD_15 RS2_06 4 1 +RD_15 RS2_07 3 1 +RD_15 RS2_08 4 1 +RD_15 RS2_09 4 1 +RD_15 RS2_0a 7 1 +RD_15 RS2_0b 6 1 +RD_15 RS2_0c 5 1 +RD_15 RS2_0d 2 1 +RD_15 RS2_0e 7 1 +RD_15 RS2_0f 6 1 +RD_15 RS2_10 5 1 +RD_15 RS2_11 4 1 +RD_15 RS2_12 3 1 +RD_15 RS2_13 2 1 +RD_15 RS2_14 3 1 +RD_15 RS2_15 3 1 +RD_15 RS2_16 7 1 +RD_15 RS2_17 2 1 +RD_15 RS2_18 2 1 +RD_15 RS2_19 4 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 5 1 +RD_15 RS2_1c 10 1 +RD_15 RS2_1d 10 1 +RD_15 RS2_1e 1 1 +RD_15 RS2_1f 4 1 +RD_16 RS2_00 4 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 3 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 11 1 +RD_16 RS2_05 8 1 +RD_16 RS2_06 3 1 +RD_16 RS2_07 3 1 +RD_16 RS2_08 5 1 +RD_16 RS2_09 1 1 +RD_16 RS2_0a 8 1 +RD_16 RS2_0b 3 1 +RD_16 RS2_0c 2 1 +RD_16 RS2_0d 2 1 +RD_16 RS2_0e 7 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_10 8 1 +RD_16 RS2_11 7 1 +RD_16 RS2_12 6 1 +RD_16 RS2_13 6 1 +RD_16 RS2_14 7 1 +RD_16 RS2_15 1 1 +RD_16 RS2_16 3 1 +RD_16 RS2_17 8 1 +RD_16 RS2_18 4 1 +RD_16 RS2_19 3 1 +RD_16 RS2_1a 1 1 +RD_16 RS2_1b 7 1 +RD_16 RS2_1c 3 1 +RD_16 RS2_1d 7 1 +RD_16 RS2_1e 2 1 +RD_16 RS2_1f 2 1 +RD_17 RS2_00 7 1 +RD_17 RS2_01 3 1 +RD_17 RS2_02 5 1 +RD_17 RS2_03 6 1 +RD_17 RS2_04 3 1 +RD_17 RS2_05 3 1 +RD_17 RS2_06 1 1 +RD_17 RS2_07 8 1 +RD_17 RS2_08 2 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 15 1 +RD_17 RS2_0b 8 1 +RD_17 RS2_0c 7 1 +RD_17 RS2_0d 9 1 +RD_17 RS2_0e 6 1 +RD_17 RS2_0f 6 1 +RD_17 RS2_10 5 1 +RD_17 RS2_11 2 1 +RD_17 RS2_12 15 1 +RD_17 RS2_13 7 1 +RD_17 RS2_14 7 1 +RD_17 RS2_15 11 1 +RD_17 RS2_16 8 1 +RD_17 RS2_17 8 1 +RD_17 RS2_18 5 1 +RD_17 RS2_19 5 1 +RD_17 RS2_1a 9 1 +RD_17 RS2_1b 3 1 +RD_17 RS2_1c 8 1 +RD_17 RS2_1d 3 1 +RD_17 RS2_1e 4 1 +RD_17 RS2_1f 4 1 +RD_18 RS2_00 4 1 +RD_18 RS2_01 5 1 +RD_18 RS2_02 4 1 +RD_18 RS2_03 5 1 +RD_18 RS2_04 8 1 +RD_18 RS2_05 6 1 +RD_18 RS2_06 3 1 +RD_18 RS2_07 5 1 +RD_18 RS2_08 5 1 +RD_18 RS2_09 4 1 +RD_18 RS2_0a 2 1 +RD_18 RS2_0b 4 1 +RD_18 RS2_0c 6 1 +RD_18 RS2_0d 6 1 +RD_18 RS2_0e 5 1 +RD_18 RS2_0f 5 1 +RD_18 RS2_10 3 1 +RD_18 RS2_11 5 1 +RD_18 RS2_12 7 1 +RD_18 RS2_13 2 1 +RD_18 RS2_14 3 1 +RD_18 RS2_15 1 1 +RD_18 RS2_16 10 1 +RD_18 RS2_17 3 1 +RD_18 RS2_18 6 1 +RD_18 RS2_19 6 1 +RD_18 RS2_1a 7 1 +RD_18 RS2_1b 4 1 +RD_18 RS2_1c 7 1 +RD_18 RS2_1d 6 1 +RD_18 RS2_1e 2 1 +RD_18 RS2_1f 9 1 +RD_19 RS2_00 2 1 +RD_19 RS2_01 8 1 +RD_19 RS2_02 3 1 +RD_19 RS2_03 4 1 +RD_19 RS2_04 15 1 +RD_19 RS2_05 2 1 +RD_19 RS2_06 1 1 +RD_19 RS2_07 2 1 +RD_19 RS2_08 2 1 +RD_19 RS2_09 8 1 +RD_19 RS2_0a 1 1 +RD_19 RS2_0b 4 1 +RD_19 RS2_0c 2 1 +RD_19 RS2_0d 1 1 +RD_19 RS2_0e 4 1 +RD_19 RS2_0f 2 1 +RD_19 RS2_10 2 1 +RD_19 RS2_11 4 1 +RD_19 RS2_12 5 1 +RD_19 RS2_13 6 1 +RD_19 RS2_14 3 1 +RD_19 RS2_15 8 1 +RD_19 RS2_16 4 1 +RD_19 RS2_17 4 1 +RD_19 RS2_18 8 1 +RD_19 RS2_19 7 1 +RD_19 RS2_1a 5 1 +RD_19 RS2_1b 10 1 +RD_19 RS2_1c 11 1 +RD_19 RS2_1d 4 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 5 1 +RD_1a RS2_00 3 1 +RD_1a RS2_01 2 1 +RD_1a RS2_02 3 1 +RD_1a RS2_03 8 1 +RD_1a RS2_04 7 1 +RD_1a RS2_05 4 1 +RD_1a RS2_06 3 1 +RD_1a RS2_07 3 1 +RD_1a RS2_08 10 1 +RD_1a RS2_09 2 1 +RD_1a RS2_0a 6 1 +RD_1a RS2_0b 7 1 +RD_1a RS2_0c 5 1 +RD_1a RS2_0d 3 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 4 1 +RD_1a RS2_10 5 1 +RD_1a RS2_11 3 1 +RD_1a RS2_12 3 1 +RD_1a RS2_13 3 1 +RD_1a RS2_14 4 1 +RD_1a RS2_15 5 1 +RD_1a RS2_16 6 1 +RD_1a RS2_17 5 1 +RD_1a RS2_18 5 1 +RD_1a RS2_19 8 1 +RD_1a RS2_1a 5 1 +RD_1a RS2_1b 8 1 +RD_1a RS2_1c 5 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 2 1 +RD_1a RS2_1f 6 1 +RD_1b RS2_00 5 1 +RD_1b RS2_01 5 1 +RD_1b RS2_02 10 1 +RD_1b RS2_03 8 1 +RD_1b RS2_04 7 1 +RD_1b RS2_05 6 1 +RD_1b RS2_06 7 1 +RD_1b RS2_07 3 1 +RD_1b RS2_08 5 1 +RD_1b RS2_09 7 1 +RD_1b RS2_0a 12 1 +RD_1b RS2_0b 5 1 +RD_1b RS2_0c 7 1 +RD_1b RS2_0d 10 1 +RD_1b RS2_0e 9 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 3 1 +RD_1b RS2_11 2 1 +RD_1b RS2_12 6 1 +RD_1b RS2_13 4 1 +RD_1b RS2_14 5 1 +RD_1b RS2_15 8 1 +RD_1b RS2_16 5 1 +RD_1b RS2_17 4 1 +RD_1b RS2_18 2 1 +RD_1b RS2_19 4 1 +RD_1b RS2_1a 4 1 +RD_1b RS2_1b 6 1 +RD_1b RS2_1c 10 1 +RD_1b RS2_1d 5 1 +RD_1b RS2_1e 4 1 +RD_1b RS2_1f 5 1 +RD_1c RS2_00 6 1 +RD_1c RS2_01 1 1 +RD_1c RS2_02 5 1 +RD_1c RS2_03 12 1 +RD_1c RS2_04 5 1 +RD_1c RS2_05 15 1 +RD_1c RS2_06 4 1 +RD_1c RS2_07 2 1 +RD_1c RS2_08 3 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 3 1 +RD_1c RS2_0b 6 1 +RD_1c RS2_0c 8 1 +RD_1c RS2_0d 5 1 +RD_1c RS2_0e 5 1 +RD_1c RS2_0f 4 1 +RD_1c RS2_10 8 1 +RD_1c RS2_11 6 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 3 1 +RD_1c RS2_14 7 1 +RD_1c RS2_15 7 1 +RD_1c RS2_16 8 1 +RD_1c RS2_17 4 1 +RD_1c RS2_18 6 1 +RD_1c RS2_19 1 1 +RD_1c RS2_1a 4 1 +RD_1c RS2_1b 1 1 +RD_1c RS2_1c 3 1 +RD_1c RS2_1d 2 1 +RD_1c RS2_1e 5 1 +RD_1c RS2_1f 6 1 +RD_1d RS2_00 5 1 +RD_1d RS2_01 6 1 +RD_1d RS2_02 6 1 +RD_1d RS2_03 2 1 +RD_1d RS2_04 5 1 +RD_1d RS2_05 2 1 +RD_1d RS2_06 5 1 +RD_1d RS2_07 7 1 +RD_1d RS2_08 13 1 +RD_1d RS2_09 6 1 +RD_1d RS2_0a 3 1 +RD_1d RS2_0b 2 1 +RD_1d RS2_0c 5 1 +RD_1d RS2_0d 4 1 +RD_1d RS2_0e 5 1 +RD_1d RS2_0f 7 1 +RD_1d RS2_10 4 1 +RD_1d RS2_11 3 1 +RD_1d RS2_12 4 1 +RD_1d RS2_13 11 1 +RD_1d RS2_14 2 1 +RD_1d RS2_15 5 1 +RD_1d RS2_16 5 1 +RD_1d RS2_17 6 1 +RD_1d RS2_18 6 1 +RD_1d RS2_19 7 1 +RD_1d RS2_1a 6 1 +RD_1d RS2_1b 4 1 +RD_1d RS2_1c 3 1 +RD_1d RS2_1d 3 1 +RD_1d RS2_1e 5 1 +RD_1d RS2_1f 1 1 +RD_1e RS2_00 5 1 +RD_1e RS2_01 8 1 +RD_1e RS2_02 4 1 +RD_1e RS2_03 6 1 +RD_1e RS2_04 7 1 +RD_1e RS2_05 8 1 +RD_1e RS2_06 1 1 +RD_1e RS2_07 5 1 +RD_1e RS2_08 1 1 +RD_1e RS2_09 7 1 +RD_1e RS2_0a 4 1 +RD_1e RS2_0b 5 1 +RD_1e RS2_0c 5 1 +RD_1e RS2_0d 5 1 +RD_1e RS2_0e 3 1 +RD_1e RS2_0f 6 1 +RD_1e RS2_10 9 1 +RD_1e RS2_11 6 1 +RD_1e RS2_12 6 1 +RD_1e RS2_13 4 1 +RD_1e RS2_14 4 1 +RD_1e RS2_15 10 1 +RD_1e RS2_16 6 1 +RD_1e RS2_17 5 1 +RD_1e RS2_18 3 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 3 1 +RD_1e RS2_1b 8 1 +RD_1e RS2_1c 9 1 +RD_1e RS2_1d 9 1 +RD_1e RS2_1e 7 1 +RD_1e RS2_1f 2 1 +RD_1f RS2_00 5 1 +RD_1f RS2_01 1 1 +RD_1f RS2_02 11 1 +RD_1f RS2_03 13 1 +RD_1f RS2_04 5 1 +RD_1f RS2_05 5 1 +RD_1f RS2_06 5 1 +RD_1f RS2_07 8 1 +RD_1f RS2_08 5 1 +RD_1f RS2_09 7 1 +RD_1f RS2_0a 1 1 +RD_1f RS2_0b 4 1 +RD_1f RS2_0c 4 1 +RD_1f RS2_0d 8 1 +RD_1f RS2_0e 2 1 +RD_1f RS2_0f 5 1 +RD_1f RS2_10 3 1 +RD_1f RS2_11 2 1 +RD_1f RS2_12 4 1 +RD_1f RS2_13 2 1 +RD_1f RS2_14 5 1 +RD_1f RS2_15 5 1 +RD_1f RS2_16 6 1 +RD_1f RS2_17 10 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 8 1 +RD_1f RS2_1a 6 1 +RD_1f RS2_1b 8 1 +RD_1f RS2_1c 8 1 +RD_1f RS2_1d 4 1 +RD_1f RS2_1e 10 1 +RD_1f RS2_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_nmsub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.80 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 14 2034 99.32 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 8 1016 99.22 100 1 1 0 +cross_rd_rs2 1024 6 1018 99.41 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 179 1 +RD_01 163 1 +RD_02 162 1 +RD_03 191 1 +RD_04 148 1 +RD_05 187 1 +RD_06 160 1 +RD_07 180 1 +RD_08 169 1 +RD_09 187 1 +RD_0a 157 1 +RD_0b 172 1 +RD_0c 179 1 +RD_0d 163 1 +RD_0e 162 1 +RD_0f 164 1 +RD_10 190 1 +RD_11 175 1 +RD_12 169 1 +RD_13 176 1 +RD_14 204 1 +RD_15 169 1 +RD_16 163 1 +RD_17 170 1 +RD_18 149 1 +RD_19 150 1 +RD_1a 151 1 +RD_1b 131 1 +RD_1c 152 1 +RD_1d 184 1 +RD_1e 165 1 +RD_1f 159 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 158 1 +RS1_01 178 1 +RS1_02 172 1 +RS1_03 170 1 +RS1_04 148 1 +RS1_05 150 1 +RS1_06 159 1 +RS1_07 180 1 +RS1_08 162 1 +RS1_09 160 1 +RS1_0a 198 1 +RS1_0b 160 1 +RS1_0c 198 1 +RS1_0d 174 1 +RS1_0e 171 1 +RS1_0f 167 1 +RS1_10 173 1 +RS1_11 189 1 +RS1_12 159 1 +RS1_13 190 1 +RS1_14 187 1 +RS1_15 156 1 +RS1_16 138 1 +RS1_17 182 1 +RS1_18 142 1 +RS1_19 159 1 +RS1_1a 154 1 +RS1_1b 174 1 +RS1_1c 154 1 +RS1_1d 166 1 +RS1_1e 186 1 +RS1_1f 166 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 176 1 +RS2_01 162 1 +RS2_02 158 1 +RS2_03 154 1 +RS2_04 154 1 +RS2_05 164 1 +RS2_06 190 1 +RS2_07 178 1 +RS2_08 157 1 +RS2_09 169 1 +RS2_0a 191 1 +RS2_0b 173 1 +RS2_0c 170 1 +RS2_0d 153 1 +RS2_0e 182 1 +RS2_0f 170 1 +RS2_10 115 1 +RS2_11 183 1 +RS2_12 176 1 +RS2_13 143 1 +RS2_14 185 1 +RS2_15 153 1 +RS2_16 164 1 +RS2_17 163 1 +RS2_18 158 1 +RS2_19 177 1 +RS2_1a 149 1 +RS2_1b 177 1 +RS2_1c 169 1 +RS2_1d 182 1 +RS2_1e 192 1 +RS2_1f 193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2553 1 +BIT30_1 2067 1 +BIT29_1 2069 1 +BIT28_1 2039 1 +BIT27_1 1919 1 +BIT26_1 1905 1 +BIT25_1 1960 1 +BIT24_1 1958 1 +BIT23_1 1973 1 +BIT22_1 2077 1 +BIT21_1 2000 1 +BIT20_1 2015 1 +BIT19_1 1857 1 +BIT18_1 1921 1 +BIT17_1 1979 1 +BIT16_1 2007 1 +BIT15_1 2177 1 +BIT14_1 2134 1 +BIT13_1 2053 1 +BIT12_1 2346 1 +BIT11_1 2452 1 +BIT10_1 2369 1 +BIT9_1 2160 1 +BIT8_1 2083 1 +BIT7_1 2260 1 +BIT6_1 1997 1 +BIT5_1 2042 1 +BIT4_1 2476 1 +BIT3_1 2538 1 +BIT2_1 2422 1 +BIT1_1 2030 1 +BIT0_1 1747 1 +BIT31_0 2826 1 +BIT30_0 3312 1 +BIT29_0 3310 1 +BIT28_0 3340 1 +BIT27_0 3460 1 +BIT26_0 3474 1 +BIT25_0 3419 1 +BIT24_0 3421 1 +BIT23_0 3406 1 +BIT22_0 3302 1 +BIT21_0 3379 1 +BIT20_0 3364 1 +BIT19_0 3522 1 +BIT18_0 3458 1 +BIT17_0 3400 1 +BIT16_0 3372 1 +BIT15_0 3202 1 +BIT14_0 3245 1 +BIT13_0 3326 1 +BIT12_0 3033 1 +BIT11_0 2927 1 +BIT10_0 3010 1 +BIT9_0 3219 1 +BIT8_0 3296 1 +BIT7_0 3119 1 +BIT6_0 3382 1 +BIT5_0 3337 1 +BIT4_0 2903 1 +BIT3_0 2841 1 +BIT2_0 2957 1 +BIT1_0 3349 1 +BIT0_0 3632 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2546 1 +BIT30_1 2025 1 +BIT29_1 2056 1 +BIT28_1 2009 1 +BIT27_1 1978 1 +BIT26_1 1954 1 +BIT25_1 1995 1 +BIT24_1 1959 1 +BIT23_1 2036 1 +BIT22_1 1969 1 +BIT21_1 1946 1 +BIT20_1 1983 1 +BIT19_1 1932 1 +BIT18_1 1950 1 +BIT17_1 1971 1 +BIT16_1 2041 1 +BIT15_1 2090 1 +BIT14_1 2245 1 +BIT13_1 2113 1 +BIT12_1 2446 1 +BIT11_1 2405 1 +BIT10_1 2410 1 +BIT9_1 2221 1 +BIT8_1 2088 1 +BIT7_1 2204 1 +BIT6_1 2012 1 +BIT5_1 2089 1 +BIT4_1 2491 1 +BIT3_1 2537 1 +BIT2_1 2429 1 +BIT1_1 2036 1 +BIT0_1 1793 1 +BIT31_0 2832 1 +BIT30_0 3353 1 +BIT29_0 3322 1 +BIT28_0 3369 1 +BIT27_0 3400 1 +BIT26_0 3424 1 +BIT25_0 3383 1 +BIT24_0 3419 1 +BIT23_0 3342 1 +BIT22_0 3409 1 +BIT21_0 3432 1 +BIT20_0 3395 1 +BIT19_0 3446 1 +BIT18_0 3428 1 +BIT17_0 3407 1 +BIT16_0 3337 1 +BIT15_0 3288 1 +BIT14_0 3133 1 +BIT13_0 3265 1 +BIT12_0 2932 1 +BIT11_0 2973 1 +BIT10_0 2968 1 +BIT9_0 3157 1 +BIT8_0 3290 1 +BIT7_0 3174 1 +BIT6_0 3366 1 +BIT5_0 3289 1 +BIT4_0 2887 1 +BIT3_0 2841 1 +BIT2_0 2949 1 +BIT1_0 3342 1 +BIT0_0 3585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 8 1016 99.22 8 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_01] [RS1_1a] 0 1 1 +[RD_06] [RS1_05] 0 1 1 +[RD_06] [RS1_1c] 0 1 1 +[RD_0a] [RS1_18] 0 1 1 +[RD_0d] [RS1_1f] 0 1 1 +[RD_11] [RS1_0d] 0 1 1 +[RD_13] [RS1_16] 0 1 1 +[RD_14] [RS1_08] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 4 1 +RD_00 RS1_01 5 1 +RD_00 RS1_02 4 1 +RD_00 RS1_03 4 1 +RD_00 RS1_04 4 1 +RD_00 RS1_05 4 1 +RD_00 RS1_06 5 1 +RD_00 RS1_07 1 1 +RD_00 RS1_08 8 1 +RD_00 RS1_09 7 1 +RD_00 RS1_0a 7 1 +RD_00 RS1_0b 6 1 +RD_00 RS1_0c 8 1 +RD_00 RS1_0d 8 1 +RD_00 RS1_0e 2 1 +RD_00 RS1_0f 4 1 +RD_00 RS1_10 7 1 +RD_00 RS1_11 3 1 +RD_00 RS1_12 6 1 +RD_00 RS1_13 7 1 +RD_00 RS1_14 4 1 +RD_00 RS1_15 1 1 +RD_00 RS1_16 7 1 +RD_00 RS1_17 11 1 +RD_00 RS1_18 4 1 +RD_00 RS1_19 9 1 +RD_00 RS1_1a 9 1 +RD_00 RS1_1b 5 1 +RD_00 RS1_1c 6 1 +RD_00 RS1_1d 8 1 +RD_00 RS1_1e 5 1 +RD_00 RS1_1f 6 1 +RD_01 RS1_00 5 1 +RD_01 RS1_01 3 1 +RD_01 RS1_02 5 1 +RD_01 RS1_03 5 1 +RD_01 RS1_04 7 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 4 1 +RD_01 RS1_07 5 1 +RD_01 RS1_08 6 1 +RD_01 RS1_09 4 1 +RD_01 RS1_0a 2 1 +RD_01 RS1_0b 7 1 +RD_01 RS1_0c 10 1 +RD_01 RS1_0d 6 1 +RD_01 RS1_0e 5 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 5 1 +RD_01 RS1_11 6 1 +RD_01 RS1_12 6 1 +RD_01 RS1_13 4 1 +RD_01 RS1_14 7 1 +RD_01 RS1_15 5 1 +RD_01 RS1_16 3 1 +RD_01 RS1_17 6 1 +RD_01 RS1_18 5 1 +RD_01 RS1_19 4 1 +RD_01 RS1_1b 4 1 +RD_01 RS1_1c 2 1 +RD_01 RS1_1d 7 1 +RD_01 RS1_1e 5 1 +RD_01 RS1_1f 10 1 +RD_02 RS1_00 6 1 +RD_02 RS1_01 7 1 +RD_02 RS1_02 5 1 +RD_02 RS1_03 5 1 +RD_02 RS1_04 3 1 +RD_02 RS1_05 5 1 +RD_02 RS1_06 6 1 +RD_02 RS1_07 5 1 +RD_02 RS1_08 1 1 +RD_02 RS1_09 7 1 +RD_02 RS1_0a 9 1 +RD_02 RS1_0b 8 1 +RD_02 RS1_0c 5 1 +RD_02 RS1_0d 9 1 +RD_02 RS1_0e 6 1 +RD_02 RS1_0f 6 1 +RD_02 RS1_10 5 1 +RD_02 RS1_11 7 1 +RD_02 RS1_12 3 1 +RD_02 RS1_13 2 1 +RD_02 RS1_14 7 1 +RD_02 RS1_15 4 1 +RD_02 RS1_16 3 1 +RD_02 RS1_17 5 1 +RD_02 RS1_18 4 1 +RD_02 RS1_19 4 1 +RD_02 RS1_1a 12 1 +RD_02 RS1_1b 1 1 +RD_02 RS1_1c 3 1 +RD_02 RS1_1d 3 1 +RD_02 RS1_1e 1 1 +RD_02 RS1_1f 5 1 +RD_03 RS1_00 3 1 +RD_03 RS1_01 8 1 +RD_03 RS1_02 5 1 +RD_03 RS1_03 3 1 +RD_03 RS1_04 5 1 +RD_03 RS1_05 7 1 +RD_03 RS1_06 4 1 +RD_03 RS1_07 7 1 +RD_03 RS1_08 6 1 +RD_03 RS1_09 9 1 +RD_03 RS1_0a 4 1 +RD_03 RS1_0b 5 1 +RD_03 RS1_0c 4 1 +RD_03 RS1_0d 3 1 +RD_03 RS1_0e 8 1 +RD_03 RS1_0f 12 1 +RD_03 RS1_10 10 1 +RD_03 RS1_11 12 1 +RD_03 RS1_12 6 1 +RD_03 RS1_13 4 1 +RD_03 RS1_14 6 1 +RD_03 RS1_15 5 1 +RD_03 RS1_16 4 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 3 1 +RD_03 RS1_19 4 1 +RD_03 RS1_1a 5 1 +RD_03 RS1_1b 7 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 1 1 +RD_03 RS1_1e 10 1 +RD_03 RS1_1f 7 1 +RD_04 RS1_00 5 1 +RD_04 RS1_01 2 1 +RD_04 RS1_02 6 1 +RD_04 RS1_03 4 1 +RD_04 RS1_04 6 1 +RD_04 RS1_05 8 1 +RD_04 RS1_06 4 1 +RD_04 RS1_07 2 1 +RD_04 RS1_08 5 1 +RD_04 RS1_09 4 1 +RD_04 RS1_0a 8 1 +RD_04 RS1_0b 3 1 +RD_04 RS1_0c 7 1 +RD_04 RS1_0d 4 1 +RD_04 RS1_0e 3 1 +RD_04 RS1_0f 5 1 +RD_04 RS1_10 6 1 +RD_04 RS1_11 8 1 +RD_04 RS1_12 5 1 +RD_04 RS1_13 8 1 +RD_04 RS1_14 2 1 +RD_04 RS1_15 3 1 +RD_04 RS1_16 3 1 +RD_04 RS1_17 1 1 +RD_04 RS1_18 3 1 +RD_04 RS1_19 8 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 5 1 +RD_04 RS1_1c 3 1 +RD_04 RS1_1d 5 1 +RD_04 RS1_1e 7 1 +RD_04 RS1_1f 1 1 +RD_05 RS1_00 7 1 +RD_05 RS1_01 7 1 +RD_05 RS1_02 3 1 +RD_05 RS1_03 3 1 +RD_05 RS1_04 4 1 +RD_05 RS1_05 3 1 +RD_05 RS1_06 5 1 +RD_05 RS1_07 7 1 +RD_05 RS1_08 5 1 +RD_05 RS1_09 7 1 +RD_05 RS1_0a 8 1 +RD_05 RS1_0b 11 1 +RD_05 RS1_0c 8 1 +RD_05 RS1_0d 6 1 +RD_05 RS1_0e 3 1 +RD_05 RS1_0f 3 1 +RD_05 RS1_10 2 1 +RD_05 RS1_11 5 1 +RD_05 RS1_12 7 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 5 1 +RD_05 RS1_15 8 1 +RD_05 RS1_16 7 1 +RD_05 RS1_17 11 1 +RD_05 RS1_18 8 1 +RD_05 RS1_19 5 1 +RD_05 RS1_1a 3 1 +RD_05 RS1_1b 7 1 +RD_05 RS1_1c 5 1 +RD_05 RS1_1d 3 1 +RD_05 RS1_1e 6 1 +RD_05 RS1_1f 11 1 +RD_06 RS1_00 5 1 +RD_06 RS1_01 1 1 +RD_06 RS1_02 6 1 +RD_06 RS1_03 5 1 +RD_06 RS1_04 2 1 +RD_06 RS1_06 1 1 +RD_06 RS1_07 6 1 +RD_06 RS1_08 6 1 +RD_06 RS1_09 4 1 +RD_06 RS1_0a 3 1 +RD_06 RS1_0b 6 1 +RD_06 RS1_0c 6 1 +RD_06 RS1_0d 4 1 +RD_06 RS1_0e 7 1 +RD_06 RS1_0f 10 1 +RD_06 RS1_10 5 1 +RD_06 RS1_11 10 1 +RD_06 RS1_12 6 1 +RD_06 RS1_13 8 1 +RD_06 RS1_14 4 1 +RD_06 RS1_15 1 1 +RD_06 RS1_16 3 1 +RD_06 RS1_17 4 1 +RD_06 RS1_18 6 1 +RD_06 RS1_19 1 1 +RD_06 RS1_1a 5 1 +RD_06 RS1_1b 11 1 +RD_06 RS1_1d 7 1 +RD_06 RS1_1e 8 1 +RD_06 RS1_1f 9 1 +RD_07 RS1_00 6 1 +RD_07 RS1_01 7 1 +RD_07 RS1_02 3 1 +RD_07 RS1_03 9 1 +RD_07 RS1_04 5 1 +RD_07 RS1_05 7 1 +RD_07 RS1_06 10 1 +RD_07 RS1_07 9 1 +RD_07 RS1_08 4 1 +RD_07 RS1_09 6 1 +RD_07 RS1_0a 2 1 +RD_07 RS1_0b 4 1 +RD_07 RS1_0c 3 1 +RD_07 RS1_0d 5 1 +RD_07 RS1_0e 11 1 +RD_07 RS1_0f 6 1 +RD_07 RS1_10 4 1 +RD_07 RS1_11 3 1 +RD_07 RS1_12 7 1 +RD_07 RS1_13 2 1 +RD_07 RS1_14 11 1 +RD_07 RS1_15 6 1 +RD_07 RS1_16 5 1 +RD_07 RS1_17 1 1 +RD_07 RS1_18 4 1 +RD_07 RS1_19 7 1 +RD_07 RS1_1a 3 1 +RD_07 RS1_1b 9 1 +RD_07 RS1_1c 4 1 +RD_07 RS1_1d 10 1 +RD_07 RS1_1e 1 1 +RD_07 RS1_1f 6 1 +RD_08 RS1_00 5 1 +RD_08 RS1_01 9 1 +RD_08 RS1_02 1 1 +RD_08 RS1_03 6 1 +RD_08 RS1_04 2 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 5 1 +RD_08 RS1_07 4 1 +RD_08 RS1_08 10 1 +RD_08 RS1_09 3 1 +RD_08 RS1_0a 7 1 +RD_08 RS1_0b 5 1 +RD_08 RS1_0c 4 1 +RD_08 RS1_0d 5 1 +RD_08 RS1_0e 5 1 +RD_08 RS1_0f 6 1 +RD_08 RS1_10 4 1 +RD_08 RS1_11 8 1 +RD_08 RS1_12 4 1 +RD_08 RS1_13 2 1 +RD_08 RS1_14 3 1 +RD_08 RS1_15 7 1 +RD_08 RS1_16 11 1 +RD_08 RS1_17 6 1 +RD_08 RS1_18 6 1 +RD_08 RS1_19 2 1 +RD_08 RS1_1a 7 1 +RD_08 RS1_1b 5 1 +RD_08 RS1_1c 5 1 +RD_08 RS1_1d 6 1 +RD_08 RS1_1e 7 1 +RD_08 RS1_1f 4 1 +RD_09 RS1_00 3 1 +RD_09 RS1_01 8 1 +RD_09 RS1_02 8 1 +RD_09 RS1_03 15 1 +RD_09 RS1_04 5 1 +RD_09 RS1_05 8 1 +RD_09 RS1_06 5 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 2 1 +RD_09 RS1_09 6 1 +RD_09 RS1_0a 5 1 +RD_09 RS1_0b 4 1 +RD_09 RS1_0c 9 1 +RD_09 RS1_0d 2 1 +RD_09 RS1_0e 7 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 1 1 +RD_09 RS1_11 10 1 +RD_09 RS1_12 3 1 +RD_09 RS1_13 3 1 +RD_09 RS1_14 4 1 +RD_09 RS1_15 2 1 +RD_09 RS1_16 2 1 +RD_09 RS1_17 6 1 +RD_09 RS1_18 5 1 +RD_09 RS1_19 12 1 +RD_09 RS1_1a 6 1 +RD_09 RS1_1b 6 1 +RD_09 RS1_1c 3 1 +RD_09 RS1_1d 9 1 +RD_09 RS1_1e 8 1 +RD_09 RS1_1f 9 1 +RD_0a RS1_00 3 1 +RD_0a RS1_01 3 1 +RD_0a RS1_02 10 1 +RD_0a RS1_03 4 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 4 1 +RD_0a RS1_06 9 1 +RD_0a RS1_07 4 1 +RD_0a RS1_08 6 1 +RD_0a RS1_09 1 1 +RD_0a RS1_0a 5 1 +RD_0a RS1_0b 4 1 +RD_0a RS1_0c 9 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 4 1 +RD_0a RS1_0f 7 1 +RD_0a RS1_10 8 1 +RD_0a RS1_11 5 1 +RD_0a RS1_12 4 1 +RD_0a RS1_13 7 1 +RD_0a RS1_14 1 1 +RD_0a RS1_15 6 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 5 1 +RD_0a RS1_19 4 1 +RD_0a RS1_1a 7 1 +RD_0a RS1_1b 9 1 +RD_0a RS1_1c 7 1 +RD_0a RS1_1d 5 1 +RD_0a RS1_1e 4 1 +RD_0a RS1_1f 1 1 +RD_0b RS1_00 7 1 +RD_0b RS1_01 4 1 +RD_0b RS1_02 9 1 +RD_0b RS1_03 1 1 +RD_0b RS1_04 5 1 +RD_0b RS1_05 2 1 +RD_0b RS1_06 5 1 +RD_0b RS1_07 4 1 +RD_0b RS1_08 6 1 +RD_0b RS1_09 4 1 +RD_0b RS1_0a 8 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 7 1 +RD_0b RS1_0d 6 1 +RD_0b RS1_0e 3 1 +RD_0b RS1_0f 5 1 +RD_0b RS1_10 5 1 +RD_0b RS1_11 9 1 +RD_0b RS1_12 7 1 +RD_0b RS1_13 8 1 +RD_0b RS1_14 2 1 +RD_0b RS1_15 8 1 +RD_0b RS1_16 4 1 +RD_0b RS1_17 9 1 +RD_0b RS1_18 4 1 +RD_0b RS1_19 6 1 +RD_0b RS1_1a 7 1 +RD_0b RS1_1b 7 1 +RD_0b RS1_1c 6 1 +RD_0b RS1_1d 1 1 +RD_0b RS1_1e 3 1 +RD_0b RS1_1f 6 1 +RD_0c RS1_00 5 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 5 1 +RD_0c RS1_03 9 1 +RD_0c RS1_04 5 1 +RD_0c RS1_05 3 1 +RD_0c RS1_06 7 1 +RD_0c RS1_07 3 1 +RD_0c RS1_08 6 1 +RD_0c RS1_09 6 1 +RD_0c RS1_0a 10 1 +RD_0c RS1_0b 2 1 +RD_0c RS1_0c 6 1 +RD_0c RS1_0d 5 1 +RD_0c RS1_0e 2 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 8 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 7 1 +RD_0c RS1_13 10 1 +RD_0c RS1_14 3 1 +RD_0c RS1_15 4 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 9 1 +RD_0c RS1_18 2 1 +RD_0c RS1_19 3 1 +RD_0c RS1_1a 4 1 +RD_0c RS1_1b 2 1 +RD_0c RS1_1c 7 1 +RD_0c RS1_1d 7 1 +RD_0c RS1_1e 7 1 +RD_0c RS1_1f 15 1 +RD_0d RS1_00 5 1 +RD_0d RS1_01 7 1 +RD_0d RS1_02 4 1 +RD_0d RS1_03 3 1 +RD_0d RS1_04 5 1 +RD_0d RS1_05 7 1 +RD_0d RS1_06 7 1 +RD_0d RS1_07 6 1 +RD_0d RS1_08 5 1 +RD_0d RS1_09 4 1 +RD_0d RS1_0a 11 1 +RD_0d RS1_0b 2 1 +RD_0d RS1_0c 6 1 +RD_0d RS1_0d 2 1 +RD_0d RS1_0e 5 1 +RD_0d RS1_0f 4 1 +RD_0d RS1_10 8 1 +RD_0d RS1_11 5 1 +RD_0d RS1_12 5 1 +RD_0d RS1_13 9 1 +RD_0d RS1_14 7 1 +RD_0d RS1_15 4 1 +RD_0d RS1_16 4 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 2 1 +RD_0d RS1_19 6 1 +RD_0d RS1_1a 5 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 8 1 +RD_0d RS1_1d 6 1 +RD_0d RS1_1e 5 1 +RD_0e RS1_00 6 1 +RD_0e RS1_01 4 1 +RD_0e RS1_02 7 1 +RD_0e RS1_03 6 1 +RD_0e RS1_04 9 1 +RD_0e RS1_05 3 1 +RD_0e RS1_06 3 1 +RD_0e RS1_07 8 1 +RD_0e RS1_08 2 1 +RD_0e RS1_09 5 1 +RD_0e RS1_0a 9 1 +RD_0e RS1_0b 3 1 +RD_0e RS1_0c 6 1 +RD_0e RS1_0d 5 1 +RD_0e RS1_0e 2 1 +RD_0e RS1_0f 5 1 +RD_0e RS1_10 8 1 +RD_0e RS1_11 8 1 +RD_0e RS1_12 5 1 +RD_0e RS1_13 8 1 +RD_0e RS1_14 2 1 +RD_0e RS1_15 3 1 +RD_0e RS1_16 4 1 +RD_0e RS1_17 3 1 +RD_0e RS1_18 5 1 +RD_0e RS1_19 7 1 +RD_0e RS1_1a 5 1 +RD_0e RS1_1b 4 1 +RD_0e RS1_1c 5 1 +RD_0e RS1_1d 4 1 +RD_0e RS1_1e 3 1 +RD_0e RS1_1f 5 1 +RD_0f RS1_00 6 1 +RD_0f RS1_01 7 1 +RD_0f RS1_02 4 1 +RD_0f RS1_03 7 1 +RD_0f RS1_04 4 1 +RD_0f RS1_05 4 1 +RD_0f RS1_06 4 1 +RD_0f RS1_07 6 1 +RD_0f RS1_08 3 1 +RD_0f RS1_09 5 1 +RD_0f RS1_0a 6 1 +RD_0f RS1_0b 2 1 +RD_0f RS1_0c 4 1 +RD_0f RS1_0d 7 1 +RD_0f RS1_0e 6 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 5 1 +RD_0f RS1_11 2 1 +RD_0f RS1_12 4 1 +RD_0f RS1_13 3 1 +RD_0f RS1_14 6 1 +RD_0f RS1_15 5 1 +RD_0f RS1_16 7 1 +RD_0f RS1_17 8 1 +RD_0f RS1_18 4 1 +RD_0f RS1_19 10 1 +RD_0f RS1_1a 2 1 +RD_0f RS1_1b 3 1 +RD_0f RS1_1c 3 1 +RD_0f RS1_1d 6 1 +RD_0f RS1_1e 9 1 +RD_0f RS1_1f 6 1 +RD_10 RS1_00 5 1 +RD_10 RS1_01 7 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 6 1 +RD_10 RS1_04 5 1 +RD_10 RS1_05 3 1 +RD_10 RS1_06 6 1 +RD_10 RS1_07 6 1 +RD_10 RS1_08 6 1 +RD_10 RS1_09 5 1 +RD_10 RS1_0a 9 1 +RD_10 RS1_0b 10 1 +RD_10 RS1_0c 11 1 +RD_10 RS1_0d 8 1 +RD_10 RS1_0e 8 1 +RD_10 RS1_0f 2 1 +RD_10 RS1_10 7 1 +RD_10 RS1_11 3 1 +RD_10 RS1_12 9 1 +RD_10 RS1_13 9 1 +RD_10 RS1_14 4 1 +RD_10 RS1_15 4 1 +RD_10 RS1_16 3 1 +RD_10 RS1_17 7 1 +RD_10 RS1_18 3 1 +RD_10 RS1_19 5 1 +RD_10 RS1_1a 3 1 +RD_10 RS1_1b 8 1 +RD_10 RS1_1c 10 1 +RD_10 RS1_1d 7 1 +RD_10 RS1_1e 6 1 +RD_10 RS1_1f 1 1 +RD_11 RS1_00 5 1 +RD_11 RS1_01 8 1 +RD_11 RS1_02 1 1 +RD_11 RS1_03 7 1 +RD_11 RS1_04 7 1 +RD_11 RS1_05 7 1 +RD_11 RS1_06 6 1 +RD_11 RS1_07 6 1 +RD_11 RS1_08 6 1 +RD_11 RS1_09 3 1 +RD_11 RS1_0a 6 1 +RD_11 RS1_0b 5 1 +RD_11 RS1_0c 4 1 +RD_11 RS1_0e 7 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 6 1 +RD_11 RS1_11 9 1 +RD_11 RS1_12 11 1 +RD_11 RS1_13 3 1 +RD_11 RS1_14 12 1 +RD_11 RS1_15 7 1 +RD_11 RS1_16 5 1 +RD_11 RS1_17 7 1 +RD_11 RS1_18 3 1 +RD_11 RS1_19 3 1 +RD_11 RS1_1a 2 1 +RD_11 RS1_1b 6 1 +RD_11 RS1_1c 3 1 +RD_11 RS1_1d 4 1 +RD_11 RS1_1e 7 1 +RD_11 RS1_1f 5 1 +RD_12 RS1_00 6 1 +RD_12 RS1_01 3 1 +RD_12 RS1_02 8 1 +RD_12 RS1_03 7 1 +RD_12 RS1_04 6 1 +RD_12 RS1_05 5 1 +RD_12 RS1_06 4 1 +RD_12 RS1_07 2 1 +RD_12 RS1_08 4 1 +RD_12 RS1_09 2 1 +RD_12 RS1_0a 8 1 +RD_12 RS1_0b 6 1 +RD_12 RS1_0c 5 1 +RD_12 RS1_0d 8 1 +RD_12 RS1_0e 2 1 +RD_12 RS1_0f 9 1 +RD_12 RS1_10 2 1 +RD_12 RS1_11 6 1 +RD_12 RS1_12 5 1 +RD_12 RS1_13 3 1 +RD_12 RS1_14 5 1 +RD_12 RS1_15 9 1 +RD_12 RS1_16 3 1 +RD_12 RS1_17 9 1 +RD_12 RS1_18 4 1 +RD_12 RS1_19 10 1 +RD_12 RS1_1a 1 1 +RD_12 RS1_1b 7 1 +RD_12 RS1_1c 4 1 +RD_12 RS1_1d 6 1 +RD_12 RS1_1e 6 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 6 1 +RD_13 RS1_01 5 1 +RD_13 RS1_02 9 1 +RD_13 RS1_03 6 1 +RD_13 RS1_04 2 1 +RD_13 RS1_05 9 1 +RD_13 RS1_06 5 1 +RD_13 RS1_07 10 1 +RD_13 RS1_08 6 1 +RD_13 RS1_09 8 1 +RD_13 RS1_0a 9 1 +RD_13 RS1_0b 2 1 +RD_13 RS1_0c 6 1 +RD_13 RS1_0d 12 1 +RD_13 RS1_0e 7 1 +RD_13 RS1_0f 6 1 +RD_13 RS1_10 4 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 6 1 +RD_13 RS1_13 2 1 +RD_13 RS1_14 5 1 +RD_13 RS1_15 8 1 +RD_13 RS1_17 5 1 +RD_13 RS1_18 3 1 +RD_13 RS1_19 2 1 +RD_13 RS1_1a 4 1 +RD_13 RS1_1b 3 1 +RD_13 RS1_1c 6 1 +RD_13 RS1_1d 6 1 +RD_13 RS1_1e 7 1 +RD_13 RS1_1f 2 1 +RD_14 RS1_00 7 1 +RD_14 RS1_01 5 1 +RD_14 RS1_02 3 1 +RD_14 RS1_03 7 1 +RD_14 RS1_04 11 1 +RD_14 RS1_05 4 1 +RD_14 RS1_06 3 1 +RD_14 RS1_07 3 1 +RD_14 RS1_09 6 1 +RD_14 RS1_0a 5 1 +RD_14 RS1_0b 5 1 +RD_14 RS1_0c 8 1 +RD_14 RS1_0d 7 1 +RD_14 RS1_0e 3 1 +RD_14 RS1_0f 4 1 +RD_14 RS1_10 9 1 +RD_14 RS1_11 9 1 +RD_14 RS1_12 8 1 +RD_14 RS1_13 9 1 +RD_14 RS1_14 7 1 +RD_14 RS1_15 7 1 +RD_14 RS1_16 5 1 +RD_14 RS1_17 6 1 +RD_14 RS1_18 8 1 +RD_14 RS1_19 4 1 +RD_14 RS1_1a 4 1 +RD_14 RS1_1b 9 1 +RD_14 RS1_1c 5 1 +RD_14 RS1_1d 4 1 +RD_14 RS1_1e 19 1 +RD_14 RS1_1f 10 1 +RD_15 RS1_00 8 1 +RD_15 RS1_01 5 1 +RD_15 RS1_02 9 1 +RD_15 RS1_03 2 1 +RD_15 RS1_04 4 1 +RD_15 RS1_05 6 1 +RD_15 RS1_06 7 1 +RD_15 RS1_07 7 1 +RD_15 RS1_08 7 1 +RD_15 RS1_09 5 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 6 1 +RD_15 RS1_0c 6 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 7 1 +RD_15 RS1_0f 3 1 +RD_15 RS1_10 2 1 +RD_15 RS1_11 5 1 +RD_15 RS1_12 3 1 +RD_15 RS1_13 5 1 +RD_15 RS1_14 9 1 +RD_15 RS1_15 4 1 +RD_15 RS1_16 2 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 6 1 +RD_15 RS1_19 7 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 5 1 +RD_15 RS1_1c 9 1 +RD_15 RS1_1d 1 1 +RD_15 RS1_1e 5 1 +RD_15 RS1_1f 2 1 +RD_16 RS1_00 1 1 +RD_16 RS1_01 5 1 +RD_16 RS1_02 9 1 +RD_16 RS1_03 1 1 +RD_16 RS1_04 3 1 +RD_16 RS1_05 2 1 +RD_16 RS1_06 9 1 +RD_16 RS1_07 5 1 +RD_16 RS1_08 5 1 +RD_16 RS1_09 7 1 +RD_16 RS1_0a 2 1 +RD_16 RS1_0b 6 1 +RD_16 RS1_0c 9 1 +RD_16 RS1_0d 7 1 +RD_16 RS1_0e 7 1 +RD_16 RS1_0f 3 1 +RD_16 RS1_10 5 1 +RD_16 RS1_11 6 1 +RD_16 RS1_12 3 1 +RD_16 RS1_13 13 1 +RD_16 RS1_14 6 1 +RD_16 RS1_15 6 1 +RD_16 RS1_16 5 1 +RD_16 RS1_17 5 1 +RD_16 RS1_18 5 1 +RD_16 RS1_19 2 1 +RD_16 RS1_1a 3 1 +RD_16 RS1_1b 6 1 +RD_16 RS1_1c 1 1 +RD_16 RS1_1d 5 1 +RD_16 RS1_1e 5 1 +RD_16 RS1_1f 6 1 +RD_17 RS1_00 2 1 +RD_17 RS1_01 5 1 +RD_17 RS1_02 8 1 +RD_17 RS1_03 5 1 +RD_17 RS1_04 8 1 +RD_17 RS1_05 3 1 +RD_17 RS1_06 3 1 +RD_17 RS1_07 5 1 +RD_17 RS1_08 9 1 +RD_17 RS1_09 9 1 +RD_17 RS1_0a 7 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 2 1 +RD_17 RS1_0d 9 1 +RD_17 RS1_0e 8 1 +RD_17 RS1_0f 8 1 +RD_17 RS1_10 5 1 +RD_17 RS1_11 2 1 +RD_17 RS1_12 2 1 +RD_17 RS1_13 7 1 +RD_17 RS1_14 7 1 +RD_17 RS1_15 5 1 +RD_17 RS1_16 3 1 +RD_17 RS1_17 7 1 +RD_17 RS1_18 4 1 +RD_17 RS1_19 2 1 +RD_17 RS1_1a 8 1 +RD_17 RS1_1b 3 1 +RD_17 RS1_1c 6 1 +RD_17 RS1_1d 7 1 +RD_17 RS1_1e 3 1 +RD_17 RS1_1f 3 1 +RD_18 RS1_00 4 1 +RD_18 RS1_01 8 1 +RD_18 RS1_02 3 1 +RD_18 RS1_03 5 1 +RD_18 RS1_04 2 1 +RD_18 RS1_05 8 1 +RD_18 RS1_06 4 1 +RD_18 RS1_07 9 1 +RD_18 RS1_08 7 1 +RD_18 RS1_09 3 1 +RD_18 RS1_0a 12 1 +RD_18 RS1_0b 10 1 +RD_18 RS1_0c 8 1 +RD_18 RS1_0d 3 1 +RD_18 RS1_0e 2 1 +RD_18 RS1_0f 5 1 +RD_18 RS1_10 2 1 +RD_18 RS1_11 6 1 +RD_18 RS1_12 1 1 +RD_18 RS1_13 2 1 +RD_18 RS1_14 5 1 +RD_18 RS1_15 5 1 +RD_18 RS1_16 5 1 +RD_18 RS1_17 6 1 +RD_18 RS1_18 3 1 +RD_18 RS1_19 3 1 +RD_18 RS1_1a 1 1 +RD_18 RS1_1b 1 1 +RD_18 RS1_1c 5 1 +RD_18 RS1_1d 5 1 +RD_18 RS1_1e 4 1 +RD_18 RS1_1f 2 1 +RD_19 RS1_00 4 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 3 1 +RD_19 RS1_03 5 1 +RD_19 RS1_04 2 1 +RD_19 RS1_05 5 1 +RD_19 RS1_06 1 1 +RD_19 RS1_07 7 1 +RD_19 RS1_08 5 1 +RD_19 RS1_09 2 1 +RD_19 RS1_0a 4 1 +RD_19 RS1_0b 3 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 7 1 +RD_19 RS1_0e 9 1 +RD_19 RS1_0f 5 1 +RD_19 RS1_10 3 1 +RD_19 RS1_11 4 1 +RD_19 RS1_12 5 1 +RD_19 RS1_13 8 1 +RD_19 RS1_14 6 1 +RD_19 RS1_15 6 1 +RD_19 RS1_16 3 1 +RD_19 RS1_17 3 1 +RD_19 RS1_18 4 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 6 1 +RD_19 RS1_1b 6 1 +RD_19 RS1_1c 4 1 +RD_19 RS1_1d 5 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 6 1 +RD_1a RS1_00 9 1 +RD_1a RS1_01 4 1 +RD_1a RS1_02 6 1 +RD_1a RS1_03 2 1 +RD_1a RS1_04 6 1 +RD_1a RS1_05 3 1 +RD_1a RS1_06 3 1 +RD_1a RS1_07 9 1 +RD_1a RS1_08 3 1 +RD_1a RS1_09 6 1 +RD_1a RS1_0a 2 1 +RD_1a RS1_0b 2 1 +RD_1a RS1_0c 4 1 +RD_1a RS1_0d 1 1 +RD_1a RS1_0e 9 1 +RD_1a RS1_0f 5 1 +RD_1a RS1_10 10 1 +RD_1a RS1_11 4 1 +RD_1a RS1_12 1 1 +RD_1a RS1_13 4 1 +RD_1a RS1_14 7 1 +RD_1a RS1_15 5 1 +RD_1a RS1_16 3 1 +RD_1a RS1_17 5 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 5 1 +RD_1a RS1_1a 7 1 +RD_1a RS1_1b 4 1 +RD_1a RS1_1c 3 1 +RD_1a RS1_1d 6 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 4 1 +RD_1b RS1_00 3 1 +RD_1b RS1_01 5 1 +RD_1b RS1_02 1 1 +RD_1b RS1_03 5 1 +RD_1b RS1_04 3 1 +RD_1b RS1_05 2 1 +RD_1b RS1_06 2 1 +RD_1b RS1_07 1 1 +RD_1b RS1_08 2 1 +RD_1b RS1_09 4 1 +RD_1b RS1_0a 2 1 +RD_1b RS1_0b 4 1 +RD_1b RS1_0c 5 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 5 1 +RD_1b RS1_0f 3 1 +RD_1b RS1_10 4 1 +RD_1b RS1_11 5 1 +RD_1b RS1_12 5 1 +RD_1b RS1_13 2 1 +RD_1b RS1_14 8 1 +RD_1b RS1_15 2 1 +RD_1b RS1_16 7 1 +RD_1b RS1_17 3 1 +RD_1b RS1_18 10 1 +RD_1b RS1_19 5 1 +RD_1b RS1_1a 4 1 +RD_1b RS1_1b 5 1 +RD_1b RS1_1c 5 1 +RD_1b RS1_1d 7 1 +RD_1b RS1_1e 4 1 +RD_1b RS1_1f 3 1 +RD_1c RS1_00 5 1 +RD_1c RS1_01 4 1 +RD_1c RS1_02 4 1 +RD_1c RS1_03 3 1 +RD_1c RS1_04 3 1 +RD_1c RS1_05 8 1 +RD_1c RS1_06 4 1 +RD_1c RS1_07 6 1 +RD_1c RS1_08 3 1 +RD_1c RS1_09 2 1 +RD_1c RS1_0a 5 1 +RD_1c RS1_0b 5 1 +RD_1c RS1_0c 6 1 +RD_1c RS1_0d 4 1 +RD_1c RS1_0e 6 1 +RD_1c RS1_0f 1 1 +RD_1c RS1_10 2 1 +RD_1c RS1_11 4 1 +RD_1c RS1_12 4 1 +RD_1c RS1_13 9 1 +RD_1c RS1_14 9 1 +RD_1c RS1_15 3 1 +RD_1c RS1_16 4 1 +RD_1c RS1_17 4 1 +RD_1c RS1_18 7 1 +RD_1c RS1_19 2 1 +RD_1c RS1_1a 10 1 +RD_1c RS1_1b 6 1 +RD_1c RS1_1c 2 1 +RD_1c RS1_1d 5 1 +RD_1c RS1_1e 7 1 +RD_1c RS1_1f 5 1 +RD_1d RS1_00 3 1 +RD_1d RS1_01 8 1 +RD_1d RS1_02 9 1 +RD_1d RS1_03 7 1 +RD_1d RS1_04 6 1 +RD_1d RS1_05 4 1 +RD_1d RS1_06 2 1 +RD_1d RS1_07 8 1 +RD_1d RS1_08 4 1 +RD_1d RS1_09 6 1 +RD_1d RS1_0a 5 1 +RD_1d RS1_0b 5 1 +RD_1d RS1_0c 7 1 +RD_1d RS1_0d 3 1 +RD_1d RS1_0e 3 1 +RD_1d RS1_0f 8 1 +RD_1d RS1_10 7 1 +RD_1d RS1_11 9 1 +RD_1d RS1_12 4 1 +RD_1d RS1_13 14 1 +RD_1d RS1_14 6 1 +RD_1d RS1_15 6 1 +RD_1d RS1_16 7 1 +RD_1d RS1_17 3 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 2 1 +RD_1d RS1_1a 5 1 +RD_1d RS1_1b 7 1 +RD_1d RS1_1c 8 1 +RD_1d RS1_1d 3 1 +RD_1d RS1_1e 6 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 6 1 +RD_1e RS1_02 7 1 +RD_1e RS1_03 7 1 +RD_1e RS1_04 2 1 +RD_1e RS1_05 2 1 +RD_1e RS1_06 10 1 +RD_1e RS1_07 10 1 +RD_1e RS1_08 5 1 +RD_1e RS1_09 4 1 +RD_1e RS1_0a 2 1 +RD_1e RS1_0b 4 1 +RD_1e RS1_0c 3 1 +RD_1e RS1_0d 9 1 +RD_1e RS1_0e 6 1 +RD_1e RS1_0f 4 1 +RD_1e RS1_10 11 1 +RD_1e RS1_11 7 1 +RD_1e RS1_12 4 1 +RD_1e RS1_13 6 1 +RD_1e RS1_14 7 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 3 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 2 1 +RD_1e RS1_1a 3 1 +RD_1e RS1_1b 4 1 +RD_1e RS1_1c 5 1 +RD_1e RS1_1d 1 1 +RD_1e RS1_1e 6 1 +RD_1e RS1_1f 5 1 +RD_1f RS1_00 4 1 +RD_1f RS1_01 9 1 +RD_1f RS1_02 3 1 +RD_1f RS1_03 6 1 +RD_1f RS1_04 4 1 +RD_1f RS1_05 4 1 +RD_1f RS1_06 6 1 +RD_1f RS1_07 3 1 +RD_1f RS1_08 9 1 +RD_1f RS1_09 6 1 +RD_1f RS1_0a 9 1 +RD_1f RS1_0b 6 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 5 1 +RD_1f RS1_0e 3 1 +RD_1f RS1_0f 3 1 +RD_1f RS1_10 3 1 +RD_1f RS1_11 2 1 +RD_1f RS1_12 3 1 +RD_1f RS1_13 5 1 +RD_1f RS1_14 10 1 +RD_1f RS1_15 2 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 8 1 +RD_1f RS1_18 2 1 +RD_1f RS1_19 8 1 +RD_1f RS1_1a 5 1 +RD_1f RS1_1b 6 1 +RD_1f RS1_1c 4 1 +RD_1f RS1_1d 6 1 +RD_1f RS1_1e 4 1 +RD_1f RS1_1f 3 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 6 1018 99.41 6 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_02] [RS2_17] 0 1 1 +[RD_04] [RS2_04] 0 1 1 +[RD_0c] [RS2_0b] 0 1 1 +[RD_11] [RS2_1f] 0 1 1 +[RD_16] [RS2_0a] 0 1 1 +[RD_16] [RS2_10] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 4 1 +RD_00 RS2_01 8 1 +RD_00 RS2_02 4 1 +RD_00 RS2_03 7 1 +RD_00 RS2_04 9 1 +RD_00 RS2_05 1 1 +RD_00 RS2_06 6 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 6 1 +RD_00 RS2_09 3 1 +RD_00 RS2_0a 6 1 +RD_00 RS2_0b 7 1 +RD_00 RS2_0c 2 1 +RD_00 RS2_0d 4 1 +RD_00 RS2_0e 10 1 +RD_00 RS2_0f 6 1 +RD_00 RS2_10 4 1 +RD_00 RS2_11 7 1 +RD_00 RS2_12 8 1 +RD_00 RS2_13 2 1 +RD_00 RS2_14 4 1 +RD_00 RS2_15 6 1 +RD_00 RS2_16 3 1 +RD_00 RS2_17 4 1 +RD_00 RS2_18 6 1 +RD_00 RS2_19 5 1 +RD_00 RS2_1a 7 1 +RD_00 RS2_1b 13 1 +RD_00 RS2_1c 2 1 +RD_00 RS2_1d 8 1 +RD_00 RS2_1e 4 1 +RD_00 RS2_1f 9 1 +RD_01 RS2_00 7 1 +RD_01 RS2_01 4 1 +RD_01 RS2_02 2 1 +RD_01 RS2_03 4 1 +RD_01 RS2_04 3 1 +RD_01 RS2_05 5 1 +RD_01 RS2_06 1 1 +RD_01 RS2_07 3 1 +RD_01 RS2_08 4 1 +RD_01 RS2_09 9 1 +RD_01 RS2_0a 5 1 +RD_01 RS2_0b 10 1 +RD_01 RS2_0c 6 1 +RD_01 RS2_0d 4 1 +RD_01 RS2_0e 8 1 +RD_01 RS2_0f 3 1 +RD_01 RS2_10 1 1 +RD_01 RS2_11 7 1 +RD_01 RS2_12 2 1 +RD_01 RS2_13 8 1 +RD_01 RS2_14 10 1 +RD_01 RS2_15 2 1 +RD_01 RS2_16 4 1 +RD_01 RS2_17 3 1 +RD_01 RS2_18 7 1 +RD_01 RS2_19 8 1 +RD_01 RS2_1a 9 1 +RD_01 RS2_1b 6 1 +RD_01 RS2_1c 4 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 3 1 +RD_01 RS2_1f 6 1 +RD_02 RS2_00 3 1 +RD_02 RS2_01 5 1 +RD_02 RS2_02 5 1 +RD_02 RS2_03 3 1 +RD_02 RS2_04 6 1 +RD_02 RS2_05 10 1 +RD_02 RS2_06 6 1 +RD_02 RS2_07 4 1 +RD_02 RS2_08 5 1 +RD_02 RS2_09 3 1 +RD_02 RS2_0a 11 1 +RD_02 RS2_0b 12 1 +RD_02 RS2_0c 4 1 +RD_02 RS2_0d 5 1 +RD_02 RS2_0e 11 1 +RD_02 RS2_0f 3 1 +RD_02 RS2_10 4 1 +RD_02 RS2_11 1 1 +RD_02 RS2_12 5 1 +RD_02 RS2_13 2 1 +RD_02 RS2_14 8 1 +RD_02 RS2_15 6 1 +RD_02 RS2_16 2 1 +RD_02 RS2_18 3 1 +RD_02 RS2_19 6 1 +RD_02 RS2_1a 2 1 +RD_02 RS2_1b 5 1 +RD_02 RS2_1c 1 1 +RD_02 RS2_1d 5 1 +RD_02 RS2_1e 7 1 +RD_02 RS2_1f 9 1 +RD_03 RS2_00 6 1 +RD_03 RS2_01 3 1 +RD_03 RS2_02 2 1 +RD_03 RS2_03 3 1 +RD_03 RS2_04 9 1 +RD_03 RS2_05 3 1 +RD_03 RS2_06 6 1 +RD_03 RS2_07 6 1 +RD_03 RS2_08 12 1 +RD_03 RS2_09 6 1 +RD_03 RS2_0a 5 1 +RD_03 RS2_0b 4 1 +RD_03 RS2_0c 4 1 +RD_03 RS2_0d 5 1 +RD_03 RS2_0e 8 1 +RD_03 RS2_0f 6 1 +RD_03 RS2_10 6 1 +RD_03 RS2_11 9 1 +RD_03 RS2_12 10 1 +RD_03 RS2_13 3 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 6 1 +RD_03 RS2_16 8 1 +RD_03 RS2_17 6 1 +RD_03 RS2_18 4 1 +RD_03 RS2_19 7 1 +RD_03 RS2_1a 8 1 +RD_03 RS2_1b 7 1 +RD_03 RS2_1c 7 1 +RD_03 RS2_1d 4 1 +RD_03 RS2_1e 7 1 +RD_03 RS2_1f 7 1 +RD_04 RS2_00 1 1 +RD_04 RS2_01 2 1 +RD_04 RS2_02 2 1 +RD_04 RS2_03 4 1 +RD_04 RS2_05 8 1 +RD_04 RS2_06 7 1 +RD_04 RS2_07 4 1 +RD_04 RS2_08 2 1 +RD_04 RS2_09 8 1 +RD_04 RS2_0a 4 1 +RD_04 RS2_0b 7 1 +RD_04 RS2_0c 3 1 +RD_04 RS2_0d 3 1 +RD_04 RS2_0e 6 1 +RD_04 RS2_0f 6 1 +RD_04 RS2_10 1 1 +RD_04 RS2_11 8 1 +RD_04 RS2_12 1 1 +RD_04 RS2_13 6 1 +RD_04 RS2_14 3 1 +RD_04 RS2_15 4 1 +RD_04 RS2_16 2 1 +RD_04 RS2_17 7 1 +RD_04 RS2_18 7 1 +RD_04 RS2_19 4 1 +RD_04 RS2_1a 5 1 +RD_04 RS2_1b 8 1 +RD_04 RS2_1c 5 1 +RD_04 RS2_1d 6 1 +RD_04 RS2_1e 8 1 +RD_04 RS2_1f 6 1 +RD_05 RS2_00 6 1 +RD_05 RS2_01 4 1 +RD_05 RS2_02 5 1 +RD_05 RS2_03 4 1 +RD_05 RS2_04 10 1 +RD_05 RS2_05 5 1 +RD_05 RS2_06 7 1 +RD_05 RS2_07 6 1 +RD_05 RS2_08 7 1 +RD_05 RS2_09 5 1 +RD_05 RS2_0a 6 1 +RD_05 RS2_0b 5 1 +RD_05 RS2_0c 6 1 +RD_05 RS2_0d 3 1 +RD_05 RS2_0e 6 1 +RD_05 RS2_0f 3 1 +RD_05 RS2_10 3 1 +RD_05 RS2_11 2 1 +RD_05 RS2_12 13 1 +RD_05 RS2_13 3 1 +RD_05 RS2_14 12 1 +RD_05 RS2_15 5 1 +RD_05 RS2_16 3 1 +RD_05 RS2_17 8 1 +RD_05 RS2_18 5 1 +RD_05 RS2_19 10 1 +RD_05 RS2_1a 6 1 +RD_05 RS2_1b 12 1 +RD_05 RS2_1c 7 1 +RD_05 RS2_1d 2 1 +RD_05 RS2_1e 5 1 +RD_05 RS2_1f 3 1 +RD_06 RS2_00 6 1 +RD_06 RS2_01 5 1 +RD_06 RS2_02 2 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 8 1 +RD_06 RS2_05 8 1 +RD_06 RS2_06 10 1 +RD_06 RS2_07 8 1 +RD_06 RS2_08 6 1 +RD_06 RS2_09 3 1 +RD_06 RS2_0a 8 1 +RD_06 RS2_0b 7 1 +RD_06 RS2_0c 4 1 +RD_06 RS2_0d 6 1 +RD_06 RS2_0e 5 1 +RD_06 RS2_0f 6 1 +RD_06 RS2_10 1 1 +RD_06 RS2_11 1 1 +RD_06 RS2_12 3 1 +RD_06 RS2_13 7 1 +RD_06 RS2_14 5 1 +RD_06 RS2_15 3 1 +RD_06 RS2_16 2 1 +RD_06 RS2_17 4 1 +RD_06 RS2_18 5 1 +RD_06 RS2_19 3 1 +RD_06 RS2_1a 2 1 +RD_06 RS2_1b 3 1 +RD_06 RS2_1c 6 1 +RD_06 RS2_1d 8 1 +RD_06 RS2_1e 6 1 +RD_06 RS2_1f 4 1 +RD_07 RS2_00 9 1 +RD_07 RS2_01 4 1 +RD_07 RS2_02 5 1 +RD_07 RS2_03 6 1 +RD_07 RS2_04 2 1 +RD_07 RS2_05 5 1 +RD_07 RS2_06 9 1 +RD_07 RS2_07 7 1 +RD_07 RS2_08 8 1 +RD_07 RS2_09 5 1 +RD_07 RS2_0a 3 1 +RD_07 RS2_0b 5 1 +RD_07 RS2_0c 5 1 +RD_07 RS2_0d 9 1 +RD_07 RS2_0e 5 1 +RD_07 RS2_0f 6 1 +RD_07 RS2_10 2 1 +RD_07 RS2_11 4 1 +RD_07 RS2_12 4 1 +RD_07 RS2_13 8 1 +RD_07 RS2_14 4 1 +RD_07 RS2_15 7 1 +RD_07 RS2_16 5 1 +RD_07 RS2_17 11 1 +RD_07 RS2_18 5 1 +RD_07 RS2_19 10 1 +RD_07 RS2_1a 6 1 +RD_07 RS2_1b 5 1 +RD_07 RS2_1c 1 1 +RD_07 RS2_1d 2 1 +RD_07 RS2_1e 4 1 +RD_07 RS2_1f 9 1 +RD_08 RS2_00 3 1 +RD_08 RS2_01 9 1 +RD_08 RS2_02 9 1 +RD_08 RS2_03 4 1 +RD_08 RS2_04 4 1 +RD_08 RS2_05 5 1 +RD_08 RS2_06 5 1 +RD_08 RS2_07 7 1 +RD_08 RS2_08 6 1 +RD_08 RS2_09 6 1 +RD_08 RS2_0a 5 1 +RD_08 RS2_0b 5 1 +RD_08 RS2_0c 8 1 +RD_08 RS2_0d 5 1 +RD_08 RS2_0e 7 1 +RD_08 RS2_0f 5 1 +RD_08 RS2_10 5 1 +RD_08 RS2_11 4 1 +RD_08 RS2_12 7 1 +RD_08 RS2_13 8 1 +RD_08 RS2_14 6 1 +RD_08 RS2_15 3 1 +RD_08 RS2_16 4 1 +RD_08 RS2_17 7 1 +RD_08 RS2_18 1 1 +RD_08 RS2_19 3 1 +RD_08 RS2_1a 5 1 +RD_08 RS2_1b 3 1 +RD_08 RS2_1c 2 1 +RD_08 RS2_1d 9 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 4 1 +RD_09 RS2_00 11 1 +RD_09 RS2_01 3 1 +RD_09 RS2_02 8 1 +RD_09 RS2_03 5 1 +RD_09 RS2_04 7 1 +RD_09 RS2_05 4 1 +RD_09 RS2_06 5 1 +RD_09 RS2_07 4 1 +RD_09 RS2_08 6 1 +RD_09 RS2_09 6 1 +RD_09 RS2_0a 4 1 +RD_09 RS2_0b 8 1 +RD_09 RS2_0c 8 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 5 1 +RD_09 RS2_0f 10 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 4 1 +RD_09 RS2_12 6 1 +RD_09 RS2_13 3 1 +RD_09 RS2_14 6 1 +RD_09 RS2_15 3 1 +RD_09 RS2_16 6 1 +RD_09 RS2_17 3 1 +RD_09 RS2_18 3 1 +RD_09 RS2_19 8 1 +RD_09 RS2_1a 6 1 +RD_09 RS2_1b 5 1 +RD_09 RS2_1c 9 1 +RD_09 RS2_1d 6 1 +RD_09 RS2_1e 8 1 +RD_09 RS2_1f 8 1 +RD_0a RS2_00 2 1 +RD_0a RS2_01 1 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 5 1 +RD_0a RS2_04 5 1 +RD_0a RS2_05 2 1 +RD_0a RS2_06 2 1 +RD_0a RS2_07 14 1 +RD_0a RS2_08 3 1 +RD_0a RS2_09 10 1 +RD_0a RS2_0a 6 1 +RD_0a RS2_0b 9 1 +RD_0a RS2_0c 6 1 +RD_0a RS2_0d 5 1 +RD_0a RS2_0e 2 1 +RD_0a RS2_0f 6 1 +RD_0a RS2_10 4 1 +RD_0a RS2_11 2 1 +RD_0a RS2_12 1 1 +RD_0a RS2_13 1 1 +RD_0a RS2_14 9 1 +RD_0a RS2_15 6 1 +RD_0a RS2_16 1 1 +RD_0a RS2_17 2 1 +RD_0a RS2_18 8 1 +RD_0a RS2_19 10 1 +RD_0a RS2_1a 3 1 +RD_0a RS2_1b 2 1 +RD_0a RS2_1c 8 1 +RD_0a RS2_1d 5 1 +RD_0a RS2_1e 3 1 +RD_0a RS2_1f 10 1 +RD_0b RS2_00 3 1 +RD_0b RS2_01 8 1 +RD_0b RS2_02 6 1 +RD_0b RS2_03 5 1 +RD_0b RS2_04 4 1 +RD_0b RS2_05 5 1 +RD_0b RS2_06 11 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 2 1 +RD_0b RS2_09 7 1 +RD_0b RS2_0a 6 1 +RD_0b RS2_0b 3 1 +RD_0b RS2_0c 2 1 +RD_0b RS2_0d 3 1 +RD_0b RS2_0e 3 1 +RD_0b RS2_0f 9 1 +RD_0b RS2_10 1 1 +RD_0b RS2_11 11 1 +RD_0b RS2_12 4 1 +RD_0b RS2_13 8 1 +RD_0b RS2_14 3 1 +RD_0b RS2_15 3 1 +RD_0b RS2_16 4 1 +RD_0b RS2_17 4 1 +RD_0b RS2_18 6 1 +RD_0b RS2_19 5 1 +RD_0b RS2_1a 1 1 +RD_0b RS2_1b 8 1 +RD_0b RS2_1c 9 1 +RD_0b RS2_1d 7 1 +RD_0b RS2_1e 11 1 +RD_0b RS2_1f 6 1 +RD_0c RS2_00 4 1 +RD_0c RS2_01 4 1 +RD_0c RS2_02 7 1 +RD_0c RS2_03 4 1 +RD_0c RS2_04 3 1 +RD_0c RS2_05 9 1 +RD_0c RS2_06 1 1 +RD_0c RS2_07 8 1 +RD_0c RS2_08 7 1 +RD_0c RS2_09 9 1 +RD_0c RS2_0a 10 1 +RD_0c RS2_0c 9 1 +RD_0c RS2_0d 6 1 +RD_0c RS2_0e 8 1 +RD_0c RS2_0f 5 1 +RD_0c RS2_10 7 1 +RD_0c RS2_11 10 1 +RD_0c RS2_12 11 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 2 1 +RD_0c RS2_15 6 1 +RD_0c RS2_16 3 1 +RD_0c RS2_17 4 1 +RD_0c RS2_18 4 1 +RD_0c RS2_19 3 1 +RD_0c RS2_1a 4 1 +RD_0c RS2_1b 5 1 +RD_0c RS2_1c 7 1 +RD_0c RS2_1d 8 1 +RD_0c RS2_1e 2 1 +RD_0c RS2_1f 5 1 +RD_0d RS2_00 5 1 +RD_0d RS2_01 5 1 +RD_0d RS2_02 3 1 +RD_0d RS2_03 6 1 +RD_0d RS2_04 7 1 +RD_0d RS2_05 4 1 +RD_0d RS2_06 3 1 +RD_0d RS2_07 4 1 +RD_0d RS2_08 4 1 +RD_0d RS2_09 4 1 +RD_0d RS2_0a 11 1 +RD_0d RS2_0b 4 1 +RD_0d RS2_0c 1 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 6 1 +RD_0d RS2_0f 7 1 +RD_0d RS2_10 3 1 +RD_0d RS2_11 9 1 +RD_0d RS2_12 3 1 +RD_0d RS2_13 4 1 +RD_0d RS2_14 6 1 +RD_0d RS2_15 7 1 +RD_0d RS2_16 6 1 +RD_0d RS2_17 4 1 +RD_0d RS2_18 2 1 +RD_0d RS2_19 4 1 +RD_0d RS2_1a 8 1 +RD_0d RS2_1b 6 1 +RD_0d RS2_1c 4 1 +RD_0d RS2_1d 4 1 +RD_0d RS2_1e 4 1 +RD_0d RS2_1f 8 1 +RD_0e RS2_00 5 1 +RD_0e RS2_01 3 1 +RD_0e RS2_02 6 1 +RD_0e RS2_03 5 1 +RD_0e RS2_04 7 1 +RD_0e RS2_05 4 1 +RD_0e RS2_06 8 1 +RD_0e RS2_07 4 1 +RD_0e RS2_08 4 1 +RD_0e RS2_09 4 1 +RD_0e RS2_0a 6 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 3 1 +RD_0e RS2_0d 2 1 +RD_0e RS2_0e 3 1 +RD_0e RS2_0f 2 1 +RD_0e RS2_10 2 1 +RD_0e RS2_11 4 1 +RD_0e RS2_12 8 1 +RD_0e RS2_13 6 1 +RD_0e RS2_14 3 1 +RD_0e RS2_15 5 1 +RD_0e RS2_16 4 1 +RD_0e RS2_17 5 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 11 1 +RD_0e RS2_1a 3 1 +RD_0e RS2_1b 7 1 +RD_0e RS2_1c 6 1 +RD_0e RS2_1d 6 1 +RD_0e RS2_1e 6 1 +RD_0e RS2_1f 11 1 +RD_0f RS2_00 5 1 +RD_0f RS2_01 4 1 +RD_0f RS2_02 3 1 +RD_0f RS2_03 4 1 +RD_0f RS2_04 2 1 +RD_0f RS2_05 8 1 +RD_0f RS2_06 10 1 +RD_0f RS2_07 9 1 +RD_0f RS2_08 1 1 +RD_0f RS2_09 2 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 7 1 +RD_0f RS2_0c 15 1 +RD_0f RS2_0d 6 1 +RD_0f RS2_0e 4 1 +RD_0f RS2_0f 5 1 +RD_0f RS2_10 5 1 +RD_0f RS2_11 12 1 +RD_0f RS2_12 3 1 +RD_0f RS2_13 3 1 +RD_0f RS2_14 7 1 +RD_0f RS2_15 3 1 +RD_0f RS2_16 3 1 +RD_0f RS2_17 5 1 +RD_0f RS2_18 8 1 +RD_0f RS2_19 4 1 +RD_0f RS2_1a 4 1 +RD_0f RS2_1b 3 1 +RD_0f RS2_1c 5 1 +RD_0f RS2_1d 1 1 +RD_0f RS2_1e 4 1 +RD_0f RS2_1f 5 1 +RD_10 RS2_00 6 1 +RD_10 RS2_01 6 1 +RD_10 RS2_02 5 1 +RD_10 RS2_03 7 1 +RD_10 RS2_04 4 1 +RD_10 RS2_05 5 1 +RD_10 RS2_06 8 1 +RD_10 RS2_07 4 1 +RD_10 RS2_08 9 1 +RD_10 RS2_09 7 1 +RD_10 RS2_0a 7 1 +RD_10 RS2_0b 4 1 +RD_10 RS2_0c 7 1 +RD_10 RS2_0d 8 1 +RD_10 RS2_0e 5 1 +RD_10 RS2_0f 2 1 +RD_10 RS2_10 9 1 +RD_10 RS2_11 3 1 +RD_10 RS2_12 4 1 +RD_10 RS2_13 2 1 +RD_10 RS2_14 8 1 +RD_10 RS2_15 8 1 +RD_10 RS2_16 10 1 +RD_10 RS2_17 6 1 +RD_10 RS2_18 5 1 +RD_10 RS2_19 11 1 +RD_10 RS2_1a 1 1 +RD_10 RS2_1b 6 1 +RD_10 RS2_1c 10 1 +RD_10 RS2_1d 4 1 +RD_10 RS2_1e 5 1 +RD_10 RS2_1f 4 1 +RD_11 RS2_00 9 1 +RD_11 RS2_01 8 1 +RD_11 RS2_02 3 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 2 1 +RD_11 RS2_05 2 1 +RD_11 RS2_06 10 1 +RD_11 RS2_07 10 1 +RD_11 RS2_08 4 1 +RD_11 RS2_09 5 1 +RD_11 RS2_0a 6 1 +RD_11 RS2_0b 3 1 +RD_11 RS2_0c 8 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 7 1 +RD_11 RS2_0f 5 1 +RD_11 RS2_10 3 1 +RD_11 RS2_11 8 1 +RD_11 RS2_12 6 1 +RD_11 RS2_13 5 1 +RD_11 RS2_14 5 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 5 1 +RD_11 RS2_17 3 1 +RD_11 RS2_18 9 1 +RD_11 RS2_19 3 1 +RD_11 RS2_1a 4 1 +RD_11 RS2_1b 9 1 +RD_11 RS2_1c 8 1 +RD_11 RS2_1d 2 1 +RD_11 RS2_1e 7 1 +RD_12 RS2_00 6 1 +RD_12 RS2_01 2 1 +RD_12 RS2_02 6 1 +RD_12 RS2_03 5 1 +RD_12 RS2_04 4 1 +RD_12 RS2_05 6 1 +RD_12 RS2_06 8 1 +RD_12 RS2_07 7 1 +RD_12 RS2_08 6 1 +RD_12 RS2_09 6 1 +RD_12 RS2_0a 1 1 +RD_12 RS2_0b 9 1 +RD_12 RS2_0c 8 1 +RD_12 RS2_0d 2 1 +RD_12 RS2_0e 8 1 +RD_12 RS2_0f 3 1 +RD_12 RS2_10 5 1 +RD_12 RS2_11 7 1 +RD_12 RS2_12 8 1 +RD_12 RS2_13 6 1 +RD_12 RS2_14 2 1 +RD_12 RS2_15 4 1 +RD_12 RS2_16 6 1 +RD_12 RS2_17 5 1 +RD_12 RS2_18 3 1 +RD_12 RS2_19 8 1 +RD_12 RS2_1a 4 1 +RD_12 RS2_1b 3 1 +RD_12 RS2_1c 7 1 +RD_12 RS2_1d 2 1 +RD_12 RS2_1e 5 1 +RD_12 RS2_1f 7 1 +RD_13 RS2_00 5 1 +RD_13 RS2_01 4 1 +RD_13 RS2_02 7 1 +RD_13 RS2_03 5 1 +RD_13 RS2_04 7 1 +RD_13 RS2_05 5 1 +RD_13 RS2_06 6 1 +RD_13 RS2_07 5 1 +RD_13 RS2_08 3 1 +RD_13 RS2_09 1 1 +RD_13 RS2_0a 6 1 +RD_13 RS2_0b 6 1 +RD_13 RS2_0c 9 1 +RD_13 RS2_0d 6 1 +RD_13 RS2_0e 8 1 +RD_13 RS2_0f 3 1 +RD_13 RS2_10 7 1 +RD_13 RS2_11 9 1 +RD_13 RS2_12 5 1 +RD_13 RS2_13 2 1 +RD_13 RS2_14 4 1 +RD_13 RS2_15 9 1 +RD_13 RS2_16 3 1 +RD_13 RS2_17 3 1 +RD_13 RS2_18 5 1 +RD_13 RS2_19 5 1 +RD_13 RS2_1a 4 1 +RD_13 RS2_1b 4 1 +RD_13 RS2_1c 3 1 +RD_13 RS2_1d 10 1 +RD_13 RS2_1e 11 1 +RD_13 RS2_1f 6 1 +RD_14 RS2_00 10 1 +RD_14 RS2_01 9 1 +RD_14 RS2_02 6 1 +RD_14 RS2_03 9 1 +RD_14 RS2_04 3 1 +RD_14 RS2_05 4 1 +RD_14 RS2_06 5 1 +RD_14 RS2_07 6 1 +RD_14 RS2_08 9 1 +RD_14 RS2_09 9 1 +RD_14 RS2_0a 6 1 +RD_14 RS2_0b 3 1 +RD_14 RS2_0c 5 1 +RD_14 RS2_0d 5 1 +RD_14 RS2_0e 4 1 +RD_14 RS2_0f 7 1 +RD_14 RS2_10 4 1 +RD_14 RS2_11 5 1 +RD_14 RS2_12 7 1 +RD_14 RS2_13 9 1 +RD_14 RS2_14 14 1 +RD_14 RS2_15 5 1 +RD_14 RS2_16 6 1 +RD_14 RS2_17 9 1 +RD_14 RS2_18 1 1 +RD_14 RS2_19 5 1 +RD_14 RS2_1a 8 1 +RD_14 RS2_1b 7 1 +RD_14 RS2_1c 6 1 +RD_14 RS2_1d 7 1 +RD_14 RS2_1e 5 1 +RD_14 RS2_1f 6 1 +RD_15 RS2_00 6 1 +RD_15 RS2_01 3 1 +RD_15 RS2_02 2 1 +RD_15 RS2_03 3 1 +RD_15 RS2_04 4 1 +RD_15 RS2_05 5 1 +RD_15 RS2_06 3 1 +RD_15 RS2_07 7 1 +RD_15 RS2_08 7 1 +RD_15 RS2_09 2 1 +RD_15 RS2_0a 9 1 +RD_15 RS2_0b 11 1 +RD_15 RS2_0c 4 1 +RD_15 RS2_0d 6 1 +RD_15 RS2_0e 5 1 +RD_15 RS2_0f 15 1 +RD_15 RS2_10 2 1 +RD_15 RS2_11 6 1 +RD_15 RS2_12 4 1 +RD_15 RS2_13 1 1 +RD_15 RS2_14 1 1 +RD_15 RS2_15 2 1 +RD_15 RS2_16 4 1 +RD_15 RS2_17 7 1 +RD_15 RS2_18 7 1 +RD_15 RS2_19 6 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 9 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 7 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 2 1 +RD_16 RS2_00 9 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 10 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 4 1 +RD_16 RS2_05 5 1 +RD_16 RS2_06 4 1 +RD_16 RS2_07 3 1 +RD_16 RS2_08 1 1 +RD_16 RS2_09 4 1 +RD_16 RS2_0b 4 1 +RD_16 RS2_0c 8 1 +RD_16 RS2_0d 7 1 +RD_16 RS2_0e 4 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_11 8 1 +RD_16 RS2_12 3 1 +RD_16 RS2_13 7 1 +RD_16 RS2_14 6 1 +RD_16 RS2_15 6 1 +RD_16 RS2_16 2 1 +RD_16 RS2_17 7 1 +RD_16 RS2_18 4 1 +RD_16 RS2_19 1 1 +RD_16 RS2_1a 4 1 +RD_16 RS2_1b 5 1 +RD_16 RS2_1c 11 1 +RD_16 RS2_1d 7 1 +RD_16 RS2_1e 6 1 +RD_16 RS2_1f 2 1 +RD_17 RS2_00 4 1 +RD_17 RS2_01 7 1 +RD_17 RS2_02 10 1 +RD_17 RS2_03 3 1 +RD_17 RS2_04 5 1 +RD_17 RS2_05 3 1 +RD_17 RS2_06 6 1 +RD_17 RS2_07 4 1 +RD_17 RS2_08 2 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 10 1 +RD_17 RS2_0b 5 1 +RD_17 RS2_0c 5 1 +RD_17 RS2_0d 4 1 +RD_17 RS2_0e 6 1 +RD_17 RS2_0f 2 1 +RD_17 RS2_10 5 1 +RD_17 RS2_11 5 1 +RD_17 RS2_12 7 1 +RD_17 RS2_13 4 1 +RD_17 RS2_14 5 1 +RD_17 RS2_15 3 1 +RD_17 RS2_16 10 1 +RD_17 RS2_17 3 1 +RD_17 RS2_18 4 1 +RD_17 RS2_19 4 1 +RD_17 RS2_1a 5 1 +RD_17 RS2_1b 3 1 +RD_17 RS2_1c 3 1 +RD_17 RS2_1d 7 1 +RD_17 RS2_1e 9 1 +RD_17 RS2_1f 12 1 +RD_18 RS2_00 3 1 +RD_18 RS2_01 2 1 +RD_18 RS2_02 6 1 +RD_18 RS2_03 4 1 +RD_18 RS2_04 1 1 +RD_18 RS2_05 3 1 +RD_18 RS2_06 7 1 +RD_18 RS2_07 6 1 +RD_18 RS2_08 2 1 +RD_18 RS2_09 7 1 +RD_18 RS2_0a 5 1 +RD_18 RS2_0b 3 1 +RD_18 RS2_0c 4 1 +RD_18 RS2_0d 1 1 +RD_18 RS2_0e 7 1 +RD_18 RS2_0f 3 1 +RD_18 RS2_10 4 1 +RD_18 RS2_11 6 1 +RD_18 RS2_12 7 1 +RD_18 RS2_13 4 1 +RD_18 RS2_14 2 1 +RD_18 RS2_15 6 1 +RD_18 RS2_16 4 1 +RD_18 RS2_17 7 1 +RD_18 RS2_18 4 1 +RD_18 RS2_19 4 1 +RD_18 RS2_1a 5 1 +RD_18 RS2_1b 6 1 +RD_18 RS2_1c 1 1 +RD_18 RS2_1d 6 1 +RD_18 RS2_1e 10 1 +RD_18 RS2_1f 9 1 +RD_19 RS2_00 6 1 +RD_19 RS2_01 5 1 +RD_19 RS2_02 1 1 +RD_19 RS2_03 7 1 +RD_19 RS2_04 4 1 +RD_19 RS2_05 8 1 +RD_19 RS2_06 7 1 +RD_19 RS2_07 2 1 +RD_19 RS2_08 6 1 +RD_19 RS2_09 6 1 +RD_19 RS2_0a 4 1 +RD_19 RS2_0b 2 1 +RD_19 RS2_0c 3 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 4 1 +RD_19 RS2_0f 3 1 +RD_19 RS2_10 3 1 +RD_19 RS2_11 9 1 +RD_19 RS2_12 3 1 +RD_19 RS2_13 1 1 +RD_19 RS2_14 10 1 +RD_19 RS2_15 2 1 +RD_19 RS2_16 5 1 +RD_19 RS2_17 5 1 +RD_19 RS2_18 7 1 +RD_19 RS2_19 6 1 +RD_19 RS2_1a 3 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 3 1 +RD_19 RS2_1d 6 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 6 1 +RD_1a RS2_00 3 1 +RD_1a RS2_01 4 1 +RD_1a RS2_02 4 1 +RD_1a RS2_03 5 1 +RD_1a RS2_04 3 1 +RD_1a RS2_05 6 1 +RD_1a RS2_06 6 1 +RD_1a RS2_07 7 1 +RD_1a RS2_08 3 1 +RD_1a RS2_09 6 1 +RD_1a RS2_0a 4 1 +RD_1a RS2_0b 7 1 +RD_1a RS2_0c 7 1 +RD_1a RS2_0d 8 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 6 1 +RD_1a RS2_10 6 1 +RD_1a RS2_11 3 1 +RD_1a RS2_12 1 1 +RD_1a RS2_13 6 1 +RD_1a RS2_14 4 1 +RD_1a RS2_15 3 1 +RD_1a RS2_16 7 1 +RD_1a RS2_17 7 1 +RD_1a RS2_18 2 1 +RD_1a RS2_19 3 1 +RD_1a RS2_1a 2 1 +RD_1a RS2_1b 1 1 +RD_1a RS2_1c 4 1 +RD_1a RS2_1d 12 1 +RD_1a RS2_1e 3 1 +RD_1a RS2_1f 4 1 +RD_1b RS2_00 4 1 +RD_1b RS2_01 4 1 +RD_1b RS2_02 1 1 +RD_1b RS2_03 6 1 +RD_1b RS2_04 8 1 +RD_1b RS2_05 6 1 +RD_1b RS2_06 3 1 +RD_1b RS2_07 4 1 +RD_1b RS2_08 3 1 +RD_1b RS2_09 4 1 +RD_1b RS2_0a 6 1 +RD_1b RS2_0b 3 1 +RD_1b RS2_0c 1 1 +RD_1b RS2_0d 5 1 +RD_1b RS2_0e 2 1 +RD_1b RS2_0f 4 1 +RD_1b RS2_10 2 1 +RD_1b RS2_11 1 1 +RD_1b RS2_12 6 1 +RD_1b RS2_13 2 1 +RD_1b RS2_14 5 1 +RD_1b RS2_15 8 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 4 1 +RD_1b RS2_18 5 1 +RD_1b RS2_19 2 1 +RD_1b RS2_1a 2 1 +RD_1b RS2_1b 5 1 +RD_1b RS2_1c 4 1 +RD_1b RS2_1d 3 1 +RD_1b RS2_1e 5 1 +RD_1b RS2_1f 7 1 +RD_1c RS2_00 7 1 +RD_1c RS2_01 8 1 +RD_1c RS2_02 6 1 +RD_1c RS2_03 1 1 +RD_1c RS2_04 6 1 +RD_1c RS2_05 2 1 +RD_1c RS2_06 5 1 +RD_1c RS2_07 6 1 +RD_1c RS2_08 7 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 4 1 +RD_1c RS2_0b 7 1 +RD_1c RS2_0c 2 1 +RD_1c RS2_0d 6 1 +RD_1c RS2_0e 5 1 +RD_1c RS2_0f 5 1 +RD_1c RS2_10 3 1 +RD_1c RS2_11 4 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 5 1 +RD_1c RS2_14 3 1 +RD_1c RS2_15 2 1 +RD_1c RS2_16 12 1 +RD_1c RS2_17 7 1 +RD_1c RS2_18 4 1 +RD_1c RS2_19 5 1 +RD_1c RS2_1a 2 1 +RD_1c RS2_1b 1 1 +RD_1c RS2_1c 8 1 +RD_1c RS2_1d 7 1 +RD_1c RS2_1e 1 1 +RD_1c RS2_1f 2 1 +RD_1d RS2_00 8 1 +RD_1d RS2_01 8 1 +RD_1d RS2_02 8 1 +RD_1d RS2_03 5 1 +RD_1d RS2_04 6 1 +RD_1d RS2_05 4 1 +RD_1d RS2_06 5 1 +RD_1d RS2_07 4 1 +RD_1d RS2_08 3 1 +RD_1d RS2_09 6 1 +RD_1d RS2_0a 5 1 +RD_1d RS2_0b 4 1 +RD_1d RS2_0c 6 1 +RD_1d RS2_0d 2 1 +RD_1d RS2_0e 9 1 +RD_1d RS2_0f 5 1 +RD_1d RS2_10 3 1 +RD_1d RS2_11 6 1 +RD_1d RS2_12 8 1 +RD_1d RS2_13 3 1 +RD_1d RS2_14 14 1 +RD_1d RS2_15 3 1 +RD_1d RS2_16 6 1 +RD_1d RS2_17 7 1 +RD_1d RS2_18 6 1 +RD_1d RS2_19 3 1 +RD_1d RS2_1a 5 1 +RD_1d RS2_1b 9 1 +RD_1d RS2_1c 2 1 +RD_1d RS2_1d 7 1 +RD_1d RS2_1e 8 1 +RD_1d RS2_1f 6 1 +RD_1e RS2_00 3 1 +RD_1e RS2_01 5 1 +RD_1e RS2_02 4 1 +RD_1e RS2_03 3 1 +RD_1e RS2_04 5 1 +RD_1e RS2_05 7 1 +RD_1e RS2_06 4 1 +RD_1e RS2_07 3 1 +RD_1e RS2_08 5 1 +RD_1e RS2_09 5 1 +RD_1e RS2_0a 11 1 +RD_1e RS2_0b 2 1 +RD_1e RS2_0c 5 1 +RD_1e RS2_0d 4 1 +RD_1e RS2_0e 2 1 +RD_1e RS2_0f 5 1 +RD_1e RS2_10 3 1 +RD_1e RS2_11 4 1 +RD_1e RS2_12 9 1 +RD_1e RS2_13 5 1 +RD_1e RS2_14 3 1 +RD_1e RS2_15 7 1 +RD_1e RS2_16 8 1 +RD_1e RS2_17 3 1 +RD_1e RS2_18 9 1 +RD_1e RS2_19 5 1 +RD_1e RS2_1a 10 1 +RD_1e RS2_1b 3 1 +RD_1e RS2_1c 5 1 +RD_1e RS2_1d 4 1 +RD_1e RS2_1e 10 1 +RD_1e RS2_1f 4 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 7 1 +RD_1f RS2_02 6 1 +RD_1f RS2_03 5 1 +RD_1f RS2_04 2 1 +RD_1f RS2_05 7 1 +RD_1f RS2_06 6 1 +RD_1f RS2_07 4 1 +RD_1f RS2_08 4 1 +RD_1f RS2_09 1 1 +RD_1f RS2_0a 7 1 +RD_1f RS2_0b 4 1 +RD_1f RS2_0c 2 1 +RD_1f RS2_0d 5 1 +RD_1f RS2_0e 5 1 +RD_1f RS2_0f 6 1 +RD_1f RS2_10 2 1 +RD_1f RS2_11 4 1 +RD_1f RS2_12 5 1 +RD_1f RS2_13 5 1 +RD_1f RS2_14 7 1 +RD_1f RS2_15 5 1 +RD_1f RS2_16 10 1 +RD_1f RS2_17 3 1 +RD_1f RS2_18 3 1 +RD_1f RS2_19 5 1 +RD_1f RS2_1a 5 1 +RD_1f RS2_1b 5 1 +RD_1f RS2_1c 7 1 +RD_1f RS2_1d 5 1 +RD_1f RS2_1e 4 1 +RD_1f RS2_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +Group : uvme_cva6_pkg::cg_cvxif_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.80 99.80 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.78 1 100 1 64 64 uvme_cva6_pkg.cus_add_multi_cg + 99.78 1 100 1 64 64 uvme_cva6_pkg.cus_double_add_rs2_cg + 99.79 1 100 1 64 64 uvme_cva6_pkg.cus_double_add_rs1_cg + 99.85 1 100 1 64 64 uvme_cva6_pkg.cus_add_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 0 2048 100.00 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_multi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.78 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_multi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 16 2032 99.22 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_multi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_multi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 6 1018 99.41 100 1 1 0 +cross_rd_rs2 1024 10 1014 99.02 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 193 1 +RD_01 181 1 +RD_02 155 1 +RD_03 194 1 +RD_04 156 1 +RD_05 152 1 +RD_06 170 1 +RD_07 159 1 +RD_08 167 1 +RD_09 143 1 +RD_0a 167 1 +RD_0b 165 1 +RD_0c 165 1 +RD_0d 165 1 +RD_0e 161 1 +RD_0f 184 1 +RD_10 181 1 +RD_11 178 1 +RD_12 153 1 +RD_13 168 1 +RD_14 163 1 +RD_15 186 1 +RD_16 173 1 +RD_17 177 1 +RD_18 160 1 +RD_19 145 1 +RD_1a 138 1 +RD_1b 160 1 +RD_1c 148 1 +RD_1d 177 1 +RD_1e 152 1 +RD_1f 164 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 152 1 +RS1_01 146 1 +RS1_02 189 1 +RS1_03 169 1 +RS1_04 157 1 +RS1_05 164 1 +RS1_06 204 1 +RS1_07 198 1 +RS1_08 157 1 +RS1_09 170 1 +RS1_0a 172 1 +RS1_0b 193 1 +RS1_0c 182 1 +RS1_0d 141 1 +RS1_0e 140 1 +RS1_0f 167 1 +RS1_10 171 1 +RS1_11 175 1 +RS1_12 179 1 +RS1_13 164 1 +RS1_14 149 1 +RS1_15 170 1 +RS1_16 152 1 +RS1_17 166 1 +RS1_18 149 1 +RS1_19 157 1 +RS1_1a 170 1 +RS1_1b 152 1 +RS1_1c 167 1 +RS1_1d 183 1 +RS1_1e 151 1 +RS1_1f 144 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 171 1 +RS2_01 169 1 +RS2_02 166 1 +RS2_03 186 1 +RS2_04 174 1 +RS2_05 143 1 +RS2_06 159 1 +RS2_07 166 1 +RS2_08 126 1 +RS2_09 167 1 +RS2_0a 158 1 +RS2_0b 175 1 +RS2_0c 162 1 +RS2_0d 146 1 +RS2_0e 178 1 +RS2_0f 155 1 +RS2_10 173 1 +RS2_11 157 1 +RS2_12 179 1 +RS2_13 181 1 +RS2_14 181 1 +RS2_15 179 1 +RS2_16 170 1 +RS2_17 163 1 +RS2_18 151 1 +RS2_19 152 1 +RS2_1a 160 1 +RS2_1b 152 1 +RS2_1c 179 1 +RS2_1d 167 1 +RS2_1e 183 1 +RS2_1f 172 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2433 1 +BIT30_1 1976 1 +BIT29_1 1936 1 +BIT28_1 1971 1 +BIT27_1 1901 1 +BIT26_1 1891 1 +BIT25_1 1906 1 +BIT24_1 1965 1 +BIT23_1 1880 1 +BIT22_1 1968 1 +BIT21_1 1897 1 +BIT20_1 1924 1 +BIT19_1 1895 1 +BIT18_1 1918 1 +BIT17_1 1988 1 +BIT16_1 2016 1 +BIT15_1 2169 1 +BIT14_1 2087 1 +BIT13_1 2019 1 +BIT12_1 2334 1 +BIT11_1 2396 1 +BIT10_1 2328 1 +BIT9_1 2134 1 +BIT8_1 2025 1 +BIT7_1 2243 1 +BIT6_1 1991 1 +BIT5_1 2054 1 +BIT4_1 2396 1 +BIT3_1 2542 1 +BIT2_1 2435 1 +BIT1_1 1984 1 +BIT0_1 1656 1 +BIT31_0 2861 1 +BIT30_0 3318 1 +BIT29_0 3358 1 +BIT28_0 3323 1 +BIT27_0 3393 1 +BIT26_0 3403 1 +BIT25_0 3388 1 +BIT24_0 3329 1 +BIT23_0 3414 1 +BIT22_0 3326 1 +BIT21_0 3397 1 +BIT20_0 3370 1 +BIT19_0 3399 1 +BIT18_0 3376 1 +BIT17_0 3306 1 +BIT16_0 3278 1 +BIT15_0 3125 1 +BIT14_0 3207 1 +BIT13_0 3275 1 +BIT12_0 2960 1 +BIT11_0 2898 1 +BIT10_0 2966 1 +BIT9_0 3160 1 +BIT8_0 3269 1 +BIT7_0 3051 1 +BIT6_0 3303 1 +BIT5_0 3240 1 +BIT4_0 2898 1 +BIT3_0 2752 1 +BIT2_0 2859 1 +BIT1_0 3310 1 +BIT0_0 3638 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2517 1 +BIT30_1 1976 1 +BIT29_1 1991 1 +BIT28_1 1987 1 +BIT27_1 1952 1 +BIT26_1 1863 1 +BIT25_1 1925 1 +BIT24_1 1880 1 +BIT23_1 1858 1 +BIT22_1 1896 1 +BIT21_1 1822 1 +BIT20_1 1844 1 +BIT19_1 1961 1 +BIT18_1 1929 1 +BIT17_1 1901 1 +BIT16_1 2000 1 +BIT15_1 2176 1 +BIT14_1 2134 1 +BIT13_1 2027 1 +BIT12_1 2369 1 +BIT11_1 2398 1 +BIT10_1 2356 1 +BIT9_1 2086 1 +BIT8_1 2032 1 +BIT7_1 2181 1 +BIT6_1 1976 1 +BIT5_1 1992 1 +BIT4_1 2388 1 +BIT3_1 2520 1 +BIT2_1 2397 1 +BIT1_1 1992 1 +BIT0_1 1660 1 +BIT31_0 2783 1 +BIT30_0 3324 1 +BIT29_0 3309 1 +BIT28_0 3313 1 +BIT27_0 3348 1 +BIT26_0 3437 1 +BIT25_0 3375 1 +BIT24_0 3420 1 +BIT23_0 3442 1 +BIT22_0 3404 1 +BIT21_0 3478 1 +BIT20_0 3456 1 +BIT19_0 3339 1 +BIT18_0 3371 1 +BIT17_0 3399 1 +BIT16_0 3300 1 +BIT15_0 3124 1 +BIT14_0 3166 1 +BIT13_0 3273 1 +BIT12_0 2931 1 +BIT11_0 2902 1 +BIT10_0 2944 1 +BIT9_0 3214 1 +BIT8_0 3268 1 +BIT7_0 3119 1 +BIT6_0 3324 1 +BIT5_0 3308 1 +BIT4_0 2912 1 +BIT3_0 2780 1 +BIT2_0 2903 1 +BIT1_0 3308 1 +BIT0_0 3640 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 6 1018 99.41 6 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_01] [RS1_0d] 0 1 1 +[RD_05] [RS1_05] 0 1 1 +[RD_08] [RS1_14] 0 1 1 +[RD_13] [RS1_11] 0 1 1 +[RD_1c] [RS1_02] 0 1 1 +[RD_1e] [RS1_0c] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 7 1 +RD_00 RS1_01 3 1 +RD_00 RS1_02 8 1 +RD_00 RS1_03 2 1 +RD_00 RS1_04 7 1 +RD_00 RS1_05 10 1 +RD_00 RS1_06 8 1 +RD_00 RS1_07 6 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 6 1 +RD_00 RS1_0a 9 1 +RD_00 RS1_0b 8 1 +RD_00 RS1_0c 2 1 +RD_00 RS1_0d 3 1 +RD_00 RS1_0e 7 1 +RD_00 RS1_0f 3 1 +RD_00 RS1_10 3 1 +RD_00 RS1_11 8 1 +RD_00 RS1_12 4 1 +RD_00 RS1_13 9 1 +RD_00 RS1_14 7 1 +RD_00 RS1_15 7 1 +RD_00 RS1_16 4 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 6 1 +RD_00 RS1_19 3 1 +RD_00 RS1_1a 12 1 +RD_00 RS1_1b 9 1 +RD_00 RS1_1c 5 1 +RD_00 RS1_1d 9 1 +RD_00 RS1_1e 8 1 +RD_00 RS1_1f 2 1 +RD_01 RS1_00 2 1 +RD_01 RS1_01 7 1 +RD_01 RS1_02 5 1 +RD_01 RS1_03 4 1 +RD_01 RS1_04 2 1 +RD_01 RS1_05 3 1 +RD_01 RS1_06 6 1 +RD_01 RS1_07 13 1 +RD_01 RS1_08 9 1 +RD_01 RS1_09 2 1 +RD_01 RS1_0a 8 1 +RD_01 RS1_0b 6 1 +RD_01 RS1_0c 11 1 +RD_01 RS1_0e 4 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 5 1 +RD_01 RS1_11 4 1 +RD_01 RS1_12 5 1 +RD_01 RS1_13 4 1 +RD_01 RS1_14 7 1 +RD_01 RS1_15 5 1 +RD_01 RS1_16 6 1 +RD_01 RS1_17 8 1 +RD_01 RS1_18 3 1 +RD_01 RS1_19 6 1 +RD_01 RS1_1a 7 1 +RD_01 RS1_1b 5 1 +RD_01 RS1_1c 9 1 +RD_01 RS1_1d 7 1 +RD_01 RS1_1e 9 1 +RD_01 RS1_1f 4 1 +RD_02 RS1_00 7 1 +RD_02 RS1_01 1 1 +RD_02 RS1_02 7 1 +RD_02 RS1_03 9 1 +RD_02 RS1_04 4 1 +RD_02 RS1_05 4 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 3 1 +RD_02 RS1_08 3 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 5 1 +RD_02 RS1_0b 5 1 +RD_02 RS1_0c 3 1 +RD_02 RS1_0d 8 1 +RD_02 RS1_0e 4 1 +RD_02 RS1_0f 3 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 6 1 +RD_02 RS1_12 5 1 +RD_02 RS1_13 2 1 +RD_02 RS1_14 6 1 +RD_02 RS1_15 9 1 +RD_02 RS1_16 2 1 +RD_02 RS1_17 7 1 +RD_02 RS1_18 3 1 +RD_02 RS1_19 9 1 +RD_02 RS1_1a 3 1 +RD_02 RS1_1b 5 1 +RD_02 RS1_1c 2 1 +RD_02 RS1_1d 7 1 +RD_02 RS1_1e 1 1 +RD_02 RS1_1f 8 1 +RD_03 RS1_00 4 1 +RD_03 RS1_01 9 1 +RD_03 RS1_02 11 1 +RD_03 RS1_03 6 1 +RD_03 RS1_04 6 1 +RD_03 RS1_05 5 1 +RD_03 RS1_06 8 1 +RD_03 RS1_07 11 1 +RD_03 RS1_08 9 1 +RD_03 RS1_09 7 1 +RD_03 RS1_0a 8 1 +RD_03 RS1_0b 5 1 +RD_03 RS1_0c 10 1 +RD_03 RS1_0d 3 1 +RD_03 RS1_0e 1 1 +RD_03 RS1_0f 6 1 +RD_03 RS1_10 7 1 +RD_03 RS1_11 7 1 +RD_03 RS1_12 8 1 +RD_03 RS1_13 4 1 +RD_03 RS1_14 1 1 +RD_03 RS1_15 8 1 +RD_03 RS1_16 6 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 4 1 +RD_03 RS1_19 3 1 +RD_03 RS1_1a 6 1 +RD_03 RS1_1b 3 1 +RD_03 RS1_1c 4 1 +RD_03 RS1_1d 3 1 +RD_03 RS1_1e 9 1 +RD_03 RS1_1f 5 1 +RD_04 RS1_00 7 1 +RD_04 RS1_01 7 1 +RD_04 RS1_02 11 1 +RD_04 RS1_03 8 1 +RD_04 RS1_04 6 1 +RD_04 RS1_05 9 1 +RD_04 RS1_06 5 1 +RD_04 RS1_07 2 1 +RD_04 RS1_08 4 1 +RD_04 RS1_09 8 1 +RD_04 RS1_0a 7 1 +RD_04 RS1_0b 4 1 +RD_04 RS1_0c 2 1 +RD_04 RS1_0d 3 1 +RD_04 RS1_0e 5 1 +RD_04 RS1_0f 1 1 +RD_04 RS1_10 10 1 +RD_04 RS1_11 3 1 +RD_04 RS1_12 4 1 +RD_04 RS1_13 4 1 +RD_04 RS1_14 5 1 +RD_04 RS1_15 2 1 +RD_04 RS1_16 7 1 +RD_04 RS1_17 2 1 +RD_04 RS1_18 4 1 +RD_04 RS1_19 7 1 +RD_04 RS1_1a 3 1 +RD_04 RS1_1b 2 1 +RD_04 RS1_1c 5 1 +RD_04 RS1_1d 6 1 +RD_04 RS1_1e 1 1 +RD_04 RS1_1f 2 1 +RD_05 RS1_00 7 1 +RD_05 RS1_01 4 1 +RD_05 RS1_02 5 1 +RD_05 RS1_03 7 1 +RD_05 RS1_04 7 1 +RD_05 RS1_06 2 1 +RD_05 RS1_07 2 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 4 1 +RD_05 RS1_0a 4 1 +RD_05 RS1_0b 12 1 +RD_05 RS1_0c 6 1 +RD_05 RS1_0d 1 1 +RD_05 RS1_0e 4 1 +RD_05 RS1_0f 4 1 +RD_05 RS1_10 8 1 +RD_05 RS1_11 3 1 +RD_05 RS1_12 6 1 +RD_05 RS1_13 10 1 +RD_05 RS1_14 2 1 +RD_05 RS1_15 10 1 +RD_05 RS1_16 5 1 +RD_05 RS1_17 6 1 +RD_05 RS1_18 3 1 +RD_05 RS1_19 3 1 +RD_05 RS1_1a 5 1 +RD_05 RS1_1b 4 1 +RD_05 RS1_1c 3 1 +RD_05 RS1_1d 1 1 +RD_05 RS1_1e 5 1 +RD_05 RS1_1f 5 1 +RD_06 RS1_00 6 1 +RD_06 RS1_01 5 1 +RD_06 RS1_02 8 1 +RD_06 RS1_03 7 1 +RD_06 RS1_04 8 1 +RD_06 RS1_05 4 1 +RD_06 RS1_06 10 1 +RD_06 RS1_07 6 1 +RD_06 RS1_08 3 1 +RD_06 RS1_09 6 1 +RD_06 RS1_0a 3 1 +RD_06 RS1_0b 5 1 +RD_06 RS1_0c 3 1 +RD_06 RS1_0d 12 1 +RD_06 RS1_0e 5 1 +RD_06 RS1_0f 5 1 +RD_06 RS1_10 5 1 +RD_06 RS1_11 12 1 +RD_06 RS1_12 3 1 +RD_06 RS1_13 2 1 +RD_06 RS1_14 3 1 +RD_06 RS1_15 3 1 +RD_06 RS1_16 2 1 +RD_06 RS1_17 14 1 +RD_06 RS1_18 4 1 +RD_06 RS1_19 6 1 +RD_06 RS1_1a 3 1 +RD_06 RS1_1b 3 1 +RD_06 RS1_1c 2 1 +RD_06 RS1_1d 5 1 +RD_06 RS1_1e 4 1 +RD_06 RS1_1f 3 1 +RD_07 RS1_00 6 1 +RD_07 RS1_01 3 1 +RD_07 RS1_02 3 1 +RD_07 RS1_03 1 1 +RD_07 RS1_04 7 1 +RD_07 RS1_05 3 1 +RD_07 RS1_06 7 1 +RD_07 RS1_07 2 1 +RD_07 RS1_08 5 1 +RD_07 RS1_09 7 1 +RD_07 RS1_0a 8 1 +RD_07 RS1_0b 5 1 +RD_07 RS1_0c 8 1 +RD_07 RS1_0d 4 1 +RD_07 RS1_0e 2 1 +RD_07 RS1_0f 5 1 +RD_07 RS1_10 3 1 +RD_07 RS1_11 9 1 +RD_07 RS1_12 8 1 +RD_07 RS1_13 10 1 +RD_07 RS1_14 3 1 +RD_07 RS1_15 5 1 +RD_07 RS1_16 5 1 +RD_07 RS1_17 8 1 +RD_07 RS1_18 6 1 +RD_07 RS1_19 6 1 +RD_07 RS1_1a 2 1 +RD_07 RS1_1b 5 1 +RD_07 RS1_1c 2 1 +RD_07 RS1_1d 3 1 +RD_07 RS1_1e 3 1 +RD_07 RS1_1f 5 1 +RD_08 RS1_00 2 1 +RD_08 RS1_01 2 1 +RD_08 RS1_02 4 1 +RD_08 RS1_03 15 1 +RD_08 RS1_04 4 1 +RD_08 RS1_05 7 1 +RD_08 RS1_06 6 1 +RD_08 RS1_07 3 1 +RD_08 RS1_08 4 1 +RD_08 RS1_09 2 1 +RD_08 RS1_0a 4 1 +RD_08 RS1_0b 3 1 +RD_08 RS1_0c 7 1 +RD_08 RS1_0d 2 1 +RD_08 RS1_0e 6 1 +RD_08 RS1_0f 6 1 +RD_08 RS1_10 4 1 +RD_08 RS1_11 10 1 +RD_08 RS1_12 8 1 +RD_08 RS1_13 5 1 +RD_08 RS1_15 6 1 +RD_08 RS1_16 4 1 +RD_08 RS1_17 8 1 +RD_08 RS1_18 7 1 +RD_08 RS1_19 3 1 +RD_08 RS1_1a 4 1 +RD_08 RS1_1b 4 1 +RD_08 RS1_1c 9 1 +RD_08 RS1_1d 8 1 +RD_08 RS1_1e 8 1 +RD_08 RS1_1f 2 1 +RD_09 RS1_00 2 1 +RD_09 RS1_01 7 1 +RD_09 RS1_02 1 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 4 1 +RD_09 RS1_05 6 1 +RD_09 RS1_06 8 1 +RD_09 RS1_07 14 1 +RD_09 RS1_08 2 1 +RD_09 RS1_09 8 1 +RD_09 RS1_0a 3 1 +RD_09 RS1_0b 2 1 +RD_09 RS1_0c 4 1 +RD_09 RS1_0d 5 1 +RD_09 RS1_0e 1 1 +RD_09 RS1_0f 3 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 4 1 +RD_09 RS1_12 2 1 +RD_09 RS1_13 12 1 +RD_09 RS1_14 5 1 +RD_09 RS1_15 2 1 +RD_09 RS1_16 5 1 +RD_09 RS1_17 5 1 +RD_09 RS1_18 3 1 +RD_09 RS1_19 5 1 +RD_09 RS1_1a 7 1 +RD_09 RS1_1b 3 1 +RD_09 RS1_1c 6 1 +RD_09 RS1_1d 2 1 +RD_09 RS1_1e 1 1 +RD_09 RS1_1f 2 1 +RD_0a RS1_00 4 1 +RD_0a RS1_01 5 1 +RD_0a RS1_02 7 1 +RD_0a RS1_03 2 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 14 1 +RD_0a RS1_06 4 1 +RD_0a RS1_07 9 1 +RD_0a RS1_08 6 1 +RD_0a RS1_09 4 1 +RD_0a RS1_0a 10 1 +RD_0a RS1_0b 6 1 +RD_0a RS1_0c 9 1 +RD_0a RS1_0d 5 1 +RD_0a RS1_0e 5 1 +RD_0a RS1_0f 3 1 +RD_0a RS1_10 6 1 +RD_0a RS1_11 1 1 +RD_0a RS1_12 2 1 +RD_0a RS1_13 1 1 +RD_0a RS1_14 3 1 +RD_0a RS1_15 5 1 +RD_0a RS1_16 7 1 +RD_0a RS1_17 3 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 4 1 +RD_0a RS1_1a 6 1 +RD_0a RS1_1b 9 1 +RD_0a RS1_1c 4 1 +RD_0a RS1_1d 7 1 +RD_0a RS1_1e 5 1 +RD_0a RS1_1f 3 1 +RD_0b RS1_00 4 1 +RD_0b RS1_01 6 1 +RD_0b RS1_02 6 1 +RD_0b RS1_03 7 1 +RD_0b RS1_04 4 1 +RD_0b RS1_05 6 1 +RD_0b RS1_06 5 1 +RD_0b RS1_07 2 1 +RD_0b RS1_08 4 1 +RD_0b RS1_09 7 1 +RD_0b RS1_0a 3 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 9 1 +RD_0b RS1_0d 7 1 +RD_0b RS1_0e 3 1 +RD_0b RS1_0f 7 1 +RD_0b RS1_10 6 1 +RD_0b RS1_11 4 1 +RD_0b RS1_12 10 1 +RD_0b RS1_13 5 1 +RD_0b RS1_14 7 1 +RD_0b RS1_15 4 1 +RD_0b RS1_16 7 1 +RD_0b RS1_17 2 1 +RD_0b RS1_18 6 1 +RD_0b RS1_19 8 1 +RD_0b RS1_1a 1 1 +RD_0b RS1_1b 6 1 +RD_0b RS1_1c 4 1 +RD_0b RS1_1d 1 1 +RD_0b RS1_1e 4 1 +RD_0b RS1_1f 6 1 +RD_0c RS1_00 5 1 +RD_0c RS1_01 1 1 +RD_0c RS1_02 4 1 +RD_0c RS1_03 6 1 +RD_0c RS1_04 10 1 +RD_0c RS1_05 2 1 +RD_0c RS1_06 6 1 +RD_0c RS1_07 8 1 +RD_0c RS1_08 10 1 +RD_0c RS1_09 5 1 +RD_0c RS1_0a 3 1 +RD_0c RS1_0b 7 1 +RD_0c RS1_0c 7 1 +RD_0c RS1_0d 4 1 +RD_0c RS1_0e 5 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 5 1 +RD_0c RS1_11 3 1 +RD_0c RS1_12 3 1 +RD_0c RS1_13 5 1 +RD_0c RS1_14 5 1 +RD_0c RS1_15 5 1 +RD_0c RS1_16 4 1 +RD_0c RS1_17 7 1 +RD_0c RS1_18 3 1 +RD_0c RS1_19 5 1 +RD_0c RS1_1a 6 1 +RD_0c RS1_1b 2 1 +RD_0c RS1_1c 6 1 +RD_0c RS1_1d 6 1 +RD_0c RS1_1e 9 1 +RD_0c RS1_1f 3 1 +RD_0d RS1_00 5 1 +RD_0d RS1_01 4 1 +RD_0d RS1_02 4 1 +RD_0d RS1_03 4 1 +RD_0d RS1_04 3 1 +RD_0d RS1_05 5 1 +RD_0d RS1_06 7 1 +RD_0d RS1_07 8 1 +RD_0d RS1_08 8 1 +RD_0d RS1_09 8 1 +RD_0d RS1_0a 5 1 +RD_0d RS1_0b 6 1 +RD_0d RS1_0c 8 1 +RD_0d RS1_0d 2 1 +RD_0d RS1_0e 7 1 +RD_0d RS1_0f 10 1 +RD_0d RS1_10 5 1 +RD_0d RS1_11 8 1 +RD_0d RS1_12 3 1 +RD_0d RS1_13 10 1 +RD_0d RS1_14 6 1 +RD_0d RS1_15 5 1 +RD_0d RS1_16 2 1 +RD_0d RS1_17 8 1 +RD_0d RS1_18 2 1 +RD_0d RS1_19 5 1 +RD_0d RS1_1a 1 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 3 1 +RD_0d RS1_1d 4 1 +RD_0d RS1_1e 4 1 +RD_0d RS1_1f 2 1 +RD_0e RS1_00 4 1 +RD_0e RS1_01 4 1 +RD_0e RS1_02 3 1 +RD_0e RS1_03 5 1 +RD_0e RS1_04 6 1 +RD_0e RS1_05 4 1 +RD_0e RS1_06 7 1 +RD_0e RS1_07 9 1 +RD_0e RS1_08 3 1 +RD_0e RS1_09 3 1 +RD_0e RS1_0a 9 1 +RD_0e RS1_0b 7 1 +RD_0e RS1_0c 6 1 +RD_0e RS1_0d 6 1 +RD_0e RS1_0e 3 1 +RD_0e RS1_0f 3 1 +RD_0e RS1_10 2 1 +RD_0e RS1_11 7 1 +RD_0e RS1_12 4 1 +RD_0e RS1_13 1 1 +RD_0e RS1_14 2 1 +RD_0e RS1_15 6 1 +RD_0e RS1_16 6 1 +RD_0e RS1_17 3 1 +RD_0e RS1_18 8 1 +RD_0e RS1_19 4 1 +RD_0e RS1_1a 8 1 +RD_0e RS1_1b 7 1 +RD_0e RS1_1c 3 1 +RD_0e RS1_1d 8 1 +RD_0e RS1_1e 5 1 +RD_0e RS1_1f 5 1 +RD_0f RS1_00 7 1 +RD_0f RS1_01 10 1 +RD_0f RS1_02 7 1 +RD_0f RS1_03 4 1 +RD_0f RS1_04 10 1 +RD_0f RS1_05 6 1 +RD_0f RS1_06 12 1 +RD_0f RS1_07 5 1 +RD_0f RS1_08 7 1 +RD_0f RS1_09 7 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 9 1 +RD_0f RS1_0c 8 1 +RD_0f RS1_0d 4 1 +RD_0f RS1_0e 3 1 +RD_0f RS1_0f 2 1 +RD_0f RS1_10 6 1 +RD_0f RS1_11 5 1 +RD_0f RS1_12 8 1 +RD_0f RS1_13 7 1 +RD_0f RS1_14 5 1 +RD_0f RS1_15 3 1 +RD_0f RS1_16 8 1 +RD_0f RS1_17 6 1 +RD_0f RS1_18 4 1 +RD_0f RS1_19 3 1 +RD_0f RS1_1a 4 1 +RD_0f RS1_1b 4 1 +RD_0f RS1_1c 4 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 3 1 +RD_0f RS1_1f 5 1 +RD_10 RS1_00 5 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 7 1 +RD_10 RS1_03 1 1 +RD_10 RS1_04 3 1 +RD_10 RS1_05 3 1 +RD_10 RS1_06 1 1 +RD_10 RS1_07 11 1 +RD_10 RS1_08 6 1 +RD_10 RS1_09 4 1 +RD_10 RS1_0a 9 1 +RD_10 RS1_0b 8 1 +RD_10 RS1_0c 9 1 +RD_10 RS1_0d 4 1 +RD_10 RS1_0e 7 1 +RD_10 RS1_0f 6 1 +RD_10 RS1_10 3 1 +RD_10 RS1_11 4 1 +RD_10 RS1_12 8 1 +RD_10 RS1_13 5 1 +RD_10 RS1_14 2 1 +RD_10 RS1_15 6 1 +RD_10 RS1_16 6 1 +RD_10 RS1_17 6 1 +RD_10 RS1_18 5 1 +RD_10 RS1_19 11 1 +RD_10 RS1_1a 7 1 +RD_10 RS1_1b 4 1 +RD_10 RS1_1c 2 1 +RD_10 RS1_1d 10 1 +RD_10 RS1_1e 7 1 +RD_10 RS1_1f 9 1 +RD_11 RS1_00 2 1 +RD_11 RS1_01 5 1 +RD_11 RS1_02 6 1 +RD_11 RS1_03 7 1 +RD_11 RS1_04 3 1 +RD_11 RS1_05 3 1 +RD_11 RS1_06 6 1 +RD_11 RS1_07 5 1 +RD_11 RS1_08 7 1 +RD_11 RS1_09 10 1 +RD_11 RS1_0a 5 1 +RD_11 RS1_0b 9 1 +RD_11 RS1_0c 8 1 +RD_11 RS1_0d 3 1 +RD_11 RS1_0e 4 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 8 1 +RD_11 RS1_11 3 1 +RD_11 RS1_12 9 1 +RD_11 RS1_13 4 1 +RD_11 RS1_14 7 1 +RD_11 RS1_15 4 1 +RD_11 RS1_16 8 1 +RD_11 RS1_17 4 1 +RD_11 RS1_18 6 1 +RD_11 RS1_19 5 1 +RD_11 RS1_1a 5 1 +RD_11 RS1_1b 9 1 +RD_11 RS1_1c 7 1 +RD_11 RS1_1d 2 1 +RD_11 RS1_1e 1 1 +RD_11 RS1_1f 9 1 +RD_12 RS1_00 4 1 +RD_12 RS1_01 2 1 +RD_12 RS1_02 8 1 +RD_12 RS1_03 6 1 +RD_12 RS1_04 4 1 +RD_12 RS1_05 2 1 +RD_12 RS1_06 6 1 +RD_12 RS1_07 9 1 +RD_12 RS1_08 3 1 +RD_12 RS1_09 2 1 +RD_12 RS1_0a 4 1 +RD_12 RS1_0b 3 1 +RD_12 RS1_0c 4 1 +RD_12 RS1_0d 5 1 +RD_12 RS1_0e 4 1 +RD_12 RS1_0f 5 1 +RD_12 RS1_10 5 1 +RD_12 RS1_11 6 1 +RD_12 RS1_12 2 1 +RD_12 RS1_13 8 1 +RD_12 RS1_14 10 1 +RD_12 RS1_15 6 1 +RD_12 RS1_16 3 1 +RD_12 RS1_17 1 1 +RD_12 RS1_18 5 1 +RD_12 RS1_19 1 1 +RD_12 RS1_1a 7 1 +RD_12 RS1_1b 7 1 +RD_12 RS1_1c 6 1 +RD_12 RS1_1d 8 1 +RD_12 RS1_1e 6 1 +RD_12 RS1_1f 1 1 +RD_13 RS1_00 6 1 +RD_13 RS1_01 4 1 +RD_13 RS1_02 4 1 +RD_13 RS1_03 3 1 +RD_13 RS1_04 4 1 +RD_13 RS1_05 4 1 +RD_13 RS1_06 10 1 +RD_13 RS1_07 9 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 4 1 +RD_13 RS1_0a 8 1 +RD_13 RS1_0b 8 1 +RD_13 RS1_0c 3 1 +RD_13 RS1_0d 4 1 +RD_13 RS1_0e 4 1 +RD_13 RS1_0f 8 1 +RD_13 RS1_10 8 1 +RD_13 RS1_12 4 1 +RD_13 RS1_13 3 1 +RD_13 RS1_14 6 1 +RD_13 RS1_15 4 1 +RD_13 RS1_16 9 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 7 1 +RD_13 RS1_19 4 1 +RD_13 RS1_1a 8 1 +RD_13 RS1_1b 4 1 +RD_13 RS1_1c 4 1 +RD_13 RS1_1d 8 1 +RD_13 RS1_1e 5 1 +RD_13 RS1_1f 3 1 +RD_14 RS1_00 5 1 +RD_14 RS1_01 6 1 +RD_14 RS1_02 7 1 +RD_14 RS1_03 5 1 +RD_14 RS1_04 6 1 +RD_14 RS1_05 4 1 +RD_14 RS1_06 6 1 +RD_14 RS1_07 6 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 5 1 +RD_14 RS1_0a 4 1 +RD_14 RS1_0b 3 1 +RD_14 RS1_0c 5 1 +RD_14 RS1_0d 1 1 +RD_14 RS1_0e 10 1 +RD_14 RS1_0f 4 1 +RD_14 RS1_10 3 1 +RD_14 RS1_11 11 1 +RD_14 RS1_12 5 1 +RD_14 RS1_13 6 1 +RD_14 RS1_14 7 1 +RD_14 RS1_15 3 1 +RD_14 RS1_16 4 1 +RD_14 RS1_17 3 1 +RD_14 RS1_18 5 1 +RD_14 RS1_19 7 1 +RD_14 RS1_1a 5 1 +RD_14 RS1_1b 1 1 +RD_14 RS1_1c 7 1 +RD_14 RS1_1d 6 1 +RD_14 RS1_1e 4 1 +RD_14 RS1_1f 4 1 +RD_15 RS1_00 5 1 +RD_15 RS1_01 4 1 +RD_15 RS1_02 13 1 +RD_15 RS1_03 5 1 +RD_15 RS1_04 5 1 +RD_15 RS1_05 10 1 +RD_15 RS1_06 6 1 +RD_15 RS1_07 7 1 +RD_15 RS1_08 4 1 +RD_15 RS1_09 6 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 6 1 +RD_15 RS1_0c 10 1 +RD_15 RS1_0d 7 1 +RD_15 RS1_0e 2 1 +RD_15 RS1_0f 7 1 +RD_15 RS1_10 7 1 +RD_15 RS1_11 4 1 +RD_15 RS1_12 6 1 +RD_15 RS1_13 8 1 +RD_15 RS1_14 4 1 +RD_15 RS1_15 8 1 +RD_15 RS1_16 7 1 +RD_15 RS1_17 6 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 1 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 6 1 +RD_15 RS1_1c 7 1 +RD_15 RS1_1d 5 1 +RD_15 RS1_1e 1 1 +RD_15 RS1_1f 4 1 +RD_16 RS1_00 3 1 +RD_16 RS1_01 3 1 +RD_16 RS1_02 10 1 +RD_16 RS1_03 10 1 +RD_16 RS1_04 5 1 +RD_16 RS1_05 6 1 +RD_16 RS1_06 8 1 +RD_16 RS1_07 2 1 +RD_16 RS1_08 6 1 +RD_16 RS1_09 5 1 +RD_16 RS1_0a 2 1 +RD_16 RS1_0b 11 1 +RD_16 RS1_0c 5 1 +RD_16 RS1_0d 5 1 +RD_16 RS1_0e 6 1 +RD_16 RS1_0f 4 1 +RD_16 RS1_10 4 1 +RD_16 RS1_11 3 1 +RD_16 RS1_12 7 1 +RD_16 RS1_13 2 1 +RD_16 RS1_14 4 1 +RD_16 RS1_15 3 1 +RD_16 RS1_16 3 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 5 1 +RD_16 RS1_19 5 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 5 1 +RD_16 RS1_1c 7 1 +RD_16 RS1_1d 10 1 +RD_16 RS1_1e 6 1 +RD_16 RS1_1f 6 1 +RD_17 RS1_00 8 1 +RD_17 RS1_01 11 1 +RD_17 RS1_02 3 1 +RD_17 RS1_03 4 1 +RD_17 RS1_04 4 1 +RD_17 RS1_05 3 1 +RD_17 RS1_06 5 1 +RD_17 RS1_07 4 1 +RD_17 RS1_08 2 1 +RD_17 RS1_09 5 1 +RD_17 RS1_0a 8 1 +RD_17 RS1_0b 4 1 +RD_17 RS1_0c 7 1 +RD_17 RS1_0d 5 1 +RD_17 RS1_0e 6 1 +RD_17 RS1_0f 13 1 +RD_17 RS1_10 8 1 +RD_17 RS1_11 6 1 +RD_17 RS1_12 3 1 +RD_17 RS1_13 5 1 +RD_17 RS1_14 3 1 +RD_17 RS1_15 6 1 +RD_17 RS1_16 1 1 +RD_17 RS1_17 6 1 +RD_17 RS1_18 6 1 +RD_17 RS1_19 8 1 +RD_17 RS1_1a 5 1 +RD_17 RS1_1b 5 1 +RD_17 RS1_1c 9 1 +RD_17 RS1_1d 9 1 +RD_17 RS1_1e 4 1 +RD_17 RS1_1f 1 1 +RD_18 RS1_00 6 1 +RD_18 RS1_01 1 1 +RD_18 RS1_02 4 1 +RD_18 RS1_03 7 1 +RD_18 RS1_04 3 1 +RD_18 RS1_05 11 1 +RD_18 RS1_06 2 1 +RD_18 RS1_07 7 1 +RD_18 RS1_08 3 1 +RD_18 RS1_09 8 1 +RD_18 RS1_0a 3 1 +RD_18 RS1_0b 6 1 +RD_18 RS1_0c 4 1 +RD_18 RS1_0d 11 1 +RD_18 RS1_0e 1 1 +RD_18 RS1_0f 3 1 +RD_18 RS1_10 8 1 +RD_18 RS1_11 6 1 +RD_18 RS1_12 10 1 +RD_18 RS1_13 3 1 +RD_18 RS1_14 7 1 +RD_18 RS1_15 5 1 +RD_18 RS1_16 2 1 +RD_18 RS1_17 4 1 +RD_18 RS1_18 4 1 +RD_18 RS1_19 6 1 +RD_18 RS1_1a 2 1 +RD_18 RS1_1b 4 1 +RD_18 RS1_1c 5 1 +RD_18 RS1_1d 3 1 +RD_18 RS1_1e 4 1 +RD_18 RS1_1f 7 1 +RD_19 RS1_00 4 1 +RD_19 RS1_01 2 1 +RD_19 RS1_02 9 1 +RD_19 RS1_03 1 1 +RD_19 RS1_04 8 1 +RD_19 RS1_05 1 1 +RD_19 RS1_06 5 1 +RD_19 RS1_07 4 1 +RD_19 RS1_08 5 1 +RD_19 RS1_09 2 1 +RD_19 RS1_0a 7 1 +RD_19 RS1_0b 6 1 +RD_19 RS1_0c 4 1 +RD_19 RS1_0d 1 1 +RD_19 RS1_0e 7 1 +RD_19 RS1_0f 7 1 +RD_19 RS1_10 4 1 +RD_19 RS1_11 2 1 +RD_19 RS1_12 11 1 +RD_19 RS1_13 4 1 +RD_19 RS1_14 5 1 +RD_19 RS1_15 4 1 +RD_19 RS1_16 3 1 +RD_19 RS1_17 3 1 +RD_19 RS1_18 4 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 7 1 +RD_19 RS1_1b 4 1 +RD_19 RS1_1c 4 1 +RD_19 RS1_1d 6 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 3 1 +RD_1a RS1_00 5 1 +RD_1a RS1_01 4 1 +RD_1a RS1_02 4 1 +RD_1a RS1_03 4 1 +RD_1a RS1_04 2 1 +RD_1a RS1_05 2 1 +RD_1a RS1_06 11 1 +RD_1a RS1_07 3 1 +RD_1a RS1_08 6 1 +RD_1a RS1_09 3 1 +RD_1a RS1_0a 3 1 +RD_1a RS1_0b 6 1 +RD_1a RS1_0c 4 1 +RD_1a RS1_0d 1 1 +RD_1a RS1_0e 2 1 +RD_1a RS1_0f 3 1 +RD_1a RS1_10 2 1 +RD_1a RS1_11 7 1 +RD_1a RS1_12 3 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 4 1 +RD_1a RS1_15 5 1 +RD_1a RS1_16 2 1 +RD_1a RS1_17 6 1 +RD_1a RS1_18 2 1 +RD_1a RS1_19 8 1 +RD_1a RS1_1a 5 1 +RD_1a RS1_1b 3 1 +RD_1a RS1_1c 8 1 +RD_1a RS1_1d 3 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 9 1 +RD_1b RS1_00 5 1 +RD_1b RS1_01 6 1 +RD_1b RS1_02 9 1 +RD_1b RS1_03 4 1 +RD_1b RS1_04 4 1 +RD_1b RS1_05 4 1 +RD_1b RS1_06 7 1 +RD_1b RS1_07 6 1 +RD_1b RS1_08 5 1 +RD_1b RS1_09 4 1 +RD_1b RS1_0a 1 1 +RD_1b RS1_0b 7 1 +RD_1b RS1_0c 2 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 6 1 +RD_1b RS1_0f 6 1 +RD_1b RS1_10 3 1 +RD_1b RS1_11 4 1 +RD_1b RS1_12 4 1 +RD_1b RS1_13 4 1 +RD_1b RS1_14 5 1 +RD_1b RS1_15 5 1 +RD_1b RS1_16 5 1 +RD_1b RS1_17 6 1 +RD_1b RS1_18 2 1 +RD_1b RS1_19 3 1 +RD_1b RS1_1a 7 1 +RD_1b RS1_1b 8 1 +RD_1b RS1_1c 5 1 +RD_1b RS1_1d 7 1 +RD_1b RS1_1e 6 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 3 1 +RD_1c RS1_01 9 1 +RD_1c RS1_03 3 1 +RD_1c RS1_04 5 1 +RD_1c RS1_05 5 1 +RD_1c RS1_06 7 1 +RD_1c RS1_07 9 1 +RD_1c RS1_08 2 1 +RD_1c RS1_09 5 1 +RD_1c RS1_0a 2 1 +RD_1c RS1_0b 9 1 +RD_1c RS1_0c 5 1 +RD_1c RS1_0d 2 1 +RD_1c RS1_0e 3 1 +RD_1c RS1_0f 8 1 +RD_1c RS1_10 5 1 +RD_1c RS1_11 7 1 +RD_1c RS1_12 4 1 +RD_1c RS1_13 4 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 5 1 +RD_1c RS1_16 3 1 +RD_1c RS1_17 3 1 +RD_1c RS1_18 6 1 +RD_1c RS1_19 2 1 +RD_1c RS1_1a 6 1 +RD_1c RS1_1b 3 1 +RD_1c RS1_1c 7 1 +RD_1c RS1_1d 4 1 +RD_1c RS1_1e 1 1 +RD_1c RS1_1f 6 1 +RD_1d RS1_00 4 1 +RD_1d RS1_01 4 1 +RD_1d RS1_02 3 1 +RD_1d RS1_03 7 1 +RD_1d RS1_04 6 1 +RD_1d RS1_05 7 1 +RD_1d RS1_06 4 1 +RD_1d RS1_07 4 1 +RD_1d RS1_08 3 1 +RD_1d RS1_09 8 1 +RD_1d RS1_0a 8 1 +RD_1d RS1_0b 4 1 +RD_1d RS1_0c 4 1 +RD_1d RS1_0d 12 1 +RD_1d RS1_0e 5 1 +RD_1d RS1_0f 11 1 +RD_1d RS1_10 3 1 +RD_1d RS1_11 5 1 +RD_1d RS1_12 9 1 +RD_1d RS1_13 4 1 +RD_1d RS1_14 3 1 +RD_1d RS1_15 7 1 +RD_1d RS1_16 11 1 +RD_1d RS1_17 2 1 +RD_1d RS1_18 3 1 +RD_1d RS1_19 3 1 +RD_1d RS1_1a 6 1 +RD_1d RS1_1b 10 1 +RD_1d RS1_1c 4 1 +RD_1d RS1_1d 5 1 +RD_1d RS1_1e 5 1 +RD_1d RS1_1f 3 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 7 1 +RD_1e RS1_03 7 1 +RD_1e RS1_04 2 1 +RD_1e RS1_05 7 1 +RD_1e RS1_06 4 1 +RD_1e RS1_07 4 1 +RD_1e RS1_08 6 1 +RD_1e RS1_09 7 1 +RD_1e RS1_0a 5 1 +RD_1e RS1_0b 2 1 +RD_1e RS1_0d 2 1 +RD_1e RS1_0e 4 1 +RD_1e RS1_0f 4 1 +RD_1e RS1_10 6 1 +RD_1e RS1_11 9 1 +RD_1e RS1_12 5 1 +RD_1e RS1_13 4 1 +RD_1e RS1_14 7 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 3 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 4 1 +RD_1e RS1_19 3 1 +RD_1e RS1_1a 8 1 +RD_1e RS1_1b 2 1 +RD_1e RS1_1c 6 1 +RD_1e RS1_1d 9 1 +RD_1e RS1_1e 5 1 +RD_1e RS1_1f 3 1 +RD_1f RS1_00 3 1 +RD_1f RS1_01 2 1 +RD_1f RS1_02 1 1 +RD_1f RS1_03 5 1 +RD_1f RS1_04 2 1 +RD_1f RS1_05 4 1 +RD_1f RS1_06 10 1 +RD_1f RS1_07 5 1 +RD_1f RS1_08 6 1 +RD_1f RS1_09 3 1 +RD_1f RS1_0a 4 1 +RD_1f RS1_0b 7 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 4 1 +RD_1f RS1_0e 4 1 +RD_1f RS1_0f 3 1 +RD_1f RS1_10 9 1 +RD_1f RS1_11 4 1 +RD_1f RS1_12 6 1 +RD_1f RS1_13 6 1 +RD_1f RS1_14 3 1 +RD_1f RS1_15 9 1 +RD_1f RS1_16 2 1 +RD_1f RS1_17 1 1 +RD_1f RS1_18 10 1 +RD_1f RS1_19 5 1 +RD_1f RS1_1a 6 1 +RD_1f RS1_1b 3 1 +RD_1f RS1_1c 8 1 +RD_1f RS1_1d 6 1 +RD_1f RS1_1e 9 1 +RD_1f RS1_1f 9 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 10 1014 99.02 10 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_01] [RS2_12] 0 1 1 +[RD_05] [RS2_06] 0 1 1 +[RD_09] [RS2_10 , RS2_11] -- -- 2 +[RD_0b] [RS2_19] 0 1 1 +[RD_0e] [RS2_11] 0 1 1 +[RD_12] [RS2_06] 0 1 1 +[RD_13] [RS2_07] 0 1 1 +[RD_1b] [RS2_06] 0 1 1 +[RD_1c] [RS2_0d] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 13 1 +RD_00 RS2_01 3 1 +RD_00 RS2_02 10 1 +RD_00 RS2_03 1 1 +RD_00 RS2_04 6 1 +RD_00 RS2_05 5 1 +RD_00 RS2_06 3 1 +RD_00 RS2_07 9 1 +RD_00 RS2_08 3 1 +RD_00 RS2_09 4 1 +RD_00 RS2_0a 3 1 +RD_00 RS2_0b 9 1 +RD_00 RS2_0c 4 1 +RD_00 RS2_0d 1 1 +RD_00 RS2_0e 5 1 +RD_00 RS2_0f 4 1 +RD_00 RS2_10 3 1 +RD_00 RS2_11 10 1 +RD_00 RS2_12 8 1 +RD_00 RS2_13 9 1 +RD_00 RS2_14 4 1 +RD_00 RS2_15 10 1 +RD_00 RS2_16 3 1 +RD_00 RS2_17 8 1 +RD_00 RS2_18 7 1 +RD_00 RS2_19 7 1 +RD_00 RS2_1a 8 1 +RD_00 RS2_1b 2 1 +RD_00 RS2_1c 5 1 +RD_00 RS2_1d 11 1 +RD_00 RS2_1e 11 1 +RD_00 RS2_1f 4 1 +RD_01 RS2_00 5 1 +RD_01 RS2_01 8 1 +RD_01 RS2_02 7 1 +RD_01 RS2_03 5 1 +RD_01 RS2_04 3 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 8 1 +RD_01 RS2_08 3 1 +RD_01 RS2_09 8 1 +RD_01 RS2_0a 7 1 +RD_01 RS2_0b 4 1 +RD_01 RS2_0c 5 1 +RD_01 RS2_0d 5 1 +RD_01 RS2_0e 9 1 +RD_01 RS2_0f 8 1 +RD_01 RS2_10 5 1 +RD_01 RS2_11 3 1 +RD_01 RS2_13 7 1 +RD_01 RS2_14 4 1 +RD_01 RS2_15 2 1 +RD_01 RS2_16 11 1 +RD_01 RS2_17 8 1 +RD_01 RS2_18 5 1 +RD_01 RS2_19 6 1 +RD_01 RS2_1a 4 1 +RD_01 RS2_1b 5 1 +RD_01 RS2_1c 6 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 6 1 +RD_01 RS2_1f 7 1 +RD_02 RS2_00 7 1 +RD_02 RS2_01 2 1 +RD_02 RS2_02 2 1 +RD_02 RS2_03 5 1 +RD_02 RS2_04 3 1 +RD_02 RS2_05 2 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 4 1 +RD_02 RS2_08 2 1 +RD_02 RS2_09 4 1 +RD_02 RS2_0a 7 1 +RD_02 RS2_0b 4 1 +RD_02 RS2_0c 4 1 +RD_02 RS2_0d 10 1 +RD_02 RS2_0e 10 1 +RD_02 RS2_0f 3 1 +RD_02 RS2_10 4 1 +RD_02 RS2_11 2 1 +RD_02 RS2_12 6 1 +RD_02 RS2_13 3 1 +RD_02 RS2_14 1 1 +RD_02 RS2_15 8 1 +RD_02 RS2_16 5 1 +RD_02 RS2_17 1 1 +RD_02 RS2_18 4 1 +RD_02 RS2_19 2 1 +RD_02 RS2_1a 6 1 +RD_02 RS2_1b 7 1 +RD_02 RS2_1c 6 1 +RD_02 RS2_1d 7 1 +RD_02 RS2_1e 11 1 +RD_02 RS2_1f 5 1 +RD_03 RS2_00 3 1 +RD_03 RS2_01 2 1 +RD_03 RS2_02 14 1 +RD_03 RS2_03 7 1 +RD_03 RS2_04 9 1 +RD_03 RS2_05 2 1 +RD_03 RS2_06 4 1 +RD_03 RS2_07 9 1 +RD_03 RS2_08 9 1 +RD_03 RS2_09 4 1 +RD_03 RS2_0a 6 1 +RD_03 RS2_0b 9 1 +RD_03 RS2_0c 9 1 +RD_03 RS2_0d 4 1 +RD_03 RS2_0e 12 1 +RD_03 RS2_0f 3 1 +RD_03 RS2_10 8 1 +RD_03 RS2_11 3 1 +RD_03 RS2_12 9 1 +RD_03 RS2_13 9 1 +RD_03 RS2_14 6 1 +RD_03 RS2_15 6 1 +RD_03 RS2_16 6 1 +RD_03 RS2_17 9 1 +RD_03 RS2_18 2 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1a 3 1 +RD_03 RS2_1b 7 1 +RD_03 RS2_1c 5 1 +RD_03 RS2_1d 4 1 +RD_03 RS2_1e 3 1 +RD_03 RS2_1f 3 1 +RD_04 RS2_00 5 1 +RD_04 RS2_01 4 1 +RD_04 RS2_02 2 1 +RD_04 RS2_03 4 1 +RD_04 RS2_04 9 1 +RD_04 RS2_05 4 1 +RD_04 RS2_06 5 1 +RD_04 RS2_07 3 1 +RD_04 RS2_08 5 1 +RD_04 RS2_09 4 1 +RD_04 RS2_0a 3 1 +RD_04 RS2_0b 2 1 +RD_04 RS2_0c 7 1 +RD_04 RS2_0d 6 1 +RD_04 RS2_0e 4 1 +RD_04 RS2_0f 6 1 +RD_04 RS2_10 3 1 +RD_04 RS2_11 10 1 +RD_04 RS2_12 4 1 +RD_04 RS2_13 5 1 +RD_04 RS2_14 9 1 +RD_04 RS2_15 8 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 5 1 +RD_04 RS2_18 8 1 +RD_04 RS2_19 2 1 +RD_04 RS2_1a 5 1 +RD_04 RS2_1b 5 1 +RD_04 RS2_1c 3 1 +RD_04 RS2_1d 1 1 +RD_04 RS2_1e 4 1 +RD_04 RS2_1f 6 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 7 1 +RD_05 RS2_02 5 1 +RD_05 RS2_03 8 1 +RD_05 RS2_04 4 1 +RD_05 RS2_05 6 1 +RD_05 RS2_07 7 1 +RD_05 RS2_08 1 1 +RD_05 RS2_09 6 1 +RD_05 RS2_0a 6 1 +RD_05 RS2_0b 8 1 +RD_05 RS2_0c 8 1 +RD_05 RS2_0d 5 1 +RD_05 RS2_0e 1 1 +RD_05 RS2_0f 3 1 +RD_05 RS2_10 4 1 +RD_05 RS2_11 4 1 +RD_05 RS2_12 6 1 +RD_05 RS2_13 5 1 +RD_05 RS2_14 9 1 +RD_05 RS2_15 6 1 +RD_05 RS2_16 8 1 +RD_05 RS2_17 2 1 +RD_05 RS2_18 2 1 +RD_05 RS2_19 5 1 +RD_05 RS2_1a 6 1 +RD_05 RS2_1b 2 1 +RD_05 RS2_1c 1 1 +RD_05 RS2_1d 9 1 +RD_05 RS2_1e 4 1 +RD_05 RS2_1f 1 1 +RD_06 RS2_00 7 1 +RD_06 RS2_01 5 1 +RD_06 RS2_02 3 1 +RD_06 RS2_03 3 1 +RD_06 RS2_04 5 1 +RD_06 RS2_05 7 1 +RD_06 RS2_06 4 1 +RD_06 RS2_07 5 1 +RD_06 RS2_08 7 1 +RD_06 RS2_09 4 1 +RD_06 RS2_0a 7 1 +RD_06 RS2_0b 6 1 +RD_06 RS2_0c 1 1 +RD_06 RS2_0d 8 1 +RD_06 RS2_0e 7 1 +RD_06 RS2_0f 6 1 +RD_06 RS2_10 9 1 +RD_06 RS2_11 1 1 +RD_06 RS2_12 5 1 +RD_06 RS2_13 10 1 +RD_06 RS2_14 5 1 +RD_06 RS2_15 4 1 +RD_06 RS2_16 9 1 +RD_06 RS2_17 3 1 +RD_06 RS2_18 4 1 +RD_06 RS2_19 6 1 +RD_06 RS2_1a 5 1 +RD_06 RS2_1b 6 1 +RD_06 RS2_1c 4 1 +RD_06 RS2_1d 6 1 +RD_06 RS2_1e 4 1 +RD_06 RS2_1f 4 1 +RD_07 RS2_00 12 1 +RD_07 RS2_01 8 1 +RD_07 RS2_02 8 1 +RD_07 RS2_03 4 1 +RD_07 RS2_04 4 1 +RD_07 RS2_05 4 1 +RD_07 RS2_06 4 1 +RD_07 RS2_07 3 1 +RD_07 RS2_08 5 1 +RD_07 RS2_09 6 1 +RD_07 RS2_0a 6 1 +RD_07 RS2_0b 6 1 +RD_07 RS2_0c 5 1 +RD_07 RS2_0d 2 1 +RD_07 RS2_0e 9 1 +RD_07 RS2_0f 4 1 +RD_07 RS2_10 3 1 +RD_07 RS2_11 4 1 +RD_07 RS2_12 3 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 3 1 +RD_07 RS2_15 8 1 +RD_07 RS2_16 2 1 +RD_07 RS2_17 5 1 +RD_07 RS2_18 5 1 +RD_07 RS2_19 2 1 +RD_07 RS2_1a 3 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 7 1 +RD_07 RS2_1d 4 1 +RD_07 RS2_1e 4 1 +RD_07 RS2_1f 5 1 +RD_08 RS2_00 3 1 +RD_08 RS2_01 5 1 +RD_08 RS2_02 6 1 +RD_08 RS2_03 7 1 +RD_08 RS2_04 8 1 +RD_08 RS2_05 5 1 +RD_08 RS2_06 7 1 +RD_08 RS2_07 8 1 +RD_08 RS2_08 5 1 +RD_08 RS2_09 4 1 +RD_08 RS2_0a 4 1 +RD_08 RS2_0b 2 1 +RD_08 RS2_0c 1 1 +RD_08 RS2_0d 5 1 +RD_08 RS2_0e 5 1 +RD_08 RS2_0f 8 1 +RD_08 RS2_10 9 1 +RD_08 RS2_11 3 1 +RD_08 RS2_12 5 1 +RD_08 RS2_13 7 1 +RD_08 RS2_14 3 1 +RD_08 RS2_15 7 1 +RD_08 RS2_16 10 1 +RD_08 RS2_17 2 1 +RD_08 RS2_18 4 1 +RD_08 RS2_19 9 1 +RD_08 RS2_1a 2 1 +RD_08 RS2_1b 5 1 +RD_08 RS2_1c 4 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 4 1 +RD_08 RS2_1f 7 1 +RD_09 RS2_00 3 1 +RD_09 RS2_01 6 1 +RD_09 RS2_02 2 1 +RD_09 RS2_03 5 1 +RD_09 RS2_04 5 1 +RD_09 RS2_05 5 1 +RD_09 RS2_06 7 1 +RD_09 RS2_07 5 1 +RD_09 RS2_08 5 1 +RD_09 RS2_09 5 1 +RD_09 RS2_0a 4 1 +RD_09 RS2_0b 3 1 +RD_09 RS2_0c 8 1 +RD_09 RS2_0d 3 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 2 1 +RD_09 RS2_12 5 1 +RD_09 RS2_13 5 1 +RD_09 RS2_14 5 1 +RD_09 RS2_15 4 1 +RD_09 RS2_16 4 1 +RD_09 RS2_17 6 1 +RD_09 RS2_18 9 1 +RD_09 RS2_19 7 1 +RD_09 RS2_1a 2 1 +RD_09 RS2_1b 3 1 +RD_09 RS2_1c 5 1 +RD_09 RS2_1d 8 1 +RD_09 RS2_1e 4 1 +RD_09 RS2_1f 4 1 +RD_0a RS2_00 4 1 +RD_0a RS2_01 7 1 +RD_0a RS2_02 8 1 +RD_0a RS2_03 13 1 +RD_0a RS2_04 4 1 +RD_0a RS2_05 5 1 +RD_0a RS2_06 4 1 +RD_0a RS2_07 4 1 +RD_0a RS2_08 2 1 +RD_0a RS2_09 6 1 +RD_0a RS2_0a 3 1 +RD_0a RS2_0b 1 1 +RD_0a RS2_0c 3 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 4 1 +RD_0a RS2_0f 3 1 +RD_0a RS2_10 3 1 +RD_0a RS2_11 9 1 +RD_0a RS2_12 7 1 +RD_0a RS2_13 7 1 +RD_0a RS2_14 7 1 +RD_0a RS2_15 6 1 +RD_0a RS2_16 1 1 +RD_0a RS2_17 6 1 +RD_0a RS2_18 3 1 +RD_0a RS2_19 7 1 +RD_0a RS2_1a 5 1 +RD_0a RS2_1b 5 1 +RD_0a RS2_1c 10 1 +RD_0a RS2_1d 2 1 +RD_0a RS2_1e 7 1 +RD_0a RS2_1f 7 1 +RD_0b RS2_00 5 1 +RD_0b RS2_01 5 1 +RD_0b RS2_02 5 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 9 1 +RD_0b RS2_05 1 1 +RD_0b RS2_06 4 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 4 1 +RD_0b RS2_09 9 1 +RD_0b RS2_0a 6 1 +RD_0b RS2_0b 10 1 +RD_0b RS2_0c 7 1 +RD_0b RS2_0d 5 1 +RD_0b RS2_0e 2 1 +RD_0b RS2_0f 2 1 +RD_0b RS2_10 6 1 +RD_0b RS2_11 4 1 +RD_0b RS2_12 9 1 +RD_0b RS2_13 7 1 +RD_0b RS2_14 8 1 +RD_0b RS2_15 3 1 +RD_0b RS2_16 3 1 +RD_0b RS2_17 4 1 +RD_0b RS2_18 6 1 +RD_0b RS2_1a 4 1 +RD_0b RS2_1b 6 1 +RD_0b RS2_1c 8 1 +RD_0b RS2_1d 2 1 +RD_0b RS2_1e 3 1 +RD_0b RS2_1f 5 1 +RD_0c RS2_00 6 1 +RD_0c RS2_01 5 1 +RD_0c RS2_02 3 1 +RD_0c RS2_03 6 1 +RD_0c RS2_04 2 1 +RD_0c RS2_05 8 1 +RD_0c RS2_06 6 1 +RD_0c RS2_07 5 1 +RD_0c RS2_08 2 1 +RD_0c RS2_09 8 1 +RD_0c RS2_0a 3 1 +RD_0c RS2_0b 7 1 +RD_0c RS2_0c 3 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 5 1 +RD_0c RS2_0f 11 1 +RD_0c RS2_10 4 1 +RD_0c RS2_11 12 1 +RD_0c RS2_12 7 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 3 1 +RD_0c RS2_15 1 1 +RD_0c RS2_16 3 1 +RD_0c RS2_17 10 1 +RD_0c RS2_18 7 1 +RD_0c RS2_19 3 1 +RD_0c RS2_1a 3 1 +RD_0c RS2_1b 7 1 +RD_0c RS2_1c 3 1 +RD_0c RS2_1d 7 1 +RD_0c RS2_1e 3 1 +RD_0c RS2_1f 5 1 +RD_0d RS2_00 5 1 +RD_0d RS2_01 4 1 +RD_0d RS2_02 6 1 +RD_0d RS2_03 6 1 +RD_0d RS2_04 2 1 +RD_0d RS2_05 7 1 +RD_0d RS2_06 9 1 +RD_0d RS2_07 1 1 +RD_0d RS2_08 3 1 +RD_0d RS2_09 5 1 +RD_0d RS2_0a 7 1 +RD_0d RS2_0b 7 1 +RD_0d RS2_0c 8 1 +RD_0d RS2_0d 6 1 +RD_0d RS2_0e 5 1 +RD_0d RS2_0f 5 1 +RD_0d RS2_10 7 1 +RD_0d RS2_11 4 1 +RD_0d RS2_12 5 1 +RD_0d RS2_13 5 1 +RD_0d RS2_14 5 1 +RD_0d RS2_15 6 1 +RD_0d RS2_16 3 1 +RD_0d RS2_17 3 1 +RD_0d RS2_18 7 1 +RD_0d RS2_19 5 1 +RD_0d RS2_1a 4 1 +RD_0d RS2_1b 6 1 +RD_0d RS2_1c 3 1 +RD_0d RS2_1d 2 1 +RD_0d RS2_1e 6 1 +RD_0d RS2_1f 8 1 +RD_0e RS2_00 5 1 +RD_0e RS2_01 9 1 +RD_0e RS2_02 3 1 +RD_0e RS2_03 7 1 +RD_0e RS2_04 4 1 +RD_0e RS2_05 3 1 +RD_0e RS2_06 5 1 +RD_0e RS2_07 6 1 +RD_0e RS2_08 1 1 +RD_0e RS2_09 3 1 +RD_0e RS2_0a 4 1 +RD_0e RS2_0b 12 1 +RD_0e RS2_0c 9 1 +RD_0e RS2_0d 7 1 +RD_0e RS2_0e 5 1 +RD_0e RS2_0f 6 1 +RD_0e RS2_10 11 1 +RD_0e RS2_12 3 1 +RD_0e RS2_13 2 1 +RD_0e RS2_14 4 1 +RD_0e RS2_15 7 1 +RD_0e RS2_16 3 1 +RD_0e RS2_17 5 1 +RD_0e RS2_18 2 1 +RD_0e RS2_19 5 1 +RD_0e RS2_1a 4 1 +RD_0e RS2_1b 3 1 +RD_0e RS2_1c 4 1 +RD_0e RS2_1d 8 1 +RD_0e RS2_1e 5 1 +RD_0e RS2_1f 6 1 +RD_0f RS2_00 4 1 +RD_0f RS2_01 7 1 +RD_0f RS2_02 10 1 +RD_0f RS2_03 11 1 +RD_0f RS2_04 4 1 +RD_0f RS2_05 5 1 +RD_0f RS2_06 5 1 +RD_0f RS2_07 5 1 +RD_0f RS2_08 1 1 +RD_0f RS2_09 6 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 5 1 +RD_0f RS2_0c 7 1 +RD_0f RS2_0d 5 1 +RD_0f RS2_0e 7 1 +RD_0f RS2_0f 6 1 +RD_0f RS2_10 6 1 +RD_0f RS2_11 3 1 +RD_0f RS2_12 5 1 +RD_0f RS2_13 3 1 +RD_0f RS2_14 6 1 +RD_0f RS2_15 3 1 +RD_0f RS2_16 8 1 +RD_0f RS2_17 6 1 +RD_0f RS2_18 8 1 +RD_0f RS2_19 6 1 +RD_0f RS2_1a 5 1 +RD_0f RS2_1b 2 1 +RD_0f RS2_1c 10 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 7 1 +RD_0f RS2_1f 8 1 +RD_10 RS2_00 5 1 +RD_10 RS2_01 5 1 +RD_10 RS2_02 6 1 +RD_10 RS2_03 7 1 +RD_10 RS2_04 8 1 +RD_10 RS2_05 4 1 +RD_10 RS2_06 7 1 +RD_10 RS2_07 2 1 +RD_10 RS2_08 4 1 +RD_10 RS2_09 8 1 +RD_10 RS2_0a 8 1 +RD_10 RS2_0b 9 1 +RD_10 RS2_0c 11 1 +RD_10 RS2_0d 6 1 +RD_10 RS2_0e 7 1 +RD_10 RS2_0f 8 1 +RD_10 RS2_10 6 1 +RD_10 RS2_11 6 1 +RD_10 RS2_12 4 1 +RD_10 RS2_13 4 1 +RD_10 RS2_14 3 1 +RD_10 RS2_15 8 1 +RD_10 RS2_16 7 1 +RD_10 RS2_17 2 1 +RD_10 RS2_18 4 1 +RD_10 RS2_19 2 1 +RD_10 RS2_1a 6 1 +RD_10 RS2_1b 4 1 +RD_10 RS2_1c 3 1 +RD_10 RS2_1d 11 1 +RD_10 RS2_1e 1 1 +RD_10 RS2_1f 5 1 +RD_11 RS2_00 2 1 +RD_11 RS2_01 4 1 +RD_11 RS2_02 4 1 +RD_11 RS2_03 4 1 +RD_11 RS2_04 4 1 +RD_11 RS2_05 3 1 +RD_11 RS2_06 7 1 +RD_11 RS2_07 4 1 +RD_11 RS2_08 1 1 +RD_11 RS2_09 8 1 +RD_11 RS2_0a 7 1 +RD_11 RS2_0b 3 1 +RD_11 RS2_0c 7 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 6 1 +RD_11 RS2_0f 8 1 +RD_11 RS2_10 7 1 +RD_11 RS2_11 11 1 +RD_11 RS2_12 5 1 +RD_11 RS2_13 7 1 +RD_11 RS2_14 10 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 5 1 +RD_11 RS2_17 7 1 +RD_11 RS2_18 3 1 +RD_11 RS2_19 6 1 +RD_11 RS2_1a 7 1 +RD_11 RS2_1b 3 1 +RD_11 RS2_1c 12 1 +RD_11 RS2_1d 4 1 +RD_11 RS2_1e 4 1 +RD_11 RS2_1f 6 1 +RD_12 RS2_00 6 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 10 1 +RD_12 RS2_03 4 1 +RD_12 RS2_04 3 1 +RD_12 RS2_05 6 1 +RD_12 RS2_07 3 1 +RD_12 RS2_08 4 1 +RD_12 RS2_09 3 1 +RD_12 RS2_0a 2 1 +RD_12 RS2_0b 4 1 +RD_12 RS2_0c 4 1 +RD_12 RS2_0d 6 1 +RD_12 RS2_0e 5 1 +RD_12 RS2_0f 6 1 +RD_12 RS2_10 1 1 +RD_12 RS2_11 11 1 +RD_12 RS2_12 6 1 +RD_12 RS2_13 3 1 +RD_12 RS2_14 8 1 +RD_12 RS2_15 7 1 +RD_12 RS2_16 4 1 +RD_12 RS2_17 2 1 +RD_12 RS2_18 7 1 +RD_12 RS2_19 3 1 +RD_12 RS2_1a 4 1 +RD_12 RS2_1b 3 1 +RD_12 RS2_1c 4 1 +RD_12 RS2_1d 4 1 +RD_12 RS2_1e 9 1 +RD_12 RS2_1f 7 1 +RD_13 RS2_00 3 1 +RD_13 RS2_01 5 1 +RD_13 RS2_02 3 1 +RD_13 RS2_03 6 1 +RD_13 RS2_04 7 1 +RD_13 RS2_05 6 1 +RD_13 RS2_06 9 1 +RD_13 RS2_08 1 1 +RD_13 RS2_09 8 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 5 1 +RD_13 RS2_0c 4 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 5 1 +RD_13 RS2_0f 4 1 +RD_13 RS2_10 5 1 +RD_13 RS2_11 4 1 +RD_13 RS2_12 6 1 +RD_13 RS2_13 4 1 +RD_13 RS2_14 7 1 +RD_13 RS2_15 4 1 +RD_13 RS2_16 6 1 +RD_13 RS2_17 4 1 +RD_13 RS2_18 4 1 +RD_13 RS2_19 4 1 +RD_13 RS2_1a 12 1 +RD_13 RS2_1b 10 1 +RD_13 RS2_1c 8 1 +RD_13 RS2_1d 8 1 +RD_13 RS2_1e 4 1 +RD_13 RS2_1f 4 1 +RD_14 RS2_00 6 1 +RD_14 RS2_01 6 1 +RD_14 RS2_02 7 1 +RD_14 RS2_03 3 1 +RD_14 RS2_04 5 1 +RD_14 RS2_05 5 1 +RD_14 RS2_06 8 1 +RD_14 RS2_07 6 1 +RD_14 RS2_08 6 1 +RD_14 RS2_09 4 1 +RD_14 RS2_0a 2 1 +RD_14 RS2_0b 5 1 +RD_14 RS2_0c 2 1 +RD_14 RS2_0d 2 1 +RD_14 RS2_0e 2 1 +RD_14 RS2_0f 4 1 +RD_14 RS2_10 6 1 +RD_14 RS2_11 5 1 +RD_14 RS2_12 9 1 +RD_14 RS2_13 4 1 +RD_14 RS2_14 4 1 +RD_14 RS2_15 7 1 +RD_14 RS2_16 7 1 +RD_14 RS2_17 4 1 +RD_14 RS2_18 4 1 +RD_14 RS2_19 3 1 +RD_14 RS2_1a 9 1 +RD_14 RS2_1b 5 1 +RD_14 RS2_1c 4 1 +RD_14 RS2_1d 7 1 +RD_14 RS2_1e 8 1 +RD_14 RS2_1f 4 1 +RD_15 RS2_00 9 1 +RD_15 RS2_01 7 1 +RD_15 RS2_02 4 1 +RD_15 RS2_03 7 1 +RD_15 RS2_04 10 1 +RD_15 RS2_05 1 1 +RD_15 RS2_06 7 1 +RD_15 RS2_07 10 1 +RD_15 RS2_08 5 1 +RD_15 RS2_09 8 1 +RD_15 RS2_0a 2 1 +RD_15 RS2_0b 2 1 +RD_15 RS2_0c 2 1 +RD_15 RS2_0d 5 1 +RD_15 RS2_0e 3 1 +RD_15 RS2_0f 5 1 +RD_15 RS2_10 8 1 +RD_15 RS2_11 4 1 +RD_15 RS2_12 9 1 +RD_15 RS2_13 6 1 +RD_15 RS2_14 7 1 +RD_15 RS2_15 4 1 +RD_15 RS2_16 7 1 +RD_15 RS2_17 4 1 +RD_15 RS2_18 4 1 +RD_15 RS2_19 4 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 11 1 +RD_15 RS2_1c 9 1 +RD_15 RS2_1d 5 1 +RD_15 RS2_1e 7 1 +RD_15 RS2_1f 4 1 +RD_16 RS2_00 5 1 +RD_16 RS2_01 9 1 +RD_16 RS2_02 4 1 +RD_16 RS2_03 2 1 +RD_16 RS2_04 9 1 +RD_16 RS2_05 3 1 +RD_16 RS2_06 5 1 +RD_16 RS2_07 10 1 +RD_16 RS2_08 3 1 +RD_16 RS2_09 1 1 +RD_16 RS2_0a 2 1 +RD_16 RS2_0b 1 1 +RD_16 RS2_0c 3 1 +RD_16 RS2_0d 3 1 +RD_16 RS2_0e 8 1 +RD_16 RS2_0f 5 1 +RD_16 RS2_10 7 1 +RD_16 RS2_11 7 1 +RD_16 RS2_12 4 1 +RD_16 RS2_13 8 1 +RD_16 RS2_14 8 1 +RD_16 RS2_15 8 1 +RD_16 RS2_16 6 1 +RD_16 RS2_17 7 1 +RD_16 RS2_18 5 1 +RD_16 RS2_19 1 1 +RD_16 RS2_1a 6 1 +RD_16 RS2_1b 6 1 +RD_16 RS2_1c 7 1 +RD_16 RS2_1d 6 1 +RD_16 RS2_1e 8 1 +RD_16 RS2_1f 6 1 +RD_17 RS2_00 6 1 +RD_17 RS2_01 4 1 +RD_17 RS2_02 2 1 +RD_17 RS2_03 9 1 +RD_17 RS2_04 2 1 +RD_17 RS2_05 2 1 +RD_17 RS2_06 5 1 +RD_17 RS2_07 4 1 +RD_17 RS2_08 12 1 +RD_17 RS2_09 2 1 +RD_17 RS2_0a 7 1 +RD_17 RS2_0b 10 1 +RD_17 RS2_0c 8 1 +RD_17 RS2_0d 5 1 +RD_17 RS2_0e 12 1 +RD_17 RS2_0f 5 1 +RD_17 RS2_10 2 1 +RD_17 RS2_11 3 1 +RD_17 RS2_12 5 1 +RD_17 RS2_13 6 1 +RD_17 RS2_14 3 1 +RD_17 RS2_15 3 1 +RD_17 RS2_16 4 1 +RD_17 RS2_17 5 1 +RD_17 RS2_18 3 1 +RD_17 RS2_19 11 1 +RD_17 RS2_1a 9 1 +RD_17 RS2_1b 8 1 +RD_17 RS2_1c 9 1 +RD_17 RS2_1d 3 1 +RD_17 RS2_1e 3 1 +RD_17 RS2_1f 5 1 +RD_18 RS2_00 8 1 +RD_18 RS2_01 7 1 +RD_18 RS2_02 2 1 +RD_18 RS2_03 6 1 +RD_18 RS2_04 3 1 +RD_18 RS2_05 9 1 +RD_18 RS2_06 4 1 +RD_18 RS2_07 5 1 +RD_18 RS2_08 4 1 +RD_18 RS2_09 5 1 +RD_18 RS2_0a 8 1 +RD_18 RS2_0b 3 1 +RD_18 RS2_0c 3 1 +RD_18 RS2_0d 2 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 4 1 +RD_18 RS2_10 8 1 +RD_18 RS2_11 1 1 +RD_18 RS2_12 5 1 +RD_18 RS2_13 4 1 +RD_18 RS2_14 8 1 +RD_18 RS2_15 3 1 +RD_18 RS2_16 6 1 +RD_18 RS2_17 2 1 +RD_18 RS2_18 9 1 +RD_18 RS2_19 5 1 +RD_18 RS2_1a 1 1 +RD_18 RS2_1b 6 1 +RD_18 RS2_1c 5 1 +RD_18 RS2_1d 6 1 +RD_18 RS2_1e 9 1 +RD_18 RS2_1f 6 1 +RD_19 RS2_00 5 1 +RD_19 RS2_01 6 1 +RD_19 RS2_02 3 1 +RD_19 RS2_03 8 1 +RD_19 RS2_04 6 1 +RD_19 RS2_05 3 1 +RD_19 RS2_06 2 1 +RD_19 RS2_07 3 1 +RD_19 RS2_08 5 1 +RD_19 RS2_09 2 1 +RD_19 RS2_0a 11 1 +RD_19 RS2_0b 3 1 +RD_19 RS2_0c 5 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 2 1 +RD_19 RS2_0f 3 1 +RD_19 RS2_10 7 1 +RD_19 RS2_11 1 1 +RD_19 RS2_12 7 1 +RD_19 RS2_13 6 1 +RD_19 RS2_14 7 1 +RD_19 RS2_15 2 1 +RD_19 RS2_16 5 1 +RD_19 RS2_17 10 1 +RD_19 RS2_18 5 1 +RD_19 RS2_19 6 1 +RD_19 RS2_1a 3 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 2 1 +RD_19 RS2_1d 3 1 +RD_19 RS2_1e 4 1 +RD_19 RS2_1f 4 1 +RD_1a RS2_00 6 1 +RD_1a RS2_01 4 1 +RD_1a RS2_02 6 1 +RD_1a RS2_03 4 1 +RD_1a RS2_04 3 1 +RD_1a RS2_05 2 1 +RD_1a RS2_06 6 1 +RD_1a RS2_07 5 1 +RD_1a RS2_08 4 1 +RD_1a RS2_09 5 1 +RD_1a RS2_0a 3 1 +RD_1a RS2_0b 5 1 +RD_1a RS2_0c 4 1 +RD_1a RS2_0d 7 1 +RD_1a RS2_0e 5 1 +RD_1a RS2_0f 4 1 +RD_1a RS2_10 5 1 +RD_1a RS2_11 1 1 +RD_1a RS2_12 6 1 +RD_1a RS2_13 6 1 +RD_1a RS2_14 3 1 +RD_1a RS2_15 5 1 +RD_1a RS2_16 3 1 +RD_1a RS2_17 6 1 +RD_1a RS2_18 3 1 +RD_1a RS2_19 4 1 +RD_1a RS2_1a 5 1 +RD_1a RS2_1b 1 1 +RD_1a RS2_1c 9 1 +RD_1a RS2_1d 3 1 +RD_1a RS2_1e 2 1 +RD_1a RS2_1f 3 1 +RD_1b RS2_00 6 1 +RD_1b RS2_01 9 1 +RD_1b RS2_02 3 1 +RD_1b RS2_03 6 1 +RD_1b RS2_04 5 1 +RD_1b RS2_05 5 1 +RD_1b RS2_07 6 1 +RD_1b RS2_08 5 1 +RD_1b RS2_09 4 1 +RD_1b RS2_0a 5 1 +RD_1b RS2_0b 9 1 +RD_1b RS2_0c 4 1 +RD_1b RS2_0d 6 1 +RD_1b RS2_0e 8 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 5 1 +RD_1b RS2_11 7 1 +RD_1b RS2_12 2 1 +RD_1b RS2_13 4 1 +RD_1b RS2_14 2 1 +RD_1b RS2_15 7 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 4 1 +RD_1b RS2_18 2 1 +RD_1b RS2_19 1 1 +RD_1b RS2_1a 3 1 +RD_1b RS2_1b 5 1 +RD_1b RS2_1c 2 1 +RD_1b RS2_1d 8 1 +RD_1b RS2_1e 7 1 +RD_1b RS2_1f 9 1 +RD_1c RS2_00 4 1 +RD_1c RS2_01 3 1 +RD_1c RS2_02 6 1 +RD_1c RS2_03 4 1 +RD_1c RS2_04 4 1 +RD_1c RS2_05 4 1 +RD_1c RS2_06 5 1 +RD_1c RS2_07 3 1 +RD_1c RS2_08 3 1 +RD_1c RS2_09 4 1 +RD_1c RS2_0a 5 1 +RD_1c RS2_0b 2 1 +RD_1c RS2_0c 4 1 +RD_1c RS2_0e 1 1 +RD_1c RS2_0f 4 1 +RD_1c RS2_10 4 1 +RD_1c RS2_11 2 1 +RD_1c RS2_12 5 1 +RD_1c RS2_13 6 1 +RD_1c RS2_14 9 1 +RD_1c RS2_15 11 1 +RD_1c RS2_16 4 1 +RD_1c RS2_17 8 1 +RD_1c RS2_18 5 1 +RD_1c RS2_19 5 1 +RD_1c RS2_1a 7 1 +RD_1c RS2_1b 3 1 +RD_1c RS2_1c 5 1 +RD_1c RS2_1d 3 1 +RD_1c RS2_1e 8 1 +RD_1c RS2_1f 7 1 +RD_1d RS2_00 6 1 +RD_1d RS2_01 3 1 +RD_1d RS2_02 5 1 +RD_1d RS2_03 1 1 +RD_1d RS2_04 11 1 +RD_1d RS2_05 5 1 +RD_1d RS2_06 7 1 +RD_1d RS2_07 8 1 +RD_1d RS2_08 3 1 +RD_1d RS2_09 6 1 +RD_1d RS2_0a 2 1 +RD_1d RS2_0b 6 1 +RD_1d RS2_0c 6 1 +RD_1d RS2_0d 7 1 +RD_1d RS2_0e 8 1 +RD_1d RS2_0f 6 1 +RD_1d RS2_10 8 1 +RD_1d RS2_11 9 1 +RD_1d RS2_12 5 1 +RD_1d RS2_13 7 1 +RD_1d RS2_14 10 1 +RD_1d RS2_15 4 1 +RD_1d RS2_16 5 1 +RD_1d RS2_17 6 1 +RD_1d RS2_18 2 1 +RD_1d RS2_19 3 1 +RD_1d RS2_1a 5 1 +RD_1d RS2_1b 2 1 +RD_1d RS2_1c 4 1 +RD_1d RS2_1d 4 1 +RD_1d RS2_1e 9 1 +RD_1d RS2_1f 4 1 +RD_1e RS2_00 2 1 +RD_1e RS2_01 4 1 +RD_1e RS2_02 3 1 +RD_1e RS2_03 3 1 +RD_1e RS2_04 6 1 +RD_1e RS2_05 4 1 +RD_1e RS2_06 3 1 +RD_1e RS2_07 5 1 +RD_1e RS2_08 5 1 +RD_1e RS2_09 5 1 +RD_1e RS2_0a 8 1 +RD_1e RS2_0b 4 1 +RD_1e RS2_0c 2 1 +RD_1e RS2_0d 2 1 +RD_1e RS2_0e 7 1 +RD_1e RS2_0f 3 1 +RD_1e RS2_10 2 1 +RD_1e RS2_11 11 1 +RD_1e RS2_12 10 1 +RD_1e RS2_13 6 1 +RD_1e RS2_14 6 1 +RD_1e RS2_15 9 1 +RD_1e RS2_16 6 1 +RD_1e RS2_17 3 1 +RD_1e RS2_18 4 1 +RD_1e RS2_19 8 1 +RD_1e RS2_1a 3 1 +RD_1e RS2_1b 2 1 +RD_1e RS2_1c 1 1 +RD_1e RS2_1d 1 1 +RD_1e RS2_1e 8 1 +RD_1e RS2_1f 6 1 +RD_1f RS2_00 2 1 +RD_1f RS2_01 2 1 +RD_1f RS2_02 4 1 +RD_1f RS2_03 11 1 +RD_1f RS2_04 7 1 +RD_1f RS2_05 5 1 +RD_1f RS2_06 4 1 +RD_1f RS2_07 6 1 +RD_1f RS2_08 3 1 +RD_1f RS2_09 8 1 +RD_1f RS2_0a 3 1 +RD_1f RS2_0b 9 1 +RD_1f RS2_0c 4 1 +RD_1f RS2_0d 4 1 +RD_1f RS2_0e 2 1 +RD_1f RS2_0f 1 1 +RD_1f RS2_10 7 1 +RD_1f RS2_11 2 1 +RD_1f RS2_12 4 1 +RD_1f RS2_13 7 1 +RD_1f RS2_14 4 1 +RD_1f RS2_15 3 1 +RD_1f RS2_16 5 1 +RD_1f RS2_17 6 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 9 1 +RD_1f RS2_1a 5 1 +RD_1f RS2_1b 3 1 +RD_1f RS2_1c 11 1 +RD_1f RS2_1d 6 1 +RD_1f RS2_1e 6 1 +RD_1f RS2_1f 7 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_double_add_rs2_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.78 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 16 2032 99.22 + + +Variables for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 10 1014 99.02 100 1 1 0 +cross_rd_rs2 1024 6 1018 99.41 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 163 1 +RD_01 183 1 +RD_02 175 1 +RD_03 178 1 +RD_04 161 1 +RD_05 167 1 +RD_06 141 1 +RD_07 173 1 +RD_08 150 1 +RD_09 158 1 +RD_0a 163 1 +RD_0b 173 1 +RD_0c 165 1 +RD_0d 170 1 +RD_0e 156 1 +RD_0f 143 1 +RD_10 157 1 +RD_11 182 1 +RD_12 160 1 +RD_13 162 1 +RD_14 164 1 +RD_15 191 1 +RD_16 186 1 +RD_17 173 1 +RD_18 155 1 +RD_19 132 1 +RD_1a 149 1 +RD_1b 173 1 +RD_1c 145 1 +RD_1d 186 1 +RD_1e 195 1 +RD_1f 161 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 176 1 +RS1_01 159 1 +RS1_02 152 1 +RS1_03 156 1 +RS1_04 170 1 +RS1_05 165 1 +RS1_06 188 1 +RS1_07 155 1 +RS1_08 158 1 +RS1_09 141 1 +RS1_0a 191 1 +RS1_0b 137 1 +RS1_0c 184 1 +RS1_0d 168 1 +RS1_0e 159 1 +RS1_0f 193 1 +RS1_10 172 1 +RS1_11 186 1 +RS1_12 170 1 +RS1_13 168 1 +RS1_14 136 1 +RS1_15 167 1 +RS1_16 171 1 +RS1_17 169 1 +RS1_18 144 1 +RS1_19 146 1 +RS1_1a 166 1 +RS1_1b 162 1 +RS1_1c 191 1 +RS1_1d 170 1 +RS1_1e 149 1 +RS1_1f 171 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 160 1 +RS2_01 155 1 +RS2_02 154 1 +RS2_03 154 1 +RS2_04 166 1 +RS2_05 152 1 +RS2_06 157 1 +RS2_07 181 1 +RS2_08 173 1 +RS2_09 206 1 +RS2_0a 169 1 +RS2_0b 171 1 +RS2_0c 138 1 +RS2_0d 173 1 +RS2_0e 166 1 +RS2_0f 175 1 +RS2_10 172 1 +RS2_11 178 1 +RS2_12 174 1 +RS2_13 167 1 +RS2_14 148 1 +RS2_15 171 1 +RS2_16 186 1 +RS2_17 149 1 +RS2_18 183 1 +RS2_19 165 1 +RS2_1a 158 1 +RS2_1b 151 1 +RS2_1c 160 1 +RS2_1d 168 1 +RS2_1e 165 1 +RS2_1f 145 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2497 1 +BIT30_1 1978 1 +BIT29_1 2026 1 +BIT28_1 2006 1 +BIT27_1 1914 1 +BIT26_1 1922 1 +BIT25_1 1908 1 +BIT24_1 1922 1 +BIT23_1 1877 1 +BIT22_1 1948 1 +BIT21_1 1931 1 +BIT20_1 1936 1 +BIT19_1 1919 1 +BIT18_1 1911 1 +BIT17_1 1890 1 +BIT16_1 2042 1 +BIT15_1 2200 1 +BIT14_1 2182 1 +BIT13_1 2040 1 +BIT12_1 2444 1 +BIT11_1 2393 1 +BIT10_1 2396 1 +BIT9_1 2174 1 +BIT8_1 1989 1 +BIT7_1 2248 1 +BIT6_1 1989 1 +BIT5_1 2006 1 +BIT4_1 2502 1 +BIT3_1 2498 1 +BIT2_1 2417 1 +BIT1_1 1998 1 +BIT0_1 1666 1 +BIT31_0 2792 1 +BIT30_0 3311 1 +BIT29_0 3263 1 +BIT28_0 3283 1 +BIT27_0 3375 1 +BIT26_0 3367 1 +BIT25_0 3381 1 +BIT24_0 3367 1 +BIT23_0 3412 1 +BIT22_0 3341 1 +BIT21_0 3358 1 +BIT20_0 3353 1 +BIT19_0 3370 1 +BIT18_0 3378 1 +BIT17_0 3399 1 +BIT16_0 3247 1 +BIT15_0 3089 1 +BIT14_0 3107 1 +BIT13_0 3249 1 +BIT12_0 2845 1 +BIT11_0 2896 1 +BIT10_0 2893 1 +BIT9_0 3115 1 +BIT8_0 3300 1 +BIT7_0 3041 1 +BIT6_0 3300 1 +BIT5_0 3283 1 +BIT4_0 2787 1 +BIT3_0 2791 1 +BIT2_0 2872 1 +BIT1_0 3291 1 +BIT0_0 3623 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2514 1 +BIT30_1 2011 1 +BIT29_1 1959 1 +BIT28_1 1933 1 +BIT27_1 1873 1 +BIT26_1 1924 1 +BIT25_1 1925 1 +BIT24_1 1912 1 +BIT23_1 1931 1 +BIT22_1 1890 1 +BIT21_1 1884 1 +BIT20_1 1901 1 +BIT19_1 1856 1 +BIT18_1 1930 1 +BIT17_1 1882 1 +BIT16_1 1949 1 +BIT15_1 2138 1 +BIT14_1 2124 1 +BIT13_1 2024 1 +BIT12_1 2286 1 +BIT11_1 2355 1 +BIT10_1 2327 1 +BIT9_1 2046 1 +BIT8_1 2007 1 +BIT7_1 2173 1 +BIT6_1 2010 1 +BIT5_1 1957 1 +BIT4_1 2425 1 +BIT3_1 2500 1 +BIT2_1 2439 1 +BIT1_1 1979 1 +BIT0_1 1745 1 +BIT31_0 2776 1 +BIT30_0 3279 1 +BIT29_0 3331 1 +BIT28_0 3357 1 +BIT27_0 3417 1 +BIT26_0 3366 1 +BIT25_0 3365 1 +BIT24_0 3378 1 +BIT23_0 3359 1 +BIT22_0 3400 1 +BIT21_0 3406 1 +BIT20_0 3389 1 +BIT19_0 3434 1 +BIT18_0 3360 1 +BIT17_0 3408 1 +BIT16_0 3341 1 +BIT15_0 3152 1 +BIT14_0 3166 1 +BIT13_0 3266 1 +BIT12_0 3004 1 +BIT11_0 2935 1 +BIT10_0 2963 1 +BIT9_0 3244 1 +BIT8_0 3283 1 +BIT7_0 3117 1 +BIT6_0 3280 1 +BIT5_0 3333 1 +BIT4_0 2865 1 +BIT3_0 2790 1 +BIT2_0 2851 1 +BIT1_0 3311 1 +BIT0_0 3545 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 10 1014 99.02 10 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_08] [RS1_07] 0 1 1 +[RD_09] [RS1_18] 0 1 1 +[RD_0d] [RS1_08] 0 1 1 +[RD_0e] [RS1_18] 0 1 1 +[RD_10] [RS1_09] 0 1 1 +[RD_11] [RS1_14] 0 1 1 +[RD_19] [RS1_08] 0 1 1 +[RD_1a] [RS1_05] 0 1 1 +[RD_1a] [RS1_1b] 0 1 1 +[RD_1d] [RS1_09] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 7 1 +RD_00 RS1_01 5 1 +RD_00 RS1_02 7 1 +RD_00 RS1_03 6 1 +RD_00 RS1_04 2 1 +RD_00 RS1_05 3 1 +RD_00 RS1_06 9 1 +RD_00 RS1_07 8 1 +RD_00 RS1_08 6 1 +RD_00 RS1_09 5 1 +RD_00 RS1_0a 3 1 +RD_00 RS1_0b 4 1 +RD_00 RS1_0c 6 1 +RD_00 RS1_0d 5 1 +RD_00 RS1_0e 6 1 +RD_00 RS1_0f 3 1 +RD_00 RS1_10 4 1 +RD_00 RS1_11 9 1 +RD_00 RS1_12 4 1 +RD_00 RS1_13 1 1 +RD_00 RS1_14 8 1 +RD_00 RS1_15 1 1 +RD_00 RS1_16 5 1 +RD_00 RS1_17 3 1 +RD_00 RS1_18 5 1 +RD_00 RS1_19 7 1 +RD_00 RS1_1a 8 1 +RD_00 RS1_1b 4 1 +RD_00 RS1_1c 6 1 +RD_00 RS1_1d 6 1 +RD_00 RS1_1e 3 1 +RD_00 RS1_1f 4 1 +RD_01 RS1_00 5 1 +RD_01 RS1_01 4 1 +RD_01 RS1_02 8 1 +RD_01 RS1_03 7 1 +RD_01 RS1_04 2 1 +RD_01 RS1_05 9 1 +RD_01 RS1_06 7 1 +RD_01 RS1_07 8 1 +RD_01 RS1_08 12 1 +RD_01 RS1_09 3 1 +RD_01 RS1_0a 4 1 +RD_01 RS1_0b 8 1 +RD_01 RS1_0c 9 1 +RD_01 RS1_0d 5 1 +RD_01 RS1_0e 4 1 +RD_01 RS1_0f 8 1 +RD_01 RS1_10 6 1 +RD_01 RS1_11 2 1 +RD_01 RS1_12 6 1 +RD_01 RS1_13 2 1 +RD_01 RS1_14 7 1 +RD_01 RS1_15 2 1 +RD_01 RS1_16 2 1 +RD_01 RS1_17 5 1 +RD_01 RS1_18 4 1 +RD_01 RS1_19 8 1 +RD_01 RS1_1a 8 1 +RD_01 RS1_1b 7 1 +RD_01 RS1_1c 5 1 +RD_01 RS1_1d 8 1 +RD_01 RS1_1e 4 1 +RD_01 RS1_1f 4 1 +RD_02 RS1_00 2 1 +RD_02 RS1_01 8 1 +RD_02 RS1_02 3 1 +RD_02 RS1_03 10 1 +RD_02 RS1_04 4 1 +RD_02 RS1_05 1 1 +RD_02 RS1_06 4 1 +RD_02 RS1_07 3 1 +RD_02 RS1_08 8 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 7 1 +RD_02 RS1_0b 7 1 +RD_02 RS1_0c 11 1 +RD_02 RS1_0d 8 1 +RD_02 RS1_0e 6 1 +RD_02 RS1_0f 10 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 3 1 +RD_02 RS1_12 6 1 +RD_02 RS1_13 4 1 +RD_02 RS1_14 5 1 +RD_02 RS1_15 5 1 +RD_02 RS1_16 10 1 +RD_02 RS1_17 2 1 +RD_02 RS1_18 10 1 +RD_02 RS1_19 8 1 +RD_02 RS1_1a 1 1 +RD_02 RS1_1b 4 1 +RD_02 RS1_1c 9 1 +RD_02 RS1_1d 2 1 +RD_02 RS1_1e 1 1 +RD_02 RS1_1f 4 1 +RD_03 RS1_00 3 1 +RD_03 RS1_01 3 1 +RD_03 RS1_02 4 1 +RD_03 RS1_03 4 1 +RD_03 RS1_04 10 1 +RD_03 RS1_05 4 1 +RD_03 RS1_06 5 1 +RD_03 RS1_07 6 1 +RD_03 RS1_08 6 1 +RD_03 RS1_09 4 1 +RD_03 RS1_0a 7 1 +RD_03 RS1_0b 8 1 +RD_03 RS1_0c 3 1 +RD_03 RS1_0d 4 1 +RD_03 RS1_0e 4 1 +RD_03 RS1_0f 4 1 +RD_03 RS1_10 13 1 +RD_03 RS1_11 7 1 +RD_03 RS1_12 7 1 +RD_03 RS1_13 6 1 +RD_03 RS1_14 4 1 +RD_03 RS1_15 7 1 +RD_03 RS1_16 9 1 +RD_03 RS1_17 11 1 +RD_03 RS1_18 4 1 +RD_03 RS1_19 8 1 +RD_03 RS1_1a 3 1 +RD_03 RS1_1b 4 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 4 1 +RD_03 RS1_1e 2 1 +RD_03 RS1_1f 3 1 +RD_04 RS1_00 1 1 +RD_04 RS1_01 4 1 +RD_04 RS1_02 5 1 +RD_04 RS1_03 3 1 +RD_04 RS1_04 3 1 +RD_04 RS1_05 12 1 +RD_04 RS1_06 5 1 +RD_04 RS1_07 3 1 +RD_04 RS1_08 5 1 +RD_04 RS1_09 3 1 +RD_04 RS1_0a 5 1 +RD_04 RS1_0b 8 1 +RD_04 RS1_0c 4 1 +RD_04 RS1_0d 3 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 5 1 +RD_04 RS1_10 5 1 +RD_04 RS1_11 6 1 +RD_04 RS1_12 6 1 +RD_04 RS1_13 7 1 +RD_04 RS1_14 4 1 +RD_04 RS1_15 7 1 +RD_04 RS1_16 3 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 5 1 +RD_04 RS1_19 6 1 +RD_04 RS1_1a 3 1 +RD_04 RS1_1b 8 1 +RD_04 RS1_1c 5 1 +RD_04 RS1_1d 8 1 +RD_04 RS1_1e 3 1 +RD_04 RS1_1f 4 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 1 1 +RD_05 RS1_02 5 1 +RD_05 RS1_03 9 1 +RD_05 RS1_04 3 1 +RD_05 RS1_05 3 1 +RD_05 RS1_06 6 1 +RD_05 RS1_07 6 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 9 1 +RD_05 RS1_0a 4 1 +RD_05 RS1_0b 3 1 +RD_05 RS1_0c 5 1 +RD_05 RS1_0d 3 1 +RD_05 RS1_0e 2 1 +RD_05 RS1_0f 5 1 +RD_05 RS1_10 4 1 +RD_05 RS1_11 6 1 +RD_05 RS1_12 8 1 +RD_05 RS1_13 7 1 +RD_05 RS1_14 7 1 +RD_05 RS1_15 3 1 +RD_05 RS1_16 5 1 +RD_05 RS1_17 8 1 +RD_05 RS1_18 1 1 +RD_05 RS1_19 5 1 +RD_05 RS1_1a 5 1 +RD_05 RS1_1b 5 1 +RD_05 RS1_1c 8 1 +RD_05 RS1_1d 10 1 +RD_05 RS1_1e 4 1 +RD_05 RS1_1f 7 1 +RD_06 RS1_00 8 1 +RD_06 RS1_01 5 1 +RD_06 RS1_02 3 1 +RD_06 RS1_03 2 1 +RD_06 RS1_04 5 1 +RD_06 RS1_05 8 1 +RD_06 RS1_06 1 1 +RD_06 RS1_07 5 1 +RD_06 RS1_08 3 1 +RD_06 RS1_09 3 1 +RD_06 RS1_0a 9 1 +RD_06 RS1_0b 3 1 +RD_06 RS1_0c 2 1 +RD_06 RS1_0d 4 1 +RD_06 RS1_0e 6 1 +RD_06 RS1_0f 1 1 +RD_06 RS1_10 4 1 +RD_06 RS1_11 2 1 +RD_06 RS1_12 5 1 +RD_06 RS1_13 4 1 +RD_06 RS1_14 3 1 +RD_06 RS1_15 5 1 +RD_06 RS1_16 4 1 +RD_06 RS1_17 8 1 +RD_06 RS1_18 8 1 +RD_06 RS1_19 2 1 +RD_06 RS1_1a 7 1 +RD_06 RS1_1b 7 1 +RD_06 RS1_1c 4 1 +RD_06 RS1_1d 3 1 +RD_06 RS1_1e 6 1 +RD_06 RS1_1f 1 1 +RD_07 RS1_00 6 1 +RD_07 RS1_01 11 1 +RD_07 RS1_02 7 1 +RD_07 RS1_03 2 1 +RD_07 RS1_04 9 1 +RD_07 RS1_05 4 1 +RD_07 RS1_06 7 1 +RD_07 RS1_07 5 1 +RD_07 RS1_08 3 1 +RD_07 RS1_09 6 1 +RD_07 RS1_0a 4 1 +RD_07 RS1_0b 5 1 +RD_07 RS1_0c 9 1 +RD_07 RS1_0d 2 1 +RD_07 RS1_0e 3 1 +RD_07 RS1_0f 10 1 +RD_07 RS1_10 4 1 +RD_07 RS1_11 7 1 +RD_07 RS1_12 2 1 +RD_07 RS1_13 5 1 +RD_07 RS1_14 2 1 +RD_07 RS1_15 3 1 +RD_07 RS1_16 7 1 +RD_07 RS1_17 7 1 +RD_07 RS1_18 5 1 +RD_07 RS1_19 5 1 +RD_07 RS1_1a 6 1 +RD_07 RS1_1b 5 1 +RD_07 RS1_1c 5 1 +RD_07 RS1_1d 5 1 +RD_07 RS1_1e 3 1 +RD_07 RS1_1f 9 1 +RD_08 RS1_00 3 1 +RD_08 RS1_01 4 1 +RD_08 RS1_02 2 1 +RD_08 RS1_03 2 1 +RD_08 RS1_04 3 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 1 1 +RD_08 RS1_08 2 1 +RD_08 RS1_09 4 1 +RD_08 RS1_0a 5 1 +RD_08 RS1_0b 2 1 +RD_08 RS1_0c 5 1 +RD_08 RS1_0d 10 1 +RD_08 RS1_0e 4 1 +RD_08 RS1_0f 6 1 +RD_08 RS1_10 6 1 +RD_08 RS1_11 5 1 +RD_08 RS1_12 8 1 +RD_08 RS1_13 5 1 +RD_08 RS1_14 3 1 +RD_08 RS1_15 7 1 +RD_08 RS1_16 6 1 +RD_08 RS1_17 9 1 +RD_08 RS1_18 4 1 +RD_08 RS1_19 4 1 +RD_08 RS1_1a 10 1 +RD_08 RS1_1b 3 1 +RD_08 RS1_1c 8 1 +RD_08 RS1_1d 3 1 +RD_08 RS1_1e 6 1 +RD_08 RS1_1f 5 1 +RD_09 RS1_00 9 1 +RD_09 RS1_01 5 1 +RD_09 RS1_02 8 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 12 1 +RD_09 RS1_05 6 1 +RD_09 RS1_06 7 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 9 1 +RD_09 RS1_09 3 1 +RD_09 RS1_0a 6 1 +RD_09 RS1_0b 2 1 +RD_09 RS1_0c 10 1 +RD_09 RS1_0d 5 1 +RD_09 RS1_0e 2 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 5 1 +RD_09 RS1_12 8 1 +RD_09 RS1_13 4 1 +RD_09 RS1_14 2 1 +RD_09 RS1_15 4 1 +RD_09 RS1_16 2 1 +RD_09 RS1_17 7 1 +RD_09 RS1_19 1 1 +RD_09 RS1_1a 3 1 +RD_09 RS1_1b 5 1 +RD_09 RS1_1c 3 1 +RD_09 RS1_1d 3 1 +RD_09 RS1_1e 6 1 +RD_09 RS1_1f 1 1 +RD_0a RS1_00 7 1 +RD_0a RS1_01 11 1 +RD_0a RS1_02 9 1 +RD_0a RS1_03 7 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 3 1 +RD_0a RS1_06 4 1 +RD_0a RS1_07 3 1 +RD_0a RS1_08 6 1 +RD_0a RS1_09 3 1 +RD_0a RS1_0a 8 1 +RD_0a RS1_0b 5 1 +RD_0a RS1_0c 10 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 3 1 +RD_0a RS1_0f 6 1 +RD_0a RS1_10 2 1 +RD_0a RS1_11 7 1 +RD_0a RS1_12 2 1 +RD_0a RS1_13 7 1 +RD_0a RS1_14 5 1 +RD_0a RS1_15 3 1 +RD_0a RS1_16 9 1 +RD_0a RS1_17 3 1 +RD_0a RS1_18 4 1 +RD_0a RS1_19 1 1 +RD_0a RS1_1a 8 1 +RD_0a RS1_1b 2 1 +RD_0a RS1_1c 3 1 +RD_0a RS1_1d 4 1 +RD_0a RS1_1e 6 1 +RD_0a RS1_1f 6 1 +RD_0b RS1_00 8 1 +RD_0b RS1_01 7 1 +RD_0b RS1_02 1 1 +RD_0b RS1_03 8 1 +RD_0b RS1_04 2 1 +RD_0b RS1_05 2 1 +RD_0b RS1_06 5 1 +RD_0b RS1_07 4 1 +RD_0b RS1_08 5 1 +RD_0b RS1_09 3 1 +RD_0b RS1_0a 8 1 +RD_0b RS1_0b 10 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 6 1 +RD_0b RS1_0e 6 1 +RD_0b RS1_0f 4 1 +RD_0b RS1_10 1 1 +RD_0b RS1_11 6 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 2 1 +RD_0b RS1_14 8 1 +RD_0b RS1_15 6 1 +RD_0b RS1_16 8 1 +RD_0b RS1_17 4 1 +RD_0b RS1_18 9 1 +RD_0b RS1_19 4 1 +RD_0b RS1_1a 6 1 +RD_0b RS1_1b 6 1 +RD_0b RS1_1c 6 1 +RD_0b RS1_1d 4 1 +RD_0b RS1_1e 8 1 +RD_0b RS1_1f 5 1 +RD_0c RS1_00 6 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 1 1 +RD_0c RS1_03 5 1 +RD_0c RS1_04 3 1 +RD_0c RS1_05 3 1 +RD_0c RS1_06 4 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 2 1 +RD_0c RS1_09 5 1 +RD_0c RS1_0a 7 1 +RD_0c RS1_0b 5 1 +RD_0c RS1_0c 3 1 +RD_0c RS1_0d 3 1 +RD_0c RS1_0e 4 1 +RD_0c RS1_0f 8 1 +RD_0c RS1_10 9 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 1 1 +RD_0c RS1_13 10 1 +RD_0c RS1_14 2 1 +RD_0c RS1_15 6 1 +RD_0c RS1_16 7 1 +RD_0c RS1_17 7 1 +RD_0c RS1_18 5 1 +RD_0c RS1_19 5 1 +RD_0c RS1_1a 10 1 +RD_0c RS1_1b 5 1 +RD_0c RS1_1c 3 1 +RD_0c RS1_1d 8 1 +RD_0c RS1_1e 8 1 +RD_0c RS1_1f 9 1 +RD_0d RS1_00 4 1 +RD_0d RS1_01 4 1 +RD_0d RS1_02 1 1 +RD_0d RS1_03 4 1 +RD_0d RS1_04 7 1 +RD_0d RS1_05 9 1 +RD_0d RS1_06 12 1 +RD_0d RS1_07 5 1 +RD_0d RS1_09 2 1 +RD_0d RS1_0a 6 1 +RD_0d RS1_0b 6 1 +RD_0d RS1_0c 6 1 +RD_0d RS1_0d 2 1 +RD_0d RS1_0e 6 1 +RD_0d RS1_0f 2 1 +RD_0d RS1_10 5 1 +RD_0d RS1_11 4 1 +RD_0d RS1_12 2 1 +RD_0d RS1_13 8 1 +RD_0d RS1_14 8 1 +RD_0d RS1_15 3 1 +RD_0d RS1_16 7 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 7 1 +RD_0d RS1_19 3 1 +RD_0d RS1_1a 7 1 +RD_0d RS1_1b 8 1 +RD_0d RS1_1c 11 1 +RD_0d RS1_1d 10 1 +RD_0d RS1_1e 2 1 +RD_0d RS1_1f 6 1 +RD_0e RS1_00 5 1 +RD_0e RS1_01 2 1 +RD_0e RS1_02 1 1 +RD_0e RS1_03 9 1 +RD_0e RS1_04 6 1 +RD_0e RS1_05 7 1 +RD_0e RS1_06 14 1 +RD_0e RS1_07 3 1 +RD_0e RS1_08 3 1 +RD_0e RS1_09 7 1 +RD_0e RS1_0a 6 1 +RD_0e RS1_0b 3 1 +RD_0e RS1_0c 7 1 +RD_0e RS1_0d 6 1 +RD_0e RS1_0e 10 1 +RD_0e RS1_0f 1 1 +RD_0e RS1_10 6 1 +RD_0e RS1_11 2 1 +RD_0e RS1_12 8 1 +RD_0e RS1_13 6 1 +RD_0e RS1_14 3 1 +RD_0e RS1_15 7 1 +RD_0e RS1_16 5 1 +RD_0e RS1_17 6 1 +RD_0e RS1_19 5 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 2 1 +RD_0e RS1_1c 4 1 +RD_0e RS1_1d 3 1 +RD_0e RS1_1e 5 1 +RD_0e RS1_1f 2 1 +RD_0f RS1_00 6 1 +RD_0f RS1_01 3 1 +RD_0f RS1_02 1 1 +RD_0f RS1_03 2 1 +RD_0f RS1_04 6 1 +RD_0f RS1_05 6 1 +RD_0f RS1_06 3 1 +RD_0f RS1_07 2 1 +RD_0f RS1_08 2 1 +RD_0f RS1_09 1 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 6 1 +RD_0f RS1_0c 2 1 +RD_0f RS1_0d 7 1 +RD_0f RS1_0e 3 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 7 1 +RD_0f RS1_11 10 1 +RD_0f RS1_12 10 1 +RD_0f RS1_13 3 1 +RD_0f RS1_14 6 1 +RD_0f RS1_15 4 1 +RD_0f RS1_16 6 1 +RD_0f RS1_17 6 1 +RD_0f RS1_18 2 1 +RD_0f RS1_19 6 1 +RD_0f RS1_1a 5 1 +RD_0f RS1_1b 3 1 +RD_0f RS1_1c 4 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 3 1 +RD_0f RS1_1f 4 1 +RD_10 RS1_00 9 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 6 1 +RD_10 RS1_03 7 1 +RD_10 RS1_04 7 1 +RD_10 RS1_05 2 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 10 1 +RD_10 RS1_08 2 1 +RD_10 RS1_0a 5 1 +RD_10 RS1_0b 1 1 +RD_10 RS1_0c 5 1 +RD_10 RS1_0d 5 1 +RD_10 RS1_0e 3 1 +RD_10 RS1_0f 6 1 +RD_10 RS1_10 8 1 +RD_10 RS1_11 6 1 +RD_10 RS1_12 4 1 +RD_10 RS1_13 5 1 +RD_10 RS1_14 1 1 +RD_10 RS1_15 6 1 +RD_10 RS1_16 3 1 +RD_10 RS1_17 7 1 +RD_10 RS1_18 3 1 +RD_10 RS1_19 7 1 +RD_10 RS1_1a 5 1 +RD_10 RS1_1b 4 1 +RD_10 RS1_1c 9 1 +RD_10 RS1_1d 7 1 +RD_10 RS1_1e 1 1 +RD_10 RS1_1f 7 1 +RD_11 RS1_00 14 1 +RD_11 RS1_01 4 1 +RD_11 RS1_02 4 1 +RD_11 RS1_03 3 1 +RD_11 RS1_04 12 1 +RD_11 RS1_05 8 1 +RD_11 RS1_06 6 1 +RD_11 RS1_07 1 1 +RD_11 RS1_08 10 1 +RD_11 RS1_09 6 1 +RD_11 RS1_0a 3 1 +RD_11 RS1_0b 3 1 +RD_11 RS1_0c 4 1 +RD_11 RS1_0d 9 1 +RD_11 RS1_0e 7 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 4 1 +RD_11 RS1_11 5 1 +RD_11 RS1_12 3 1 +RD_11 RS1_13 9 1 +RD_11 RS1_15 5 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 2 1 +RD_11 RS1_18 6 1 +RD_11 RS1_19 5 1 +RD_11 RS1_1a 5 1 +RD_11 RS1_1b 7 1 +RD_11 RS1_1c 13 1 +RD_11 RS1_1d 6 1 +RD_11 RS1_1e 4 1 +RD_11 RS1_1f 6 1 +RD_12 RS1_00 6 1 +RD_12 RS1_01 5 1 +RD_12 RS1_02 5 1 +RD_12 RS1_03 3 1 +RD_12 RS1_04 4 1 +RD_12 RS1_05 3 1 +RD_12 RS1_06 2 1 +RD_12 RS1_07 6 1 +RD_12 RS1_08 5 1 +RD_12 RS1_09 6 1 +RD_12 RS1_0a 7 1 +RD_12 RS1_0b 3 1 +RD_12 RS1_0c 2 1 +RD_12 RS1_0d 9 1 +RD_12 RS1_0e 6 1 +RD_12 RS1_0f 6 1 +RD_12 RS1_10 6 1 +RD_12 RS1_11 8 1 +RD_12 RS1_12 7 1 +RD_12 RS1_13 6 1 +RD_12 RS1_14 1 1 +RD_12 RS1_15 6 1 +RD_12 RS1_16 2 1 +RD_12 RS1_17 6 1 +RD_12 RS1_18 2 1 +RD_12 RS1_19 5 1 +RD_12 RS1_1a 8 1 +RD_12 RS1_1b 7 1 +RD_12 RS1_1c 2 1 +RD_12 RS1_1d 4 1 +RD_12 RS1_1e 4 1 +RD_12 RS1_1f 8 1 +RD_13 RS1_00 9 1 +RD_13 RS1_01 1 1 +RD_13 RS1_02 4 1 +RD_13 RS1_03 3 1 +RD_13 RS1_04 4 1 +RD_13 RS1_05 5 1 +RD_13 RS1_06 8 1 +RD_13 RS1_07 6 1 +RD_13 RS1_08 6 1 +RD_13 RS1_09 4 1 +RD_13 RS1_0a 5 1 +RD_13 RS1_0b 4 1 +RD_13 RS1_0c 9 1 +RD_13 RS1_0d 6 1 +RD_13 RS1_0e 3 1 +RD_13 RS1_0f 4 1 +RD_13 RS1_10 9 1 +RD_13 RS1_11 3 1 +RD_13 RS1_12 2 1 +RD_13 RS1_13 6 1 +RD_13 RS1_14 6 1 +RD_13 RS1_15 7 1 +RD_13 RS1_16 4 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 3 1 +RD_13 RS1_19 3 1 +RD_13 RS1_1a 4 1 +RD_13 RS1_1b 7 1 +RD_13 RS1_1c 10 1 +RD_13 RS1_1d 5 1 +RD_13 RS1_1e 5 1 +RD_13 RS1_1f 3 1 +RD_14 RS1_00 3 1 +RD_14 RS1_01 6 1 +RD_14 RS1_02 7 1 +RD_14 RS1_03 2 1 +RD_14 RS1_04 2 1 +RD_14 RS1_05 7 1 +RD_14 RS1_06 3 1 +RD_14 RS1_07 3 1 +RD_14 RS1_08 7 1 +RD_14 RS1_09 5 1 +RD_14 RS1_0a 6 1 +RD_14 RS1_0b 3 1 +RD_14 RS1_0c 3 1 +RD_14 RS1_0d 3 1 +RD_14 RS1_0e 2 1 +RD_14 RS1_0f 6 1 +RD_14 RS1_10 5 1 +RD_14 RS1_11 13 1 +RD_14 RS1_12 6 1 +RD_14 RS1_13 2 1 +RD_14 RS1_14 8 1 +RD_14 RS1_15 6 1 +RD_14 RS1_16 5 1 +RD_14 RS1_17 4 1 +RD_14 RS1_18 6 1 +RD_14 RS1_19 5 1 +RD_14 RS1_1a 9 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 5 1 +RD_14 RS1_1d 3 1 +RD_14 RS1_1e 6 1 +RD_14 RS1_1f 9 1 +RD_15 RS1_00 4 1 +RD_15 RS1_01 6 1 +RD_15 RS1_02 1 1 +RD_15 RS1_03 4 1 +RD_15 RS1_04 8 1 +RD_15 RS1_05 8 1 +RD_15 RS1_06 7 1 +RD_15 RS1_07 6 1 +RD_15 RS1_08 6 1 +RD_15 RS1_09 5 1 +RD_15 RS1_0a 9 1 +RD_15 RS1_0b 3 1 +RD_15 RS1_0c 3 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 6 1 +RD_15 RS1_0f 9 1 +RD_15 RS1_10 3 1 +RD_15 RS1_11 4 1 +RD_15 RS1_12 10 1 +RD_15 RS1_13 4 1 +RD_15 RS1_14 4 1 +RD_15 RS1_15 9 1 +RD_15 RS1_16 13 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 7 1 +RD_15 RS1_19 3 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 11 1 +RD_15 RS1_1c 5 1 +RD_15 RS1_1d 8 1 +RD_15 RS1_1e 5 1 +RD_15 RS1_1f 5 1 +RD_16 RS1_00 7 1 +RD_16 RS1_01 3 1 +RD_16 RS1_02 12 1 +RD_16 RS1_03 10 1 +RD_16 RS1_04 6 1 +RD_16 RS1_05 3 1 +RD_16 RS1_06 8 1 +RD_16 RS1_07 4 1 +RD_16 RS1_08 3 1 +RD_16 RS1_09 3 1 +RD_16 RS1_0a 11 1 +RD_16 RS1_0b 6 1 +RD_16 RS1_0c 8 1 +RD_16 RS1_0d 8 1 +RD_16 RS1_0e 6 1 +RD_16 RS1_0f 7 1 +RD_16 RS1_10 6 1 +RD_16 RS1_11 3 1 +RD_16 RS1_12 7 1 +RD_16 RS1_13 6 1 +RD_16 RS1_14 5 1 +RD_16 RS1_15 3 1 +RD_16 RS1_16 4 1 +RD_16 RS1_17 5 1 +RD_16 RS1_18 2 1 +RD_16 RS1_19 6 1 +RD_16 RS1_1a 5 1 +RD_16 RS1_1b 4 1 +RD_16 RS1_1c 8 1 +RD_16 RS1_1d 5 1 +RD_16 RS1_1e 6 1 +RD_16 RS1_1f 6 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 5 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 7 1 +RD_17 RS1_04 8 1 +RD_17 RS1_05 4 1 +RD_17 RS1_06 7 1 +RD_17 RS1_07 2 1 +RD_17 RS1_08 6 1 +RD_17 RS1_09 6 1 +RD_17 RS1_0a 4 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 7 1 +RD_17 RS1_0d 4 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 7 1 +RD_17 RS1_10 9 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 3 1 +RD_17 RS1_13 4 1 +RD_17 RS1_14 4 1 +RD_17 RS1_15 7 1 +RD_17 RS1_16 2 1 +RD_17 RS1_17 3 1 +RD_17 RS1_18 4 1 +RD_17 RS1_19 6 1 +RD_17 RS1_1a 1 1 +RD_17 RS1_1b 7 1 +RD_17 RS1_1c 7 1 +RD_17 RS1_1d 6 1 +RD_17 RS1_1e 9 1 +RD_17 RS1_1f 8 1 +RD_18 RS1_00 5 1 +RD_18 RS1_01 2 1 +RD_18 RS1_02 9 1 +RD_18 RS1_03 6 1 +RD_18 RS1_04 4 1 +RD_18 RS1_05 7 1 +RD_18 RS1_06 8 1 +RD_18 RS1_07 3 1 +RD_18 RS1_08 3 1 +RD_18 RS1_09 2 1 +RD_18 RS1_0a 10 1 +RD_18 RS1_0b 3 1 +RD_18 RS1_0c 4 1 +RD_18 RS1_0d 8 1 +RD_18 RS1_0e 6 1 +RD_18 RS1_0f 7 1 +RD_18 RS1_10 8 1 +RD_18 RS1_11 2 1 +RD_18 RS1_12 1 1 +RD_18 RS1_13 3 1 +RD_18 RS1_14 6 1 +RD_18 RS1_15 6 1 +RD_18 RS1_16 4 1 +RD_18 RS1_17 7 1 +RD_18 RS1_18 5 1 +RD_18 RS1_19 2 1 +RD_18 RS1_1a 7 1 +RD_18 RS1_1b 4 1 +RD_18 RS1_1c 5 1 +RD_18 RS1_1d 5 1 +RD_18 RS1_1e 2 1 +RD_18 RS1_1f 1 1 +RD_19 RS1_00 5 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 3 1 +RD_19 RS1_03 1 1 +RD_19 RS1_04 1 1 +RD_19 RS1_05 3 1 +RD_19 RS1_06 5 1 +RD_19 RS1_07 2 1 +RD_19 RS1_09 5 1 +RD_19 RS1_0a 3 1 +RD_19 RS1_0b 2 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 5 1 +RD_19 RS1_0e 5 1 +RD_19 RS1_0f 7 1 +RD_19 RS1_10 5 1 +RD_19 RS1_11 7 1 +RD_19 RS1_12 6 1 +RD_19 RS1_13 4 1 +RD_19 RS1_14 4 1 +RD_19 RS1_15 7 1 +RD_19 RS1_16 6 1 +RD_19 RS1_17 2 1 +RD_19 RS1_18 3 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 1 1 +RD_19 RS1_1b 6 1 +RD_19 RS1_1c 2 1 +RD_19 RS1_1d 8 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 5 1 +RD_1a RS1_00 2 1 +RD_1a RS1_01 7 1 +RD_1a RS1_02 3 1 +RD_1a RS1_03 6 1 +RD_1a RS1_04 8 1 +RD_1a RS1_06 8 1 +RD_1a RS1_07 5 1 +RD_1a RS1_08 6 1 +RD_1a RS1_09 4 1 +RD_1a RS1_0a 7 1 +RD_1a RS1_0b 3 1 +RD_1a RS1_0c 4 1 +RD_1a RS1_0d 3 1 +RD_1a RS1_0e 12 1 +RD_1a RS1_0f 5 1 +RD_1a RS1_10 1 1 +RD_1a RS1_11 6 1 +RD_1a RS1_12 9 1 +RD_1a RS1_13 2 1 +RD_1a RS1_14 2 1 +RD_1a RS1_15 7 1 +RD_1a RS1_16 4 1 +RD_1a RS1_17 2 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 3 1 +RD_1a RS1_1a 4 1 +RD_1a RS1_1c 6 1 +RD_1a RS1_1d 3 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 8 1 +RD_1b RS1_00 4 1 +RD_1b RS1_01 2 1 +RD_1b RS1_02 5 1 +RD_1b RS1_03 7 1 +RD_1b RS1_04 5 1 +RD_1b RS1_05 3 1 +RD_1b RS1_06 2 1 +RD_1b RS1_07 10 1 +RD_1b RS1_08 7 1 +RD_1b RS1_09 9 1 +RD_1b RS1_0a 5 1 +RD_1b RS1_0b 4 1 +RD_1b RS1_0c 7 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 7 1 +RD_1b RS1_0f 6 1 +RD_1b RS1_10 3 1 +RD_1b RS1_11 7 1 +RD_1b RS1_12 12 1 +RD_1b RS1_13 5 1 +RD_1b RS1_14 4 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 2 1 +RD_1b RS1_17 5 1 +RD_1b RS1_18 7 1 +RD_1b RS1_19 3 1 +RD_1b RS1_1a 5 1 +RD_1b RS1_1b 7 1 +RD_1b RS1_1c 3 1 +RD_1b RS1_1d 6 1 +RD_1b RS1_1e 6 1 +RD_1b RS1_1f 7 1 +RD_1c RS1_00 3 1 +RD_1c RS1_01 6 1 +RD_1c RS1_02 7 1 +RD_1c RS1_03 2 1 +RD_1c RS1_04 3 1 +RD_1c RS1_05 7 1 +RD_1c RS1_06 8 1 +RD_1c RS1_07 6 1 +RD_1c RS1_08 4 1 +RD_1c RS1_09 6 1 +RD_1c RS1_0a 4 1 +RD_1c RS1_0b 2 1 +RD_1c RS1_0c 2 1 +RD_1c RS1_0d 1 1 +RD_1c RS1_0e 3 1 +RD_1c RS1_0f 4 1 +RD_1c RS1_10 8 1 +RD_1c RS1_11 6 1 +RD_1c RS1_12 2 1 +RD_1c RS1_13 3 1 +RD_1c RS1_14 3 1 +RD_1c RS1_15 7 1 +RD_1c RS1_16 6 1 +RD_1c RS1_17 2 1 +RD_1c RS1_18 2 1 +RD_1c RS1_19 1 1 +RD_1c RS1_1a 1 1 +RD_1c RS1_1b 8 1 +RD_1c RS1_1c 6 1 +RD_1c RS1_1d 4 1 +RD_1c RS1_1e 9 1 +RD_1c RS1_1f 9 1 +RD_1d RS1_00 4 1 +RD_1d RS1_01 6 1 +RD_1d RS1_02 5 1 +RD_1d RS1_03 4 1 +RD_1d RS1_04 5 1 +RD_1d RS1_05 9 1 +RD_1d RS1_06 5 1 +RD_1d RS1_07 6 1 +RD_1d RS1_08 9 1 +RD_1d RS1_0a 5 1 +RD_1d RS1_0b 4 1 +RD_1d RS1_0c 10 1 +RD_1d RS1_0d 9 1 +RD_1d RS1_0e 2 1 +RD_1d RS1_0f 11 1 +RD_1d RS1_10 3 1 +RD_1d RS1_11 7 1 +RD_1d RS1_12 2 1 +RD_1d RS1_13 10 1 +RD_1d RS1_14 9 1 +RD_1d RS1_15 8 1 +RD_1d RS1_16 5 1 +RD_1d RS1_17 5 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 7 1 +RD_1d RS1_1a 7 1 +RD_1d RS1_1b 6 1 +RD_1d RS1_1c 3 1 +RD_1d RS1_1d 5 1 +RD_1d RS1_1e 5 1 +RD_1d RS1_1f 5 1 +RD_1e RS1_00 2 1 +RD_1e RS1_01 7 1 +RD_1e RS1_02 5 1 +RD_1e RS1_03 5 1 +RD_1e RS1_04 8 1 +RD_1e RS1_05 6 1 +RD_1e RS1_06 5 1 +RD_1e RS1_07 5 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 10 1 +RD_1e RS1_0a 8 1 +RD_1e RS1_0b 3 1 +RD_1e RS1_0c 10 1 +RD_1e RS1_0d 4 1 +RD_1e RS1_0e 6 1 +RD_1e RS1_0f 14 1 +RD_1e RS1_10 7 1 +RD_1e RS1_11 7 1 +RD_1e RS1_12 4 1 +RD_1e RS1_13 12 1 +RD_1e RS1_14 1 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 8 1 +RD_1e RS1_17 7 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 4 1 +RD_1e RS1_1a 4 1 +RD_1e RS1_1b 1 1 +RD_1e RS1_1c 7 1 +RD_1e RS1_1d 6 1 +RD_1e RS1_1e 6 1 +RD_1e RS1_1f 8 1 +RD_1f RS1_00 7 1 +RD_1f RS1_01 11 1 +RD_1f RS1_02 5 1 +RD_1f RS1_03 3 1 +RD_1f RS1_04 5 1 +RD_1f RS1_05 5 1 +RD_1f RS1_06 8 1 +RD_1f RS1_07 9 1 +RD_1f RS1_08 4 1 +RD_1f RS1_09 4 1 +RD_1f RS1_0a 7 1 +RD_1f RS1_0b 3 1 +RD_1f RS1_0c 1 1 +RD_1f RS1_0d 7 1 +RD_1f RS1_0e 5 1 +RD_1f RS1_0f 6 1 +RD_1f RS1_10 1 1 +RD_1f RS1_11 14 1 +RD_1f RS1_12 4 1 +RD_1f RS1_13 6 1 +RD_1f RS1_14 1 1 +RD_1f RS1_15 2 1 +RD_1f RS1_16 4 1 +RD_1f RS1_17 8 1 +RD_1f RS1_18 6 1 +RD_1f RS1_19 3 1 +RD_1f RS1_1a 4 1 +RD_1f RS1_1b 1 1 +RD_1f RS1_1c 9 1 +RD_1f RS1_1d 3 1 +RD_1f RS1_1e 3 1 +RD_1f RS1_1f 2 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 6 1018 99.41 6 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_02] [RS2_10] 0 1 1 +[RD_08] [RS2_0c] 0 1 1 +[RD_08] [RS2_1b] 0 1 1 +[RD_0f] [RS2_01] 0 1 1 +[RD_18] [RS2_13] 0 1 1 +[RD_19] [RS2_08] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 7 1 +RD_00 RS2_01 4 1 +RD_00 RS2_02 3 1 +RD_00 RS2_03 4 1 +RD_00 RS2_04 8 1 +RD_00 RS2_05 10 1 +RD_00 RS2_06 4 1 +RD_00 RS2_07 5 1 +RD_00 RS2_08 7 1 +RD_00 RS2_09 5 1 +RD_00 RS2_0a 6 1 +RD_00 RS2_0b 7 1 +RD_00 RS2_0c 2 1 +RD_00 RS2_0d 2 1 +RD_00 RS2_0e 5 1 +RD_00 RS2_0f 3 1 +RD_00 RS2_10 7 1 +RD_00 RS2_11 5 1 +RD_00 RS2_12 4 1 +RD_00 RS2_13 4 1 +RD_00 RS2_14 4 1 +RD_00 RS2_15 3 1 +RD_00 RS2_16 6 1 +RD_00 RS2_17 7 1 +RD_00 RS2_18 7 1 +RD_00 RS2_19 4 1 +RD_00 RS2_1a 2 1 +RD_00 RS2_1b 6 1 +RD_00 RS2_1c 4 1 +RD_00 RS2_1d 7 1 +RD_00 RS2_1e 6 1 +RD_00 RS2_1f 5 1 +RD_01 RS2_00 8 1 +RD_01 RS2_01 2 1 +RD_01 RS2_02 4 1 +RD_01 RS2_03 10 1 +RD_01 RS2_04 8 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 4 1 +RD_01 RS2_07 6 1 +RD_01 RS2_08 5 1 +RD_01 RS2_09 4 1 +RD_01 RS2_0a 2 1 +RD_01 RS2_0b 7 1 +RD_01 RS2_0c 6 1 +RD_01 RS2_0d 7 1 +RD_01 RS2_0e 2 1 +RD_01 RS2_0f 6 1 +RD_01 RS2_10 10 1 +RD_01 RS2_11 4 1 +RD_01 RS2_12 7 1 +RD_01 RS2_13 7 1 +RD_01 RS2_14 6 1 +RD_01 RS2_15 6 1 +RD_01 RS2_16 9 1 +RD_01 RS2_17 6 1 +RD_01 RS2_18 4 1 +RD_01 RS2_19 5 1 +RD_01 RS2_1a 12 1 +RD_01 RS2_1b 3 1 +RD_01 RS2_1c 2 1 +RD_01 RS2_1d 2 1 +RD_01 RS2_1e 4 1 +RD_01 RS2_1f 8 1 +RD_02 RS2_00 1 1 +RD_02 RS2_01 3 1 +RD_02 RS2_02 10 1 +RD_02 RS2_03 5 1 +RD_02 RS2_04 8 1 +RD_02 RS2_05 3 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 6 1 +RD_02 RS2_08 6 1 +RD_02 RS2_09 7 1 +RD_02 RS2_0a 6 1 +RD_02 RS2_0b 9 1 +RD_02 RS2_0c 6 1 +RD_02 RS2_0d 7 1 +RD_02 RS2_0e 4 1 +RD_02 RS2_0f 5 1 +RD_02 RS2_11 5 1 +RD_02 RS2_12 6 1 +RD_02 RS2_13 3 1 +RD_02 RS2_14 5 1 +RD_02 RS2_15 1 1 +RD_02 RS2_16 8 1 +RD_02 RS2_17 3 1 +RD_02 RS2_18 9 1 +RD_02 RS2_19 10 1 +RD_02 RS2_1a 6 1 +RD_02 RS2_1b 6 1 +RD_02 RS2_1c 3 1 +RD_02 RS2_1d 5 1 +RD_02 RS2_1e 5 1 +RD_02 RS2_1f 6 1 +RD_03 RS2_00 6 1 +RD_03 RS2_01 7 1 +RD_03 RS2_02 5 1 +RD_03 RS2_03 5 1 +RD_03 RS2_04 6 1 +RD_03 RS2_05 6 1 +RD_03 RS2_06 5 1 +RD_03 RS2_07 8 1 +RD_03 RS2_08 5 1 +RD_03 RS2_09 10 1 +RD_03 RS2_0a 5 1 +RD_03 RS2_0b 8 1 +RD_03 RS2_0c 6 1 +RD_03 RS2_0d 4 1 +RD_03 RS2_0e 4 1 +RD_03 RS2_0f 6 1 +RD_03 RS2_10 10 1 +RD_03 RS2_11 4 1 +RD_03 RS2_12 5 1 +RD_03 RS2_13 3 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 4 1 +RD_03 RS2_16 6 1 +RD_03 RS2_17 5 1 +RD_03 RS2_18 7 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1a 3 1 +RD_03 RS2_1b 8 1 +RD_03 RS2_1c 2 1 +RD_03 RS2_1d 8 1 +RD_03 RS2_1e 5 1 +RD_03 RS2_1f 3 1 +RD_04 RS2_00 6 1 +RD_04 RS2_01 2 1 +RD_04 RS2_02 9 1 +RD_04 RS2_03 6 1 +RD_04 RS2_04 5 1 +RD_04 RS2_05 7 1 +RD_04 RS2_06 2 1 +RD_04 RS2_07 10 1 +RD_04 RS2_08 2 1 +RD_04 RS2_09 9 1 +RD_04 RS2_0a 2 1 +RD_04 RS2_0b 6 1 +RD_04 RS2_0c 2 1 +RD_04 RS2_0d 5 1 +RD_04 RS2_0e 10 1 +RD_04 RS2_0f 3 1 +RD_04 RS2_10 3 1 +RD_04 RS2_11 2 1 +RD_04 RS2_12 3 1 +RD_04 RS2_13 3 1 +RD_04 RS2_14 2 1 +RD_04 RS2_15 2 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 5 1 +RD_04 RS2_18 7 1 +RD_04 RS2_19 6 1 +RD_04 RS2_1a 7 1 +RD_04 RS2_1b 2 1 +RD_04 RS2_1c 6 1 +RD_04 RS2_1d 5 1 +RD_04 RS2_1e 10 1 +RD_04 RS2_1f 7 1 +RD_05 RS2_00 8 1 +RD_05 RS2_01 9 1 +RD_05 RS2_02 2 1 +RD_05 RS2_03 5 1 +RD_05 RS2_04 3 1 +RD_05 RS2_05 6 1 +RD_05 RS2_06 3 1 +RD_05 RS2_07 7 1 +RD_05 RS2_08 5 1 +RD_05 RS2_09 12 1 +RD_05 RS2_0a 6 1 +RD_05 RS2_0b 9 1 +RD_05 RS2_0c 3 1 +RD_05 RS2_0d 6 1 +RD_05 RS2_0e 4 1 +RD_05 RS2_0f 6 1 +RD_05 RS2_10 7 1 +RD_05 RS2_11 3 1 +RD_05 RS2_12 4 1 +RD_05 RS2_13 5 1 +RD_05 RS2_14 5 1 +RD_05 RS2_15 4 1 +RD_05 RS2_16 8 1 +RD_05 RS2_17 3 1 +RD_05 RS2_18 5 1 +RD_05 RS2_19 5 1 +RD_05 RS2_1a 3 1 +RD_05 RS2_1b 4 1 +RD_05 RS2_1c 1 1 +RD_05 RS2_1d 7 1 +RD_05 RS2_1e 5 1 +RD_05 RS2_1f 4 1 +RD_06 RS2_00 2 1 +RD_06 RS2_01 5 1 +RD_06 RS2_02 6 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 3 1 +RD_06 RS2_05 4 1 +RD_06 RS2_06 4 1 +RD_06 RS2_07 5 1 +RD_06 RS2_08 6 1 +RD_06 RS2_09 2 1 +RD_06 RS2_0a 7 1 +RD_06 RS2_0b 4 1 +RD_06 RS2_0c 3 1 +RD_06 RS2_0d 4 1 +RD_06 RS2_0e 4 1 +RD_06 RS2_0f 7 1 +RD_06 RS2_10 1 1 +RD_06 RS2_11 6 1 +RD_06 RS2_12 4 1 +RD_06 RS2_13 11 1 +RD_06 RS2_14 3 1 +RD_06 RS2_15 6 1 +RD_06 RS2_16 4 1 +RD_06 RS2_17 2 1 +RD_06 RS2_18 2 1 +RD_06 RS2_19 5 1 +RD_06 RS2_1a 5 1 +RD_06 RS2_1b 3 1 +RD_06 RS2_1c 4 1 +RD_06 RS2_1d 7 1 +RD_06 RS2_1e 4 1 +RD_06 RS2_1f 3 1 +RD_07 RS2_00 5 1 +RD_07 RS2_01 2 1 +RD_07 RS2_02 7 1 +RD_07 RS2_03 2 1 +RD_07 RS2_04 6 1 +RD_07 RS2_05 5 1 +RD_07 RS2_06 2 1 +RD_07 RS2_07 9 1 +RD_07 RS2_08 8 1 +RD_07 RS2_09 4 1 +RD_07 RS2_0a 4 1 +RD_07 RS2_0b 6 1 +RD_07 RS2_0c 6 1 +RD_07 RS2_0d 5 1 +RD_07 RS2_0e 8 1 +RD_07 RS2_0f 6 1 +RD_07 RS2_10 5 1 +RD_07 RS2_11 8 1 +RD_07 RS2_12 6 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 4 1 +RD_07 RS2_15 7 1 +RD_07 RS2_16 5 1 +RD_07 RS2_17 5 1 +RD_07 RS2_18 3 1 +RD_07 RS2_19 3 1 +RD_07 RS2_1a 6 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 7 1 +RD_07 RS2_1d 8 1 +RD_07 RS2_1e 5 1 +RD_07 RS2_1f 5 1 +RD_08 RS2_00 10 1 +RD_08 RS2_01 12 1 +RD_08 RS2_02 6 1 +RD_08 RS2_03 2 1 +RD_08 RS2_04 6 1 +RD_08 RS2_05 1 1 +RD_08 RS2_06 3 1 +RD_08 RS2_07 5 1 +RD_08 RS2_08 5 1 +RD_08 RS2_09 2 1 +RD_08 RS2_0a 6 1 +RD_08 RS2_0b 5 1 +RD_08 RS2_0d 8 1 +RD_08 RS2_0e 3 1 +RD_08 RS2_0f 2 1 +RD_08 RS2_10 6 1 +RD_08 RS2_11 4 1 +RD_08 RS2_12 4 1 +RD_08 RS2_13 6 1 +RD_08 RS2_14 3 1 +RD_08 RS2_15 5 1 +RD_08 RS2_16 8 1 +RD_08 RS2_17 3 1 +RD_08 RS2_18 6 1 +RD_08 RS2_19 7 1 +RD_08 RS2_1a 5 1 +RD_08 RS2_1c 5 1 +RD_08 RS2_1d 4 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 3 1 +RD_09 RS2_00 3 1 +RD_09 RS2_01 4 1 +RD_09 RS2_02 1 1 +RD_09 RS2_03 4 1 +RD_09 RS2_04 5 1 +RD_09 RS2_05 3 1 +RD_09 RS2_06 4 1 +RD_09 RS2_07 5 1 +RD_09 RS2_08 4 1 +RD_09 RS2_09 6 1 +RD_09 RS2_0a 6 1 +RD_09 RS2_0b 1 1 +RD_09 RS2_0c 5 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 3 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 2 1 +RD_09 RS2_12 1 1 +RD_09 RS2_13 13 1 +RD_09 RS2_14 4 1 +RD_09 RS2_15 7 1 +RD_09 RS2_16 6 1 +RD_09 RS2_17 7 1 +RD_09 RS2_18 10 1 +RD_09 RS2_19 4 1 +RD_09 RS2_1a 7 1 +RD_09 RS2_1b 6 1 +RD_09 RS2_1c 7 1 +RD_09 RS2_1d 2 1 +RD_09 RS2_1e 8 1 +RD_09 RS2_1f 7 1 +RD_0a RS2_00 5 1 +RD_0a RS2_01 4 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 3 1 +RD_0a RS2_04 5 1 +RD_0a RS2_05 1 1 +RD_0a RS2_06 2 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 8 1 +RD_0a RS2_09 7 1 +RD_0a RS2_0a 8 1 +RD_0a RS2_0b 5 1 +RD_0a RS2_0c 2 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 5 1 +RD_0a RS2_0f 7 1 +RD_0a RS2_10 3 1 +RD_0a RS2_11 3 1 +RD_0a RS2_12 10 1 +RD_0a RS2_13 8 1 +RD_0a RS2_14 3 1 +RD_0a RS2_15 9 1 +RD_0a RS2_16 4 1 +RD_0a RS2_17 4 1 +RD_0a RS2_18 5 1 +RD_0a RS2_19 9 1 +RD_0a RS2_1a 4 1 +RD_0a RS2_1b 4 1 +RD_0a RS2_1c 3 1 +RD_0a RS2_1d 5 1 +RD_0a RS2_1e 2 1 +RD_0a RS2_1f 12 1 +RD_0b RS2_00 2 1 +RD_0b RS2_01 7 1 +RD_0b RS2_02 3 1 +RD_0b RS2_03 4 1 +RD_0b RS2_04 3 1 +RD_0b RS2_05 5 1 +RD_0b RS2_06 5 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 9 1 +RD_0b RS2_09 9 1 +RD_0b RS2_0a 5 1 +RD_0b RS2_0b 8 1 +RD_0b RS2_0c 4 1 +RD_0b RS2_0d 7 1 +RD_0b RS2_0e 1 1 +RD_0b RS2_0f 6 1 +RD_0b RS2_10 5 1 +RD_0b RS2_11 6 1 +RD_0b RS2_12 7 1 +RD_0b RS2_13 8 1 +RD_0b RS2_14 4 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 7 1 +RD_0b RS2_17 2 1 +RD_0b RS2_18 4 1 +RD_0b RS2_19 4 1 +RD_0b RS2_1a 3 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 8 1 +RD_0b RS2_1d 7 1 +RD_0b RS2_1e 6 1 +RD_0b RS2_1f 8 1 +RD_0c RS2_00 3 1 +RD_0c RS2_01 3 1 +RD_0c RS2_02 6 1 +RD_0c RS2_03 3 1 +RD_0c RS2_04 7 1 +RD_0c RS2_05 4 1 +RD_0c RS2_06 7 1 +RD_0c RS2_07 7 1 +RD_0c RS2_08 9 1 +RD_0c RS2_09 12 1 +RD_0c RS2_0a 2 1 +RD_0c RS2_0b 5 1 +RD_0c RS2_0c 4 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 2 1 +RD_0c RS2_0f 7 1 +RD_0c RS2_10 3 1 +RD_0c RS2_11 7 1 +RD_0c RS2_12 2 1 +RD_0c RS2_13 7 1 +RD_0c RS2_14 7 1 +RD_0c RS2_15 5 1 +RD_0c RS2_16 6 1 +RD_0c RS2_17 4 1 +RD_0c RS2_18 6 1 +RD_0c RS2_19 6 1 +RD_0c RS2_1a 2 1 +RD_0c RS2_1b 5 1 +RD_0c RS2_1c 4 1 +RD_0c RS2_1d 6 1 +RD_0c RS2_1e 8 1 +RD_0c RS2_1f 3 1 +RD_0d RS2_00 6 1 +RD_0d RS2_01 3 1 +RD_0d RS2_02 5 1 +RD_0d RS2_03 3 1 +RD_0d RS2_04 5 1 +RD_0d RS2_05 6 1 +RD_0d RS2_06 7 1 +RD_0d RS2_07 2 1 +RD_0d RS2_08 6 1 +RD_0d RS2_09 10 1 +RD_0d RS2_0a 5 1 +RD_0d RS2_0b 5 1 +RD_0d RS2_0c 2 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 5 1 +RD_0d RS2_0f 3 1 +RD_0d RS2_10 11 1 +RD_0d RS2_11 5 1 +RD_0d RS2_12 6 1 +RD_0d RS2_13 7 1 +RD_0d RS2_14 2 1 +RD_0d RS2_15 3 1 +RD_0d RS2_16 11 1 +RD_0d RS2_17 9 1 +RD_0d RS2_18 7 1 +RD_0d RS2_19 2 1 +RD_0d RS2_1a 5 1 +RD_0d RS2_1b 5 1 +RD_0d RS2_1c 3 1 +RD_0d RS2_1d 5 1 +RD_0d RS2_1e 5 1 +RD_0d RS2_1f 4 1 +RD_0e RS2_00 4 1 +RD_0e RS2_01 3 1 +RD_0e RS2_02 1 1 +RD_0e RS2_03 8 1 +RD_0e RS2_04 11 1 +RD_0e RS2_05 2 1 +RD_0e RS2_06 5 1 +RD_0e RS2_07 3 1 +RD_0e RS2_08 11 1 +RD_0e RS2_09 7 1 +RD_0e RS2_0a 5 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 3 1 +RD_0e RS2_0d 6 1 +RD_0e RS2_0e 6 1 +RD_0e RS2_0f 3 1 +RD_0e RS2_10 1 1 +RD_0e RS2_11 3 1 +RD_0e RS2_12 5 1 +RD_0e RS2_13 4 1 +RD_0e RS2_14 4 1 +RD_0e RS2_15 7 1 +RD_0e RS2_16 6 1 +RD_0e RS2_17 8 1 +RD_0e RS2_18 4 1 +RD_0e RS2_19 9 1 +RD_0e RS2_1a 5 1 +RD_0e RS2_1b 4 1 +RD_0e RS2_1c 7 1 +RD_0e RS2_1d 2 1 +RD_0e RS2_1e 3 1 +RD_0e RS2_1f 3 1 +RD_0f RS2_00 7 1 +RD_0f RS2_02 1 1 +RD_0f RS2_03 1 1 +RD_0f RS2_04 1 1 +RD_0f RS2_05 4 1 +RD_0f RS2_06 7 1 +RD_0f RS2_07 4 1 +RD_0f RS2_08 6 1 +RD_0f RS2_09 4 1 +RD_0f RS2_0a 6 1 +RD_0f RS2_0b 4 1 +RD_0f RS2_0c 1 1 +RD_0f RS2_0d 3 1 +RD_0f RS2_0e 7 1 +RD_0f RS2_0f 10 1 +RD_0f RS2_10 2 1 +RD_0f RS2_11 10 1 +RD_0f RS2_12 4 1 +RD_0f RS2_13 5 1 +RD_0f RS2_14 3 1 +RD_0f RS2_15 2 1 +RD_0f RS2_16 10 1 +RD_0f RS2_17 3 1 +RD_0f RS2_18 7 1 +RD_0f RS2_19 2 1 +RD_0f RS2_1a 5 1 +RD_0f RS2_1b 7 1 +RD_0f RS2_1c 3 1 +RD_0f RS2_1d 5 1 +RD_0f RS2_1e 3 1 +RD_0f RS2_1f 6 1 +RD_10 RS2_00 7 1 +RD_10 RS2_01 7 1 +RD_10 RS2_02 4 1 +RD_10 RS2_03 6 1 +RD_10 RS2_04 2 1 +RD_10 RS2_05 2 1 +RD_10 RS2_06 5 1 +RD_10 RS2_07 7 1 +RD_10 RS2_08 3 1 +RD_10 RS2_09 9 1 +RD_10 RS2_0a 5 1 +RD_10 RS2_0b 3 1 +RD_10 RS2_0c 3 1 +RD_10 RS2_0d 3 1 +RD_10 RS2_0e 6 1 +RD_10 RS2_0f 4 1 +RD_10 RS2_10 5 1 +RD_10 RS2_11 10 1 +RD_10 RS2_12 5 1 +RD_10 RS2_13 5 1 +RD_10 RS2_14 4 1 +RD_10 RS2_15 5 1 +RD_10 RS2_16 7 1 +RD_10 RS2_17 4 1 +RD_10 RS2_18 9 1 +RD_10 RS2_19 4 1 +RD_10 RS2_1a 2 1 +RD_10 RS2_1b 3 1 +RD_10 RS2_1c 9 1 +RD_10 RS2_1d 5 1 +RD_10 RS2_1e 1 1 +RD_10 RS2_1f 3 1 +RD_11 RS2_00 1 1 +RD_11 RS2_01 9 1 +RD_11 RS2_02 12 1 +RD_11 RS2_03 4 1 +RD_11 RS2_04 3 1 +RD_11 RS2_05 9 1 +RD_11 RS2_06 2 1 +RD_11 RS2_07 8 1 +RD_11 RS2_08 4 1 +RD_11 RS2_09 5 1 +RD_11 RS2_0a 5 1 +RD_11 RS2_0b 6 1 +RD_11 RS2_0c 2 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 8 1 +RD_11 RS2_0f 4 1 +RD_11 RS2_10 9 1 +RD_11 RS2_11 10 1 +RD_11 RS2_12 7 1 +RD_11 RS2_13 2 1 +RD_11 RS2_14 5 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 7 1 +RD_11 RS2_17 4 1 +RD_11 RS2_18 2 1 +RD_11 RS2_19 6 1 +RD_11 RS2_1a 7 1 +RD_11 RS2_1b 6 1 +RD_11 RS2_1c 2 1 +RD_11 RS2_1d 13 1 +RD_11 RS2_1e 6 1 +RD_11 RS2_1f 5 1 +RD_12 RS2_00 5 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 2 1 +RD_12 RS2_03 7 1 +RD_12 RS2_04 3 1 +RD_12 RS2_05 10 1 +RD_12 RS2_06 9 1 +RD_12 RS2_07 2 1 +RD_12 RS2_08 4 1 +RD_12 RS2_09 10 1 +RD_12 RS2_0a 7 1 +RD_12 RS2_0b 2 1 +RD_12 RS2_0c 6 1 +RD_12 RS2_0d 7 1 +RD_12 RS2_0e 5 1 +RD_12 RS2_0f 6 1 +RD_12 RS2_10 2 1 +RD_12 RS2_11 4 1 +RD_12 RS2_12 5 1 +RD_12 RS2_13 6 1 +RD_12 RS2_14 5 1 +RD_12 RS2_15 9 1 +RD_12 RS2_16 6 1 +RD_12 RS2_17 4 1 +RD_12 RS2_18 4 1 +RD_12 RS2_19 6 1 +RD_12 RS2_1a 6 1 +RD_12 RS2_1b 6 1 +RD_12 RS2_1c 2 1 +RD_12 RS2_1d 2 1 +RD_12 RS2_1e 2 1 +RD_12 RS2_1f 2 1 +RD_13 RS2_00 5 1 +RD_13 RS2_01 6 1 +RD_13 RS2_02 4 1 +RD_13 RS2_03 4 1 +RD_13 RS2_04 8 1 +RD_13 RS2_05 3 1 +RD_13 RS2_06 2 1 +RD_13 RS2_07 6 1 +RD_13 RS2_08 8 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 4 1 +RD_13 RS2_0c 3 1 +RD_13 RS2_0d 6 1 +RD_13 RS2_0e 4 1 +RD_13 RS2_0f 6 1 +RD_13 RS2_10 11 1 +RD_13 RS2_11 4 1 +RD_13 RS2_12 11 1 +RD_13 RS2_13 5 1 +RD_13 RS2_14 6 1 +RD_13 RS2_15 6 1 +RD_13 RS2_16 7 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 2 1 +RD_13 RS2_19 4 1 +RD_13 RS2_1a 4 1 +RD_13 RS2_1b 2 1 +RD_13 RS2_1c 7 1 +RD_13 RS2_1d 3 1 +RD_13 RS2_1e 5 1 +RD_13 RS2_1f 3 1 +RD_14 RS2_00 7 1 +RD_14 RS2_01 4 1 +RD_14 RS2_02 7 1 +RD_14 RS2_03 8 1 +RD_14 RS2_04 5 1 +RD_14 RS2_05 4 1 +RD_14 RS2_06 5 1 +RD_14 RS2_07 5 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 2 1 +RD_14 RS2_0a 5 1 +RD_14 RS2_0b 6 1 +RD_14 RS2_0c 7 1 +RD_14 RS2_0d 2 1 +RD_14 RS2_0e 7 1 +RD_14 RS2_0f 9 1 +RD_14 RS2_10 3 1 +RD_14 RS2_11 14 1 +RD_14 RS2_12 6 1 +RD_14 RS2_13 5 1 +RD_14 RS2_14 1 1 +RD_14 RS2_15 5 1 +RD_14 RS2_16 3 1 +RD_14 RS2_17 3 1 +RD_14 RS2_18 3 1 +RD_14 RS2_19 8 1 +RD_14 RS2_1a 5 1 +RD_14 RS2_1b 4 1 +RD_14 RS2_1c 5 1 +RD_14 RS2_1d 5 1 +RD_14 RS2_1e 5 1 +RD_14 RS2_1f 3 1 +RD_15 RS2_00 5 1 +RD_15 RS2_01 4 1 +RD_15 RS2_02 9 1 +RD_15 RS2_03 2 1 +RD_15 RS2_04 6 1 +RD_15 RS2_05 4 1 +RD_15 RS2_06 8 1 +RD_15 RS2_07 3 1 +RD_15 RS2_08 6 1 +RD_15 RS2_09 4 1 +RD_15 RS2_0a 4 1 +RD_15 RS2_0b 6 1 +RD_15 RS2_0c 3 1 +RD_15 RS2_0d 10 1 +RD_15 RS2_0e 7 1 +RD_15 RS2_0f 7 1 +RD_15 RS2_10 3 1 +RD_15 RS2_11 12 1 +RD_15 RS2_12 5 1 +RD_15 RS2_13 5 1 +RD_15 RS2_14 10 1 +RD_15 RS2_15 9 1 +RD_15 RS2_16 4 1 +RD_15 RS2_17 5 1 +RD_15 RS2_18 11 1 +RD_15 RS2_19 3 1 +RD_15 RS2_1a 8 1 +RD_15 RS2_1b 9 1 +RD_15 RS2_1c 2 1 +RD_15 RS2_1d 7 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 1 1 +RD_16 RS2_00 7 1 +RD_16 RS2_01 9 1 +RD_16 RS2_02 5 1 +RD_16 RS2_03 3 1 +RD_16 RS2_04 6 1 +RD_16 RS2_05 6 1 +RD_16 RS2_06 2 1 +RD_16 RS2_07 7 1 +RD_16 RS2_08 9 1 +RD_16 RS2_09 5 1 +RD_16 RS2_0a 7 1 +RD_16 RS2_0b 1 1 +RD_16 RS2_0c 9 1 +RD_16 RS2_0d 12 1 +RD_16 RS2_0e 2 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_10 10 1 +RD_16 RS2_11 5 1 +RD_16 RS2_12 2 1 +RD_16 RS2_13 5 1 +RD_16 RS2_14 6 1 +RD_16 RS2_15 3 1 +RD_16 RS2_16 4 1 +RD_16 RS2_17 7 1 +RD_16 RS2_18 8 1 +RD_16 RS2_19 7 1 +RD_16 RS2_1a 4 1 +RD_16 RS2_1b 7 1 +RD_16 RS2_1c 3 1 +RD_16 RS2_1d 4 1 +RD_16 RS2_1e 5 1 +RD_16 RS2_1f 8 1 +RD_17 RS2_00 4 1 +RD_17 RS2_01 4 1 +RD_17 RS2_02 3 1 +RD_17 RS2_03 5 1 +RD_17 RS2_04 4 1 +RD_17 RS2_05 6 1 +RD_17 RS2_06 5 1 +RD_17 RS2_07 7 1 +RD_17 RS2_08 5 1 +RD_17 RS2_09 6 1 +RD_17 RS2_0a 11 1 +RD_17 RS2_0b 4 1 +RD_17 RS2_0c 5 1 +RD_17 RS2_0d 2 1 +RD_17 RS2_0e 6 1 +RD_17 RS2_0f 10 1 +RD_17 RS2_10 9 1 +RD_17 RS2_11 4 1 +RD_17 RS2_12 8 1 +RD_17 RS2_13 5 1 +RD_17 RS2_14 5 1 +RD_17 RS2_15 10 1 +RD_17 RS2_16 6 1 +RD_17 RS2_17 2 1 +RD_17 RS2_18 2 1 +RD_17 RS2_19 3 1 +RD_17 RS2_1a 5 1 +RD_17 RS2_1b 6 1 +RD_17 RS2_1c 7 1 +RD_17 RS2_1d 6 1 +RD_17 RS2_1e 6 1 +RD_17 RS2_1f 2 1 +RD_18 RS2_00 7 1 +RD_18 RS2_01 6 1 +RD_18 RS2_02 9 1 +RD_18 RS2_03 5 1 +RD_18 RS2_04 5 1 +RD_18 RS2_05 6 1 +RD_18 RS2_06 6 1 +RD_18 RS2_07 3 1 +RD_18 RS2_08 3 1 +RD_18 RS2_09 6 1 +RD_18 RS2_0a 8 1 +RD_18 RS2_0b 12 1 +RD_18 RS2_0c 3 1 +RD_18 RS2_0d 3 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 6 1 +RD_18 RS2_10 7 1 +RD_18 RS2_11 3 1 +RD_18 RS2_12 3 1 +RD_18 RS2_14 6 1 +RD_18 RS2_15 7 1 +RD_18 RS2_16 6 1 +RD_18 RS2_17 5 1 +RD_18 RS2_18 6 1 +RD_18 RS2_19 2 1 +RD_18 RS2_1a 3 1 +RD_18 RS2_1b 3 1 +RD_18 RS2_1c 1 1 +RD_18 RS2_1d 4 1 +RD_18 RS2_1e 4 1 +RD_18 RS2_1f 4 1 +RD_19 RS2_00 6 1 +RD_19 RS2_01 4 1 +RD_19 RS2_02 1 1 +RD_19 RS2_03 6 1 +RD_19 RS2_04 3 1 +RD_19 RS2_05 4 1 +RD_19 RS2_06 4 1 +RD_19 RS2_07 5 1 +RD_19 RS2_09 3 1 +RD_19 RS2_0a 7 1 +RD_19 RS2_0b 5 1 +RD_19 RS2_0c 8 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 5 1 +RD_19 RS2_0f 3 1 +RD_19 RS2_10 1 1 +RD_19 RS2_11 5 1 +RD_19 RS2_12 12 1 +RD_19 RS2_13 2 1 +RD_19 RS2_14 5 1 +RD_19 RS2_15 3 1 +RD_19 RS2_16 3 1 +RD_19 RS2_17 4 1 +RD_19 RS2_18 5 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 1 1 +RD_19 RS2_1b 5 1 +RD_19 RS2_1c 2 1 +RD_19 RS2_1d 3 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 2 1 +RD_1a RS2_00 6 1 +RD_1a RS2_01 4 1 +RD_1a RS2_02 2 1 +RD_1a RS2_03 7 1 +RD_1a RS2_04 5 1 +RD_1a RS2_05 5 1 +RD_1a RS2_06 4 1 +RD_1a RS2_07 6 1 +RD_1a RS2_08 6 1 +RD_1a RS2_09 6 1 +RD_1a RS2_0a 2 1 +RD_1a RS2_0b 5 1 +RD_1a RS2_0c 6 1 +RD_1a RS2_0d 6 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 5 1 +RD_1a RS2_10 3 1 +RD_1a RS2_11 4 1 +RD_1a RS2_12 5 1 +RD_1a RS2_13 4 1 +RD_1a RS2_14 3 1 +RD_1a RS2_15 4 1 +RD_1a RS2_16 5 1 +RD_1a RS2_17 4 1 +RD_1a RS2_18 4 1 +RD_1a RS2_19 2 1 +RD_1a RS2_1a 2 1 +RD_1a RS2_1b 5 1 +RD_1a RS2_1c 10 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 3 1 +RD_1a RS2_1f 7 1 +RD_1b RS2_00 5 1 +RD_1b RS2_01 4 1 +RD_1b RS2_02 2 1 +RD_1b RS2_03 6 1 +RD_1b RS2_04 11 1 +RD_1b RS2_05 3 1 +RD_1b RS2_06 10 1 +RD_1b RS2_07 2 1 +RD_1b RS2_08 5 1 +RD_1b RS2_09 9 1 +RD_1b RS2_0a 6 1 +RD_1b RS2_0b 5 1 +RD_1b RS2_0c 5 1 +RD_1b RS2_0d 13 1 +RD_1b RS2_0e 9 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 10 1 +RD_1b RS2_11 4 1 +RD_1b RS2_12 3 1 +RD_1b RS2_13 4 1 +RD_1b RS2_14 4 1 +RD_1b RS2_15 4 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 5 1 +RD_1b RS2_18 5 1 +RD_1b RS2_19 4 1 +RD_1b RS2_1a 5 1 +RD_1b RS2_1b 6 1 +RD_1b RS2_1c 4 1 +RD_1b RS2_1d 2 1 +RD_1b RS2_1e 3 1 +RD_1b RS2_1f 4 1 +RD_1c RS2_00 2 1 +RD_1c RS2_01 5 1 +RD_1c RS2_02 4 1 +RD_1c RS2_03 1 1 +RD_1c RS2_04 3 1 +RD_1c RS2_05 2 1 +RD_1c RS2_06 4 1 +RD_1c RS2_07 11 1 +RD_1c RS2_08 5 1 +RD_1c RS2_09 6 1 +RD_1c RS2_0a 6 1 +RD_1c RS2_0b 3 1 +RD_1c RS2_0c 3 1 +RD_1c RS2_0d 8 1 +RD_1c RS2_0e 5 1 +RD_1c RS2_0f 2 1 +RD_1c RS2_10 6 1 +RD_1c RS2_11 5 1 +RD_1c RS2_12 3 1 +RD_1c RS2_13 1 1 +RD_1c RS2_14 3 1 +RD_1c RS2_15 8 1 +RD_1c RS2_16 5 1 +RD_1c RS2_17 5 1 +RD_1c RS2_18 5 1 +RD_1c RS2_19 4 1 +RD_1c RS2_1a 4 1 +RD_1c RS2_1b 4 1 +RD_1c RS2_1c 6 1 +RD_1c RS2_1d 4 1 +RD_1c RS2_1e 8 1 +RD_1c RS2_1f 4 1 +RD_1d RS2_00 4 1 +RD_1d RS2_01 1 1 +RD_1d RS2_02 6 1 +RD_1d RS2_03 8 1 +RD_1d RS2_04 5 1 +RD_1d RS2_05 4 1 +RD_1d RS2_06 4 1 +RD_1d RS2_07 11 1 +RD_1d RS2_08 4 1 +RD_1d RS2_09 4 1 +RD_1d RS2_0a 4 1 +RD_1d RS2_0b 8 1 +RD_1d RS2_0c 7 1 +RD_1d RS2_0d 3 1 +RD_1d RS2_0e 7 1 +RD_1d RS2_0f 6 1 +RD_1d RS2_10 7 1 +RD_1d RS2_11 7 1 +RD_1d RS2_12 6 1 +RD_1d RS2_13 4 1 +RD_1d RS2_14 4 1 +RD_1d RS2_15 7 1 +RD_1d RS2_16 4 1 +RD_1d RS2_17 8 1 +RD_1d RS2_18 4 1 +RD_1d RS2_19 6 1 +RD_1d RS2_1a 10 1 +RD_1d RS2_1b 5 1 +RD_1d RS2_1c 10 1 +RD_1d RS2_1d 10 1 +RD_1d RS2_1e 6 1 +RD_1d RS2_1f 2 1 +RD_1e RS2_00 5 1 +RD_1e RS2_01 8 1 +RD_1e RS2_02 5 1 +RD_1e RS2_03 9 1 +RD_1e RS2_04 4 1 +RD_1e RS2_05 7 1 +RD_1e RS2_06 9 1 +RD_1e RS2_07 4 1 +RD_1e RS2_08 3 1 +RD_1e RS2_09 7 1 +RD_1e RS2_0a 2 1 +RD_1e RS2_0b 6 1 +RD_1e RS2_0c 8 1 +RD_1e RS2_0d 2 1 +RD_1e RS2_0e 8 1 +RD_1e RS2_0f 5 1 +RD_1e RS2_10 1 1 +RD_1e RS2_11 7 1 +RD_1e RS2_12 7 1 +RD_1e RS2_13 7 1 +RD_1e RS2_14 14 1 +RD_1e RS2_15 4 1 +RD_1e RS2_16 3 1 +RD_1e RS2_17 7 1 +RD_1e RS2_18 10 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 9 1 +RD_1e RS2_1b 3 1 +RD_1e RS2_1c 6 1 +RD_1e RS2_1d 8 1 +RD_1e RS2_1e 5 1 +RD_1e RS2_1f 5 1 +RD_1f RS2_00 1 1 +RD_1f RS2_01 6 1 +RD_1f RS2_02 6 1 +RD_1f RS2_03 3 1 +RD_1f RS2_04 3 1 +RD_1f RS2_05 3 1 +RD_1f RS2_06 6 1 +RD_1f RS2_07 3 1 +RD_1f RS2_08 3 1 +RD_1f RS2_09 9 1 +RD_1f RS2_0a 6 1 +RD_1f RS2_0b 3 1 +RD_1f RS2_0c 5 1 +RD_1f RS2_0d 7 1 +RD_1f RS2_0e 6 1 +RD_1f RS2_0f 6 1 +RD_1f RS2_10 6 1 +RD_1f RS2_11 3 1 +RD_1f RS2_12 8 1 +RD_1f RS2_13 8 1 +RD_1f RS2_14 4 1 +RD_1f RS2_15 3 1 +RD_1f RS2_16 1 1 +RD_1f RS2_17 1 1 +RD_1f RS2_18 10 1 +RD_1f RS2_19 8 1 +RD_1f RS2_1a 3 1 +RD_1f RS2_1b 4 1 +RD_1f RS2_1c 15 1 +RD_1f RS2_1d 2 1 +RD_1f RS2_1e 6 1 +RD_1f RS2_1f 3 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_double_add_rs1_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.79 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 15 2033 99.27 + + +Variables for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 12 1012 98.83 100 1 1 0 +cross_rd_rs2 1024 3 1021 99.71 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 166 1 +RD_01 177 1 +RD_02 161 1 +RD_03 166 1 +RD_04 180 1 +RD_05 152 1 +RD_06 175 1 +RD_07 167 1 +RD_08 148 1 +RD_09 176 1 +RD_0a 171 1 +RD_0b 175 1 +RD_0c 143 1 +RD_0d 169 1 +RD_0e 154 1 +RD_0f 165 1 +RD_10 153 1 +RD_11 190 1 +RD_12 131 1 +RD_13 184 1 +RD_14 190 1 +RD_15 176 1 +RD_16 181 1 +RD_17 170 1 +RD_18 169 1 +RD_19 145 1 +RD_1a 168 1 +RD_1b 155 1 +RD_1c 161 1 +RD_1d 167 1 +RD_1e 182 1 +RD_1f 166 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 164 1 +RS1_01 156 1 +RS1_02 176 1 +RS1_03 153 1 +RS1_04 172 1 +RS1_05 166 1 +RS1_06 151 1 +RS1_07 181 1 +RS1_08 152 1 +RS1_09 140 1 +RS1_0a 150 1 +RS1_0b 166 1 +RS1_0c 171 1 +RS1_0d 190 1 +RS1_0e 168 1 +RS1_0f 168 1 +RS1_10 177 1 +RS1_11 159 1 +RS1_12 168 1 +RS1_13 177 1 +RS1_14 195 1 +RS1_15 167 1 +RS1_16 170 1 +RS1_17 168 1 +RS1_18 167 1 +RS1_19 160 1 +RS1_1a 146 1 +RS1_1b 180 1 +RS1_1c 166 1 +RS1_1d 171 1 +RS1_1e 165 1 +RS1_1f 173 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 178 1 +RS2_01 146 1 +RS2_02 181 1 +RS2_03 173 1 +RS2_04 170 1 +RS2_05 178 1 +RS2_06 153 1 +RS2_07 152 1 +RS2_08 160 1 +RS2_09 156 1 +RS2_0a 159 1 +RS2_0b 145 1 +RS2_0c 154 1 +RS2_0d 176 1 +RS2_0e 184 1 +RS2_0f 153 1 +RS2_10 177 1 +RS2_11 187 1 +RS2_12 135 1 +RS2_13 177 1 +RS2_14 161 1 +RS2_15 149 1 +RS2_16 169 1 +RS2_17 210 1 +RS2_18 178 1 +RS2_19 152 1 +RS2_1a 178 1 +RS2_1b 165 1 +RS2_1c 159 1 +RS2_1d 166 1 +RS2_1e 162 1 +RS2_1f 190 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2530 1 +BIT30_1 2002 1 +BIT29_1 2026 1 +BIT28_1 2025 1 +BIT27_1 1983 1 +BIT26_1 1953 1 +BIT25_1 1972 1 +BIT24_1 2023 1 +BIT23_1 2081 1 +BIT22_1 1966 1 +BIT21_1 1949 1 +BIT20_1 1909 1 +BIT19_1 1955 1 +BIT18_1 1960 1 +BIT17_1 1952 1 +BIT16_1 1965 1 +BIT15_1 2207 1 +BIT14_1 2172 1 +BIT13_1 2080 1 +BIT12_1 2355 1 +BIT11_1 2399 1 +BIT10_1 2351 1 +BIT9_1 2185 1 +BIT8_1 2104 1 +BIT7_1 2294 1 +BIT6_1 2039 1 +BIT5_1 2044 1 +BIT4_1 2434 1 +BIT3_1 2494 1 +BIT2_1 2420 1 +BIT1_1 2070 1 +BIT0_1 1745 1 +BIT31_0 2802 1 +BIT30_0 3330 1 +BIT29_0 3306 1 +BIT28_0 3307 1 +BIT27_0 3349 1 +BIT26_0 3379 1 +BIT25_0 3360 1 +BIT24_0 3309 1 +BIT23_0 3251 1 +BIT22_0 3366 1 +BIT21_0 3383 1 +BIT20_0 3423 1 +BIT19_0 3377 1 +BIT18_0 3372 1 +BIT17_0 3380 1 +BIT16_0 3367 1 +BIT15_0 3125 1 +BIT14_0 3160 1 +BIT13_0 3252 1 +BIT12_0 2977 1 +BIT11_0 2933 1 +BIT10_0 2981 1 +BIT9_0 3147 1 +BIT8_0 3228 1 +BIT7_0 3038 1 +BIT6_0 3293 1 +BIT5_0 3288 1 +BIT4_0 2898 1 +BIT3_0 2838 1 +BIT2_0 2912 1 +BIT1_0 3262 1 +BIT0_0 3587 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2545 1 +BIT30_1 2021 1 +BIT29_1 1987 1 +BIT28_1 2028 1 +BIT27_1 1901 1 +BIT26_1 1906 1 +BIT25_1 1949 1 +BIT24_1 1995 1 +BIT23_1 1922 1 +BIT22_1 1887 1 +BIT21_1 1924 1 +BIT20_1 1952 1 +BIT19_1 1915 1 +BIT18_1 1977 1 +BIT17_1 2009 1 +BIT16_1 2019 1 +BIT15_1 2187 1 +BIT14_1 2183 1 +BIT13_1 2124 1 +BIT12_1 2377 1 +BIT11_1 2397 1 +BIT10_1 2379 1 +BIT9_1 2180 1 +BIT8_1 2044 1 +BIT7_1 2287 1 +BIT6_1 2015 1 +BIT5_1 2047 1 +BIT4_1 2460 1 +BIT3_1 2533 1 +BIT2_1 2478 1 +BIT1_1 1968 1 +BIT0_1 1745 1 +BIT31_0 2788 1 +BIT30_0 3312 1 +BIT29_0 3346 1 +BIT28_0 3305 1 +BIT27_0 3432 1 +BIT26_0 3427 1 +BIT25_0 3384 1 +BIT24_0 3338 1 +BIT23_0 3411 1 +BIT22_0 3446 1 +BIT21_0 3409 1 +BIT20_0 3381 1 +BIT19_0 3418 1 +BIT18_0 3356 1 +BIT17_0 3324 1 +BIT16_0 3314 1 +BIT15_0 3146 1 +BIT14_0 3150 1 +BIT13_0 3209 1 +BIT12_0 2956 1 +BIT11_0 2936 1 +BIT10_0 2954 1 +BIT9_0 3153 1 +BIT8_0 3289 1 +BIT7_0 3046 1 +BIT6_0 3318 1 +BIT5_0 3286 1 +BIT4_0 2873 1 +BIT3_0 2800 1 +BIT2_0 2855 1 +BIT1_0 3365 1 +BIT0_0 3588 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 12 1012 98.83 12 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_03] [RS1_07] 0 1 1 +[RD_04] [RS1_0a] 0 1 1 +[RD_08] [RS1_09] 0 1 1 +[RD_0b] [RS1_00] 0 1 1 +[RD_10] [RS1_0a] 0 1 1 +[RD_12] [RS1_02] 0 1 1 +[RD_16] [RS1_16] 0 1 1 +[RD_17] [RS1_08] 0 1 1 +[RD_1a] [RS1_0d] 0 1 1 +[RD_1b] [RS1_0a] 0 1 1 +[RD_1d] [RS1_06] 0 1 1 +[RD_1f] [RS1_1f] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 5 1 +RD_00 RS1_01 9 1 +RD_00 RS1_02 12 1 +RD_00 RS1_03 6 1 +RD_00 RS1_04 9 1 +RD_00 RS1_05 6 1 +RD_00 RS1_06 3 1 +RD_00 RS1_07 3 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 3 1 +RD_00 RS1_0a 8 1 +RD_00 RS1_0b 4 1 +RD_00 RS1_0c 4 1 +RD_00 RS1_0d 7 1 +RD_00 RS1_0e 1 1 +RD_00 RS1_0f 3 1 +RD_00 RS1_10 5 1 +RD_00 RS1_11 6 1 +RD_00 RS1_12 2 1 +RD_00 RS1_13 6 1 +RD_00 RS1_14 8 1 +RD_00 RS1_15 5 1 +RD_00 RS1_16 3 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 7 1 +RD_00 RS1_19 6 1 +RD_00 RS1_1a 2 1 +RD_00 RS1_1b 7 1 +RD_00 RS1_1c 2 1 +RD_00 RS1_1d 4 1 +RD_00 RS1_1e 3 1 +RD_00 RS1_1f 9 1 +RD_01 RS1_00 4 1 +RD_01 RS1_01 5 1 +RD_01 RS1_02 5 1 +RD_01 RS1_03 8 1 +RD_01 RS1_04 4 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 2 1 +RD_01 RS1_07 1 1 +RD_01 RS1_08 7 1 +RD_01 RS1_09 6 1 +RD_01 RS1_0a 7 1 +RD_01 RS1_0b 5 1 +RD_01 RS1_0c 4 1 +RD_01 RS1_0d 5 1 +RD_01 RS1_0e 5 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 7 1 +RD_01 RS1_11 3 1 +RD_01 RS1_12 3 1 +RD_01 RS1_13 8 1 +RD_01 RS1_14 6 1 +RD_01 RS1_15 6 1 +RD_01 RS1_16 5 1 +RD_01 RS1_17 4 1 +RD_01 RS1_18 5 1 +RD_01 RS1_19 7 1 +RD_01 RS1_1a 11 1 +RD_01 RS1_1b 3 1 +RD_01 RS1_1c 8 1 +RD_01 RS1_1d 7 1 +RD_01 RS1_1e 8 1 +RD_01 RS1_1f 8 1 +RD_02 RS1_00 5 1 +RD_02 RS1_01 6 1 +RD_02 RS1_02 6 1 +RD_02 RS1_03 3 1 +RD_02 RS1_04 5 1 +RD_02 RS1_05 2 1 +RD_02 RS1_06 4 1 +RD_02 RS1_07 4 1 +RD_02 RS1_08 7 1 +RD_02 RS1_09 3 1 +RD_02 RS1_0a 8 1 +RD_02 RS1_0b 9 1 +RD_02 RS1_0c 7 1 +RD_02 RS1_0d 5 1 +RD_02 RS1_0e 3 1 +RD_02 RS1_0f 7 1 +RD_02 RS1_10 9 1 +RD_02 RS1_11 5 1 +RD_02 RS1_12 4 1 +RD_02 RS1_13 5 1 +RD_02 RS1_14 6 1 +RD_02 RS1_15 3 1 +RD_02 RS1_16 7 1 +RD_02 RS1_17 7 1 +RD_02 RS1_18 2 1 +RD_02 RS1_19 2 1 +RD_02 RS1_1a 4 1 +RD_02 RS1_1b 4 1 +RD_02 RS1_1c 4 1 +RD_02 RS1_1d 3 1 +RD_02 RS1_1e 5 1 +RD_02 RS1_1f 7 1 +RD_03 RS1_00 4 1 +RD_03 RS1_01 4 1 +RD_03 RS1_02 3 1 +RD_03 RS1_03 7 1 +RD_03 RS1_04 8 1 +RD_03 RS1_05 3 1 +RD_03 RS1_06 5 1 +RD_03 RS1_08 2 1 +RD_03 RS1_09 5 1 +RD_03 RS1_0a 8 1 +RD_03 RS1_0b 8 1 +RD_03 RS1_0c 4 1 +RD_03 RS1_0d 11 1 +RD_03 RS1_0e 7 1 +RD_03 RS1_0f 6 1 +RD_03 RS1_10 5 1 +RD_03 RS1_11 2 1 +RD_03 RS1_12 1 1 +RD_03 RS1_13 2 1 +RD_03 RS1_14 5 1 +RD_03 RS1_15 11 1 +RD_03 RS1_16 4 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 5 1 +RD_03 RS1_19 7 1 +RD_03 RS1_1a 5 1 +RD_03 RS1_1b 3 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 2 1 +RD_03 RS1_1e 11 1 +RD_03 RS1_1f 4 1 +RD_04 RS1_00 5 1 +RD_04 RS1_01 4 1 +RD_04 RS1_02 6 1 +RD_04 RS1_03 1 1 +RD_04 RS1_04 4 1 +RD_04 RS1_05 8 1 +RD_04 RS1_06 4 1 +RD_04 RS1_07 6 1 +RD_04 RS1_08 15 1 +RD_04 RS1_09 6 1 +RD_04 RS1_0b 6 1 +RD_04 RS1_0c 3 1 +RD_04 RS1_0d 2 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 11 1 +RD_04 RS1_10 4 1 +RD_04 RS1_11 10 1 +RD_04 RS1_12 9 1 +RD_04 RS1_13 4 1 +RD_04 RS1_14 10 1 +RD_04 RS1_15 3 1 +RD_04 RS1_16 3 1 +RD_04 RS1_17 8 1 +RD_04 RS1_18 5 1 +RD_04 RS1_19 8 1 +RD_04 RS1_1a 3 1 +RD_04 RS1_1b 6 1 +RD_04 RS1_1c 7 1 +RD_04 RS1_1d 7 1 +RD_04 RS1_1e 3 1 +RD_04 RS1_1f 3 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 3 1 +RD_05 RS1_02 7 1 +RD_05 RS1_03 3 1 +RD_05 RS1_04 3 1 +RD_05 RS1_05 3 1 +RD_05 RS1_06 3 1 +RD_05 RS1_07 8 1 +RD_05 RS1_08 2 1 +RD_05 RS1_09 1 1 +RD_05 RS1_0a 6 1 +RD_05 RS1_0b 7 1 +RD_05 RS1_0c 4 1 +RD_05 RS1_0d 9 1 +RD_05 RS1_0e 4 1 +RD_05 RS1_0f 2 1 +RD_05 RS1_10 8 1 +RD_05 RS1_11 7 1 +RD_05 RS1_12 2 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 7 1 +RD_05 RS1_15 2 1 +RD_05 RS1_16 3 1 +RD_05 RS1_17 3 1 +RD_05 RS1_18 3 1 +RD_05 RS1_19 8 1 +RD_05 RS1_1a 4 1 +RD_05 RS1_1b 5 1 +RD_05 RS1_1c 10 1 +RD_05 RS1_1d 7 1 +RD_05 RS1_1e 6 1 +RD_05 RS1_1f 2 1 +RD_06 RS1_00 10 1 +RD_06 RS1_01 10 1 +RD_06 RS1_02 5 1 +RD_06 RS1_03 2 1 +RD_06 RS1_04 2 1 +RD_06 RS1_05 8 1 +RD_06 RS1_06 8 1 +RD_06 RS1_07 2 1 +RD_06 RS1_08 7 1 +RD_06 RS1_09 6 1 +RD_06 RS1_0a 2 1 +RD_06 RS1_0b 6 1 +RD_06 RS1_0c 7 1 +RD_06 RS1_0d 7 1 +RD_06 RS1_0e 13 1 +RD_06 RS1_0f 1 1 +RD_06 RS1_10 2 1 +RD_06 RS1_11 9 1 +RD_06 RS1_12 4 1 +RD_06 RS1_13 5 1 +RD_06 RS1_14 1 1 +RD_06 RS1_15 8 1 +RD_06 RS1_16 2 1 +RD_06 RS1_17 10 1 +RD_06 RS1_18 4 1 +RD_06 RS1_19 6 1 +RD_06 RS1_1a 2 1 +RD_06 RS1_1b 8 1 +RD_06 RS1_1c 5 1 +RD_06 RS1_1d 4 1 +RD_06 RS1_1e 1 1 +RD_06 RS1_1f 8 1 +RD_07 RS1_00 2 1 +RD_07 RS1_01 9 1 +RD_07 RS1_02 6 1 +RD_07 RS1_03 1 1 +RD_07 RS1_04 7 1 +RD_07 RS1_05 4 1 +RD_07 RS1_06 2 1 +RD_07 RS1_07 9 1 +RD_07 RS1_08 3 1 +RD_07 RS1_09 5 1 +RD_07 RS1_0a 6 1 +RD_07 RS1_0b 4 1 +RD_07 RS1_0c 6 1 +RD_07 RS1_0d 3 1 +RD_07 RS1_0e 6 1 +RD_07 RS1_0f 9 1 +RD_07 RS1_10 9 1 +RD_07 RS1_11 4 1 +RD_07 RS1_12 10 1 +RD_07 RS1_13 4 1 +RD_07 RS1_14 11 1 +RD_07 RS1_15 4 1 +RD_07 RS1_16 3 1 +RD_07 RS1_17 4 1 +RD_07 RS1_18 2 1 +RD_07 RS1_19 4 1 +RD_07 RS1_1a 3 1 +RD_07 RS1_1b 5 1 +RD_07 RS1_1c 11 1 +RD_07 RS1_1d 2 1 +RD_07 RS1_1e 3 1 +RD_07 RS1_1f 6 1 +RD_08 RS1_00 6 1 +RD_08 RS1_01 3 1 +RD_08 RS1_02 4 1 +RD_08 RS1_03 2 1 +RD_08 RS1_04 7 1 +RD_08 RS1_05 7 1 +RD_08 RS1_06 7 1 +RD_08 RS1_07 8 1 +RD_08 RS1_08 2 1 +RD_08 RS1_0a 4 1 +RD_08 RS1_0b 6 1 +RD_08 RS1_0c 4 1 +RD_08 RS1_0d 9 1 +RD_08 RS1_0e 2 1 +RD_08 RS1_0f 3 1 +RD_08 RS1_10 10 1 +RD_08 RS1_11 2 1 +RD_08 RS1_12 4 1 +RD_08 RS1_13 5 1 +RD_08 RS1_14 6 1 +RD_08 RS1_15 4 1 +RD_08 RS1_16 3 1 +RD_08 RS1_17 4 1 +RD_08 RS1_18 1 1 +RD_08 RS1_19 2 1 +RD_08 RS1_1a 7 1 +RD_08 RS1_1b 3 1 +RD_08 RS1_1c 7 1 +RD_08 RS1_1d 6 1 +RD_08 RS1_1e 3 1 +RD_08 RS1_1f 7 1 +RD_09 RS1_00 7 1 +RD_09 RS1_01 3 1 +RD_09 RS1_02 2 1 +RD_09 RS1_03 6 1 +RD_09 RS1_04 10 1 +RD_09 RS1_05 4 1 +RD_09 RS1_06 6 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 8 1 +RD_09 RS1_09 1 1 +RD_09 RS1_0a 5 1 +RD_09 RS1_0b 1 1 +RD_09 RS1_0c 9 1 +RD_09 RS1_0d 9 1 +RD_09 RS1_0e 5 1 +RD_09 RS1_0f 8 1 +RD_09 RS1_10 2 1 +RD_09 RS1_11 6 1 +RD_09 RS1_12 5 1 +RD_09 RS1_13 6 1 +RD_09 RS1_14 2 1 +RD_09 RS1_15 3 1 +RD_09 RS1_16 6 1 +RD_09 RS1_17 6 1 +RD_09 RS1_18 9 1 +RD_09 RS1_19 2 1 +RD_09 RS1_1a 7 1 +RD_09 RS1_1b 6 1 +RD_09 RS1_1c 6 1 +RD_09 RS1_1d 4 1 +RD_09 RS1_1e 7 1 +RD_09 RS1_1f 9 1 +RD_0a RS1_00 4 1 +RD_0a RS1_01 5 1 +RD_0a RS1_02 3 1 +RD_0a RS1_03 5 1 +RD_0a RS1_04 4 1 +RD_0a RS1_05 1 1 +RD_0a RS1_06 7 1 +RD_0a RS1_07 3 1 +RD_0a RS1_08 8 1 +RD_0a RS1_09 3 1 +RD_0a RS1_0a 4 1 +RD_0a RS1_0b 4 1 +RD_0a RS1_0c 8 1 +RD_0a RS1_0d 4 1 +RD_0a RS1_0e 8 1 +RD_0a RS1_0f 11 1 +RD_0a RS1_10 7 1 +RD_0a RS1_11 7 1 +RD_0a RS1_12 5 1 +RD_0a RS1_13 7 1 +RD_0a RS1_14 8 1 +RD_0a RS1_15 4 1 +RD_0a RS1_16 6 1 +RD_0a RS1_17 7 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 5 1 +RD_0a RS1_1a 3 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 4 1 +RD_0a RS1_1d 7 1 +RD_0a RS1_1e 4 1 +RD_0a RS1_1f 7 1 +RD_0b RS1_01 5 1 +RD_0b RS1_02 10 1 +RD_0b RS1_03 4 1 +RD_0b RS1_04 4 1 +RD_0b RS1_05 13 1 +RD_0b RS1_06 8 1 +RD_0b RS1_07 3 1 +RD_0b RS1_08 8 1 +RD_0b RS1_09 6 1 +RD_0b RS1_0a 10 1 +RD_0b RS1_0b 7 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 2 1 +RD_0b RS1_0e 3 1 +RD_0b RS1_0f 4 1 +RD_0b RS1_10 6 1 +RD_0b RS1_11 10 1 +RD_0b RS1_12 2 1 +RD_0b RS1_13 3 1 +RD_0b RS1_14 7 1 +RD_0b RS1_15 5 1 +RD_0b RS1_16 9 1 +RD_0b RS1_17 7 1 +RD_0b RS1_18 7 1 +RD_0b RS1_19 2 1 +RD_0b RS1_1a 3 1 +RD_0b RS1_1b 5 1 +RD_0b RS1_1c 4 1 +RD_0b RS1_1d 6 1 +RD_0b RS1_1e 2 1 +RD_0b RS1_1f 4 1 +RD_0c RS1_00 6 1 +RD_0c RS1_01 3 1 +RD_0c RS1_02 5 1 +RD_0c RS1_03 4 1 +RD_0c RS1_04 4 1 +RD_0c RS1_05 5 1 +RD_0c RS1_06 2 1 +RD_0c RS1_07 11 1 +RD_0c RS1_08 1 1 +RD_0c RS1_09 3 1 +RD_0c RS1_0a 5 1 +RD_0c RS1_0b 4 1 +RD_0c RS1_0c 3 1 +RD_0c RS1_0d 6 1 +RD_0c RS1_0e 5 1 +RD_0c RS1_0f 3 1 +RD_0c RS1_10 2 1 +RD_0c RS1_11 6 1 +RD_0c RS1_12 7 1 +RD_0c RS1_13 2 1 +RD_0c RS1_14 3 1 +RD_0c RS1_15 9 1 +RD_0c RS1_16 4 1 +RD_0c RS1_17 2 1 +RD_0c RS1_18 1 1 +RD_0c RS1_19 8 1 +RD_0c RS1_1a 3 1 +RD_0c RS1_1b 3 1 +RD_0c RS1_1c 8 1 +RD_0c RS1_1d 5 1 +RD_0c RS1_1e 3 1 +RD_0c RS1_1f 7 1 +RD_0d RS1_00 6 1 +RD_0d RS1_01 2 1 +RD_0d RS1_02 9 1 +RD_0d RS1_03 3 1 +RD_0d RS1_04 3 1 +RD_0d RS1_05 8 1 +RD_0d RS1_06 6 1 +RD_0d RS1_07 4 1 +RD_0d RS1_08 1 1 +RD_0d RS1_09 7 1 +RD_0d RS1_0a 4 1 +RD_0d RS1_0b 8 1 +RD_0d RS1_0c 7 1 +RD_0d RS1_0d 6 1 +RD_0d RS1_0e 5 1 +RD_0d RS1_0f 3 1 +RD_0d RS1_10 6 1 +RD_0d RS1_11 4 1 +RD_0d RS1_12 11 1 +RD_0d RS1_13 1 1 +RD_0d RS1_14 6 1 +RD_0d RS1_15 3 1 +RD_0d RS1_16 4 1 +RD_0d RS1_17 5 1 +RD_0d RS1_18 13 1 +RD_0d RS1_19 3 1 +RD_0d RS1_1a 5 1 +RD_0d RS1_1b 5 1 +RD_0d RS1_1c 3 1 +RD_0d RS1_1d 9 1 +RD_0d RS1_1e 5 1 +RD_0d RS1_1f 4 1 +RD_0e RS1_00 6 1 +RD_0e RS1_01 2 1 +RD_0e RS1_02 8 1 +RD_0e RS1_03 3 1 +RD_0e RS1_04 5 1 +RD_0e RS1_05 4 1 +RD_0e RS1_06 3 1 +RD_0e RS1_07 3 1 +RD_0e RS1_08 2 1 +RD_0e RS1_09 3 1 +RD_0e RS1_0a 6 1 +RD_0e RS1_0b 3 1 +RD_0e RS1_0c 5 1 +RD_0e RS1_0d 13 1 +RD_0e RS1_0e 4 1 +RD_0e RS1_0f 8 1 +RD_0e RS1_10 5 1 +RD_0e RS1_11 2 1 +RD_0e RS1_12 4 1 +RD_0e RS1_13 7 1 +RD_0e RS1_14 8 1 +RD_0e RS1_15 3 1 +RD_0e RS1_16 6 1 +RD_0e RS1_17 4 1 +RD_0e RS1_18 10 1 +RD_0e RS1_19 5 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 6 1 +RD_0e RS1_1c 2 1 +RD_0e RS1_1d 3 1 +RD_0e RS1_1e 3 1 +RD_0e RS1_1f 6 1 +RD_0f RS1_00 11 1 +RD_0f RS1_01 7 1 +RD_0f RS1_02 3 1 +RD_0f RS1_03 5 1 +RD_0f RS1_04 5 1 +RD_0f RS1_05 4 1 +RD_0f RS1_06 3 1 +RD_0f RS1_07 11 1 +RD_0f RS1_08 4 1 +RD_0f RS1_09 2 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 6 1 +RD_0f RS1_0c 1 1 +RD_0f RS1_0d 10 1 +RD_0f RS1_0e 5 1 +RD_0f RS1_0f 4 1 +RD_0f RS1_10 6 1 +RD_0f RS1_11 7 1 +RD_0f RS1_12 10 1 +RD_0f RS1_13 5 1 +RD_0f RS1_14 5 1 +RD_0f RS1_15 5 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 2 1 +RD_0f RS1_18 3 1 +RD_0f RS1_19 3 1 +RD_0f RS1_1a 5 1 +RD_0f RS1_1b 7 1 +RD_0f RS1_1c 8 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 5 1 +RD_0f RS1_1f 2 1 +RD_10 RS1_00 8 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 3 1 +RD_10 RS1_04 4 1 +RD_10 RS1_05 5 1 +RD_10 RS1_06 2 1 +RD_10 RS1_07 8 1 +RD_10 RS1_08 2 1 +RD_10 RS1_09 8 1 +RD_10 RS1_0b 2 1 +RD_10 RS1_0c 6 1 +RD_10 RS1_0d 2 1 +RD_10 RS1_0e 10 1 +RD_10 RS1_0f 3 1 +RD_10 RS1_10 10 1 +RD_10 RS1_11 2 1 +RD_10 RS1_12 6 1 +RD_10 RS1_13 7 1 +RD_10 RS1_14 7 1 +RD_10 RS1_15 2 1 +RD_10 RS1_16 7 1 +RD_10 RS1_17 3 1 +RD_10 RS1_18 6 1 +RD_10 RS1_19 4 1 +RD_10 RS1_1a 6 1 +RD_10 RS1_1b 9 1 +RD_10 RS1_1c 5 1 +RD_10 RS1_1d 7 1 +RD_10 RS1_1e 2 1 +RD_10 RS1_1f 1 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 7 1 +RD_11 RS1_02 11 1 +RD_11 RS1_03 7 1 +RD_11 RS1_04 4 1 +RD_11 RS1_05 4 1 +RD_11 RS1_06 5 1 +RD_11 RS1_07 6 1 +RD_11 RS1_08 8 1 +RD_11 RS1_09 4 1 +RD_11 RS1_0a 2 1 +RD_11 RS1_0b 5 1 +RD_11 RS1_0c 6 1 +RD_11 RS1_0d 5 1 +RD_11 RS1_0e 7 1 +RD_11 RS1_0f 9 1 +RD_11 RS1_10 2 1 +RD_11 RS1_11 8 1 +RD_11 RS1_12 7 1 +RD_11 RS1_13 5 1 +RD_11 RS1_14 7 1 +RD_11 RS1_15 11 1 +RD_11 RS1_16 7 1 +RD_11 RS1_17 4 1 +RD_11 RS1_18 9 1 +RD_11 RS1_19 7 1 +RD_11 RS1_1a 7 1 +RD_11 RS1_1b 8 1 +RD_11 RS1_1c 3 1 +RD_11 RS1_1d 5 1 +RD_11 RS1_1e 5 1 +RD_11 RS1_1f 1 1 +RD_12 RS1_00 8 1 +RD_12 RS1_01 3 1 +RD_12 RS1_03 2 1 +RD_12 RS1_04 9 1 +RD_12 RS1_05 3 1 +RD_12 RS1_06 4 1 +RD_12 RS1_07 4 1 +RD_12 RS1_08 3 1 +RD_12 RS1_09 4 1 +RD_12 RS1_0a 1 1 +RD_12 RS1_0b 7 1 +RD_12 RS1_0c 5 1 +RD_12 RS1_0d 7 1 +RD_12 RS1_0e 1 1 +RD_12 RS1_0f 3 1 +RD_12 RS1_10 6 1 +RD_12 RS1_11 1 1 +RD_12 RS1_12 1 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 4 1 +RD_12 RS1_15 4 1 +RD_12 RS1_16 8 1 +RD_12 RS1_17 6 1 +RD_12 RS1_18 6 1 +RD_12 RS1_19 3 1 +RD_12 RS1_1a 6 1 +RD_12 RS1_1b 2 1 +RD_12 RS1_1c 2 1 +RD_12 RS1_1d 5 1 +RD_12 RS1_1e 4 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 5 1 +RD_13 RS1_01 4 1 +RD_13 RS1_02 7 1 +RD_13 RS1_03 10 1 +RD_13 RS1_04 7 1 +RD_13 RS1_05 3 1 +RD_13 RS1_06 5 1 +RD_13 RS1_07 8 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 2 1 +RD_13 RS1_0a 7 1 +RD_13 RS1_0b 2 1 +RD_13 RS1_0c 6 1 +RD_13 RS1_0d 5 1 +RD_13 RS1_0e 2 1 +RD_13 RS1_0f 3 1 +RD_13 RS1_10 5 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 14 1 +RD_13 RS1_13 9 1 +RD_13 RS1_14 7 1 +RD_13 RS1_15 4 1 +RD_13 RS1_16 8 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 1 1 +RD_13 RS1_19 8 1 +RD_13 RS1_1a 3 1 +RD_13 RS1_1b 8 1 +RD_13 RS1_1c 2 1 +RD_13 RS1_1d 12 1 +RD_13 RS1_1e 8 1 +RD_13 RS1_1f 6 1 +RD_14 RS1_00 6 1 +RD_14 RS1_01 7 1 +RD_14 RS1_02 4 1 +RD_14 RS1_03 8 1 +RD_14 RS1_04 6 1 +RD_14 RS1_05 9 1 +RD_14 RS1_06 4 1 +RD_14 RS1_07 13 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 6 1 +RD_14 RS1_0a 4 1 +RD_14 RS1_0b 5 1 +RD_14 RS1_0c 3 1 +RD_14 RS1_0d 6 1 +RD_14 RS1_0e 9 1 +RD_14 RS1_0f 7 1 +RD_14 RS1_10 4 1 +RD_14 RS1_11 4 1 +RD_14 RS1_12 1 1 +RD_14 RS1_13 7 1 +RD_14 RS1_14 2 1 +RD_14 RS1_15 9 1 +RD_14 RS1_16 2 1 +RD_14 RS1_17 10 1 +RD_14 RS1_18 4 1 +RD_14 RS1_19 8 1 +RD_14 RS1_1a 8 1 +RD_14 RS1_1b 6 1 +RD_14 RS1_1c 3 1 +RD_14 RS1_1d 6 1 +RD_14 RS1_1e 6 1 +RD_14 RS1_1f 8 1 +RD_15 RS1_00 6 1 +RD_15 RS1_01 6 1 +RD_15 RS1_02 3 1 +RD_15 RS1_03 6 1 +RD_15 RS1_04 5 1 +RD_15 RS1_05 10 1 +RD_15 RS1_06 5 1 +RD_15 RS1_07 4 1 +RD_15 RS1_08 5 1 +RD_15 RS1_09 7 1 +RD_15 RS1_0a 6 1 +RD_15 RS1_0b 5 1 +RD_15 RS1_0c 8 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 2 1 +RD_15 RS1_0f 10 1 +RD_15 RS1_10 4 1 +RD_15 RS1_11 2 1 +RD_15 RS1_12 5 1 +RD_15 RS1_13 8 1 +RD_15 RS1_14 5 1 +RD_15 RS1_15 4 1 +RD_15 RS1_16 6 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 2 1 +RD_15 RS1_19 4 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 7 1 +RD_15 RS1_1c 6 1 +RD_15 RS1_1d 3 1 +RD_15 RS1_1e 7 1 +RD_15 RS1_1f 10 1 +RD_16 RS1_00 3 1 +RD_16 RS1_01 6 1 +RD_16 RS1_02 11 1 +RD_16 RS1_03 8 1 +RD_16 RS1_04 2 1 +RD_16 RS1_05 3 1 +RD_16 RS1_06 4 1 +RD_16 RS1_07 4 1 +RD_16 RS1_08 7 1 +RD_16 RS1_09 6 1 +RD_16 RS1_0a 8 1 +RD_16 RS1_0b 4 1 +RD_16 RS1_0c 5 1 +RD_16 RS1_0d 8 1 +RD_16 RS1_0e 8 1 +RD_16 RS1_0f 4 1 +RD_16 RS1_10 2 1 +RD_16 RS1_11 6 1 +RD_16 RS1_12 4 1 +RD_16 RS1_13 4 1 +RD_16 RS1_14 8 1 +RD_16 RS1_15 5 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 10 1 +RD_16 RS1_19 6 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 7 1 +RD_16 RS1_1c 9 1 +RD_16 RS1_1d 4 1 +RD_16 RS1_1e 8 1 +RD_16 RS1_1f 5 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 3 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 4 1 +RD_17 RS1_04 6 1 +RD_17 RS1_05 5 1 +RD_17 RS1_06 7 1 +RD_17 RS1_07 13 1 +RD_17 RS1_09 3 1 +RD_17 RS1_0a 3 1 +RD_17 RS1_0b 6 1 +RD_17 RS1_0c 5 1 +RD_17 RS1_0d 6 1 +RD_17 RS1_0e 4 1 +RD_17 RS1_0f 4 1 +RD_17 RS1_10 6 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 5 1 +RD_17 RS1_13 10 1 +RD_17 RS1_14 5 1 +RD_17 RS1_15 3 1 +RD_17 RS1_16 6 1 +RD_17 RS1_17 5 1 +RD_17 RS1_18 3 1 +RD_17 RS1_19 3 1 +RD_17 RS1_1a 5 1 +RD_17 RS1_1b 4 1 +RD_17 RS1_1c 8 1 +RD_17 RS1_1d 8 1 +RD_17 RS1_1e 9 1 +RD_17 RS1_1f 5 1 +RD_18 RS1_00 5 1 +RD_18 RS1_01 3 1 +RD_18 RS1_02 5 1 +RD_18 RS1_03 3 1 +RD_18 RS1_04 9 1 +RD_18 RS1_05 5 1 +RD_18 RS1_06 5 1 +RD_18 RS1_07 4 1 +RD_18 RS1_08 6 1 +RD_18 RS1_09 4 1 +RD_18 RS1_0a 5 1 +RD_18 RS1_0b 1 1 +RD_18 RS1_0c 7 1 +RD_18 RS1_0d 7 1 +RD_18 RS1_0e 7 1 +RD_18 RS1_0f 1 1 +RD_18 RS1_10 3 1 +RD_18 RS1_11 8 1 +RD_18 RS1_12 6 1 +RD_18 RS1_13 5 1 +RD_18 RS1_14 5 1 +RD_18 RS1_15 4 1 +RD_18 RS1_16 8 1 +RD_18 RS1_17 2 1 +RD_18 RS1_18 10 1 +RD_18 RS1_19 5 1 +RD_18 RS1_1a 2 1 +RD_18 RS1_1b 3 1 +RD_18 RS1_1c 4 1 +RD_18 RS1_1d 9 1 +RD_18 RS1_1e 12 1 +RD_18 RS1_1f 6 1 +RD_19 RS1_00 3 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 6 1 +RD_19 RS1_03 4 1 +RD_19 RS1_04 8 1 +RD_19 RS1_05 3 1 +RD_19 RS1_06 5 1 +RD_19 RS1_07 4 1 +RD_19 RS1_08 2 1 +RD_19 RS1_09 3 1 +RD_19 RS1_0a 1 1 +RD_19 RS1_0b 3 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 6 1 +RD_19 RS1_0e 4 1 +RD_19 RS1_0f 7 1 +RD_19 RS1_10 3 1 +RD_19 RS1_11 4 1 +RD_19 RS1_12 7 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 8 1 +RD_19 RS1_15 5 1 +RD_19 RS1_16 8 1 +RD_19 RS1_17 7 1 +RD_19 RS1_18 2 1 +RD_19 RS1_19 4 1 +RD_19 RS1_1a 7 1 +RD_19 RS1_1b 1 1 +RD_19 RS1_1c 2 1 +RD_19 RS1_1d 3 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 8 1 +RD_1a RS1_00 2 1 +RD_1a RS1_01 6 1 +RD_1a RS1_02 7 1 +RD_1a RS1_03 7 1 +RD_1a RS1_04 5 1 +RD_1a RS1_05 6 1 +RD_1a RS1_06 4 1 +RD_1a RS1_07 4 1 +RD_1a RS1_08 5 1 +RD_1a RS1_09 9 1 +RD_1a RS1_0a 4 1 +RD_1a RS1_0b 6 1 +RD_1a RS1_0c 7 1 +RD_1a RS1_0e 8 1 +RD_1a RS1_0f 3 1 +RD_1a RS1_10 2 1 +RD_1a RS1_11 3 1 +RD_1a RS1_12 2 1 +RD_1a RS1_13 8 1 +RD_1a RS1_14 6 1 +RD_1a RS1_15 8 1 +RD_1a RS1_16 4 1 +RD_1a RS1_17 7 1 +RD_1a RS1_18 5 1 +RD_1a RS1_19 4 1 +RD_1a RS1_1a 2 1 +RD_1a RS1_1b 12 1 +RD_1a RS1_1c 5 1 +RD_1a RS1_1d 2 1 +RD_1a RS1_1e 7 1 +RD_1a RS1_1f 8 1 +RD_1b RS1_00 5 1 +RD_1b RS1_01 4 1 +RD_1b RS1_02 1 1 +RD_1b RS1_03 7 1 +RD_1b RS1_04 2 1 +RD_1b RS1_05 7 1 +RD_1b RS1_06 4 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 4 1 +RD_1b RS1_09 6 1 +RD_1b RS1_0b 10 1 +RD_1b RS1_0c 3 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 5 1 +RD_1b RS1_0f 4 1 +RD_1b RS1_10 6 1 +RD_1b RS1_11 7 1 +RD_1b RS1_12 6 1 +RD_1b RS1_13 4 1 +RD_1b RS1_14 4 1 +RD_1b RS1_15 5 1 +RD_1b RS1_16 4 1 +RD_1b RS1_17 3 1 +RD_1b RS1_18 8 1 +RD_1b RS1_19 1 1 +RD_1b RS1_1a 4 1 +RD_1b RS1_1b 13 1 +RD_1b RS1_1c 3 1 +RD_1b RS1_1d 9 1 +RD_1b RS1_1e 5 1 +RD_1b RS1_1f 2 1 +RD_1c RS1_00 3 1 +RD_1c RS1_01 1 1 +RD_1c RS1_02 5 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 7 1 +RD_1c RS1_05 6 1 +RD_1c RS1_06 13 1 +RD_1c RS1_07 7 1 +RD_1c RS1_08 5 1 +RD_1c RS1_09 6 1 +RD_1c RS1_0a 7 1 +RD_1c RS1_0b 4 1 +RD_1c RS1_0c 7 1 +RD_1c RS1_0d 3 1 +RD_1c RS1_0e 2 1 +RD_1c RS1_0f 5 1 +RD_1c RS1_10 8 1 +RD_1c RS1_11 3 1 +RD_1c RS1_12 6 1 +RD_1c RS1_13 5 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 3 1 +RD_1c RS1_16 7 1 +RD_1c RS1_17 5 1 +RD_1c RS1_18 1 1 +RD_1c RS1_19 9 1 +RD_1c RS1_1a 5 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 3 1 +RD_1c RS1_1d 1 1 +RD_1c RS1_1e 4 1 +RD_1c RS1_1f 5 1 +RD_1d RS1_00 6 1 +RD_1d RS1_01 5 1 +RD_1d RS1_02 4 1 +RD_1d RS1_03 6 1 +RD_1d RS1_04 4 1 +RD_1d RS1_05 4 1 +RD_1d RS1_07 2 1 +RD_1d RS1_08 10 1 +RD_1d RS1_09 5 1 +RD_1d RS1_0a 4 1 +RD_1d RS1_0b 6 1 +RD_1d RS1_0c 3 1 +RD_1d RS1_0d 6 1 +RD_1d RS1_0e 4 1 +RD_1d RS1_0f 4 1 +RD_1d RS1_10 9 1 +RD_1d RS1_11 6 1 +RD_1d RS1_12 3 1 +RD_1d RS1_13 8 1 +RD_1d RS1_14 9 1 +RD_1d RS1_15 9 1 +RD_1d RS1_16 6 1 +RD_1d RS1_17 6 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 4 1 +RD_1d RS1_1a 3 1 +RD_1d RS1_1b 5 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 6 1 +RD_1d RS1_1e 7 1 +RD_1d RS1_1f 3 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 5 1 +RD_1e RS1_03 4 1 +RD_1e RS1_04 8 1 +RD_1e RS1_05 2 1 +RD_1e RS1_06 6 1 +RD_1e RS1_07 6 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 5 1 +RD_1e RS1_0a 7 1 +RD_1e RS1_0b 6 1 +RD_1e RS1_0c 6 1 +RD_1e RS1_0d 6 1 +RD_1e RS1_0e 7 1 +RD_1e RS1_0f 6 1 +RD_1e RS1_10 11 1 +RD_1e RS1_11 1 1 +RD_1e RS1_12 10 1 +RD_1e RS1_13 4 1 +RD_1e RS1_14 5 1 +RD_1e RS1_15 7 1 +RD_1e RS1_16 7 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 5 1 +RD_1e RS1_19 6 1 +RD_1e RS1_1a 5 1 +RD_1e RS1_1b 6 1 +RD_1e RS1_1c 4 1 +RD_1e RS1_1d 9 1 +RD_1e RS1_1e 4 1 +RD_1e RS1_1f 8 1 +RD_1f RS1_00 2 1 +RD_1f RS1_01 12 1 +RD_1f RS1_02 4 1 +RD_1f RS1_03 6 1 +RD_1f RS1_04 2 1 +RD_1f RS1_05 6 1 +RD_1f RS1_06 5 1 +RD_1f RS1_07 8 1 +RD_1f RS1_08 2 1 +RD_1f RS1_09 2 1 +RD_1f RS1_0a 5 1 +RD_1f RS1_0b 6 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 4 1 +RD_1f RS1_0e 6 1 +RD_1f RS1_0f 7 1 +RD_1f RS1_10 3 1 +RD_1f RS1_11 4 1 +RD_1f RS1_12 2 1 +RD_1f RS1_13 11 1 +RD_1f RS1_14 9 1 +RD_1f RS1_15 6 1 +RD_1f RS1_16 11 1 +RD_1f RS1_17 4 1 +RD_1f RS1_18 8 1 +RD_1f RS1_19 6 1 +RD_1f RS1_1a 6 1 +RD_1f RS1_1b 5 1 +RD_1f RS1_1c 6 1 +RD_1f RS1_1d 1 1 +RD_1f RS1_1e 2 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 3 1021 99.71 3 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_00] [RS2_0d] 0 1 1 +[RD_06] [RS2_19] 0 1 1 +[RD_0e] [RS2_06] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 9 1 +RD_00 RS2_01 2 1 +RD_00 RS2_02 10 1 +RD_00 RS2_03 5 1 +RD_00 RS2_04 5 1 +RD_00 RS2_05 7 1 +RD_00 RS2_06 3 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 5 1 +RD_00 RS2_09 8 1 +RD_00 RS2_0a 10 1 +RD_00 RS2_0b 1 1 +RD_00 RS2_0c 3 1 +RD_00 RS2_0e 8 1 +RD_00 RS2_0f 7 1 +RD_00 RS2_10 3 1 +RD_00 RS2_11 8 1 +RD_00 RS2_12 3 1 +RD_00 RS2_13 4 1 +RD_00 RS2_14 3 1 +RD_00 RS2_15 5 1 +RD_00 RS2_16 4 1 +RD_00 RS2_17 7 1 +RD_00 RS2_18 3 1 +RD_00 RS2_19 5 1 +RD_00 RS2_1a 11 1 +RD_00 RS2_1b 4 1 +RD_00 RS2_1c 8 1 +RD_00 RS2_1d 2 1 +RD_00 RS2_1e 3 1 +RD_00 RS2_1f 6 1 +RD_01 RS2_00 7 1 +RD_01 RS2_01 8 1 +RD_01 RS2_02 5 1 +RD_01 RS2_03 2 1 +RD_01 RS2_04 4 1 +RD_01 RS2_05 5 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 8 1 +RD_01 RS2_08 4 1 +RD_01 RS2_09 4 1 +RD_01 RS2_0a 5 1 +RD_01 RS2_0b 3 1 +RD_01 RS2_0c 3 1 +RD_01 RS2_0d 10 1 +RD_01 RS2_0e 7 1 +RD_01 RS2_0f 7 1 +RD_01 RS2_10 7 1 +RD_01 RS2_11 3 1 +RD_01 RS2_12 3 1 +RD_01 RS2_13 4 1 +RD_01 RS2_14 6 1 +RD_01 RS2_15 6 1 +RD_01 RS2_16 7 1 +RD_01 RS2_17 6 1 +RD_01 RS2_18 5 1 +RD_01 RS2_19 5 1 +RD_01 RS2_1a 9 1 +RD_01 RS2_1b 5 1 +RD_01 RS2_1c 5 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 8 1 +RD_01 RS2_1f 6 1 +RD_02 RS2_00 6 1 +RD_02 RS2_01 7 1 +RD_02 RS2_02 9 1 +RD_02 RS2_03 6 1 +RD_02 RS2_04 3 1 +RD_02 RS2_05 3 1 +RD_02 RS2_06 4 1 +RD_02 RS2_07 1 1 +RD_02 RS2_08 3 1 +RD_02 RS2_09 1 1 +RD_02 RS2_0a 3 1 +RD_02 RS2_0b 4 1 +RD_02 RS2_0c 2 1 +RD_02 RS2_0d 4 1 +RD_02 RS2_0e 3 1 +RD_02 RS2_0f 8 1 +RD_02 RS2_10 7 1 +RD_02 RS2_11 5 1 +RD_02 RS2_12 7 1 +RD_02 RS2_13 2 1 +RD_02 RS2_14 3 1 +RD_02 RS2_15 6 1 +RD_02 RS2_16 10 1 +RD_02 RS2_17 8 1 +RD_02 RS2_18 8 1 +RD_02 RS2_19 2 1 +RD_02 RS2_1a 3 1 +RD_02 RS2_1b 10 1 +RD_02 RS2_1c 6 1 +RD_02 RS2_1d 4 1 +RD_02 RS2_1e 9 1 +RD_02 RS2_1f 4 1 +RD_03 RS2_00 1 1 +RD_03 RS2_01 4 1 +RD_03 RS2_02 5 1 +RD_03 RS2_03 4 1 +RD_03 RS2_04 7 1 +RD_03 RS2_05 5 1 +RD_03 RS2_06 6 1 +RD_03 RS2_07 4 1 +RD_03 RS2_08 5 1 +RD_03 RS2_09 4 1 +RD_03 RS2_0a 3 1 +RD_03 RS2_0b 5 1 +RD_03 RS2_0c 4 1 +RD_03 RS2_0d 12 1 +RD_03 RS2_0e 7 1 +RD_03 RS2_0f 2 1 +RD_03 RS2_10 7 1 +RD_03 RS2_11 7 1 +RD_03 RS2_12 4 1 +RD_03 RS2_13 10 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 2 1 +RD_03 RS2_16 8 1 +RD_03 RS2_17 11 1 +RD_03 RS2_18 6 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1a 5 1 +RD_03 RS2_1b 4 1 +RD_03 RS2_1c 8 1 +RD_03 RS2_1d 3 1 +RD_03 RS2_1e 1 1 +RD_03 RS2_1f 3 1 +RD_04 RS2_00 9 1 +RD_04 RS2_01 4 1 +RD_04 RS2_02 3 1 +RD_04 RS2_03 5 1 +RD_04 RS2_04 5 1 +RD_04 RS2_05 9 1 +RD_04 RS2_06 12 1 +RD_04 RS2_07 4 1 +RD_04 RS2_08 2 1 +RD_04 RS2_09 2 1 +RD_04 RS2_0a 5 1 +RD_04 RS2_0b 9 1 +RD_04 RS2_0c 6 1 +RD_04 RS2_0d 4 1 +RD_04 RS2_0e 4 1 +RD_04 RS2_0f 8 1 +RD_04 RS2_10 5 1 +RD_04 RS2_11 4 1 +RD_04 RS2_12 8 1 +RD_04 RS2_13 11 1 +RD_04 RS2_14 6 1 +RD_04 RS2_15 3 1 +RD_04 RS2_16 6 1 +RD_04 RS2_17 5 1 +RD_04 RS2_18 7 1 +RD_04 RS2_19 8 1 +RD_04 RS2_1a 3 1 +RD_04 RS2_1b 5 1 +RD_04 RS2_1c 8 1 +RD_04 RS2_1d 4 1 +RD_04 RS2_1e 3 1 +RD_04 RS2_1f 3 1 +RD_05 RS2_00 8 1 +RD_05 RS2_01 7 1 +RD_05 RS2_02 3 1 +RD_05 RS2_03 3 1 +RD_05 RS2_04 2 1 +RD_05 RS2_05 7 1 +RD_05 RS2_06 8 1 +RD_05 RS2_07 5 1 +RD_05 RS2_08 4 1 +RD_05 RS2_09 2 1 +RD_05 RS2_0a 4 1 +RD_05 RS2_0b 2 1 +RD_05 RS2_0c 1 1 +RD_05 RS2_0d 3 1 +RD_05 RS2_0e 5 1 +RD_05 RS2_0f 4 1 +RD_05 RS2_10 6 1 +RD_05 RS2_11 1 1 +RD_05 RS2_12 3 1 +RD_05 RS2_13 7 1 +RD_05 RS2_14 8 1 +RD_05 RS2_15 6 1 +RD_05 RS2_16 6 1 +RD_05 RS2_17 5 1 +RD_05 RS2_18 6 1 +RD_05 RS2_19 4 1 +RD_05 RS2_1a 3 1 +RD_05 RS2_1b 2 1 +RD_05 RS2_1c 5 1 +RD_05 RS2_1d 6 1 +RD_05 RS2_1e 4 1 +RD_05 RS2_1f 12 1 +RD_06 RS2_00 9 1 +RD_06 RS2_01 4 1 +RD_06 RS2_02 6 1 +RD_06 RS2_03 6 1 +RD_06 RS2_04 7 1 +RD_06 RS2_05 4 1 +RD_06 RS2_06 11 1 +RD_06 RS2_07 4 1 +RD_06 RS2_08 5 1 +RD_06 RS2_09 10 1 +RD_06 RS2_0a 3 1 +RD_06 RS2_0b 4 1 +RD_06 RS2_0c 1 1 +RD_06 RS2_0d 7 1 +RD_06 RS2_0e 3 1 +RD_06 RS2_0f 4 1 +RD_06 RS2_10 9 1 +RD_06 RS2_11 2 1 +RD_06 RS2_12 5 1 +RD_06 RS2_13 3 1 +RD_06 RS2_14 8 1 +RD_06 RS2_15 3 1 +RD_06 RS2_16 5 1 +RD_06 RS2_17 14 1 +RD_06 RS2_18 7 1 +RD_06 RS2_1a 8 1 +RD_06 RS2_1b 2 1 +RD_06 RS2_1c 1 1 +RD_06 RS2_1d 7 1 +RD_06 RS2_1e 8 1 +RD_06 RS2_1f 5 1 +RD_07 RS2_00 1 1 +RD_07 RS2_01 7 1 +RD_07 RS2_02 13 1 +RD_07 RS2_03 3 1 +RD_07 RS2_04 2 1 +RD_07 RS2_05 5 1 +RD_07 RS2_06 6 1 +RD_07 RS2_07 2 1 +RD_07 RS2_08 2 1 +RD_07 RS2_09 3 1 +RD_07 RS2_0a 5 1 +RD_07 RS2_0b 5 1 +RD_07 RS2_0c 6 1 +RD_07 RS2_0d 7 1 +RD_07 RS2_0e 7 1 +RD_07 RS2_0f 3 1 +RD_07 RS2_10 4 1 +RD_07 RS2_11 6 1 +RD_07 RS2_12 3 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 8 1 +RD_07 RS2_15 5 1 +RD_07 RS2_16 2 1 +RD_07 RS2_17 11 1 +RD_07 RS2_18 8 1 +RD_07 RS2_19 8 1 +RD_07 RS2_1a 7 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 2 1 +RD_07 RS2_1d 1 1 +RD_07 RS2_1e 8 1 +RD_07 RS2_1f 6 1 +RD_08 RS2_00 2 1 +RD_08 RS2_01 4 1 +RD_08 RS2_02 7 1 +RD_08 RS2_03 5 1 +RD_08 RS2_04 7 1 +RD_08 RS2_05 5 1 +RD_08 RS2_06 3 1 +RD_08 RS2_07 4 1 +RD_08 RS2_08 5 1 +RD_08 RS2_09 6 1 +RD_08 RS2_0a 9 1 +RD_08 RS2_0b 3 1 +RD_08 RS2_0c 4 1 +RD_08 RS2_0d 5 1 +RD_08 RS2_0e 3 1 +RD_08 RS2_0f 7 1 +RD_08 RS2_10 5 1 +RD_08 RS2_11 7 1 +RD_08 RS2_12 1 1 +RD_08 RS2_13 7 1 +RD_08 RS2_14 4 1 +RD_08 RS2_15 3 1 +RD_08 RS2_16 3 1 +RD_08 RS2_17 2 1 +RD_08 RS2_18 2 1 +RD_08 RS2_19 7 1 +RD_08 RS2_1a 7 1 +RD_08 RS2_1b 5 1 +RD_08 RS2_1c 8 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 2 1 +RD_08 RS2_1f 3 1 +RD_09 RS2_00 5 1 +RD_09 RS2_01 5 1 +RD_09 RS2_02 5 1 +RD_09 RS2_03 6 1 +RD_09 RS2_04 8 1 +RD_09 RS2_05 4 1 +RD_09 RS2_06 4 1 +RD_09 RS2_07 4 1 +RD_09 RS2_08 5 1 +RD_09 RS2_09 8 1 +RD_09 RS2_0a 7 1 +RD_09 RS2_0b 7 1 +RD_09 RS2_0c 7 1 +RD_09 RS2_0d 2 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 3 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 4 1 +RD_09 RS2_12 9 1 +RD_09 RS2_13 14 1 +RD_09 RS2_14 3 1 +RD_09 RS2_15 6 1 +RD_09 RS2_16 7 1 +RD_09 RS2_17 4 1 +RD_09 RS2_18 5 1 +RD_09 RS2_19 5 1 +RD_09 RS2_1a 3 1 +RD_09 RS2_1b 4 1 +RD_09 RS2_1c 4 1 +RD_09 RS2_1d 7 1 +RD_09 RS2_1e 5 1 +RD_09 RS2_1f 7 1 +RD_0a RS2_00 7 1 +RD_0a RS2_01 2 1 +RD_0a RS2_02 1 1 +RD_0a RS2_03 2 1 +RD_0a RS2_04 4 1 +RD_0a RS2_05 6 1 +RD_0a RS2_06 4 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 5 1 +RD_0a RS2_09 6 1 +RD_0a RS2_0a 3 1 +RD_0a RS2_0b 12 1 +RD_0a RS2_0c 4 1 +RD_0a RS2_0d 14 1 +RD_0a RS2_0e 8 1 +RD_0a RS2_0f 5 1 +RD_0a RS2_10 4 1 +RD_0a RS2_11 7 1 +RD_0a RS2_12 6 1 +RD_0a RS2_13 3 1 +RD_0a RS2_14 1 1 +RD_0a RS2_15 1 1 +RD_0a RS2_16 8 1 +RD_0a RS2_17 13 1 +RD_0a RS2_18 4 1 +RD_0a RS2_19 6 1 +RD_0a RS2_1a 9 1 +RD_0a RS2_1b 2 1 +RD_0a RS2_1c 3 1 +RD_0a RS2_1d 6 1 +RD_0a RS2_1e 6 1 +RD_0a RS2_1f 4 1 +RD_0b RS2_00 1 1 +RD_0b RS2_01 2 1 +RD_0b RS2_02 8 1 +RD_0b RS2_03 6 1 +RD_0b RS2_04 14 1 +RD_0b RS2_05 10 1 +RD_0b RS2_06 3 1 +RD_0b RS2_07 2 1 +RD_0b RS2_08 2 1 +RD_0b RS2_09 6 1 +RD_0b RS2_0a 6 1 +RD_0b RS2_0b 1 1 +RD_0b RS2_0c 5 1 +RD_0b RS2_0d 4 1 +RD_0b RS2_0e 6 1 +RD_0b RS2_0f 9 1 +RD_0b RS2_10 2 1 +RD_0b RS2_11 7 1 +RD_0b RS2_12 4 1 +RD_0b RS2_13 5 1 +RD_0b RS2_14 4 1 +RD_0b RS2_15 7 1 +RD_0b RS2_16 9 1 +RD_0b RS2_17 3 1 +RD_0b RS2_18 7 1 +RD_0b RS2_19 5 1 +RD_0b RS2_1a 6 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 8 1 +RD_0b RS2_1d 5 1 +RD_0b RS2_1e 3 1 +RD_0b RS2_1f 11 1 +RD_0c RS2_00 4 1 +RD_0c RS2_01 3 1 +RD_0c RS2_02 2 1 +RD_0c RS2_03 3 1 +RD_0c RS2_04 8 1 +RD_0c RS2_05 6 1 +RD_0c RS2_06 5 1 +RD_0c RS2_07 2 1 +RD_0c RS2_08 6 1 +RD_0c RS2_09 1 1 +RD_0c RS2_0a 2 1 +RD_0c RS2_0b 4 1 +RD_0c RS2_0c 5 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 10 1 +RD_0c RS2_0f 4 1 +RD_0c RS2_10 5 1 +RD_0c RS2_11 6 1 +RD_0c RS2_12 3 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 2 1 +RD_0c RS2_15 4 1 +RD_0c RS2_16 5 1 +RD_0c RS2_17 4 1 +RD_0c RS2_18 5 1 +RD_0c RS2_19 5 1 +RD_0c RS2_1a 7 1 +RD_0c RS2_1b 6 1 +RD_0c RS2_1c 4 1 +RD_0c RS2_1d 3 1 +RD_0c RS2_1e 6 1 +RD_0c RS2_1f 6 1 +RD_0d RS2_00 6 1 +RD_0d RS2_01 7 1 +RD_0d RS2_02 6 1 +RD_0d RS2_03 3 1 +RD_0d RS2_04 2 1 +RD_0d RS2_05 8 1 +RD_0d RS2_06 6 1 +RD_0d RS2_07 3 1 +RD_0d RS2_08 3 1 +RD_0d RS2_09 3 1 +RD_0d RS2_0a 4 1 +RD_0d RS2_0b 2 1 +RD_0d RS2_0c 9 1 +RD_0d RS2_0d 5 1 +RD_0d RS2_0e 6 1 +RD_0d RS2_0f 2 1 +RD_0d RS2_10 7 1 +RD_0d RS2_11 7 1 +RD_0d RS2_12 3 1 +RD_0d RS2_13 5 1 +RD_0d RS2_14 10 1 +RD_0d RS2_15 2 1 +RD_0d RS2_16 5 1 +RD_0d RS2_17 11 1 +RD_0d RS2_18 4 1 +RD_0d RS2_19 9 1 +RD_0d RS2_1a 3 1 +RD_0d RS2_1b 5 1 +RD_0d RS2_1c 5 1 +RD_0d RS2_1d 5 1 +RD_0d RS2_1e 12 1 +RD_0d RS2_1f 1 1 +RD_0e RS2_00 6 1 +RD_0e RS2_01 4 1 +RD_0e RS2_02 2 1 +RD_0e RS2_03 4 1 +RD_0e RS2_04 10 1 +RD_0e RS2_05 6 1 +RD_0e RS2_07 1 1 +RD_0e RS2_08 3 1 +RD_0e RS2_09 3 1 +RD_0e RS2_0a 3 1 +RD_0e RS2_0b 2 1 +RD_0e RS2_0c 11 1 +RD_0e RS2_0d 8 1 +RD_0e RS2_0e 5 1 +RD_0e RS2_0f 2 1 +RD_0e RS2_10 4 1 +RD_0e RS2_11 7 1 +RD_0e RS2_12 5 1 +RD_0e RS2_13 4 1 +RD_0e RS2_14 2 1 +RD_0e RS2_15 8 1 +RD_0e RS2_16 5 1 +RD_0e RS2_17 8 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 3 1 +RD_0e RS2_1a 6 1 +RD_0e RS2_1b 6 1 +RD_0e RS2_1c 5 1 +RD_0e RS2_1d 8 1 +RD_0e RS2_1e 3 1 +RD_0e RS2_1f 4 1 +RD_0f RS2_00 5 1 +RD_0f RS2_01 6 1 +RD_0f RS2_02 5 1 +RD_0f RS2_03 6 1 +RD_0f RS2_04 4 1 +RD_0f RS2_05 10 1 +RD_0f RS2_06 7 1 +RD_0f RS2_07 4 1 +RD_0f RS2_08 9 1 +RD_0f RS2_09 8 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 6 1 +RD_0f RS2_0c 8 1 +RD_0f RS2_0d 5 1 +RD_0f RS2_0e 3 1 +RD_0f RS2_0f 2 1 +RD_0f RS2_10 6 1 +RD_0f RS2_11 4 1 +RD_0f RS2_12 1 1 +RD_0f RS2_13 4 1 +RD_0f RS2_14 5 1 +RD_0f RS2_15 7 1 +RD_0f RS2_16 1 1 +RD_0f RS2_17 2 1 +RD_0f RS2_18 5 1 +RD_0f RS2_19 4 1 +RD_0f RS2_1a 9 1 +RD_0f RS2_1b 5 1 +RD_0f RS2_1c 3 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 3 1 +RD_0f RS2_1f 8 1 +RD_10 RS2_00 8 1 +RD_10 RS2_01 2 1 +RD_10 RS2_02 4 1 +RD_10 RS2_03 8 1 +RD_10 RS2_04 6 1 +RD_10 RS2_05 5 1 +RD_10 RS2_06 7 1 +RD_10 RS2_07 2 1 +RD_10 RS2_08 4 1 +RD_10 RS2_09 7 1 +RD_10 RS2_0a 3 1 +RD_10 RS2_0b 6 1 +RD_10 RS2_0c 5 1 +RD_10 RS2_0d 3 1 +RD_10 RS2_0e 5 1 +RD_10 RS2_0f 6 1 +RD_10 RS2_10 6 1 +RD_10 RS2_11 4 1 +RD_10 RS2_12 2 1 +RD_10 RS2_13 2 1 +RD_10 RS2_14 6 1 +RD_10 RS2_15 4 1 +RD_10 RS2_16 3 1 +RD_10 RS2_17 5 1 +RD_10 RS2_18 7 1 +RD_10 RS2_19 3 1 +RD_10 RS2_1a 6 1 +RD_10 RS2_1b 7 1 +RD_10 RS2_1c 3 1 +RD_10 RS2_1d 8 1 +RD_10 RS2_1e 1 1 +RD_10 RS2_1f 5 1 +RD_11 RS2_00 6 1 +RD_11 RS2_01 4 1 +RD_11 RS2_02 6 1 +RD_11 RS2_03 6 1 +RD_11 RS2_04 4 1 +RD_11 RS2_05 7 1 +RD_11 RS2_06 2 1 +RD_11 RS2_07 7 1 +RD_11 RS2_08 5 1 +RD_11 RS2_09 5 1 +RD_11 RS2_0a 4 1 +RD_11 RS2_0b 6 1 +RD_11 RS2_0c 5 1 +RD_11 RS2_0d 6 1 +RD_11 RS2_0e 6 1 +RD_11 RS2_0f 6 1 +RD_11 RS2_10 4 1 +RD_11 RS2_11 9 1 +RD_11 RS2_12 5 1 +RD_11 RS2_13 9 1 +RD_11 RS2_14 10 1 +RD_11 RS2_15 4 1 +RD_11 RS2_16 5 1 +RD_11 RS2_17 8 1 +RD_11 RS2_18 12 1 +RD_11 RS2_19 4 1 +RD_11 RS2_1a 4 1 +RD_11 RS2_1b 10 1 +RD_11 RS2_1c 9 1 +RD_11 RS2_1d 3 1 +RD_11 RS2_1e 6 1 +RD_11 RS2_1f 3 1 +RD_12 RS2_00 4 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 7 1 +RD_12 RS2_03 8 1 +RD_12 RS2_04 3 1 +RD_12 RS2_05 3 1 +RD_12 RS2_06 2 1 +RD_12 RS2_07 3 1 +RD_12 RS2_08 6 1 +RD_12 RS2_09 3 1 +RD_12 RS2_0a 5 1 +RD_12 RS2_0b 4 1 +RD_12 RS2_0c 1 1 +RD_12 RS2_0d 4 1 +RD_12 RS2_0e 1 1 +RD_12 RS2_0f 3 1 +RD_12 RS2_10 5 1 +RD_12 RS2_11 4 1 +RD_12 RS2_12 2 1 +RD_12 RS2_13 3 1 +RD_12 RS2_14 2 1 +RD_12 RS2_15 5 1 +RD_12 RS2_16 2 1 +RD_12 RS2_17 3 1 +RD_12 RS2_18 4 1 +RD_12 RS2_19 8 1 +RD_12 RS2_1a 2 1 +RD_12 RS2_1b 6 1 +RD_12 RS2_1c 1 1 +RD_12 RS2_1d 10 1 +RD_12 RS2_1e 3 1 +RD_12 RS2_1f 10 1 +RD_13 RS2_00 4 1 +RD_13 RS2_01 4 1 +RD_13 RS2_02 9 1 +RD_13 RS2_03 9 1 +RD_13 RS2_04 3 1 +RD_13 RS2_05 5 1 +RD_13 RS2_06 8 1 +RD_13 RS2_07 8 1 +RD_13 RS2_08 5 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 16 1 +RD_13 RS2_0b 7 1 +RD_13 RS2_0c 9 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 3 1 +RD_13 RS2_0f 5 1 +RD_13 RS2_10 4 1 +RD_13 RS2_11 8 1 +RD_13 RS2_12 7 1 +RD_13 RS2_13 4 1 +RD_13 RS2_14 4 1 +RD_13 RS2_15 6 1 +RD_13 RS2_16 4 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 5 1 +RD_13 RS2_19 6 1 +RD_13 RS2_1a 6 1 +RD_13 RS2_1b 4 1 +RD_13 RS2_1c 5 1 +RD_13 RS2_1d 3 1 +RD_13 RS2_1e 5 1 +RD_13 RS2_1f 3 1 +RD_14 RS2_00 11 1 +RD_14 RS2_01 4 1 +RD_14 RS2_02 5 1 +RD_14 RS2_03 5 1 +RD_14 RS2_04 3 1 +RD_14 RS2_05 8 1 +RD_14 RS2_06 2 1 +RD_14 RS2_07 13 1 +RD_14 RS2_08 10 1 +RD_14 RS2_09 3 1 +RD_14 RS2_0a 3 1 +RD_14 RS2_0b 4 1 +RD_14 RS2_0c 8 1 +RD_14 RS2_0d 8 1 +RD_14 RS2_0e 9 1 +RD_14 RS2_0f 5 1 +RD_14 RS2_10 4 1 +RD_14 RS2_11 9 1 +RD_14 RS2_12 2 1 +RD_14 RS2_13 4 1 +RD_14 RS2_14 5 1 +RD_14 RS2_15 4 1 +RD_14 RS2_16 4 1 +RD_14 RS2_17 8 1 +RD_14 RS2_18 6 1 +RD_14 RS2_19 3 1 +RD_14 RS2_1a 10 1 +RD_14 RS2_1b 5 1 +RD_14 RS2_1c 5 1 +RD_14 RS2_1d 5 1 +RD_14 RS2_1e 6 1 +RD_14 RS2_1f 9 1 +RD_15 RS2_00 7 1 +RD_15 RS2_01 3 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 1 1 +RD_15 RS2_04 7 1 +RD_15 RS2_05 2 1 +RD_15 RS2_06 3 1 +RD_15 RS2_07 7 1 +RD_15 RS2_08 2 1 +RD_15 RS2_09 3 1 +RD_15 RS2_0a 7 1 +RD_15 RS2_0b 3 1 +RD_15 RS2_0c 6 1 +RD_15 RS2_0d 8 1 +RD_15 RS2_0e 9 1 +RD_15 RS2_0f 9 1 +RD_15 RS2_10 7 1 +RD_15 RS2_11 8 1 +RD_15 RS2_12 3 1 +RD_15 RS2_13 7 1 +RD_15 RS2_14 8 1 +RD_15 RS2_15 4 1 +RD_15 RS2_16 7 1 +RD_15 RS2_17 5 1 +RD_15 RS2_18 5 1 +RD_15 RS2_19 3 1 +RD_15 RS2_1a 4 1 +RD_15 RS2_1b 6 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 11 1 +RD_15 RS2_1e 4 1 +RD_15 RS2_1f 7 1 +RD_16 RS2_00 5 1 +RD_16 RS2_01 5 1 +RD_16 RS2_02 8 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 4 1 +RD_16 RS2_05 3 1 +RD_16 RS2_06 8 1 +RD_16 RS2_07 10 1 +RD_16 RS2_08 3 1 +RD_16 RS2_09 3 1 +RD_16 RS2_0a 7 1 +RD_16 RS2_0b 6 1 +RD_16 RS2_0c 6 1 +RD_16 RS2_0d 6 1 +RD_16 RS2_0e 10 1 +RD_16 RS2_0f 1 1 +RD_16 RS2_10 8 1 +RD_16 RS2_11 6 1 +RD_16 RS2_12 5 1 +RD_16 RS2_13 6 1 +RD_16 RS2_14 3 1 +RD_16 RS2_15 4 1 +RD_16 RS2_16 4 1 +RD_16 RS2_17 10 1 +RD_16 RS2_18 7 1 +RD_16 RS2_19 4 1 +RD_16 RS2_1a 6 1 +RD_16 RS2_1b 5 1 +RD_16 RS2_1c 6 1 +RD_16 RS2_1d 8 1 +RD_16 RS2_1e 5 1 +RD_16 RS2_1f 4 1 +RD_17 RS2_00 9 1 +RD_17 RS2_01 6 1 +RD_17 RS2_02 4 1 +RD_17 RS2_03 9 1 +RD_17 RS2_04 2 1 +RD_17 RS2_05 4 1 +RD_17 RS2_06 2 1 +RD_17 RS2_07 2 1 +RD_17 RS2_08 4 1 +RD_17 RS2_09 11 1 +RD_17 RS2_0a 8 1 +RD_17 RS2_0b 5 1 +RD_17 RS2_0c 1 1 +RD_17 RS2_0d 5 1 +RD_17 RS2_0e 7 1 +RD_17 RS2_0f 5 1 +RD_17 RS2_10 6 1 +RD_17 RS2_11 9 1 +RD_17 RS2_12 5 1 +RD_17 RS2_13 3 1 +RD_17 RS2_14 4 1 +RD_17 RS2_15 7 1 +RD_17 RS2_16 2 1 +RD_17 RS2_17 7 1 +RD_17 RS2_18 11 1 +RD_17 RS2_19 3 1 +RD_17 RS2_1a 3 1 +RD_17 RS2_1b 7 1 +RD_17 RS2_1c 2 1 +RD_17 RS2_1d 6 1 +RD_17 RS2_1e 6 1 +RD_17 RS2_1f 5 1 +RD_18 RS2_00 6 1 +RD_18 RS2_01 6 1 +RD_18 RS2_02 3 1 +RD_18 RS2_03 8 1 +RD_18 RS2_04 4 1 +RD_18 RS2_05 7 1 +RD_18 RS2_06 5 1 +RD_18 RS2_07 5 1 +RD_18 RS2_08 12 1 +RD_18 RS2_09 6 1 +RD_18 RS2_0a 3 1 +RD_18 RS2_0b 3 1 +RD_18 RS2_0c 6 1 +RD_18 RS2_0d 5 1 +RD_18 RS2_0e 6 1 +RD_18 RS2_0f 2 1 +RD_18 RS2_10 3 1 +RD_18 RS2_11 2 1 +RD_18 RS2_12 5 1 +RD_18 RS2_13 6 1 +RD_18 RS2_14 2 1 +RD_18 RS2_15 8 1 +RD_18 RS2_16 3 1 +RD_18 RS2_17 9 1 +RD_18 RS2_18 7 1 +RD_18 RS2_19 6 1 +RD_18 RS2_1a 3 1 +RD_18 RS2_1b 4 1 +RD_18 RS2_1c 5 1 +RD_18 RS2_1d 5 1 +RD_18 RS2_1e 4 1 +RD_18 RS2_1f 10 1 +RD_19 RS2_00 3 1 +RD_19 RS2_01 6 1 +RD_19 RS2_02 7 1 +RD_19 RS2_03 4 1 +RD_19 RS2_04 6 1 +RD_19 RS2_05 5 1 +RD_19 RS2_06 4 1 +RD_19 RS2_07 5 1 +RD_19 RS2_08 3 1 +RD_19 RS2_09 1 1 +RD_19 RS2_0a 2 1 +RD_19 RS2_0b 1 1 +RD_19 RS2_0c 1 1 +RD_19 RS2_0d 5 1 +RD_19 RS2_0e 7 1 +RD_19 RS2_0f 1 1 +RD_19 RS2_10 8 1 +RD_19 RS2_11 8 1 +RD_19 RS2_12 3 1 +RD_19 RS2_13 7 1 +RD_19 RS2_14 5 1 +RD_19 RS2_15 3 1 +RD_19 RS2_16 4 1 +RD_19 RS2_17 5 1 +RD_19 RS2_18 5 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 2 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 4 1 +RD_19 RS2_1d 6 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 9 1 +RD_1a RS2_00 2 1 +RD_1a RS2_01 3 1 +RD_1a RS2_02 3 1 +RD_1a RS2_03 10 1 +RD_1a RS2_04 6 1 +RD_1a RS2_05 4 1 +RD_1a RS2_06 3 1 +RD_1a RS2_07 7 1 +RD_1a RS2_08 11 1 +RD_1a RS2_09 3 1 +RD_1a RS2_0a 2 1 +RD_1a RS2_0b 2 1 +RD_1a RS2_0c 6 1 +RD_1a RS2_0d 7 1 +RD_1a RS2_0e 14 1 +RD_1a RS2_0f 5 1 +RD_1a RS2_10 4 1 +RD_1a RS2_11 7 1 +RD_1a RS2_12 3 1 +RD_1a RS2_13 3 1 +RD_1a RS2_14 6 1 +RD_1a RS2_15 2 1 +RD_1a RS2_16 6 1 +RD_1a RS2_17 14 1 +RD_1a RS2_18 1 1 +RD_1a RS2_19 3 1 +RD_1a RS2_1a 7 1 +RD_1a RS2_1b 8 1 +RD_1a RS2_1c 2 1 +RD_1a RS2_1d 3 1 +RD_1a RS2_1e 4 1 +RD_1a RS2_1f 7 1 +RD_1b RS2_00 4 1 +RD_1b RS2_01 6 1 +RD_1b RS2_02 8 1 +RD_1b RS2_03 7 1 +RD_1b RS2_04 4 1 +RD_1b RS2_05 4 1 +RD_1b RS2_06 7 1 +RD_1b RS2_07 5 1 +RD_1b RS2_08 1 1 +RD_1b RS2_09 2 1 +RD_1b RS2_0a 8 1 +RD_1b RS2_0b 5 1 +RD_1b RS2_0c 1 1 +RD_1b RS2_0d 3 1 +RD_1b RS2_0e 1 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 6 1 +RD_1b RS2_11 5 1 +RD_1b RS2_12 4 1 +RD_1b RS2_13 10 1 +RD_1b RS2_14 6 1 +RD_1b RS2_15 3 1 +RD_1b RS2_16 4 1 +RD_1b RS2_17 1 1 +RD_1b RS2_18 2 1 +RD_1b RS2_19 6 1 +RD_1b RS2_1a 4 1 +RD_1b RS2_1b 4 1 +RD_1b RS2_1c 5 1 +RD_1b RS2_1d 8 1 +RD_1b RS2_1e 10 1 +RD_1b RS2_1f 6 1 +RD_1c RS2_00 5 1 +RD_1c RS2_01 2 1 +RD_1c RS2_02 6 1 +RD_1c RS2_03 9 1 +RD_1c RS2_04 10 1 +RD_1c RS2_05 10 1 +RD_1c RS2_06 1 1 +RD_1c RS2_07 3 1 +RD_1c RS2_08 8 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 2 1 +RD_1c RS2_0b 6 1 +RD_1c RS2_0c 3 1 +RD_1c RS2_0d 3 1 +RD_1c RS2_0e 3 1 +RD_1c RS2_0f 7 1 +RD_1c RS2_10 6 1 +RD_1c RS2_11 7 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 3 1 +RD_1c RS2_14 2 1 +RD_1c RS2_15 3 1 +RD_1c RS2_16 9 1 +RD_1c RS2_17 5 1 +RD_1c RS2_18 6 1 +RD_1c RS2_19 4 1 +RD_1c RS2_1a 2 1 +RD_1c RS2_1b 5 1 +RD_1c RS2_1c 9 1 +RD_1c RS2_1d 3 1 +RD_1c RS2_1e 2 1 +RD_1c RS2_1f 8 1 +RD_1d RS2_00 6 1 +RD_1d RS2_01 3 1 +RD_1d RS2_02 3 1 +RD_1d RS2_03 4 1 +RD_1d RS2_04 6 1 +RD_1d RS2_05 5 1 +RD_1d RS2_06 2 1 +RD_1d RS2_07 8 1 +RD_1d RS2_08 6 1 +RD_1d RS2_09 9 1 +RD_1d RS2_0a 4 1 +RD_1d RS2_0b 7 1 +RD_1d RS2_0c 6 1 +RD_1d RS2_0d 8 1 +RD_1d RS2_0e 5 1 +RD_1d RS2_0f 4 1 +RD_1d RS2_10 6 1 +RD_1d RS2_11 7 1 +RD_1d RS2_12 5 1 +RD_1d RS2_13 5 1 +RD_1d RS2_14 9 1 +RD_1d RS2_15 6 1 +RD_1d RS2_16 9 1 +RD_1d RS2_17 3 1 +RD_1d RS2_18 8 1 +RD_1d RS2_19 3 1 +RD_1d RS2_1a 6 1 +RD_1d RS2_1b 4 1 +RD_1d RS2_1c 2 1 +RD_1d RS2_1d 1 1 +RD_1d RS2_1e 1 1 +RD_1d RS2_1f 6 1 +RD_1e RS2_00 5 1 +RD_1e RS2_01 7 1 +RD_1e RS2_02 4 1 +RD_1e RS2_03 5 1 +RD_1e RS2_04 6 1 +RD_1e RS2_05 5 1 +RD_1e RS2_06 5 1 +RD_1e RS2_07 6 1 +RD_1e RS2_08 5 1 +RD_1e RS2_09 8 1 +RD_1e RS2_0a 6 1 +RD_1e RS2_0b 8 1 +RD_1e RS2_0c 6 1 +RD_1e RS2_0d 5 1 +RD_1e RS2_0e 5 1 +RD_1e RS2_0f 4 1 +RD_1e RS2_10 6 1 +RD_1e RS2_11 8 1 +RD_1e RS2_12 3 1 +RD_1e RS2_13 5 1 +RD_1e RS2_14 7 1 +RD_1e RS2_15 2 1 +RD_1e RS2_16 3 1 +RD_1e RS2_17 5 1 +RD_1e RS2_18 3 1 +RD_1e RS2_19 9 1 +RD_1e RS2_1a 9 1 +RD_1e RS2_1b 7 1 +RD_1e RS2_1c 11 1 +RD_1e RS2_1d 5 1 +RD_1e RS2_1e 6 1 +RD_1e RS2_1f 3 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 5 1 +RD_1f RS2_02 8 1 +RD_1f RS2_03 6 1 +RD_1f RS2_04 4 1 +RD_1f RS2_05 1 1 +RD_1f RS2_06 5 1 +RD_1f RS2_07 4 1 +RD_1f RS2_08 7 1 +RD_1f RS2_09 7 1 +RD_1f RS2_0a 3 1 +RD_1f RS2_0b 2 1 +RD_1f RS2_0c 5 1 +RD_1f RS2_0d 2 1 +RD_1f RS2_0e 4 1 +RD_1f RS2_0f 8 1 +RD_1f RS2_10 8 1 +RD_1f RS2_11 1 1 +RD_1f RS2_12 9 1 +RD_1f RS2_13 8 1 +RD_1f RS2_14 5 1 +RD_1f RS2_15 10 1 +RD_1f RS2_16 9 1 +RD_1f RS2_17 3 1 +RD_1f RS2_18 1 1 +RD_1f RS2_19 1 1 +RD_1f RS2_1a 5 1 +RD_1f RS2_1b 5 1 +RD_1f RS2_1c 3 1 +RD_1f RS2_1d 6 1 +RD_1f RS2_1e 8 1 +RD_1f RS2_1f 6 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.85 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 11 2037 99.46 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 11 1013 98.93 100 1 1 0 +cross_rd_rs2 1024 0 1024 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 345 1 +RD_01 336 1 +RD_02 314 1 +RD_03 337 1 +RD_04 303 1 +RD_05 298 1 +RD_06 369 1 +RD_07 319 1 +RD_08 320 1 +RD_09 335 1 +RD_0a 289 1 +RD_0b 332 1 +RD_0c 320 1 +RD_0d 333 1 +RD_0e 320 1 +RD_0f 343 1 +RD_10 336 1 +RD_11 306 1 +RD_12 306 1 +RD_13 340 1 +RD_14 304 1 +RD_15 359 1 +RD_16 342 1 +RD_17 345 1 +RD_18 305 1 +RD_19 312 1 +RD_1a 328 1 +RD_1b 342 1 +RD_1c 291 1 +RD_1d 346 1 +RD_1e 338 1 +RD_1f 325 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 310 1 +RS1_01 311 1 +RS1_02 294 1 +RS1_03 338 1 +RS1_04 332 1 +RS1_05 324 1 +RS1_06 344 1 +RS1_07 338 1 +RS1_08 306 1 +RS1_09 320 1 +RS1_0a 311 1 +RS1_0b 324 1 +RS1_0c 362 1 +RS1_0d 318 1 +RS1_0e 327 1 +RS1_0f 361 1 +RS1_10 354 1 +RS1_11 318 1 +RS1_12 333 1 +RS1_13 329 1 +RS1_14 302 1 +RS1_15 327 1 +RS1_16 340 1 +RS1_17 358 1 +RS1_18 331 1 +RS1_19 305 1 +RS1_1a 326 1 +RS1_1b 340 1 +RS1_1c 296 1 +RS1_1d 320 1 +RS1_1e 328 1 +RS1_1f 311 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 309 1 +RS2_01 295 1 +RS2_02 301 1 +RS2_03 327 1 +RS2_04 337 1 +RS2_05 316 1 +RS2_06 330 1 +RS2_07 338 1 +RS2_08 322 1 +RS2_09 342 1 +RS2_0a 330 1 +RS2_0b 316 1 +RS2_0c 321 1 +RS2_0d 322 1 +RS2_0e 322 1 +RS2_0f 338 1 +RS2_10 352 1 +RS2_11 323 1 +RS2_12 315 1 +RS2_13 323 1 +RS2_14 285 1 +RS2_15 346 1 +RS2_16 335 1 +RS2_17 334 1 +RS2_18 351 1 +RS2_19 362 1 +RS2_1a 297 1 +RS2_1b 320 1 +RS2_1c 338 1 +RS2_1d 338 1 +RS2_1e 327 1 +RS2_1f 326 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4717 1 +BIT30_1 4057 1 +BIT29_1 3994 1 +BIT28_1 4040 1 +BIT27_1 3859 1 +BIT26_1 3833 1 +BIT25_1 3949 1 +BIT24_1 3920 1 +BIT23_1 3885 1 +BIT22_1 3894 1 +BIT21_1 3835 1 +BIT20_1 3837 1 +BIT19_1 3827 1 +BIT18_1 3898 1 +BIT17_1 3887 1 +BIT16_1 4023 1 +BIT15_1 4277 1 +BIT14_1 4225 1 +BIT13_1 4136 1 +BIT12_1 4454 1 +BIT11_1 4552 1 +BIT10_1 4462 1 +BIT9_1 4226 1 +BIT8_1 4091 1 +BIT7_1 4263 1 +BIT6_1 4084 1 +BIT5_1 4105 1 +BIT4_1 4651 1 +BIT3_1 4657 1 +BIT2_1 4600 1 +BIT1_1 4027 1 +BIT0_1 3515 1 +BIT31_0 5719 1 +BIT30_0 6379 1 +BIT29_0 6442 1 +BIT28_0 6396 1 +BIT27_0 6577 1 +BIT26_0 6603 1 +BIT25_0 6487 1 +BIT24_0 6516 1 +BIT23_0 6551 1 +BIT22_0 6542 1 +BIT21_0 6601 1 +BIT20_0 6599 1 +BIT19_0 6609 1 +BIT18_0 6538 1 +BIT17_0 6549 1 +BIT16_0 6413 1 +BIT15_0 6159 1 +BIT14_0 6211 1 +BIT13_0 6300 1 +BIT12_0 5982 1 +BIT11_0 5884 1 +BIT10_0 5974 1 +BIT9_0 6210 1 +BIT8_0 6345 1 +BIT7_0 6173 1 +BIT6_0 6352 1 +BIT5_0 6331 1 +BIT4_0 5785 1 +BIT3_0 5779 1 +BIT2_0 5836 1 +BIT1_0 6409 1 +BIT0_0 6921 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5084 1 +BIT30_1 3940 1 +BIT29_1 3861 1 +BIT28_1 3864 1 +BIT27_1 3765 1 +BIT26_1 3747 1 +BIT25_1 3859 1 +BIT24_1 3779 1 +BIT23_1 3753 1 +BIT22_1 3857 1 +BIT21_1 3771 1 +BIT20_1 3714 1 +BIT19_1 3742 1 +BIT18_1 3762 1 +BIT17_1 3755 1 +BIT16_1 3853 1 +BIT15_1 4277 1 +BIT14_1 4182 1 +BIT13_1 4127 1 +BIT12_1 4601 1 +BIT11_1 4771 1 +BIT10_1 4673 1 +BIT9_1 4292 1 +BIT8_1 4011 1 +BIT7_1 4398 1 +BIT6_1 3940 1 +BIT5_1 3979 1 +BIT4_1 4817 1 +BIT3_1 4937 1 +BIT2_1 4717 1 +BIT1_1 3991 1 +BIT0_1 3299 1 +BIT31_0 5352 1 +BIT30_0 6496 1 +BIT29_0 6575 1 +BIT28_0 6572 1 +BIT27_0 6671 1 +BIT26_0 6689 1 +BIT25_0 6577 1 +BIT24_0 6657 1 +BIT23_0 6683 1 +BIT22_0 6579 1 +BIT21_0 6665 1 +BIT20_0 6722 1 +BIT19_0 6694 1 +BIT18_0 6674 1 +BIT17_0 6681 1 +BIT16_0 6583 1 +BIT15_0 6159 1 +BIT14_0 6254 1 +BIT13_0 6309 1 +BIT12_0 5835 1 +BIT11_0 5665 1 +BIT10_0 5763 1 +BIT9_0 6144 1 +BIT8_0 6425 1 +BIT7_0 6038 1 +BIT6_0 6496 1 +BIT5_0 6457 1 +BIT4_0 5619 1 +BIT3_0 5499 1 +BIT2_0 5719 1 +BIT1_0 6445 1 +BIT0_0 7137 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 11 1013 98.93 11 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_08] [RS1_14] 0 1 1 +[RD_0b] [RS1_17] 0 1 1 +[RD_0c] [RS1_0f] 0 1 1 +[RD_0c] [RS1_18] 0 1 1 +[RD_11] [RS1_08] 0 1 1 +[RD_14] [RS1_0d] 0 1 1 +[RD_14] [RS1_1a] 0 1 1 +[RD_14] [RS1_1e] 0 1 1 +[RD_16] [RS1_19] 0 1 1 +[RD_1c] [RS1_19 , RS1_1a] -- -- 2 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 172 1 +RD_00 RS1_01 1 1 +RD_00 RS1_02 3 1 +RD_00 RS1_03 9 1 +RD_00 RS1_04 5 1 +RD_00 RS1_05 3 1 +RD_00 RS1_06 3 1 +RD_00 RS1_07 8 1 +RD_00 RS1_08 8 1 +RD_00 RS1_09 6 1 +RD_00 RS1_0a 7 1 +RD_00 RS1_0b 6 1 +RD_00 RS1_0c 7 1 +RD_00 RS1_0d 2 1 +RD_00 RS1_0e 5 1 +RD_00 RS1_0f 4 1 +RD_00 RS1_10 7 1 +RD_00 RS1_11 3 1 +RD_00 RS1_12 5 1 +RD_00 RS1_13 7 1 +RD_00 RS1_14 8 1 +RD_00 RS1_15 4 1 +RD_00 RS1_16 6 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 5 1 +RD_00 RS1_19 7 1 +RD_00 RS1_1a 4 1 +RD_00 RS1_1b 13 1 +RD_00 RS1_1c 4 1 +RD_00 RS1_1d 3 1 +RD_00 RS1_1e 7 1 +RD_00 RS1_1f 8 1 +RD_01 RS1_00 4 1 +RD_01 RS1_01 167 1 +RD_01 RS1_02 2 1 +RD_01 RS1_03 5 1 +RD_01 RS1_04 13 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 3 1 +RD_01 RS1_07 4 1 +RD_01 RS1_08 5 1 +RD_01 RS1_09 3 1 +RD_01 RS1_0a 9 1 +RD_01 RS1_0b 6 1 +RD_01 RS1_0c 6 1 +RD_01 RS1_0d 6 1 +RD_01 RS1_0e 9 1 +RD_01 RS1_0f 4 1 +RD_01 RS1_10 4 1 +RD_01 RS1_11 2 1 +RD_01 RS1_12 5 1 +RD_01 RS1_13 4 1 +RD_01 RS1_14 3 1 +RD_01 RS1_15 7 1 +RD_01 RS1_16 3 1 +RD_01 RS1_17 1 1 +RD_01 RS1_18 11 1 +RD_01 RS1_19 5 1 +RD_01 RS1_1a 7 1 +RD_01 RS1_1b 6 1 +RD_01 RS1_1c 5 1 +RD_01 RS1_1d 5 1 +RD_01 RS1_1e 8 1 +RD_01 RS1_1f 9 1 +RD_02 RS1_00 10 1 +RD_02 RS1_01 7 1 +RD_02 RS1_02 145 1 +RD_02 RS1_03 7 1 +RD_02 RS1_04 6 1 +RD_02 RS1_05 5 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 6 1 +RD_02 RS1_08 4 1 +RD_02 RS1_09 4 1 +RD_02 RS1_0a 7 1 +RD_02 RS1_0b 5 1 +RD_02 RS1_0c 11 1 +RD_02 RS1_0d 4 1 +RD_02 RS1_0e 6 1 +RD_02 RS1_0f 6 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 5 1 +RD_02 RS1_12 8 1 +RD_02 RS1_13 6 1 +RD_02 RS1_14 4 1 +RD_02 RS1_15 6 1 +RD_02 RS1_16 5 1 +RD_02 RS1_17 8 1 +RD_02 RS1_18 1 1 +RD_02 RS1_19 6 1 +RD_02 RS1_1a 4 1 +RD_02 RS1_1b 5 1 +RD_02 RS1_1c 6 1 +RD_02 RS1_1d 3 1 +RD_02 RS1_1e 4 1 +RD_02 RS1_1f 1 1 +RD_03 RS1_00 5 1 +RD_03 RS1_01 7 1 +RD_03 RS1_02 3 1 +RD_03 RS1_03 173 1 +RD_03 RS1_04 5 1 +RD_03 RS1_05 8 1 +RD_03 RS1_06 8 1 +RD_03 RS1_07 5 1 +RD_03 RS1_08 3 1 +RD_03 RS1_09 7 1 +RD_03 RS1_0a 1 1 +RD_03 RS1_0b 3 1 +RD_03 RS1_0c 8 1 +RD_03 RS1_0d 5 1 +RD_03 RS1_0e 4 1 +RD_03 RS1_0f 9 1 +RD_03 RS1_10 10 1 +RD_03 RS1_11 5 1 +RD_03 RS1_12 6 1 +RD_03 RS1_13 3 1 +RD_03 RS1_14 4 1 +RD_03 RS1_15 4 1 +RD_03 RS1_16 7 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 5 1 +RD_03 RS1_19 5 1 +RD_03 RS1_1a 5 1 +RD_03 RS1_1b 1 1 +RD_03 RS1_1c 2 1 +RD_03 RS1_1d 3 1 +RD_03 RS1_1e 8 1 +RD_03 RS1_1f 8 1 +RD_04 RS1_00 2 1 +RD_04 RS1_01 5 1 +RD_04 RS1_02 1 1 +RD_04 RS1_03 2 1 +RD_04 RS1_04 161 1 +RD_04 RS1_05 10 1 +RD_04 RS1_06 8 1 +RD_04 RS1_07 5 1 +RD_04 RS1_08 7 1 +RD_04 RS1_09 7 1 +RD_04 RS1_0a 9 1 +RD_04 RS1_0b 5 1 +RD_04 RS1_0c 3 1 +RD_04 RS1_0d 4 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 5 1 +RD_04 RS1_10 5 1 +RD_04 RS1_11 6 1 +RD_04 RS1_12 3 1 +RD_04 RS1_13 1 1 +RD_04 RS1_14 4 1 +RD_04 RS1_15 2 1 +RD_04 RS1_16 5 1 +RD_04 RS1_17 2 1 +RD_04 RS1_18 6 1 +RD_04 RS1_19 4 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 4 1 +RD_04 RS1_1c 6 1 +RD_04 RS1_1d 3 1 +RD_04 RS1_1e 4 1 +RD_04 RS1_1f 4 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 2 1 +RD_05 RS1_02 4 1 +RD_05 RS1_03 8 1 +RD_05 RS1_04 4 1 +RD_05 RS1_05 165 1 +RD_05 RS1_06 3 1 +RD_05 RS1_07 7 1 +RD_05 RS1_08 2 1 +RD_05 RS1_09 2 1 +RD_05 RS1_0a 2 1 +RD_05 RS1_0b 3 1 +RD_05 RS1_0c 2 1 +RD_05 RS1_0d 4 1 +RD_05 RS1_0e 5 1 +RD_05 RS1_0f 7 1 +RD_05 RS1_10 4 1 +RD_05 RS1_11 4 1 +RD_05 RS1_12 3 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 6 1 +RD_05 RS1_15 6 1 +RD_05 RS1_16 4 1 +RD_05 RS1_17 11 1 +RD_05 RS1_18 1 1 +RD_05 RS1_19 2 1 +RD_05 RS1_1a 8 1 +RD_05 RS1_1b 3 1 +RD_05 RS1_1c 2 1 +RD_05 RS1_1d 3 1 +RD_05 RS1_1e 5 1 +RD_05 RS1_1f 6 1 +RD_06 RS1_00 6 1 +RD_06 RS1_01 9 1 +RD_06 RS1_02 4 1 +RD_06 RS1_03 7 1 +RD_06 RS1_04 9 1 +RD_06 RS1_05 2 1 +RD_06 RS1_06 187 1 +RD_06 RS1_07 5 1 +RD_06 RS1_08 9 1 +RD_06 RS1_09 8 1 +RD_06 RS1_0a 6 1 +RD_06 RS1_0b 8 1 +RD_06 RS1_0c 5 1 +RD_06 RS1_0d 7 1 +RD_06 RS1_0e 10 1 +RD_06 RS1_0f 4 1 +RD_06 RS1_10 2 1 +RD_06 RS1_11 5 1 +RD_06 RS1_12 5 1 +RD_06 RS1_13 3 1 +RD_06 RS1_14 4 1 +RD_06 RS1_15 4 1 +RD_06 RS1_16 5 1 +RD_06 RS1_17 8 1 +RD_06 RS1_18 8 1 +RD_06 RS1_19 9 1 +RD_06 RS1_1a 5 1 +RD_06 RS1_1b 5 1 +RD_06 RS1_1c 5 1 +RD_06 RS1_1d 4 1 +RD_06 RS1_1e 6 1 +RD_06 RS1_1f 5 1 +RD_07 RS1_00 2 1 +RD_07 RS1_01 1 1 +RD_07 RS1_02 4 1 +RD_07 RS1_03 4 1 +RD_07 RS1_04 8 1 +RD_07 RS1_05 3 1 +RD_07 RS1_06 8 1 +RD_07 RS1_07 163 1 +RD_07 RS1_08 6 1 +RD_07 RS1_09 8 1 +RD_07 RS1_0a 4 1 +RD_07 RS1_0b 3 1 +RD_07 RS1_0c 5 1 +RD_07 RS1_0d 2 1 +RD_07 RS1_0e 5 1 +RD_07 RS1_0f 4 1 +RD_07 RS1_10 6 1 +RD_07 RS1_11 1 1 +RD_07 RS1_12 6 1 +RD_07 RS1_13 10 1 +RD_07 RS1_14 3 1 +RD_07 RS1_15 3 1 +RD_07 RS1_16 2 1 +RD_07 RS1_17 7 1 +RD_07 RS1_18 8 1 +RD_07 RS1_19 10 1 +RD_07 RS1_1a 2 1 +RD_07 RS1_1b 11 1 +RD_07 RS1_1c 8 1 +RD_07 RS1_1d 4 1 +RD_07 RS1_1e 6 1 +RD_07 RS1_1f 2 1 +RD_08 RS1_00 3 1 +RD_08 RS1_01 6 1 +RD_08 RS1_02 2 1 +RD_08 RS1_03 9 1 +RD_08 RS1_04 6 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 2 1 +RD_08 RS1_07 6 1 +RD_08 RS1_08 167 1 +RD_08 RS1_09 4 1 +RD_08 RS1_0a 2 1 +RD_08 RS1_0b 4 1 +RD_08 RS1_0c 6 1 +RD_08 RS1_0d 4 1 +RD_08 RS1_0e 9 1 +RD_08 RS1_0f 3 1 +RD_08 RS1_10 5 1 +RD_08 RS1_11 6 1 +RD_08 RS1_12 8 1 +RD_08 RS1_13 2 1 +RD_08 RS1_15 7 1 +RD_08 RS1_16 10 1 +RD_08 RS1_17 4 1 +RD_08 RS1_18 4 1 +RD_08 RS1_19 8 1 +RD_08 RS1_1a 8 1 +RD_08 RS1_1b 7 1 +RD_08 RS1_1c 3 1 +RD_08 RS1_1d 2 1 +RD_08 RS1_1e 6 1 +RD_08 RS1_1f 2 1 +RD_09 RS1_00 4 1 +RD_09 RS1_01 5 1 +RD_09 RS1_02 3 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 9 1 +RD_09 RS1_05 3 1 +RD_09 RS1_06 12 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 3 1 +RD_09 RS1_09 161 1 +RD_09 RS1_0a 2 1 +RD_09 RS1_0b 6 1 +RD_09 RS1_0c 4 1 +RD_09 RS1_0d 9 1 +RD_09 RS1_0e 6 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 4 1 +RD_09 RS1_12 2 1 +RD_09 RS1_13 7 1 +RD_09 RS1_14 6 1 +RD_09 RS1_15 7 1 +RD_09 RS1_16 2 1 +RD_09 RS1_17 5 1 +RD_09 RS1_18 10 1 +RD_09 RS1_19 8 1 +RD_09 RS1_1a 6 1 +RD_09 RS1_1b 4 1 +RD_09 RS1_1c 4 1 +RD_09 RS1_1d 11 1 +RD_09 RS1_1e 5 1 +RD_09 RS1_1f 7 1 +RD_0a RS1_00 1 1 +RD_0a RS1_01 2 1 +RD_0a RS1_02 5 1 +RD_0a RS1_03 7 1 +RD_0a RS1_04 2 1 +RD_0a RS1_05 7 1 +RD_0a RS1_06 6 1 +RD_0a RS1_07 8 1 +RD_0a RS1_08 1 1 +RD_0a RS1_09 4 1 +RD_0a RS1_0a 152 1 +RD_0a RS1_0b 6 1 +RD_0a RS1_0c 5 1 +RD_0a RS1_0d 4 1 +RD_0a RS1_0e 7 1 +RD_0a RS1_0f 4 1 +RD_0a RS1_10 2 1 +RD_0a RS1_11 4 1 +RD_0a RS1_12 6 1 +RD_0a RS1_13 3 1 +RD_0a RS1_14 6 1 +RD_0a RS1_15 3 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 6 1 +RD_0a RS1_18 3 1 +RD_0a RS1_19 2 1 +RD_0a RS1_1a 6 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 6 1 +RD_0a RS1_1d 4 1 +RD_0a RS1_1e 7 1 +RD_0a RS1_1f 2 1 +RD_0b RS1_00 6 1 +RD_0b RS1_01 3 1 +RD_0b RS1_02 4 1 +RD_0b RS1_03 5 1 +RD_0b RS1_04 5 1 +RD_0b RS1_05 6 1 +RD_0b RS1_06 6 1 +RD_0b RS1_07 9 1 +RD_0b RS1_08 3 1 +RD_0b RS1_09 8 1 +RD_0b RS1_0a 6 1 +RD_0b RS1_0b 178 1 +RD_0b RS1_0c 2 1 +RD_0b RS1_0d 2 1 +RD_0b RS1_0e 7 1 +RD_0b RS1_0f 6 1 +RD_0b RS1_10 6 1 +RD_0b RS1_11 8 1 +RD_0b RS1_12 3 1 +RD_0b RS1_13 5 1 +RD_0b RS1_14 6 1 +RD_0b RS1_15 4 1 +RD_0b RS1_16 8 1 +RD_0b RS1_18 3 1 +RD_0b RS1_19 4 1 +RD_0b RS1_1a 12 1 +RD_0b RS1_1b 1 1 +RD_0b RS1_1c 2 1 +RD_0b RS1_1d 6 1 +RD_0b RS1_1e 5 1 +RD_0b RS1_1f 3 1 +RD_0c RS1_00 4 1 +RD_0c RS1_01 3 1 +RD_0c RS1_02 3 1 +RD_0c RS1_03 4 1 +RD_0c RS1_04 6 1 +RD_0c RS1_05 1 1 +RD_0c RS1_06 2 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 7 1 +RD_0c RS1_09 6 1 +RD_0c RS1_0a 9 1 +RD_0c RS1_0b 8 1 +RD_0c RS1_0c 170 1 +RD_0c RS1_0d 2 1 +RD_0c RS1_0e 4 1 +RD_0c RS1_10 6 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 9 1 +RD_0c RS1_13 6 1 +RD_0c RS1_14 4 1 +RD_0c RS1_15 5 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 8 1 +RD_0c RS1_19 9 1 +RD_0c RS1_1a 6 1 +RD_0c RS1_1b 5 1 +RD_0c RS1_1c 3 1 +RD_0c RS1_1d 8 1 +RD_0c RS1_1e 7 1 +RD_0c RS1_1f 4 1 +RD_0d RS1_00 3 1 +RD_0d RS1_01 7 1 +RD_0d RS1_02 4 1 +RD_0d RS1_03 8 1 +RD_0d RS1_04 6 1 +RD_0d RS1_05 12 1 +RD_0d RS1_06 7 1 +RD_0d RS1_07 11 1 +RD_0d RS1_08 3 1 +RD_0d RS1_09 5 1 +RD_0d RS1_0a 7 1 +RD_0d RS1_0b 1 1 +RD_0d RS1_0c 7 1 +RD_0d RS1_0d 168 1 +RD_0d RS1_0e 6 1 +RD_0d RS1_0f 10 1 +RD_0d RS1_10 6 1 +RD_0d RS1_11 5 1 +RD_0d RS1_12 6 1 +RD_0d RS1_13 4 1 +RD_0d RS1_14 1 1 +RD_0d RS1_15 6 1 +RD_0d RS1_16 2 1 +RD_0d RS1_17 6 1 +RD_0d RS1_18 2 1 +RD_0d RS1_19 6 1 +RD_0d RS1_1a 8 1 +RD_0d RS1_1b 6 1 +RD_0d RS1_1c 3 1 +RD_0d RS1_1d 2 1 +RD_0d RS1_1e 2 1 +RD_0d RS1_1f 3 1 +RD_0e RS1_00 4 1 +RD_0e RS1_01 5 1 +RD_0e RS1_02 3 1 +RD_0e RS1_03 8 1 +RD_0e RS1_04 5 1 +RD_0e RS1_05 3 1 +RD_0e RS1_06 5 1 +RD_0e RS1_07 4 1 +RD_0e RS1_08 8 1 +RD_0e RS1_09 9 1 +RD_0e RS1_0a 7 1 +RD_0e RS1_0b 6 1 +RD_0e RS1_0c 3 1 +RD_0e RS1_0d 3 1 +RD_0e RS1_0e 163 1 +RD_0e RS1_0f 2 1 +RD_0e RS1_10 4 1 +RD_0e RS1_11 6 1 +RD_0e RS1_12 3 1 +RD_0e RS1_13 11 1 +RD_0e RS1_14 2 1 +RD_0e RS1_15 5 1 +RD_0e RS1_16 6 1 +RD_0e RS1_17 7 1 +RD_0e RS1_18 9 1 +RD_0e RS1_19 1 1 +RD_0e RS1_1a 4 1 +RD_0e RS1_1b 3 1 +RD_0e RS1_1c 10 1 +RD_0e RS1_1d 2 1 +RD_0e RS1_1e 7 1 +RD_0e RS1_1f 2 1 +RD_0f RS1_00 4 1 +RD_0f RS1_01 8 1 +RD_0f RS1_02 4 1 +RD_0f RS1_03 3 1 +RD_0f RS1_04 3 1 +RD_0f RS1_05 1 1 +RD_0f RS1_06 4 1 +RD_0f RS1_07 2 1 +RD_0f RS1_08 7 1 +RD_0f RS1_09 4 1 +RD_0f RS1_0a 4 1 +RD_0f RS1_0b 3 1 +RD_0f RS1_0c 7 1 +RD_0f RS1_0d 7 1 +RD_0f RS1_0e 4 1 +RD_0f RS1_0f 187 1 +RD_0f RS1_10 8 1 +RD_0f RS1_11 10 1 +RD_0f RS1_12 7 1 +RD_0f RS1_13 4 1 +RD_0f RS1_14 3 1 +RD_0f RS1_15 3 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 10 1 +RD_0f RS1_18 5 1 +RD_0f RS1_19 2 1 +RD_0f RS1_1a 6 1 +RD_0f RS1_1b 4 1 +RD_0f RS1_1c 6 1 +RD_0f RS1_1d 8 1 +RD_0f RS1_1e 6 1 +RD_0f RS1_1f 6 1 +RD_10 RS1_00 2 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 9 1 +RD_10 RS1_03 3 1 +RD_10 RS1_04 12 1 +RD_10 RS1_05 7 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 9 1 +RD_10 RS1_08 3 1 +RD_10 RS1_09 6 1 +RD_10 RS1_0a 6 1 +RD_10 RS1_0b 7 1 +RD_10 RS1_0c 7 1 +RD_10 RS1_0d 3 1 +RD_10 RS1_0e 8 1 +RD_10 RS1_0f 3 1 +RD_10 RS1_10 186 1 +RD_10 RS1_11 7 1 +RD_10 RS1_12 6 1 +RD_10 RS1_13 7 1 +RD_10 RS1_14 3 1 +RD_10 RS1_15 3 1 +RD_10 RS1_16 5 1 +RD_10 RS1_17 2 1 +RD_10 RS1_18 6 1 +RD_10 RS1_19 2 1 +RD_10 RS1_1a 2 1 +RD_10 RS1_1b 1 1 +RD_10 RS1_1c 2 1 +RD_10 RS1_1d 5 1 +RD_10 RS1_1e 4 1 +RD_10 RS1_1f 4 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 2 1 +RD_11 RS1_02 5 1 +RD_11 RS1_03 2 1 +RD_11 RS1_04 4 1 +RD_11 RS1_05 3 1 +RD_11 RS1_06 7 1 +RD_11 RS1_07 3 1 +RD_11 RS1_09 3 1 +RD_11 RS1_0a 9 1 +RD_11 RS1_0b 7 1 +RD_11 RS1_0c 8 1 +RD_11 RS1_0d 4 1 +RD_11 RS1_0e 2 1 +RD_11 RS1_0f 2 1 +RD_11 RS1_10 7 1 +RD_11 RS1_11 168 1 +RD_11 RS1_12 5 1 +RD_11 RS1_13 3 1 +RD_11 RS1_14 1 1 +RD_11 RS1_15 4 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 8 1 +RD_11 RS1_18 5 1 +RD_11 RS1_19 2 1 +RD_11 RS1_1a 6 1 +RD_11 RS1_1b 9 1 +RD_11 RS1_1c 2 1 +RD_11 RS1_1d 4 1 +RD_11 RS1_1e 8 1 +RD_11 RS1_1f 5 1 +RD_12 RS1_00 2 1 +RD_12 RS1_01 5 1 +RD_12 RS1_02 3 1 +RD_12 RS1_03 5 1 +RD_12 RS1_04 5 1 +RD_12 RS1_05 4 1 +RD_12 RS1_06 7 1 +RD_12 RS1_07 4 1 +RD_12 RS1_08 4 1 +RD_12 RS1_09 2 1 +RD_12 RS1_0a 2 1 +RD_12 RS1_0b 5 1 +RD_12 RS1_0c 8 1 +RD_12 RS1_0d 4 1 +RD_12 RS1_0e 1 1 +RD_12 RS1_0f 2 1 +RD_12 RS1_10 2 1 +RD_12 RS1_11 8 1 +RD_12 RS1_12 174 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 2 1 +RD_12 RS1_15 2 1 +RD_12 RS1_16 2 1 +RD_12 RS1_17 6 1 +RD_12 RS1_18 11 1 +RD_12 RS1_19 3 1 +RD_12 RS1_1a 6 1 +RD_12 RS1_1b 6 1 +RD_12 RS1_1c 5 1 +RD_12 RS1_1d 4 1 +RD_12 RS1_1e 3 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 7 1 +RD_13 RS1_01 4 1 +RD_13 RS1_02 10 1 +RD_13 RS1_03 6 1 +RD_13 RS1_04 5 1 +RD_13 RS1_05 6 1 +RD_13 RS1_06 11 1 +RD_13 RS1_07 3 1 +RD_13 RS1_08 8 1 +RD_13 RS1_09 5 1 +RD_13 RS1_0a 2 1 +RD_13 RS1_0b 3 1 +RD_13 RS1_0c 7 1 +RD_13 RS1_0d 7 1 +RD_13 RS1_0e 3 1 +RD_13 RS1_0f 7 1 +RD_13 RS1_10 4 1 +RD_13 RS1_11 3 1 +RD_13 RS1_12 4 1 +RD_13 RS1_13 170 1 +RD_13 RS1_14 6 1 +RD_13 RS1_15 9 1 +RD_13 RS1_16 7 1 +RD_13 RS1_17 7 1 +RD_13 RS1_18 5 1 +RD_13 RS1_19 7 1 +RD_13 RS1_1a 3 1 +RD_13 RS1_1b 8 1 +RD_13 RS1_1c 4 1 +RD_13 RS1_1d 2 1 +RD_13 RS1_1e 3 1 +RD_13 RS1_1f 4 1 +RD_14 RS1_00 7 1 +RD_14 RS1_01 5 1 +RD_14 RS1_02 5 1 +RD_14 RS1_03 6 1 +RD_14 RS1_04 3 1 +RD_14 RS1_05 5 1 +RD_14 RS1_06 2 1 +RD_14 RS1_07 6 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 3 1 +RD_14 RS1_0a 1 1 +RD_14 RS1_0b 3 1 +RD_14 RS1_0c 6 1 +RD_14 RS1_0e 4 1 +RD_14 RS1_0f 2 1 +RD_14 RS1_10 5 1 +RD_14 RS1_11 10 1 +RD_14 RS1_12 3 1 +RD_14 RS1_13 13 1 +RD_14 RS1_14 171 1 +RD_14 RS1_15 2 1 +RD_14 RS1_16 6 1 +RD_14 RS1_17 4 1 +RD_14 RS1_18 7 1 +RD_14 RS1_19 6 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 2 1 +RD_14 RS1_1d 3 1 +RD_14 RS1_1f 5 1 +RD_15 RS1_00 2 1 +RD_15 RS1_01 4 1 +RD_15 RS1_02 8 1 +RD_15 RS1_03 5 1 +RD_15 RS1_04 6 1 +RD_15 RS1_05 6 1 +RD_15 RS1_06 5 1 +RD_15 RS1_07 7 1 +RD_15 RS1_08 3 1 +RD_15 RS1_09 3 1 +RD_15 RS1_0a 8 1 +RD_15 RS1_0b 7 1 +RD_15 RS1_0c 6 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 8 1 +RD_15 RS1_0f 6 1 +RD_15 RS1_10 4 1 +RD_15 RS1_11 1 1 +RD_15 RS1_12 4 1 +RD_15 RS1_13 5 1 +RD_15 RS1_14 3 1 +RD_15 RS1_15 193 1 +RD_15 RS1_16 5 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 7 1 +RD_15 RS1_1a 6 1 +RD_15 RS1_1b 7 1 +RD_15 RS1_1c 7 1 +RD_15 RS1_1d 6 1 +RD_15 RS1_1e 10 1 +RD_15 RS1_1f 2 1 +RD_16 RS1_00 7 1 +RD_16 RS1_01 4 1 +RD_16 RS1_02 5 1 +RD_16 RS1_03 5 1 +RD_16 RS1_04 6 1 +RD_16 RS1_05 5 1 +RD_16 RS1_06 4 1 +RD_16 RS1_07 6 1 +RD_16 RS1_08 2 1 +RD_16 RS1_09 1 1 +RD_16 RS1_0a 7 1 +RD_16 RS1_0b 1 1 +RD_16 RS1_0c 4 1 +RD_16 RS1_0d 4 1 +RD_16 RS1_0e 4 1 +RD_16 RS1_0f 12 1 +RD_16 RS1_10 12 1 +RD_16 RS1_11 9 1 +RD_16 RS1_12 3 1 +RD_16 RS1_13 6 1 +RD_16 RS1_14 2 1 +RD_16 RS1_15 1 1 +RD_16 RS1_16 183 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 5 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 8 1 +RD_16 RS1_1c 3 1 +RD_16 RS1_1d 7 1 +RD_16 RS1_1e 5 1 +RD_16 RS1_1f 9 1 +RD_17 RS1_00 5 1 +RD_17 RS1_01 13 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 6 1 +RD_17 RS1_04 2 1 +RD_17 RS1_05 3 1 +RD_17 RS1_06 4 1 +RD_17 RS1_07 5 1 +RD_17 RS1_08 8 1 +RD_17 RS1_09 4 1 +RD_17 RS1_0a 4 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 7 1 +RD_17 RS1_0d 5 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 9 1 +RD_17 RS1_10 6 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 8 1 +RD_17 RS1_13 4 1 +RD_17 RS1_14 2 1 +RD_17 RS1_15 4 1 +RD_17 RS1_16 8 1 +RD_17 RS1_17 182 1 +RD_17 RS1_18 6 1 +RD_17 RS1_19 7 1 +RD_17 RS1_1a 1 1 +RD_17 RS1_1b 3 1 +RD_17 RS1_1c 4 1 +RD_17 RS1_1d 2 1 +RD_17 RS1_1e 5 1 +RD_17 RS1_1f 8 1 +RD_18 RS1_00 2 1 +RD_18 RS1_01 5 1 +RD_18 RS1_02 6 1 +RD_18 RS1_03 1 1 +RD_18 RS1_04 3 1 +RD_18 RS1_05 6 1 +RD_18 RS1_06 7 1 +RD_18 RS1_07 2 1 +RD_18 RS1_08 4 1 +RD_18 RS1_09 4 1 +RD_18 RS1_0a 6 1 +RD_18 RS1_0b 3 1 +RD_18 RS1_0c 7 1 +RD_18 RS1_0d 4 1 +RD_18 RS1_0e 3 1 +RD_18 RS1_0f 7 1 +RD_18 RS1_10 1 1 +RD_18 RS1_11 4 1 +RD_18 RS1_12 6 1 +RD_18 RS1_13 6 1 +RD_18 RS1_14 8 1 +RD_18 RS1_15 2 1 +RD_18 RS1_16 4 1 +RD_18 RS1_17 5 1 +RD_18 RS1_18 172 1 +RD_18 RS1_19 1 1 +RD_18 RS1_1a 2 1 +RD_18 RS1_1b 5 1 +RD_18 RS1_1c 4 1 +RD_18 RS1_1d 4 1 +RD_18 RS1_1e 2 1 +RD_18 RS1_1f 9 1 +RD_19 RS1_00 6 1 +RD_19 RS1_01 8 1 +RD_19 RS1_02 5 1 +RD_19 RS1_03 1 1 +RD_19 RS1_04 5 1 +RD_19 RS1_05 5 1 +RD_19 RS1_06 4 1 +RD_19 RS1_07 7 1 +RD_19 RS1_08 2 1 +RD_19 RS1_09 7 1 +RD_19 RS1_0a 3 1 +RD_19 RS1_0b 1 1 +RD_19 RS1_0c 2 1 +RD_19 RS1_0d 7 1 +RD_19 RS1_0e 4 1 +RD_19 RS1_0f 6 1 +RD_19 RS1_10 9 1 +RD_19 RS1_11 1 1 +RD_19 RS1_12 4 1 +RD_19 RS1_13 4 1 +RD_19 RS1_14 6 1 +RD_19 RS1_15 4 1 +RD_19 RS1_16 10 1 +RD_19 RS1_17 6 1 +RD_19 RS1_18 2 1 +RD_19 RS1_19 162 1 +RD_19 RS1_1a 10 1 +RD_19 RS1_1b 3 1 +RD_19 RS1_1c 5 1 +RD_19 RS1_1d 6 1 +RD_19 RS1_1e 5 1 +RD_19 RS1_1f 2 1 +RD_1a RS1_00 8 1 +RD_1a RS1_01 3 1 +RD_1a RS1_02 5 1 +RD_1a RS1_03 5 1 +RD_1a RS1_04 5 1 +RD_1a RS1_05 5 1 +RD_1a RS1_06 6 1 +RD_1a RS1_07 8 1 +RD_1a RS1_08 3 1 +RD_1a RS1_09 2 1 +RD_1a RS1_0a 5 1 +RD_1a RS1_0b 7 1 +RD_1a RS1_0c 10 1 +RD_1a RS1_0d 10 1 +RD_1a RS1_0e 3 1 +RD_1a RS1_0f 12 1 +RD_1a RS1_10 5 1 +RD_1a RS1_11 5 1 +RD_1a RS1_12 4 1 +RD_1a RS1_13 2 1 +RD_1a RS1_14 6 1 +RD_1a RS1_15 4 1 +RD_1a RS1_16 3 1 +RD_1a RS1_17 2 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 6 1 +RD_1a RS1_1a 169 1 +RD_1a RS1_1b 9 1 +RD_1a RS1_1c 4 1 +RD_1a RS1_1d 3 1 +RD_1a RS1_1e 1 1 +RD_1a RS1_1f 4 1 +RD_1b RS1_00 4 1 +RD_1b RS1_01 3 1 +RD_1b RS1_02 5 1 +RD_1b RS1_03 5 1 +RD_1b RS1_04 4 1 +RD_1b RS1_05 8 1 +RD_1b RS1_06 3 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 4 1 +RD_1b RS1_09 8 1 +RD_1b RS1_0a 4 1 +RD_1b RS1_0b 5 1 +RD_1b RS1_0c 11 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 9 1 +RD_1b RS1_0f 8 1 +RD_1b RS1_10 2 1 +RD_1b RS1_11 8 1 +RD_1b RS1_12 2 1 +RD_1b RS1_13 9 1 +RD_1b RS1_14 5 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 9 1 +RD_1b RS1_17 4 1 +RD_1b RS1_18 4 1 +RD_1b RS1_19 3 1 +RD_1b RS1_1a 5 1 +RD_1b RS1_1b 175 1 +RD_1b RS1_1c 4 1 +RD_1b RS1_1d 8 1 +RD_1b RS1_1e 6 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 5 1 +RD_1c RS1_01 4 1 +RD_1c RS1_02 8 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 3 1 +RD_1c RS1_05 7 1 +RD_1c RS1_06 3 1 +RD_1c RS1_07 5 1 +RD_1c RS1_08 6 1 +RD_1c RS1_09 5 1 +RD_1c RS1_0a 3 1 +RD_1c RS1_0b 7 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 4 1 +RD_1c RS1_0e 4 1 +RD_1c RS1_0f 3 1 +RD_1c RS1_10 4 1 +RD_1c RS1_11 2 1 +RD_1c RS1_12 4 1 +RD_1c RS1_13 6 1 +RD_1c RS1_14 8 1 +RD_1c RS1_15 4 1 +RD_1c RS1_16 5 1 +RD_1c RS1_17 3 1 +RD_1c RS1_18 3 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 159 1 +RD_1c RS1_1d 4 1 +RD_1c RS1_1e 2 1 +RD_1c RS1_1f 6 1 +RD_1d RS1_00 6 1 +RD_1d RS1_01 5 1 +RD_1d RS1_02 8 1 +RD_1d RS1_03 6 1 +RD_1d RS1_04 7 1 +RD_1d RS1_05 5 1 +RD_1d RS1_06 3 1 +RD_1d RS1_07 9 1 +RD_1d RS1_08 4 1 +RD_1d RS1_09 3 1 +RD_1d RS1_0a 3 1 +RD_1d RS1_0b 6 1 +RD_1d RS1_0c 3 1 +RD_1d RS1_0d 10 1 +RD_1d RS1_0e 2 1 +RD_1d RS1_0f 8 1 +RD_1d RS1_10 10 1 +RD_1d RS1_11 5 1 +RD_1d RS1_12 8 1 +RD_1d RS1_13 1 1 +RD_1d RS1_14 4 1 +RD_1d RS1_15 4 1 +RD_1d RS1_16 6 1 +RD_1d RS1_17 6 1 +RD_1d RS1_18 3 1 +RD_1d RS1_19 2 1 +RD_1d RS1_1a 5 1 +RD_1d RS1_1b 6 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 185 1 +RD_1d RS1_1e 4 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 4 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 6 1 +RD_1e RS1_03 5 1 +RD_1e RS1_04 5 1 +RD_1e RS1_05 5 1 +RD_1e RS1_06 1 1 +RD_1e RS1_07 5 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 14 1 +RD_1e RS1_0a 5 1 +RD_1e RS1_0b 2 1 +RD_1e RS1_0c 12 1 +RD_1e RS1_0d 5 1 +RD_1e RS1_0e 2 1 +RD_1e RS1_0f 7 1 +RD_1e RS1_10 6 1 +RD_1e RS1_11 3 1 +RD_1e RS1_12 6 1 +RD_1e RS1_13 3 1 +RD_1e RS1_14 6 1 +RD_1e RS1_15 7 1 +RD_1e RS1_16 2 1 +RD_1e RS1_17 7 1 +RD_1e RS1_18 8 1 +RD_1e RS1_19 4 1 +RD_1e RS1_1a 3 1 +RD_1e RS1_1b 5 1 +RD_1e RS1_1c 8 1 +RD_1e RS1_1d 3 1 +RD_1e RS1_1e 175 1 +RD_1e RS1_1f 7 1 +RD_1f RS1_00 3 1 +RD_1f RS1_01 3 1 +RD_1f RS1_02 7 1 +RD_1f RS1_03 10 1 +RD_1f RS1_04 4 1 +RD_1f RS1_05 5 1 +RD_1f RS1_06 4 1 +RD_1f RS1_07 2 1 +RD_1f RS1_08 3 1 +RD_1f RS1_09 4 1 +RD_1f RS1_0a 9 1 +RD_1f RS1_0b 4 1 +RD_1f RS1_0c 9 1 +RD_1f RS1_0d 7 1 +RD_1f RS1_0e 9 1 +RD_1f RS1_0f 7 1 +RD_1f RS1_10 6 1 +RD_1f RS1_11 3 1 +RD_1f RS1_12 7 1 +RD_1f RS1_13 5 1 +RD_1f RS1_14 5 1 +RD_1f RS1_15 5 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 8 1 +RD_1f RS1_18 5 1 +RD_1f RS1_19 5 1 +RD_1f RS1_1a 9 1 +RD_1f RS1_1b 5 1 +RD_1f RS1_1c 3 1 +RD_1f RS1_1d 3 1 +RD_1f RS1_1e 2 1 +RD_1f RS1_1f 161 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 0 1024 100.00 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 8 1 +RD_00 RS2_01 8 1 +RD_00 RS2_02 16 1 +RD_00 RS2_03 7 1 +RD_00 RS2_04 8 1 +RD_00 RS2_05 12 1 +RD_00 RS2_06 11 1 +RD_00 RS2_07 10 1 +RD_00 RS2_08 13 1 +RD_00 RS2_09 7 1 +RD_00 RS2_0a 12 1 +RD_00 RS2_0b 10 1 +RD_00 RS2_0c 16 1 +RD_00 RS2_0d 11 1 +RD_00 RS2_0e 12 1 +RD_00 RS2_0f 11 1 +RD_00 RS2_10 10 1 +RD_00 RS2_11 13 1 +RD_00 RS2_12 8 1 +RD_00 RS2_13 8 1 +RD_00 RS2_14 7 1 +RD_00 RS2_15 15 1 +RD_00 RS2_16 22 1 +RD_00 RS2_17 13 1 +RD_00 RS2_18 6 1 +RD_00 RS2_19 7 1 +RD_00 RS2_1a 17 1 +RD_00 RS2_1b 12 1 +RD_00 RS2_1c 11 1 +RD_00 RS2_1d 13 1 +RD_00 RS2_1e 4 1 +RD_00 RS2_1f 7 1 +RD_01 RS2_00 19 1 +RD_01 RS2_01 6 1 +RD_01 RS2_02 8 1 +RD_01 RS2_03 3 1 +RD_01 RS2_04 10 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 4 1 +RD_01 RS2_07 14 1 +RD_01 RS2_08 9 1 +RD_01 RS2_09 11 1 +RD_01 RS2_0a 8 1 +RD_01 RS2_0b 13 1 +RD_01 RS2_0c 8 1 +RD_01 RS2_0d 10 1 +RD_01 RS2_0e 13 1 +RD_01 RS2_0f 17 1 +RD_01 RS2_10 14 1 +RD_01 RS2_11 8 1 +RD_01 RS2_12 11 1 +RD_01 RS2_13 11 1 +RD_01 RS2_14 5 1 +RD_01 RS2_15 11 1 +RD_01 RS2_16 10 1 +RD_01 RS2_17 9 1 +RD_01 RS2_18 14 1 +RD_01 RS2_19 12 1 +RD_01 RS2_1a 9 1 +RD_01 RS2_1b 13 1 +RD_01 RS2_1c 12 1 +RD_01 RS2_1d 12 1 +RD_01 RS2_1e 11 1 +RD_01 RS2_1f 14 1 +RD_02 RS2_00 7 1 +RD_02 RS2_01 8 1 +RD_02 RS2_02 8 1 +RD_02 RS2_03 16 1 +RD_02 RS2_04 4 1 +RD_02 RS2_05 9 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 13 1 +RD_02 RS2_08 16 1 +RD_02 RS2_09 10 1 +RD_02 RS2_0a 9 1 +RD_02 RS2_0b 14 1 +RD_02 RS2_0c 7 1 +RD_02 RS2_0d 12 1 +RD_02 RS2_0e 16 1 +RD_02 RS2_0f 13 1 +RD_02 RS2_10 9 1 +RD_02 RS2_11 12 1 +RD_02 RS2_12 11 1 +RD_02 RS2_13 9 1 +RD_02 RS2_14 7 1 +RD_02 RS2_15 10 1 +RD_02 RS2_16 7 1 +RD_02 RS2_17 7 1 +RD_02 RS2_18 11 1 +RD_02 RS2_19 13 1 +RD_02 RS2_1a 8 1 +RD_02 RS2_1b 6 1 +RD_02 RS2_1c 8 1 +RD_02 RS2_1d 11 1 +RD_02 RS2_1e 4 1 +RD_02 RS2_1f 11 1 +RD_03 RS2_00 17 1 +RD_03 RS2_01 6 1 +RD_03 RS2_02 12 1 +RD_03 RS2_03 11 1 +RD_03 RS2_04 6 1 +RD_03 RS2_05 10 1 +RD_03 RS2_06 8 1 +RD_03 RS2_07 12 1 +RD_03 RS2_08 8 1 +RD_03 RS2_09 8 1 +RD_03 RS2_0a 12 1 +RD_03 RS2_0b 7 1 +RD_03 RS2_0c 13 1 +RD_03 RS2_0d 8 1 +RD_03 RS2_0e 14 1 +RD_03 RS2_0f 16 1 +RD_03 RS2_10 8 1 +RD_03 RS2_11 9 1 +RD_03 RS2_12 13 1 +RD_03 RS2_13 13 1 +RD_03 RS2_14 10 1 +RD_03 RS2_15 11 1 +RD_03 RS2_16 9 1 +RD_03 RS2_17 10 1 +RD_03 RS2_18 21 1 +RD_03 RS2_19 9 1 +RD_03 RS2_1a 10 1 +RD_03 RS2_1b 11 1 +RD_03 RS2_1c 6 1 +RD_03 RS2_1d 12 1 +RD_03 RS2_1e 9 1 +RD_03 RS2_1f 8 1 +RD_04 RS2_00 10 1 +RD_04 RS2_01 7 1 +RD_04 RS2_02 8 1 +RD_04 RS2_03 8 1 +RD_04 RS2_04 14 1 +RD_04 RS2_05 6 1 +RD_04 RS2_06 7 1 +RD_04 RS2_07 13 1 +RD_04 RS2_08 8 1 +RD_04 RS2_09 12 1 +RD_04 RS2_0a 5 1 +RD_04 RS2_0b 14 1 +RD_04 RS2_0c 13 1 +RD_04 RS2_0d 8 1 +RD_04 RS2_0e 9 1 +RD_04 RS2_0f 13 1 +RD_04 RS2_10 6 1 +RD_04 RS2_11 10 1 +RD_04 RS2_12 10 1 +RD_04 RS2_13 15 1 +RD_04 RS2_14 3 1 +RD_04 RS2_15 9 1 +RD_04 RS2_16 11 1 +RD_04 RS2_17 4 1 +RD_04 RS2_18 10 1 +RD_04 RS2_19 11 1 +RD_04 RS2_1a 13 1 +RD_04 RS2_1b 8 1 +RD_04 RS2_1c 7 1 +RD_04 RS2_1d 10 1 +RD_04 RS2_1e 16 1 +RD_04 RS2_1f 5 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 9 1 +RD_05 RS2_02 10 1 +RD_05 RS2_03 11 1 +RD_05 RS2_04 11 1 +RD_05 RS2_05 5 1 +RD_05 RS2_06 12 1 +RD_05 RS2_07 9 1 +RD_05 RS2_08 7 1 +RD_05 RS2_09 10 1 +RD_05 RS2_0a 8 1 +RD_05 RS2_0b 9 1 +RD_05 RS2_0c 5 1 +RD_05 RS2_0d 3 1 +RD_05 RS2_0e 9 1 +RD_05 RS2_0f 12 1 +RD_05 RS2_10 9 1 +RD_05 RS2_11 11 1 +RD_05 RS2_12 14 1 +RD_05 RS2_13 9 1 +RD_05 RS2_14 10 1 +RD_05 RS2_15 9 1 +RD_05 RS2_16 9 1 +RD_05 RS2_17 12 1 +RD_05 RS2_18 10 1 +RD_05 RS2_19 17 1 +RD_05 RS2_1a 10 1 +RD_05 RS2_1b 9 1 +RD_05 RS2_1c 6 1 +RD_05 RS2_1d 11 1 +RD_05 RS2_1e 11 1 +RD_05 RS2_1f 8 1 +RD_06 RS2_00 13 1 +RD_06 RS2_01 12 1 +RD_06 RS2_02 8 1 +RD_06 RS2_03 7 1 +RD_06 RS2_04 16 1 +RD_06 RS2_05 15 1 +RD_06 RS2_06 9 1 +RD_06 RS2_07 11 1 +RD_06 RS2_08 6 1 +RD_06 RS2_09 13 1 +RD_06 RS2_0a 15 1 +RD_06 RS2_0b 12 1 +RD_06 RS2_0c 9 1 +RD_06 RS2_0d 10 1 +RD_06 RS2_0e 7 1 +RD_06 RS2_0f 10 1 +RD_06 RS2_10 7 1 +RD_06 RS2_11 7 1 +RD_06 RS2_12 7 1 +RD_06 RS2_13 18 1 +RD_06 RS2_14 11 1 +RD_06 RS2_15 17 1 +RD_06 RS2_16 13 1 +RD_06 RS2_17 7 1 +RD_06 RS2_18 12 1 +RD_06 RS2_19 15 1 +RD_06 RS2_1a 13 1 +RD_06 RS2_1b 14 1 +RD_06 RS2_1c 13 1 +RD_06 RS2_1d 19 1 +RD_06 RS2_1e 10 1 +RD_06 RS2_1f 13 1 +RD_07 RS2_00 5 1 +RD_07 RS2_01 8 1 +RD_07 RS2_02 11 1 +RD_07 RS2_03 13 1 +RD_07 RS2_04 14 1 +RD_07 RS2_05 13 1 +RD_07 RS2_06 7 1 +RD_07 RS2_07 8 1 +RD_07 RS2_08 7 1 +RD_07 RS2_09 10 1 +RD_07 RS2_0a 6 1 +RD_07 RS2_0b 7 1 +RD_07 RS2_0c 12 1 +RD_07 RS2_0d 9 1 +RD_07 RS2_0e 13 1 +RD_07 RS2_0f 7 1 +RD_07 RS2_10 15 1 +RD_07 RS2_11 11 1 +RD_07 RS2_12 7 1 +RD_07 RS2_13 11 1 +RD_07 RS2_14 16 1 +RD_07 RS2_15 9 1 +RD_07 RS2_16 8 1 +RD_07 RS2_17 14 1 +RD_07 RS2_18 12 1 +RD_07 RS2_19 14 1 +RD_07 RS2_1a 9 1 +RD_07 RS2_1b 10 1 +RD_07 RS2_1c 6 1 +RD_07 RS2_1d 9 1 +RD_07 RS2_1e 5 1 +RD_07 RS2_1f 13 1 +RD_08 RS2_00 11 1 +RD_08 RS2_01 7 1 +RD_08 RS2_02 9 1 +RD_08 RS2_03 9 1 +RD_08 RS2_04 10 1 +RD_08 RS2_05 10 1 +RD_08 RS2_06 7 1 +RD_08 RS2_07 15 1 +RD_08 RS2_08 7 1 +RD_08 RS2_09 10 1 +RD_08 RS2_0a 15 1 +RD_08 RS2_0b 8 1 +RD_08 RS2_0c 13 1 +RD_08 RS2_0d 10 1 +RD_08 RS2_0e 10 1 +RD_08 RS2_0f 16 1 +RD_08 RS2_10 9 1 +RD_08 RS2_11 6 1 +RD_08 RS2_12 12 1 +RD_08 RS2_13 10 1 +RD_08 RS2_14 9 1 +RD_08 RS2_15 7 1 +RD_08 RS2_16 7 1 +RD_08 RS2_17 10 1 +RD_08 RS2_18 10 1 +RD_08 RS2_19 18 1 +RD_08 RS2_1a 7 1 +RD_08 RS2_1b 9 1 +RD_08 RS2_1c 7 1 +RD_08 RS2_1d 9 1 +RD_08 RS2_1e 10 1 +RD_08 RS2_1f 13 1 +RD_09 RS2_00 8 1 +RD_09 RS2_01 5 1 +RD_09 RS2_02 13 1 +RD_09 RS2_03 10 1 +RD_09 RS2_04 13 1 +RD_09 RS2_05 7 1 +RD_09 RS2_06 3 1 +RD_09 RS2_07 9 1 +RD_09 RS2_08 11 1 +RD_09 RS2_09 15 1 +RD_09 RS2_0a 7 1 +RD_09 RS2_0b 10 1 +RD_09 RS2_0c 19 1 +RD_09 RS2_0d 7 1 +RD_09 RS2_0e 11 1 +RD_09 RS2_0f 15 1 +RD_09 RS2_10 10 1 +RD_09 RS2_11 7 1 +RD_09 RS2_12 15 1 +RD_09 RS2_13 6 1 +RD_09 RS2_14 14 1 +RD_09 RS2_15 10 1 +RD_09 RS2_16 15 1 +RD_09 RS2_17 8 1 +RD_09 RS2_18 9 1 +RD_09 RS2_19 14 1 +RD_09 RS2_1a 8 1 +RD_09 RS2_1b 13 1 +RD_09 RS2_1c 9 1 +RD_09 RS2_1d 12 1 +RD_09 RS2_1e 13 1 +RD_09 RS2_1f 9 1 +RD_0a RS2_00 6 1 +RD_0a RS2_01 10 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 15 1 +RD_0a RS2_04 7 1 +RD_0a RS2_05 10 1 +RD_0a RS2_06 9 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 12 1 +RD_0a RS2_09 13 1 +RD_0a RS2_0a 5 1 +RD_0a RS2_0b 7 1 +RD_0a RS2_0c 4 1 +RD_0a RS2_0d 9 1 +RD_0a RS2_0e 7 1 +RD_0a RS2_0f 9 1 +RD_0a RS2_10 9 1 +RD_0a RS2_11 3 1 +RD_0a RS2_12 8 1 +RD_0a RS2_13 16 1 +RD_0a RS2_14 9 1 +RD_0a RS2_15 11 1 +RD_0a RS2_16 12 1 +RD_0a RS2_17 10 1 +RD_0a RS2_18 17 1 +RD_0a RS2_19 14 1 +RD_0a RS2_1a 3 1 +RD_0a RS2_1b 6 1 +RD_0a RS2_1c 6 1 +RD_0a RS2_1d 16 1 +RD_0a RS2_1e 12 1 +RD_0a RS2_1f 5 1 +RD_0b RS2_00 9 1 +RD_0b RS2_01 11 1 +RD_0b RS2_02 7 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 14 1 +RD_0b RS2_05 10 1 +RD_0b RS2_06 7 1 +RD_0b RS2_07 7 1 +RD_0b RS2_08 10 1 +RD_0b RS2_09 16 1 +RD_0b RS2_0a 7 1 +RD_0b RS2_0b 7 1 +RD_0b RS2_0c 12 1 +RD_0b RS2_0d 6 1 +RD_0b RS2_0e 9 1 +RD_0b RS2_0f 7 1 +RD_0b RS2_10 16 1 +RD_0b RS2_11 7 1 +RD_0b RS2_12 13 1 +RD_0b RS2_13 8 1 +RD_0b RS2_14 9 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 16 1 +RD_0b RS2_17 7 1 +RD_0b RS2_18 14 1 +RD_0b RS2_19 15 1 +RD_0b RS2_1a 20 1 +RD_0b RS2_1b 8 1 +RD_0b RS2_1c 14 1 +RD_0b RS2_1d 6 1 +RD_0b RS2_1e 10 1 +RD_0b RS2_1f 13 1 +RD_0c RS2_00 3 1 +RD_0c RS2_01 12 1 +RD_0c RS2_02 6 1 +RD_0c RS2_03 9 1 +RD_0c RS2_04 11 1 +RD_0c RS2_05 9 1 +RD_0c RS2_06 12 1 +RD_0c RS2_07 12 1 +RD_0c RS2_08 10 1 +RD_0c RS2_09 9 1 +RD_0c RS2_0a 10 1 +RD_0c RS2_0b 7 1 +RD_0c RS2_0c 8 1 +RD_0c RS2_0d 11 1 +RD_0c RS2_0e 11 1 +RD_0c RS2_0f 11 1 +RD_0c RS2_10 7 1 +RD_0c RS2_11 7 1 +RD_0c RS2_12 10 1 +RD_0c RS2_13 11 1 +RD_0c RS2_14 13 1 +RD_0c RS2_15 16 1 +RD_0c RS2_16 9 1 +RD_0c RS2_17 9 1 +RD_0c RS2_18 14 1 +RD_0c RS2_19 9 1 +RD_0c RS2_1a 13 1 +RD_0c RS2_1b 12 1 +RD_0c RS2_1c 11 1 +RD_0c RS2_1d 10 1 +RD_0c RS2_1e 10 1 +RD_0c RS2_1f 8 1 +RD_0d RS2_00 13 1 +RD_0d RS2_01 6 1 +RD_0d RS2_02 8 1 +RD_0d RS2_03 13 1 +RD_0d RS2_04 12 1 +RD_0d RS2_05 11 1 +RD_0d RS2_06 6 1 +RD_0d RS2_07 10 1 +RD_0d RS2_08 12 1 +RD_0d RS2_09 10 1 +RD_0d RS2_0a 11 1 +RD_0d RS2_0b 10 1 +RD_0d RS2_0c 12 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 9 1 +RD_0d RS2_0f 17 1 +RD_0d RS2_10 14 1 +RD_0d RS2_11 13 1 +RD_0d RS2_12 9 1 +RD_0d RS2_13 3 1 +RD_0d RS2_14 10 1 +RD_0d RS2_15 12 1 +RD_0d RS2_16 10 1 +RD_0d RS2_17 15 1 +RD_0d RS2_18 6 1 +RD_0d RS2_19 13 1 +RD_0d RS2_1a 6 1 +RD_0d RS2_1b 9 1 +RD_0d RS2_1c 10 1 +RD_0d RS2_1d 14 1 +RD_0d RS2_1e 15 1 +RD_0d RS2_1f 7 1 +RD_0e RS2_00 9 1 +RD_0e RS2_01 6 1 +RD_0e RS2_02 7 1 +RD_0e RS2_03 11 1 +RD_0e RS2_04 10 1 +RD_0e RS2_05 6 1 +RD_0e RS2_06 10 1 +RD_0e RS2_07 9 1 +RD_0e RS2_08 13 1 +RD_0e RS2_09 14 1 +RD_0e RS2_0a 13 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 12 1 +RD_0e RS2_0d 15 1 +RD_0e RS2_0e 7 1 +RD_0e RS2_0f 6 1 +RD_0e RS2_10 20 1 +RD_0e RS2_11 16 1 +RD_0e RS2_12 8 1 +RD_0e RS2_13 15 1 +RD_0e RS2_14 6 1 +RD_0e RS2_15 14 1 +RD_0e RS2_16 8 1 +RD_0e RS2_17 15 1 +RD_0e RS2_18 14 1 +RD_0e RS2_19 11 1 +RD_0e RS2_1a 5 1 +RD_0e RS2_1b 6 1 +RD_0e RS2_1c 10 1 +RD_0e RS2_1d 7 1 +RD_0e RS2_1e 7 1 +RD_0e RS2_1f 7 1 +RD_0f RS2_00 14 1 +RD_0f RS2_01 15 1 +RD_0f RS2_02 11 1 +RD_0f RS2_03 12 1 +RD_0f RS2_04 11 1 +RD_0f RS2_05 8 1 +RD_0f RS2_06 16 1 +RD_0f RS2_07 9 1 +RD_0f RS2_08 11 1 +RD_0f RS2_09 13 1 +RD_0f RS2_0a 8 1 +RD_0f RS2_0b 9 1 +RD_0f RS2_0c 11 1 +RD_0f RS2_0d 11 1 +RD_0f RS2_0e 10 1 +RD_0f RS2_0f 12 1 +RD_0f RS2_10 11 1 +RD_0f RS2_11 11 1 +RD_0f RS2_12 11 1 +RD_0f RS2_13 12 1 +RD_0f RS2_14 1 1 +RD_0f RS2_15 8 1 +RD_0f RS2_16 9 1 +RD_0f RS2_17 15 1 +RD_0f RS2_18 10 1 +RD_0f RS2_19 7 1 +RD_0f RS2_1a 11 1 +RD_0f RS2_1b 7 1 +RD_0f RS2_1c 15 1 +RD_0f RS2_1d 13 1 +RD_0f RS2_1e 7 1 +RD_0f RS2_1f 14 1 +RD_10 RS2_00 14 1 +RD_10 RS2_01 9 1 +RD_10 RS2_02 5 1 +RD_10 RS2_03 8 1 +RD_10 RS2_04 10 1 +RD_10 RS2_05 10 1 +RD_10 RS2_06 12 1 +RD_10 RS2_07 16 1 +RD_10 RS2_08 11 1 +RD_10 RS2_09 12 1 +RD_10 RS2_0a 17 1 +RD_10 RS2_0b 13 1 +RD_10 RS2_0c 7 1 +RD_10 RS2_0d 13 1 +RD_10 RS2_0e 9 1 +RD_10 RS2_0f 5 1 +RD_10 RS2_10 17 1 +RD_10 RS2_11 9 1 +RD_10 RS2_12 8 1 +RD_10 RS2_13 9 1 +RD_10 RS2_14 11 1 +RD_10 RS2_15 14 1 +RD_10 RS2_16 6 1 +RD_10 RS2_17 13 1 +RD_10 RS2_18 7 1 +RD_10 RS2_19 13 1 +RD_10 RS2_1a 7 1 +RD_10 RS2_1b 16 1 +RD_10 RS2_1c 12 1 +RD_10 RS2_1d 9 1 +RD_10 RS2_1e 6 1 +RD_10 RS2_1f 8 1 +RD_11 RS2_00 12 1 +RD_11 RS2_01 8 1 +RD_11 RS2_02 8 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 5 1 +RD_11 RS2_05 12 1 +RD_11 RS2_06 12 1 +RD_11 RS2_07 11 1 +RD_11 RS2_08 12 1 +RD_11 RS2_09 8 1 +RD_11 RS2_0a 9 1 +RD_11 RS2_0b 17 1 +RD_11 RS2_0c 9 1 +RD_11 RS2_0d 9 1 +RD_11 RS2_0e 17 1 +RD_11 RS2_0f 3 1 +RD_11 RS2_10 12 1 +RD_11 RS2_11 6 1 +RD_11 RS2_12 8 1 +RD_11 RS2_13 5 1 +RD_11 RS2_14 7 1 +RD_11 RS2_15 8 1 +RD_11 RS2_16 14 1 +RD_11 RS2_17 6 1 +RD_11 RS2_18 6 1 +RD_11 RS2_19 14 1 +RD_11 RS2_1a 11 1 +RD_11 RS2_1b 10 1 +RD_11 RS2_1c 12 1 +RD_11 RS2_1d 8 1 +RD_11 RS2_1e 13 1 +RD_11 RS2_1f 7 1 +RD_12 RS2_00 6 1 +RD_12 RS2_01 8 1 +RD_12 RS2_02 14 1 +RD_12 RS2_03 7 1 +RD_12 RS2_04 12 1 +RD_12 RS2_05 8 1 +RD_12 RS2_06 9 1 +RD_12 RS2_07 14 1 +RD_12 RS2_08 14 1 +RD_12 RS2_09 10 1 +RD_12 RS2_0a 10 1 +RD_12 RS2_0b 6 1 +RD_12 RS2_0c 5 1 +RD_12 RS2_0d 17 1 +RD_12 RS2_0e 4 1 +RD_12 RS2_0f 5 1 +RD_12 RS2_10 6 1 +RD_12 RS2_11 13 1 +RD_12 RS2_12 13 1 +RD_12 RS2_13 8 1 +RD_12 RS2_14 7 1 +RD_12 RS2_15 8 1 +RD_12 RS2_16 15 1 +RD_12 RS2_17 12 1 +RD_12 RS2_18 3 1 +RD_12 RS2_19 12 1 +RD_12 RS2_1a 4 1 +RD_12 RS2_1b 11 1 +RD_12 RS2_1c 11 1 +RD_12 RS2_1d 12 1 +RD_12 RS2_1e 8 1 +RD_12 RS2_1f 14 1 +RD_13 RS2_00 11 1 +RD_13 RS2_01 15 1 +RD_13 RS2_02 11 1 +RD_13 RS2_03 13 1 +RD_13 RS2_04 15 1 +RD_13 RS2_05 13 1 +RD_13 RS2_06 9 1 +RD_13 RS2_07 10 1 +RD_13 RS2_08 6 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 12 1 +RD_13 RS2_0b 13 1 +RD_13 RS2_0c 8 1 +RD_13 RS2_0d 14 1 +RD_13 RS2_0e 13 1 +RD_13 RS2_0f 8 1 +RD_13 RS2_10 12 1 +RD_13 RS2_11 13 1 +RD_13 RS2_12 12 1 +RD_13 RS2_13 4 1 +RD_13 RS2_14 10 1 +RD_13 RS2_15 11 1 +RD_13 RS2_16 8 1 +RD_13 RS2_17 8 1 +RD_13 RS2_18 16 1 +RD_13 RS2_19 8 1 +RD_13 RS2_1a 12 1 +RD_13 RS2_1b 9 1 +RD_13 RS2_1c 7 1 +RD_13 RS2_1d 8 1 +RD_13 RS2_1e 12 1 +RD_13 RS2_1f 14 1 +RD_14 RS2_00 9 1 +RD_14 RS2_01 10 1 +RD_14 RS2_02 12 1 +RD_14 RS2_03 7 1 +RD_14 RS2_04 4 1 +RD_14 RS2_05 12 1 +RD_14 RS2_06 17 1 +RD_14 RS2_07 8 1 +RD_14 RS2_08 13 1 +RD_14 RS2_09 5 1 +RD_14 RS2_0a 16 1 +RD_14 RS2_0b 11 1 +RD_14 RS2_0c 12 1 +RD_14 RS2_0d 8 1 +RD_14 RS2_0e 3 1 +RD_14 RS2_0f 7 1 +RD_14 RS2_10 8 1 +RD_14 RS2_11 8 1 +RD_14 RS2_12 11 1 +RD_14 RS2_13 12 1 +RD_14 RS2_14 12 1 +RD_14 RS2_15 10 1 +RD_14 RS2_16 6 1 +RD_14 RS2_17 13 1 +RD_14 RS2_18 7 1 +RD_14 RS2_19 8 1 +RD_14 RS2_1a 9 1 +RD_14 RS2_1b 9 1 +RD_14 RS2_1c 8 1 +RD_14 RS2_1d 12 1 +RD_14 RS2_1e 6 1 +RD_14 RS2_1f 11 1 +RD_15 RS2_00 4 1 +RD_15 RS2_01 3 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 11 1 +RD_15 RS2_04 22 1 +RD_15 RS2_05 15 1 +RD_15 RS2_06 14 1 +RD_15 RS2_07 15 1 +RD_15 RS2_08 7 1 +RD_15 RS2_09 18 1 +RD_15 RS2_0a 16 1 +RD_15 RS2_0b 14 1 +RD_15 RS2_0c 13 1 +RD_15 RS2_0d 13 1 +RD_15 RS2_0e 23 1 +RD_15 RS2_0f 14 1 +RD_15 RS2_10 12 1 +RD_15 RS2_11 14 1 +RD_15 RS2_12 5 1 +RD_15 RS2_13 9 1 +RD_15 RS2_14 5 1 +RD_15 RS2_15 4 1 +RD_15 RS2_16 14 1 +RD_15 RS2_17 3 1 +RD_15 RS2_18 11 1 +RD_15 RS2_19 8 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 12 1 +RD_15 RS2_1c 14 1 +RD_15 RS2_1d 14 1 +RD_15 RS2_1e 11 1 +RD_15 RS2_1f 9 1 +RD_16 RS2_00 9 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 12 1 +RD_16 RS2_03 8 1 +RD_16 RS2_04 8 1 +RD_16 RS2_05 12 1 +RD_16 RS2_06 15 1 +RD_16 RS2_07 7 1 +RD_16 RS2_08 13 1 +RD_16 RS2_09 13 1 +RD_16 RS2_0a 16 1 +RD_16 RS2_0b 8 1 +RD_16 RS2_0c 9 1 +RD_16 RS2_0d 10 1 +RD_16 RS2_0e 6 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_10 11 1 +RD_16 RS2_11 13 1 +RD_16 RS2_12 5 1 +RD_16 RS2_13 13 1 +RD_16 RS2_14 7 1 +RD_16 RS2_15 8 1 +RD_16 RS2_16 12 1 +RD_16 RS2_17 11 1 +RD_16 RS2_18 14 1 +RD_16 RS2_19 13 1 +RD_16 RS2_1a 4 1 +RD_16 RS2_1b 12 1 +RD_16 RS2_1c 20 1 +RD_16 RS2_1d 12 1 +RD_16 RS2_1e 13 1 +RD_16 RS2_1f 12 1 +RD_17 RS2_00 13 1 +RD_17 RS2_01 17 1 +RD_17 RS2_02 10 1 +RD_17 RS2_03 14 1 +RD_17 RS2_04 13 1 +RD_17 RS2_05 12 1 +RD_17 RS2_06 8 1 +RD_17 RS2_07 8 1 +RD_17 RS2_08 13 1 +RD_17 RS2_09 10 1 +RD_17 RS2_0a 9 1 +RD_17 RS2_0b 13 1 +RD_17 RS2_0c 11 1 +RD_17 RS2_0d 7 1 +RD_17 RS2_0e 13 1 +RD_17 RS2_0f 11 1 +RD_17 RS2_10 13 1 +RD_17 RS2_11 7 1 +RD_17 RS2_12 11 1 +RD_17 RS2_13 9 1 +RD_17 RS2_14 10 1 +RD_17 RS2_15 8 1 +RD_17 RS2_16 2 1 +RD_17 RS2_17 13 1 +RD_17 RS2_18 11 1 +RD_17 RS2_19 14 1 +RD_17 RS2_1a 8 1 +RD_17 RS2_1b 9 1 +RD_17 RS2_1c 9 1 +RD_17 RS2_1d 13 1 +RD_17 RS2_1e 17 1 +RD_17 RS2_1f 9 1 +RD_18 RS2_00 5 1 +RD_18 RS2_01 8 1 +RD_18 RS2_02 11 1 +RD_18 RS2_03 8 1 +RD_18 RS2_04 8 1 +RD_18 RS2_05 5 1 +RD_18 RS2_06 11 1 +RD_18 RS2_07 10 1 +RD_18 RS2_08 3 1 +RD_18 RS2_09 5 1 +RD_18 RS2_0a 8 1 +RD_18 RS2_0b 6 1 +RD_18 RS2_0c 9 1 +RD_18 RS2_0d 11 1 +RD_18 RS2_0e 8 1 +RD_18 RS2_0f 11 1 +RD_18 RS2_10 18 1 +RD_18 RS2_11 8 1 +RD_18 RS2_12 13 1 +RD_18 RS2_13 16 1 +RD_18 RS2_14 13 1 +RD_18 RS2_15 9 1 +RD_18 RS2_16 5 1 +RD_18 RS2_17 8 1 +RD_18 RS2_18 8 1 +RD_18 RS2_19 12 1 +RD_18 RS2_1a 10 1 +RD_18 RS2_1b 12 1 +RD_18 RS2_1c 11 1 +RD_18 RS2_1d 11 1 +RD_18 RS2_1e 13 1 +RD_18 RS2_1f 11 1 +RD_19 RS2_00 14 1 +RD_19 RS2_01 9 1 +RD_19 RS2_02 10 1 +RD_19 RS2_03 9 1 +RD_19 RS2_04 8 1 +RD_19 RS2_05 8 1 +RD_19 RS2_06 11 1 +RD_19 RS2_07 16 1 +RD_19 RS2_08 10 1 +RD_19 RS2_09 11 1 +RD_19 RS2_0a 11 1 +RD_19 RS2_0b 15 1 +RD_19 RS2_0c 10 1 +RD_19 RS2_0d 12 1 +RD_19 RS2_0e 6 1 +RD_19 RS2_0f 10 1 +RD_19 RS2_10 9 1 +RD_19 RS2_11 9 1 +RD_19 RS2_12 5 1 +RD_19 RS2_13 9 1 +RD_19 RS2_14 8 1 +RD_19 RS2_15 9 1 +RD_19 RS2_16 12 1 +RD_19 RS2_17 19 1 +RD_19 RS2_18 9 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 7 1 +RD_19 RS2_1b 8 1 +RD_19 RS2_1c 6 1 +RD_19 RS2_1d 10 1 +RD_19 RS2_1e 10 1 +RD_19 RS2_1f 7 1 +RD_1a RS2_00 9 1 +RD_1a RS2_01 10 1 +RD_1a RS2_02 10 1 +RD_1a RS2_03 11 1 +RD_1a RS2_04 7 1 +RD_1a RS2_05 14 1 +RD_1a RS2_06 17 1 +RD_1a RS2_07 6 1 +RD_1a RS2_08 12 1 +RD_1a RS2_09 12 1 +RD_1a RS2_0a 15 1 +RD_1a RS2_0b 6 1 +RD_1a RS2_0c 7 1 +RD_1a RS2_0d 9 1 +RD_1a RS2_0e 10 1 +RD_1a RS2_0f 8 1 +RD_1a RS2_10 12 1 +RD_1a RS2_11 17 1 +RD_1a RS2_12 8 1 +RD_1a RS2_13 7 1 +RD_1a RS2_14 6 1 +RD_1a RS2_15 18 1 +RD_1a RS2_16 9 1 +RD_1a RS2_17 3 1 +RD_1a RS2_18 16 1 +RD_1a RS2_19 12 1 +RD_1a RS2_1a 12 1 +RD_1a RS2_1b 11 1 +RD_1a RS2_1c 13 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 8 1 +RD_1a RS2_1f 8 1 +RD_1b RS2_00 11 1 +RD_1b RS2_01 16 1 +RD_1b RS2_02 7 1 +RD_1b RS2_03 7 1 +RD_1b RS2_04 14 1 +RD_1b RS2_05 14 1 +RD_1b RS2_06 8 1 +RD_1b RS2_07 9 1 +RD_1b RS2_08 11 1 +RD_1b RS2_09 15 1 +RD_1b RS2_0a 10 1 +RD_1b RS2_0b 15 1 +RD_1b RS2_0c 10 1 +RD_1b RS2_0d 12 1 +RD_1b RS2_0e 10 1 +RD_1b RS2_0f 9 1 +RD_1b RS2_10 6 1 +RD_1b RS2_11 13 1 +RD_1b RS2_12 12 1 +RD_1b RS2_13 9 1 +RD_1b RS2_14 9 1 +RD_1b RS2_15 15 1 +RD_1b RS2_16 12 1 +RD_1b RS2_17 12 1 +RD_1b RS2_18 11 1 +RD_1b RS2_19 5 1 +RD_1b RS2_1a 9 1 +RD_1b RS2_1b 5 1 +RD_1b RS2_1c 12 1 +RD_1b RS2_1d 7 1 +RD_1b RS2_1e 17 1 +RD_1b RS2_1f 10 1 +RD_1c RS2_00 9 1 +RD_1c RS2_01 10 1 +RD_1c RS2_02 11 1 +RD_1c RS2_03 9 1 +RD_1c RS2_04 9 1 +RD_1c RS2_05 6 1 +RD_1c RS2_06 11 1 +RD_1c RS2_07 11 1 +RD_1c RS2_08 12 1 +RD_1c RS2_09 8 1 +RD_1c RS2_0a 7 1 +RD_1c RS2_0b 7 1 +RD_1c RS2_0c 7 1 +RD_1c RS2_0d 8 1 +RD_1c RS2_0e 7 1 +RD_1c RS2_0f 4 1 +RD_1c RS2_10 9 1 +RD_1c RS2_11 7 1 +RD_1c RS2_12 9 1 +RD_1c RS2_13 10 1 +RD_1c RS2_14 9 1 +RD_1c RS2_15 10 1 +RD_1c RS2_16 12 1 +RD_1c RS2_17 9 1 +RD_1c RS2_18 16 1 +RD_1c RS2_19 8 1 +RD_1c RS2_1a 6 1 +RD_1c RS2_1b 12 1 +RD_1c RS2_1c 10 1 +RD_1c RS2_1d 10 1 +RD_1c RS2_1e 13 1 +RD_1c RS2_1f 5 1 +RD_1d RS2_00 9 1 +RD_1d RS2_01 10 1 +RD_1d RS2_02 15 1 +RD_1d RS2_03 12 1 +RD_1d RS2_04 9 1 +RD_1d RS2_05 11 1 +RD_1d RS2_06 15 1 +RD_1d RS2_07 11 1 +RD_1d RS2_08 9 1 +RD_1d RS2_09 11 1 +RD_1d RS2_0a 7 1 +RD_1d RS2_0b 10 1 +RD_1d RS2_0c 11 1 +RD_1d RS2_0d 7 1 +RD_1d RS2_0e 7 1 +RD_1d RS2_0f 21 1 +RD_1d RS2_10 11 1 +RD_1d RS2_11 11 1 +RD_1d RS2_12 10 1 +RD_1d RS2_13 7 1 +RD_1d RS2_14 9 1 +RD_1d RS2_15 12 1 +RD_1d RS2_16 10 1 +RD_1d RS2_17 13 1 +RD_1d RS2_18 10 1 +RD_1d RS2_19 13 1 +RD_1d RS2_1a 7 1 +RD_1d RS2_1b 12 1 +RD_1d RS2_1c 13 1 +RD_1d RS2_1d 8 1 +RD_1d RS2_1e 10 1 +RD_1d RS2_1f 15 1 +RD_1e RS2_00 12 1 +RD_1e RS2_01 6 1 +RD_1e RS2_02 6 1 +RD_1e RS2_03 12 1 +RD_1e RS2_04 13 1 +RD_1e RS2_05 4 1 +RD_1e RS2_06 12 1 +RD_1e RS2_07 8 1 +RD_1e RS2_08 8 1 +RD_1e RS2_09 9 1 +RD_1e RS2_0a 10 1 +RD_1e RS2_0b 8 1 +RD_1e RS2_0c 7 1 +RD_1e RS2_0d 18 1 +RD_1e RS2_0e 9 1 +RD_1e RS2_0f 13 1 +RD_1e RS2_10 14 1 +RD_1e RS2_11 15 1 +RD_1e RS2_12 9 1 +RD_1e RS2_13 11 1 +RD_1e RS2_14 11 1 +RD_1e RS2_15 15 1 +RD_1e RS2_16 14 1 +RD_1e RS2_17 12 1 +RD_1e RS2_18 12 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 11 1 +RD_1e RS2_1b 9 1 +RD_1e RS2_1c 11 1 +RD_1e RS2_1d 7 1 +RD_1e RS2_1e 12 1 +RD_1e RS2_1f 13 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 12 1 +RD_1f RS2_02 7 1 +RD_1f RS2_03 20 1 +RD_1f RS2_04 9 1 +RD_1f RS2_05 12 1 +RD_1f RS2_06 13 1 +RD_1f RS2_07 12 1 +RD_1f RS2_08 8 1 +RD_1f RS2_09 9 1 +RD_1f RS2_0a 6 1 +RD_1f RS2_0b 7 1 +RD_1f RS2_0c 12 1 +RD_1f RS2_0d 7 1 +RD_1f RS2_0e 10 1 +RD_1f RS2_0f 9 1 +RD_1f RS2_10 8 1 +RD_1f RS2_11 9 1 +RD_1f RS2_12 9 1 +RD_1f RS2_13 10 1 +RD_1f RS2_14 11 1 +RD_1f RS2_15 10 1 +RD_1f RS2_16 9 1 +RD_1f RS2_17 14 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 11 1 +RD_1f RS2_1a 12 1 +RD_1f RS2_1b 10 1 +RD_1f RS2_1c 18 1 +RD_1f RS2_1d 8 1 +RD_1f RS2_1e 4 1 +RD_1f RS2_1f 18 1 + + +Group : uvma_isacov_pkg::cg_rtype(withChksum=546157500) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype(withChksum=546157500) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.80 99.80 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +21 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 95.83 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bset_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_and_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_or_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_xor_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_divu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mul_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mulhu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_remu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_andn_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_max_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_maxu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_min_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_minu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_orn_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_rol_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_ror_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_xnor_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbc_clmul_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbc_clmulr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bclr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_binv_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bset_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 95.83 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 1 357 95.45 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 1 1 50.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 573 1 +auto[1] 535 1 +auto[2] 563 1 +auto[3] 563 1 +auto[4] 541 1 +auto[5] 553 1 +auto[6] 547 1 +auto[7] 530 1 +auto[8] 539 1 +auto[9] 566 1 +auto[10] 564 1 +auto[11] 562 1 +auto[12] 577 1 +auto[13] 544 1 +auto[14] 574 1 +auto[15] 557 1 +auto[16] 557 1 +auto[17] 537 1 +auto[18] 580 1 +auto[19] 556 1 +auto[20] 601 1 +auto[21] 533 1 +auto[22] 554 1 +auto[23] 528 1 +auto[24] 557 1 +auto[25] 563 1 +auto[26] 536 1 +auto[27] 579 1 +auto[28] 523 1 +auto[29] 573 1 +auto[30] 573 1 +auto[31] 540 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 586 1 +auto[1] 565 1 +auto[2] 530 1 +auto[3] 556 1 +auto[4] 564 1 +auto[5] 544 1 +auto[6] 540 1 +auto[7] 563 1 +auto[8] 545 1 +auto[9] 521 1 +auto[10] 561 1 +auto[11] 567 1 +auto[12] 539 1 +auto[13] 538 1 +auto[14] 557 1 +auto[15] 570 1 +auto[16] 564 1 +auto[17] 524 1 +auto[18] 548 1 +auto[19] 592 1 +auto[20] 535 1 +auto[21] 559 1 +auto[22] 532 1 +auto[23] 593 1 +auto[24] 595 1 +auto[25] 539 1 +auto[26] 554 1 +auto[27] 566 1 +auto[28] 556 1 +auto[29] 537 1 +auto[30] 578 1 +auto[31] 560 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 623 1 +auto[1] 608 1 +auto[2] 514 1 +auto[3] 614 1 +auto[4] 519 1 +auto[5] 533 1 +auto[6] 559 1 +auto[7] 553 1 +auto[8] 542 1 +auto[9] 530 1 +auto[10] 547 1 +auto[11] 546 1 +auto[12] 549 1 +auto[13] 533 1 +auto[14] 622 1 +auto[15] 543 1 +auto[16] 560 1 +auto[17] 568 1 +auto[18] 558 1 +auto[19] 540 1 +auto[20] 540 1 +auto[21] 543 1 +auto[22] 480 1 +auto[23] 518 1 +auto[24] 543 1 +auto[25] 567 1 +auto[26] 579 1 +auto[27] 596 1 +auto[28] 573 1 +auto[29] 510 1 +auto[30] 580 1 +auto[31] 588 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 59 1 +RD_02 66 1 +RD_03 69 1 +RD_04 60 1 +RD_05 64 1 +RD_06 64 1 +RD_07 67 1 +RD_08 54 1 +RD_09 55 1 +RD_0a 65 1 +RD_0b 60 1 +RD_0c 65 1 +RD_0d 68 1 +RD_0e 69 1 +RD_0f 77 1 +RD_10 61 1 +RD_11 74 1 +RD_12 80 1 +RD_13 71 1 +RD_14 59 1 +RD_15 71 1 +RD_16 60 1 +RD_17 58 1 +RD_18 74 1 +RD_19 56 1 +RD_1a 75 1 +RD_1b 77 1 +RD_1c 63 1 +RD_1d 71 1 +RD_1e 83 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 78 1 +RD_01 62 1 +RD_02 65 1 +RD_03 71 1 +RD_04 67 1 +RD_05 60 1 +RD_06 64 1 +RD_07 63 1 +RD_08 59 1 +RD_09 57 1 +RD_0a 72 1 +RD_0b 60 1 +RD_0c 55 1 +RD_0d 65 1 +RD_0e 68 1 +RD_0f 71 1 +RD_10 61 1 +RD_11 68 1 +RD_12 77 1 +RD_13 62 1 +RD_14 67 1 +RD_15 70 1 +RD_16 59 1 +RD_17 56 1 +RD_18 71 1 +RD_19 57 1 +RD_1a 79 1 +RD_1b 74 1 +RD_1c 66 1 +RD_1d 65 1 +RD_1e 81 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6259 1 +auto_NON_ZERO 11519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6084 1 +auto_NON_ZERO 11694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for cp_rd_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_NON_ZERO 17778 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5396 1 +BIT30_1 3519 1 +BIT29_1 3521 1 +BIT28_1 3524 1 +BIT27_1 3440 1 +BIT26_1 3439 1 +BIT25_1 3387 1 +BIT24_1 3395 1 +BIT23_1 3449 1 +BIT22_1 3358 1 +BIT21_1 3339 1 +BIT20_1 3410 1 +BIT19_1 3427 1 +BIT18_1 3428 1 +BIT17_1 3442 1 +BIT16_1 3619 1 +BIT15_1 4369 1 +BIT14_1 4264 1 +BIT13_1 4543 1 +BIT12_1 4325 1 +BIT11_1 4780 1 +BIT10_1 4784 1 +BIT9_1 4311 1 +BIT8_1 3733 1 +BIT7_1 4683 1 +BIT6_1 4102 1 +BIT5_1 4233 1 +BIT4_1 5464 1 +BIT3_1 5421 1 +BIT2_1 5367 1 +BIT1_1 4183 1 +BIT0_1 5022 1 +BIT31_0 12382 1 +BIT30_0 14259 1 +BIT29_0 14257 1 +BIT28_0 14254 1 +BIT27_0 14338 1 +BIT26_0 14339 1 +BIT25_0 14391 1 +BIT24_0 14383 1 +BIT23_0 14329 1 +BIT22_0 14420 1 +BIT21_0 14439 1 +BIT20_0 14368 1 +BIT19_0 14351 1 +BIT18_0 14350 1 +BIT17_0 14336 1 +BIT16_0 14159 1 +BIT15_0 13409 1 +BIT14_0 13514 1 +BIT13_0 13235 1 +BIT12_0 13453 1 +BIT11_0 12998 1 +BIT10_0 12994 1 +BIT9_0 13467 1 +BIT8_0 14045 1 +BIT7_0 13095 1 +BIT6_0 13676 1 +BIT5_0 13545 1 +BIT4_0 12314 1 +BIT3_0 12357 1 +BIT2_0 12411 1 +BIT1_0 13595 1 +BIT0_0 12756 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5449 1 +BIT30_1 3661 1 +BIT29_1 3627 1 +BIT28_1 3659 1 +BIT27_1 3494 1 +BIT26_1 3528 1 +BIT25_1 3458 1 +BIT24_1 3532 1 +BIT23_1 3507 1 +BIT22_1 3458 1 +BIT21_1 3482 1 +BIT20_1 3472 1 +BIT19_1 3512 1 +BIT18_1 3570 1 +BIT17_1 3508 1 +BIT16_1 3660 1 +BIT15_1 4515 1 +BIT14_1 4317 1 +BIT13_1 4651 1 +BIT12_1 4317 1 +BIT11_1 4835 1 +BIT10_1 4894 1 +BIT9_1 4364 1 +BIT8_1 3828 1 +BIT7_1 4726 1 +BIT6_1 4151 1 +BIT5_1 4358 1 +BIT4_1 5494 1 +BIT3_1 5545 1 +BIT2_1 5416 1 +BIT1_1 4363 1 +BIT0_1 5009 1 +BIT31_0 12329 1 +BIT30_0 14117 1 +BIT29_0 14151 1 +BIT28_0 14119 1 +BIT27_0 14284 1 +BIT26_0 14250 1 +BIT25_0 14320 1 +BIT24_0 14246 1 +BIT23_0 14271 1 +BIT22_0 14320 1 +BIT21_0 14296 1 +BIT20_0 14306 1 +BIT19_0 14266 1 +BIT18_0 14208 1 +BIT17_0 14270 1 +BIT16_0 14118 1 +BIT15_0 13263 1 +BIT14_0 13461 1 +BIT13_0 13127 1 +BIT12_0 13461 1 +BIT11_0 12943 1 +BIT10_0 12884 1 +BIT9_0 13414 1 +BIT8_0 13950 1 +BIT7_0 13052 1 +BIT6_0 13627 1 +BIT5_0 13420 1 +BIT4_0 12284 1 +BIT3_0 12233 1 +BIT2_0 12362 1 +BIT1_0 13415 1 +BIT0_0 12769 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6145 1 +BIT30_1 3749 1 +BIT29_1 3669 1 +BIT28_1 4573 1 +BIT27_1 3570 1 +BIT26_1 3581 1 +BIT25_1 3511 1 +BIT24_1 3597 1 +BIT23_1 3591 1 +BIT22_1 3504 1 +BIT21_1 3491 1 +BIT20_1 3568 1 +BIT19_1 3528 1 +BIT18_1 3560 1 +BIT17_1 3574 1 +BIT16_1 3900 1 +BIT15_1 4506 1 +BIT14_1 4385 1 +BIT13_1 4663 1 +BIT12_1 4468 1 +BIT11_1 4919 1 +BIT10_1 4948 1 +BIT9_1 4459 1 +BIT8_1 3949 1 +BIT7_1 4796 1 +BIT6_1 4279 1 +BIT5_1 4348 1 +BIT4_1 5640 1 +BIT3_1 5598 1 +BIT2_1 5597 1 +BIT1_1 5145 1 +BIT0_1 11253 1 +BIT31_0 11633 1 +BIT30_0 14029 1 +BIT29_0 14109 1 +BIT28_0 13205 1 +BIT27_0 14208 1 +BIT26_0 14197 1 +BIT25_0 14267 1 +BIT24_0 14181 1 +BIT23_0 14187 1 +BIT22_0 14274 1 +BIT21_0 14287 1 +BIT20_0 14210 1 +BIT19_0 14250 1 +BIT18_0 14218 1 +BIT17_0 14204 1 +BIT16_0 13878 1 +BIT15_0 13272 1 +BIT14_0 13393 1 +BIT13_0 13115 1 +BIT12_0 13310 1 +BIT11_0 12859 1 +BIT10_0 12830 1 +BIT9_0 13319 1 +BIT8_0 13829 1 +BIT7_0 12982 1 +BIT6_0 13499 1 +BIT5_0 13430 1 +BIT4_0 12138 1 +BIT3_0 12180 1 +BIT2_0 12181 1 +BIT1_0 12633 1 +BIT0_0 6525 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2960 1 +auto_ZERO auto_NON_ZERO 3299 1 +auto_NON_ZERO auto_ZERO 3124 1 +auto_NON_ZERO auto_NON_ZERO 8395 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_and_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_and_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_and_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_and_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 627 1 +auto[1] 742 1 +auto[2] 2447 1 +auto[3] 611 1 +auto[4] 3177 1 +auto[5] 3655 1 +auto[6] 2683 1 +auto[7] 2382 1 +auto[8] 2630 1 +auto[9] 1733 1 +auto[10] 2374 1 +auto[11] 2562 1 +auto[12] 2587 1 +auto[13] 1915 1 +auto[14] 2180 1 +auto[15] 1839 1 +auto[16] 2488 1 +auto[17] 2687 1 +auto[18] 2668 1 +auto[19] 2735 1 +auto[20] 2511 1 +auto[21] 3200 1 +auto[22] 2161 1 +auto[23] 1983 1 +auto[24] 2757 1 +auto[25] 2650 1 +auto[26] 2884 1 +auto[27] 2262 1 +auto[28] 2637 1 +auto[29] 2545 1 +auto[30] 3429 1 +auto[31] 2641 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 686 1 +auto[1] 626 1 +auto[2] 2800 1 +auto[3] 727 1 +auto[4] 2189 1 +auto[5] 2341 1 +auto[6] 2118 1 +auto[7] 1582 1 +auto[8] 1762 1 +auto[9] 2499 1 +auto[10] 2361 1 +auto[11] 2706 1 +auto[12] 2624 1 +auto[13] 1923 1 +auto[14] 1671 1 +auto[15] 2359 1 +auto[16] 3065 1 +auto[17] 2638 1 +auto[18] 2364 1 +auto[19] 2086 1 +auto[20] 2838 1 +auto[21] 2516 1 +auto[22] 2801 1 +auto[23] 2717 1 +auto[24] 2640 1 +auto[25] 3698 1 +auto[26] 2672 1 +auto[27] 2796 1 +auto[28] 3203 1 +auto[29] 2978 1 +auto[30] 2943 1 +auto[31] 3453 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 674 1 +auto[1] 658 1 +auto[2] 2518 1 +auto[3] 651 1 +auto[4] 3141 1 +auto[5] 3701 1 +auto[6] 2720 1 +auto[7] 2399 1 +auto[8] 2674 1 +auto[9] 1731 1 +auto[10] 2563 1 +auto[11] 2519 1 +auto[12] 2531 1 +auto[13] 1841 1 +auto[14] 2232 1 +auto[15] 1841 1 +auto[16] 2455 1 +auto[17] 2664 1 +auto[18] 2669 1 +auto[19] 2717 1 +auto[20] 2545 1 +auto[21] 3239 1 +auto[22] 2214 1 +auto[23] 1972 1 +auto[24] 2732 1 +auto[25] 2727 1 +auto[26] 2916 1 +auto[27] 2274 1 +auto[28] 2636 1 +auto[29] 2418 1 +auto[30] 3288 1 +auto[31] 2522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 67 1 +RD_01 65 1 +RD_02 1886 1 +RD_03 71 1 +RD_04 2599 1 +RD_05 3108 1 +RD_06 2114 1 +RD_07 1795 1 +RD_08 2105 1 +RD_09 1173 1 +RD_0a 1817 1 +RD_0b 2015 1 +RD_0c 1944 1 +RD_0d 1175 1 +RD_0e 1615 1 +RD_0f 1318 1 +RD_10 1930 1 +RD_11 2126 1 +RD_12 2101 1 +RD_13 2170 1 +RD_14 1965 1 +RD_15 2656 1 +RD_16 1620 1 +RD_17 1417 1 +RD_18 2167 1 +RD_19 2077 1 +RD_1a 2271 1 +RD_1b 1682 1 +RD_1c 2092 1 +RD_1d 1868 1 +RD_1e 2767 1 +RD_1f 2044 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 67 1 +RD_01 74 1 +RD_02 60 1 +RD_03 56 1 +RD_04 73 1 +RD_05 62 1 +RD_06 54 1 +RD_07 68 1 +RD_08 7 1 +RD_09 14 1 +RD_0a 14 1 +RD_0b 13 1 +RD_0c 18 1 +RD_0d 10 1 +RD_0e 11 1 +RD_0f 15 1 +RD_10 61 1 +RD_11 73 1 +RD_12 66 1 +RD_13 62 1 +RD_14 76 1 +RD_15 63 1 +RD_16 73 1 +RD_17 60 1 +RD_18 63 1 +RD_19 76 1 +RD_1a 72 1 +RD_1b 77 1 +RD_1c 57 1 +RD_1d 63 1 +RD_1e 75 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8789 1 +auto_NON_ZERO 67593 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6930 1 +auto_NON_ZERO 69452 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 16096 1 +auto_NON_ZERO 60286 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6101 1 +BIT30_1 3910 1 +BIT29_1 3890 1 +BIT28_1 3945 1 +BIT27_1 3834 1 +BIT26_1 3797 1 +BIT25_1 3683 1 +BIT24_1 3719 1 +BIT23_1 3771 1 +BIT22_1 3857 1 +BIT21_1 3851 1 +BIT20_1 3878 1 +BIT19_1 3867 1 +BIT18_1 3956 1 +BIT17_1 3833 1 +BIT16_1 4005 1 +BIT15_1 4968 1 +BIT14_1 4816 1 +BIT13_1 5051 1 +BIT12_1 4912 1 +BIT11_1 5453 1 +BIT10_1 5527 1 +BIT9_1 4829 1 +BIT8_1 4387 1 +BIT7_1 24554 1 +BIT6_1 26164 1 +BIT5_1 38135 1 +BIT4_1 33501 1 +BIT3_1 15302 1 +BIT2_1 11018 1 +BIT1_1 56120 1 +BIT0_1 51688 1 +BIT31_0 70281 1 +BIT30_0 72472 1 +BIT29_0 72492 1 +BIT28_0 72437 1 +BIT27_0 72548 1 +BIT26_0 72585 1 +BIT25_0 72699 1 +BIT24_0 72663 1 +BIT23_0 72611 1 +BIT22_0 72525 1 +BIT21_0 72531 1 +BIT20_0 72504 1 +BIT19_0 72515 1 +BIT18_0 72426 1 +BIT17_0 72549 1 +BIT16_0 72377 1 +BIT15_0 71414 1 +BIT14_0 71566 1 +BIT13_0 71331 1 +BIT12_0 71470 1 +BIT11_0 70929 1 +BIT10_0 70855 1 +BIT9_0 71553 1 +BIT8_0 71995 1 +BIT7_0 51828 1 +BIT6_0 50218 1 +BIT5_0 38247 1 +BIT4_0 42881 1 +BIT3_0 61080 1 +BIT2_0 65364 1 +BIT1_0 20262 1 +BIT0_0 24694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6128 1 +BIT30_1 3997 1 +BIT29_1 3992 1 +BIT28_1 3979 1 +BIT27_1 3972 1 +BIT26_1 3981 1 +BIT25_1 3845 1 +BIT24_1 3844 1 +BIT23_1 3931 1 +BIT22_1 3925 1 +BIT21_1 3879 1 +BIT20_1 3824 1 +BIT19_1 4003 1 +BIT18_1 3779 1 +BIT17_1 3789 1 +BIT16_1 4082 1 +BIT15_1 5104 1 +BIT14_1 4911 1 +BIT13_1 5148 1 +BIT12_1 4954 1 +BIT11_1 5392 1 +BIT10_1 5406 1 +BIT9_1 4882 1 +BIT8_1 4310 1 +BIT7_1 5289 1 +BIT6_1 4572 1 +BIT5_1 4770 1 +BIT4_1 6026 1 +BIT3_1 6089 1 +BIT2_1 6053 1 +BIT1_1 60837 1 +BIT0_1 61857 1 +BIT31_0 70254 1 +BIT30_0 72385 1 +BIT29_0 72390 1 +BIT28_0 72403 1 +BIT27_0 72410 1 +BIT26_0 72401 1 +BIT25_0 72537 1 +BIT24_0 72538 1 +BIT23_0 72451 1 +BIT22_0 72457 1 +BIT21_0 72503 1 +BIT20_0 72558 1 +BIT19_0 72379 1 +BIT18_0 72603 1 +BIT17_0 72593 1 +BIT16_0 72300 1 +BIT15_0 71278 1 +BIT14_0 71471 1 +BIT13_0 71234 1 +BIT12_0 71428 1 +BIT11_0 70990 1 +BIT10_0 70976 1 +BIT9_0 71500 1 +BIT8_0 72072 1 +BIT7_0 71093 1 +BIT6_0 71810 1 +BIT5_0 71612 1 +BIT4_0 70356 1 +BIT3_0 70293 1 +BIT2_0 70329 1 +BIT1_0 15545 1 +BIT0_0 14525 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2388 1 +BIT30_1 1150 1 +BIT29_1 1148 1 +BIT28_1 1148 1 +BIT27_1 1099 1 +BIT26_1 1101 1 +BIT25_1 1069 1 +BIT24_1 1044 1 +BIT23_1 1064 1 +BIT22_1 1101 1 +BIT21_1 1047 1 +BIT20_1 1076 1 +BIT19_1 1112 1 +BIT18_1 1097 1 +BIT17_1 1050 1 +BIT16_1 1188 1 +BIT15_1 1559 1 +BIT14_1 1541 1 +BIT13_1 1677 1 +BIT12_1 1592 1 +BIT11_1 1855 1 +BIT10_1 1841 1 +BIT9_1 1548 1 +BIT8_1 1351 1 +BIT7_1 1800 1 +BIT6_1 1454 1 +BIT5_1 1532 1 +BIT4_1 2226 1 +BIT3_1 2289 1 +BIT2_1 2246 1 +BIT1_1 52833 1 +BIT0_1 48086 1 +BIT31_0 73994 1 +BIT30_0 75232 1 +BIT29_0 75234 1 +BIT28_0 75234 1 +BIT27_0 75283 1 +BIT26_0 75281 1 +BIT25_0 75313 1 +BIT24_0 75338 1 +BIT23_0 75318 1 +BIT22_0 75281 1 +BIT21_0 75335 1 +BIT20_0 75306 1 +BIT19_0 75270 1 +BIT18_0 75285 1 +BIT17_0 75332 1 +BIT16_0 75194 1 +BIT15_0 74823 1 +BIT14_0 74841 1 +BIT13_0 74705 1 +BIT12_0 74790 1 +BIT11_0 74527 1 +BIT10_0 74541 1 +BIT9_0 74834 1 +BIT8_0 75031 1 +BIT7_0 74582 1 +BIT6_0 74928 1 +BIT5_0 74850 1 +BIT4_0 74156 1 +BIT3_0 74093 1 +BIT2_0 74136 1 +BIT1_0 23549 1 +BIT0_0 28296 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3251 1 +auto_ZERO auto_NON_ZERO 5538 1 +auto_NON_ZERO auto_ZERO 3679 1 +auto_NON_ZERO auto_NON_ZERO 63914 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_or_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_or_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_or_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_or_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 641 1 +auto[1] 729 1 +auto[2] 648 1 +auto[3] 650 1 +auto[4] 604 1 +auto[5] 686 1 +auto[6] 675 1 +auto[7] 615 1 +auto[8] 589 1 +auto[9] 600 1 +auto[10] 562 1 +auto[11] 578 1 +auto[12] 579 1 +auto[13] 572 1 +auto[14] 552 1 +auto[15] 563 1 +auto[16] 638 1 +auto[17] 625 1 +auto[18] 730 1 +auto[19] 644 1 +auto[20] 629 1 +auto[21] 643 1 +auto[22] 622 1 +auto[23] 619 1 +auto[24] 642 1 +auto[25] 660 1 +auto[26] 618 1 +auto[27] 641 1 +auto[28] 638 1 +auto[29] 610 1 +auto[30] 613 1 +auto[31] 646 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 614 1 +auto[1] 736 1 +auto[2] 623 1 +auto[3] 623 1 +auto[4] 621 1 +auto[5] 637 1 +auto[6] 644 1 +auto[7] 593 1 +auto[8] 641 1 +auto[9] 554 1 +auto[10] 596 1 +auto[11] 592 1 +auto[12] 596 1 +auto[13] 564 1 +auto[14] 566 1 +auto[15] 566 1 +auto[16] 617 1 +auto[17] 626 1 +auto[18] 672 1 +auto[19] 609 1 +auto[20] 630 1 +auto[21] 723 1 +auto[22] 590 1 +auto[23] 655 1 +auto[24] 620 1 +auto[25] 615 1 +auto[26] 673 1 +auto[27] 667 1 +auto[28] 652 1 +auto[29] 639 1 +auto[30] 651 1 +auto[31] 656 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 709 1 +auto[1] 623 1 +auto[2] 602 1 +auto[3] 674 1 +auto[4] 614 1 +auto[5] 634 1 +auto[6] 647 1 +auto[7] 611 1 +auto[8] 613 1 +auto[9] 558 1 +auto[10] 541 1 +auto[11] 579 1 +auto[12] 613 1 +auto[13] 596 1 +auto[14] 621 1 +auto[15] 530 1 +auto[16] 644 1 +auto[17] 658 1 +auto[18] 712 1 +auto[19] 603 1 +auto[20] 658 1 +auto[21] 704 1 +auto[22] 627 1 +auto[23] 615 1 +auto[24] 617 1 +auto[25] 714 1 +auto[26] 645 1 +auto[27] 612 1 +auto[28] 647 1 +auto[29] 640 1 +auto[30] 597 1 +auto[31] 603 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 53 1 +RD_02 73 1 +RD_03 70 1 +RD_04 69 1 +RD_05 62 1 +RD_06 69 1 +RD_07 70 1 +RD_08 17 1 +RD_09 22 1 +RD_0a 19 1 +RD_0b 13 1 +RD_0c 16 1 +RD_0d 17 1 +RD_0e 18 1 +RD_0f 15 1 +RD_10 77 1 +RD_11 63 1 +RD_12 67 1 +RD_13 60 1 +RD_14 60 1 +RD_15 69 1 +RD_16 58 1 +RD_17 60 1 +RD_18 72 1 +RD_19 97 1 +RD_1a 64 1 +RD_1b 52 1 +RD_1c 66 1 +RD_1d 68 1 +RD_1e 46 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 55 1 +RD_02 57 1 +RD_03 69 1 +RD_04 66 1 +RD_05 64 1 +RD_06 78 1 +RD_07 63 1 +RD_08 10 1 +RD_09 10 1 +RD_0a 11 1 +RD_0b 17 1 +RD_0c 17 1 +RD_0d 15 1 +RD_0e 20 1 +RD_0f 16 1 +RD_10 84 1 +RD_11 64 1 +RD_12 67 1 +RD_13 59 1 +RD_14 71 1 +RD_15 76 1 +RD_16 60 1 +RD_17 58 1 +RD_18 69 1 +RD_19 84 1 +RD_1a 61 1 +RD_1b 53 1 +RD_1c 61 1 +RD_1d 69 1 +RD_1e 68 1 +RD_1f 61 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6880 1 +auto_NON_ZERO 13181 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6724 1 +auto_NON_ZERO 13337 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3030 1 +auto_NON_ZERO 17031 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6310 1 +BIT30_1 4073 1 +BIT29_1 4053 1 +BIT28_1 4059 1 +BIT27_1 3942 1 +BIT26_1 3914 1 +BIT25_1 3889 1 +BIT24_1 3884 1 +BIT23_1 3817 1 +BIT22_1 3950 1 +BIT21_1 3948 1 +BIT20_1 3974 1 +BIT19_1 3944 1 +BIT18_1 4012 1 +BIT17_1 3839 1 +BIT16_1 4126 1 +BIT15_1 5036 1 +BIT14_1 4803 1 +BIT13_1 5214 1 +BIT12_1 5019 1 +BIT11_1 5443 1 +BIT10_1 5501 1 +BIT9_1 4918 1 +BIT8_1 4288 1 +BIT7_1 5293 1 +BIT6_1 4628 1 +BIT5_1 4868 1 +BIT4_1 6207 1 +BIT3_1 6193 1 +BIT2_1 6226 1 +BIT1_1 4879 1 +BIT0_1 5572 1 +BIT31_0 13751 1 +BIT30_0 15988 1 +BIT29_0 16008 1 +BIT28_0 16002 1 +BIT27_0 16119 1 +BIT26_0 16147 1 +BIT25_0 16172 1 +BIT24_0 16177 1 +BIT23_0 16244 1 +BIT22_0 16111 1 +BIT21_0 16113 1 +BIT20_0 16087 1 +BIT19_0 16117 1 +BIT18_0 16049 1 +BIT17_0 16222 1 +BIT16_0 15935 1 +BIT15_0 15025 1 +BIT14_0 15258 1 +BIT13_0 14847 1 +BIT12_0 15042 1 +BIT11_0 14618 1 +BIT10_0 14560 1 +BIT9_0 15143 1 +BIT8_0 15773 1 +BIT7_0 14768 1 +BIT6_0 15433 1 +BIT5_0 15193 1 +BIT4_0 13854 1 +BIT3_0 13868 1 +BIT2_0 13835 1 +BIT1_0 15182 1 +BIT0_0 14489 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6371 1 +BIT30_1 4218 1 +BIT29_1 4160 1 +BIT28_1 4262 1 +BIT27_1 4113 1 +BIT26_1 4095 1 +BIT25_1 3958 1 +BIT24_1 4059 1 +BIT23_1 3956 1 +BIT22_1 4184 1 +BIT21_1 4137 1 +BIT20_1 4092 1 +BIT19_1 4174 1 +BIT18_1 4191 1 +BIT17_1 4020 1 +BIT16_1 4284 1 +BIT15_1 5157 1 +BIT14_1 5056 1 +BIT13_1 5221 1 +BIT12_1 5182 1 +BIT11_1 5642 1 +BIT10_1 5643 1 +BIT9_1 4987 1 +BIT8_1 4399 1 +BIT7_1 5376 1 +BIT6_1 4714 1 +BIT5_1 4820 1 +BIT4_1 6283 1 +BIT3_1 6402 1 +BIT2_1 6355 1 +BIT1_1 4993 1 +BIT0_1 5683 1 +BIT31_0 13690 1 +BIT30_0 15843 1 +BIT29_0 15901 1 +BIT28_0 15799 1 +BIT27_0 15948 1 +BIT26_0 15966 1 +BIT25_0 16103 1 +BIT24_0 16002 1 +BIT23_0 16105 1 +BIT22_0 15877 1 +BIT21_0 15924 1 +BIT20_0 15969 1 +BIT19_0 15887 1 +BIT18_0 15870 1 +BIT17_0 16041 1 +BIT16_0 15777 1 +BIT15_0 14904 1 +BIT14_0 15005 1 +BIT13_0 14840 1 +BIT12_0 14879 1 +BIT11_0 14419 1 +BIT10_0 14418 1 +BIT9_0 15074 1 +BIT8_0 15662 1 +BIT7_0 14685 1 +BIT6_0 15347 1 +BIT5_0 15241 1 +BIT4_0 13778 1 +BIT3_0 13659 1 +BIT2_0 13706 1 +BIT1_0 15068 1 +BIT0_0 14378 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 10240 1 +BIT30_1 7071 1 +BIT29_1 7065 1 +BIT28_1 7110 1 +BIT27_1 6912 1 +BIT26_1 6884 1 +BIT25_1 6764 1 +BIT24_1 6823 1 +BIT23_1 6738 1 +BIT22_1 6929 1 +BIT21_1 6912 1 +BIT20_1 6886 1 +BIT19_1 6935 1 +BIT18_1 6979 1 +BIT17_1 6766 1 +BIT16_1 7161 1 +BIT15_1 8532 1 +BIT14_1 8341 1 +BIT13_1 8700 1 +BIT12_1 8465 1 +BIT11_1 9206 1 +BIT10_1 9245 1 +BIT9_1 8384 1 +BIT8_1 7386 1 +BIT7_1 8775 1 +BIT6_1 7857 1 +BIT5_1 8143 1 +BIT4_1 10108 1 +BIT3_1 10196 1 +BIT2_1 10166 1 +BIT1_1 8258 1 +BIT0_1 9278 1 +BIT31_0 9821 1 +BIT30_0 12990 1 +BIT29_0 12996 1 +BIT28_0 12951 1 +BIT27_0 13149 1 +BIT26_0 13177 1 +BIT25_0 13297 1 +BIT24_0 13238 1 +BIT23_0 13323 1 +BIT22_0 13132 1 +BIT21_0 13149 1 +BIT20_0 13175 1 +BIT19_0 13126 1 +BIT18_0 13082 1 +BIT17_0 13295 1 +BIT16_0 12900 1 +BIT15_0 11529 1 +BIT14_0 11720 1 +BIT13_0 11361 1 +BIT12_0 11596 1 +BIT11_0 10855 1 +BIT10_0 10816 1 +BIT9_0 11677 1 +BIT8_0 12675 1 +BIT7_0 11286 1 +BIT6_0 12204 1 +BIT5_0 11918 1 +BIT4_0 9953 1 +BIT3_0 9865 1 +BIT2_0 9895 1 +BIT1_0 11803 1 +BIT0_0 10783 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3030 1 +auto_ZERO auto_NON_ZERO 3850 1 +auto_NON_ZERO auto_ZERO 3694 1 +auto_NON_ZERO auto_NON_ZERO 9487 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_xor_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_xor_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_xor_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_xor_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 615 1 +auto[1] 562 1 +auto[2] 687 1 +auto[3] 604 1 +auto[4] 649 1 +auto[5] 678 1 +auto[6] 694 1 +auto[7] 650 1 +auto[8] 539 1 +auto[9] 558 1 +auto[10] 615 1 +auto[11] 564 1 +auto[12] 546 1 +auto[13] 602 1 +auto[14] 580 1 +auto[15] 588 1 +auto[16] 678 1 +auto[17] 622 1 +auto[18] 728 1 +auto[19] 610 1 +auto[20] 758 1 +auto[21] 644 1 +auto[22] 645 1 +auto[23] 646 1 +auto[24] 696 1 +auto[25] 616 1 +auto[26] 582 1 +auto[27] 589 1 +auto[28] 602 1 +auto[29] 628 1 +auto[30] 609 1 +auto[31] 682 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 638 1 +auto[1] 641 1 +auto[2] 645 1 +auto[3] 627 1 +auto[4] 614 1 +auto[5] 612 1 +auto[6] 643 1 +auto[7] 598 1 +auto[8] 584 1 +auto[9] 652 1 +auto[10] 609 1 +auto[11] 579 1 +auto[12] 620 1 +auto[13] 616 1 +auto[14] 574 1 +auto[15] 622 1 +auto[16] 628 1 +auto[17] 640 1 +auto[18] 668 1 +auto[19] 622 1 +auto[20] 659 1 +auto[21] 619 1 +auto[22] 554 1 +auto[23] 601 1 +auto[24] 653 1 +auto[25] 638 1 +auto[26] 607 1 +auto[27] 646 1 +auto[28] 611 1 +auto[29] 772 1 +auto[30] 584 1 +auto[31] 690 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 676 1 +auto[1] 686 1 +auto[2] 591 1 +auto[3] 664 1 +auto[4] 628 1 +auto[5] 633 1 +auto[6] 744 1 +auto[7] 583 1 +auto[8] 616 1 +auto[9] 595 1 +auto[10] 644 1 +auto[11] 663 1 +auto[12] 590 1 +auto[13] 585 1 +auto[14] 534 1 +auto[15] 473 1 +auto[16] 592 1 +auto[17] 608 1 +auto[18] 568 1 +auto[19] 643 1 +auto[20] 655 1 +auto[21] 727 1 +auto[22] 573 1 +auto[23] 611 1 +auto[24] 622 1 +auto[25] 627 1 +auto[26] 654 1 +auto[27] 617 1 +auto[28] 623 1 +auto[29] 785 1 +auto[30] 636 1 +auto[31] 620 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 74 1 +RD_01 68 1 +RD_02 59 1 +RD_03 63 1 +RD_04 73 1 +RD_05 63 1 +RD_06 75 1 +RD_07 66 1 +RD_08 15 1 +RD_09 26 1 +RD_0a 26 1 +RD_0b 17 1 +RD_0c 12 1 +RD_0d 15 1 +RD_0e 9 1 +RD_0f 7 1 +RD_10 58 1 +RD_11 65 1 +RD_12 66 1 +RD_13 72 1 +RD_14 67 1 +RD_15 61 1 +RD_16 59 1 +RD_17 58 1 +RD_18 66 1 +RD_19 65 1 +RD_1a 66 1 +RD_1b 57 1 +RD_1c 65 1 +RD_1d 60 1 +RD_1e 75 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 85 1 +RD_02 66 1 +RD_03 61 1 +RD_04 65 1 +RD_05 75 1 +RD_06 116 1 +RD_07 64 1 +RD_08 20 1 +RD_09 9 1 +RD_0a 18 1 +RD_0b 6 1 +RD_0c 17 1 +RD_0d 15 1 +RD_0e 12 1 +RD_0f 11 1 +RD_10 54 1 +RD_11 62 1 +RD_12 66 1 +RD_13 78 1 +RD_14 71 1 +RD_15 69 1 +RD_16 59 1 +RD_17 74 1 +RD_18 71 1 +RD_19 60 1 +RD_1a 65 1 +RD_1b 55 1 +RD_1c 63 1 +RD_1d 165 1 +RD_1e 71 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6748 1 +auto_NON_ZERO 13318 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6984 1 +auto_NON_ZERO 13082 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4221 1 +auto_NON_ZERO 15845 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6476 1 +BIT30_1 4121 1 +BIT29_1 4061 1 +BIT28_1 4125 1 +BIT27_1 3937 1 +BIT26_1 3880 1 +BIT25_1 3863 1 +BIT24_1 3935 1 +BIT23_1 3846 1 +BIT22_1 3899 1 +BIT21_1 3925 1 +BIT20_1 3883 1 +BIT19_1 3861 1 +BIT18_1 3981 1 +BIT17_1 3875 1 +BIT16_1 4200 1 +BIT15_1 5166 1 +BIT14_1 4965 1 +BIT13_1 5353 1 +BIT12_1 4986 1 +BIT11_1 5575 1 +BIT10_1 5661 1 +BIT9_1 4819 1 +BIT8_1 4404 1 +BIT7_1 5288 1 +BIT6_1 4529 1 +BIT5_1 4778 1 +BIT4_1 6313 1 +BIT3_1 6339 1 +BIT2_1 6228 1 +BIT1_1 4851 1 +BIT0_1 5579 1 +BIT31_0 13590 1 +BIT30_0 15945 1 +BIT29_0 16005 1 +BIT28_0 15941 1 +BIT27_0 16129 1 +BIT26_0 16186 1 +BIT25_0 16203 1 +BIT24_0 16131 1 +BIT23_0 16220 1 +BIT22_0 16167 1 +BIT21_0 16141 1 +BIT20_0 16183 1 +BIT19_0 16205 1 +BIT18_0 16085 1 +BIT17_0 16191 1 +BIT16_0 15866 1 +BIT15_0 14900 1 +BIT14_0 15101 1 +BIT13_0 14713 1 +BIT12_0 15080 1 +BIT11_0 14491 1 +BIT10_0 14405 1 +BIT9_0 15247 1 +BIT8_0 15662 1 +BIT7_0 14778 1 +BIT6_0 15537 1 +BIT5_0 15288 1 +BIT4_0 13753 1 +BIT3_0 13727 1 +BIT2_0 13838 1 +BIT1_0 15215 1 +BIT0_0 14487 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6214 1 +BIT30_1 4156 1 +BIT29_1 4096 1 +BIT28_1 4141 1 +BIT27_1 3993 1 +BIT26_1 3972 1 +BIT25_1 3833 1 +BIT24_1 3915 1 +BIT23_1 3887 1 +BIT22_1 3860 1 +BIT21_1 3867 1 +BIT20_1 3854 1 +BIT19_1 3934 1 +BIT18_1 3869 1 +BIT17_1 3832 1 +BIT16_1 4084 1 +BIT15_1 4968 1 +BIT14_1 4843 1 +BIT13_1 5157 1 +BIT12_1 4992 1 +BIT11_1 5453 1 +BIT10_1 5497 1 +BIT9_1 4814 1 +BIT8_1 4187 1 +BIT7_1 5166 1 +BIT6_1 4548 1 +BIT5_1 4736 1 +BIT4_1 6032 1 +BIT3_1 5999 1 +BIT2_1 6009 1 +BIT1_1 4823 1 +BIT0_1 5659 1 +BIT31_0 13852 1 +BIT30_0 15910 1 +BIT29_0 15970 1 +BIT28_0 15925 1 +BIT27_0 16073 1 +BIT26_0 16094 1 +BIT25_0 16233 1 +BIT24_0 16151 1 +BIT23_0 16179 1 +BIT22_0 16206 1 +BIT21_0 16199 1 +BIT20_0 16212 1 +BIT19_0 16132 1 +BIT18_0 16197 1 +BIT17_0 16234 1 +BIT16_0 15982 1 +BIT15_0 15098 1 +BIT14_0 15223 1 +BIT13_0 14909 1 +BIT12_0 15074 1 +BIT11_0 14613 1 +BIT10_0 14569 1 +BIT9_0 15252 1 +BIT8_0 15879 1 +BIT7_0 14900 1 +BIT6_0 15518 1 +BIT5_0 15330 1 +BIT4_0 14034 1 +BIT3_0 14067 1 +BIT2_0 14057 1 +BIT1_0 15243 1 +BIT0_0 14407 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 7766 1 +BIT30_1 5849 1 +BIT29_1 5807 1 +BIT28_1 5926 1 +BIT27_1 5730 1 +BIT26_1 5674 1 +BIT25_1 5572 1 +BIT24_1 5650 1 +BIT23_1 5583 1 +BIT22_1 5613 1 +BIT21_1 5618 1 +BIT20_1 5649 1 +BIT19_1 5635 1 +BIT18_1 5648 1 +BIT17_1 5603 1 +BIT16_1 5906 1 +BIT15_1 6758 1 +BIT14_1 6728 1 +BIT13_1 6968 1 +BIT12_1 6656 1 +BIT11_1 7094 1 +BIT10_1 7264 1 +BIT9_1 6645 1 +BIT8_1 6043 1 +BIT7_1 6924 1 +BIT6_1 6261 1 +BIT5_1 6558 1 +BIT4_1 7665 1 +BIT3_1 7740 1 +BIT2_1 7717 1 +BIT1_1 6468 1 +BIT0_1 7188 1 +BIT31_0 12300 1 +BIT30_0 14217 1 +BIT29_0 14259 1 +BIT28_0 14140 1 +BIT27_0 14336 1 +BIT26_0 14392 1 +BIT25_0 14494 1 +BIT24_0 14416 1 +BIT23_0 14483 1 +BIT22_0 14453 1 +BIT21_0 14448 1 +BIT20_0 14417 1 +BIT19_0 14431 1 +BIT18_0 14418 1 +BIT17_0 14463 1 +BIT16_0 14160 1 +BIT15_0 13308 1 +BIT14_0 13338 1 +BIT13_0 13098 1 +BIT12_0 13410 1 +BIT11_0 12972 1 +BIT10_0 12802 1 +BIT9_0 13421 1 +BIT8_0 14023 1 +BIT7_0 13142 1 +BIT6_0 13805 1 +BIT5_0 13508 1 +BIT4_0 12401 1 +BIT3_0 12326 1 +BIT2_0 12349 1 +BIT1_0 13598 1 +BIT0_0 12878 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3078 1 +auto_ZERO auto_NON_ZERO 3670 1 +auto_NON_ZERO auto_ZERO 3906 1 +auto_NON_ZERO auto_NON_ZERO 9412 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_divu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_divu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_divu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_divu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 611 1 +auto[1] 622 1 +auto[2] 580 1 +auto[3] 718 1 +auto[4] 793 1 +auto[5] 581 1 +auto[6] 686 1 +auto[7] 613 1 +auto[8] 624 1 +auto[9] 612 1 +auto[10] 733 1 +auto[11] 731 1 +auto[12] 656 1 +auto[13] 626 1 +auto[14] 627 1 +auto[15] 615 1 +auto[16] 585 1 +auto[17] 725 1 +auto[18] 656 1 +auto[19] 729 1 +auto[20] 599 1 +auto[21] 731 1 +auto[22] 625 1 +auto[23] 675 1 +auto[24] 639 1 +auto[25] 597 1 +auto[26] 676 1 +auto[27] 767 1 +auto[28] 630 1 +auto[29] 602 1 +auto[30] 689 1 +auto[31] 608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 669 1 +auto[1] 632 1 +auto[2] 661 1 +auto[3] 624 1 +auto[4] 639 1 +auto[5] 721 1 +auto[6] 625 1 +auto[7] 648 1 +auto[8] 648 1 +auto[9] 637 1 +auto[10] 576 1 +auto[11] 595 1 +auto[12] 584 1 +auto[13] 731 1 +auto[14] 685 1 +auto[15] 650 1 +auto[16] 695 1 +auto[17] 626 1 +auto[18] 654 1 +auto[19] 671 1 +auto[20] 621 1 +auto[21] 714 1 +auto[22] 652 1 +auto[23] 654 1 +auto[24] 568 1 +auto[25] 617 1 +auto[26] 666 1 +auto[27] 629 1 +auto[28] 715 1 +auto[29] 829 1 +auto[30] 636 1 +auto[31] 689 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 659 1 +auto[1] 668 1 +auto[2] 577 1 +auto[3] 654 1 +auto[4] 747 1 +auto[5] 629 1 +auto[6] 645 1 +auto[7] 615 1 +auto[8] 725 1 +auto[9] 593 1 +auto[10] 728 1 +auto[11] 634 1 +auto[12] 691 1 +auto[13] 592 1 +auto[14] 567 1 +auto[15] 779 1 +auto[16] 602 1 +auto[17] 620 1 +auto[18] 714 1 +auto[19] 632 1 +auto[20] 645 1 +auto[21] 617 1 +auto[22] 703 1 +auto[23] 650 1 +auto[24] 583 1 +auto[25] 794 1 +auto[26] 630 1 +auto[27] 609 1 +auto[28] 652 1 +auto[29] 802 1 +auto[30] 613 1 +auto[31] 592 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 83 1 +RD_01 67 1 +RD_02 50 1 +RD_03 64 1 +RD_04 79 1 +RD_05 67 1 +RD_06 74 1 +RD_07 75 1 +RD_08 60 1 +RD_09 66 1 +RD_0a 53 1 +RD_0b 75 1 +RD_0c 71 1 +RD_0d 70 1 +RD_0e 72 1 +RD_0f 65 1 +RD_10 56 1 +RD_11 57 1 +RD_12 90 1 +RD_13 68 1 +RD_14 69 1 +RD_15 66 1 +RD_16 62 1 +RD_17 75 1 +RD_18 69 1 +RD_19 71 1 +RD_1a 60 1 +RD_1b 61 1 +RD_1c 65 1 +RD_1d 68 1 +RD_1e 88 1 +RD_1f 58 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 80 1 +RD_01 69 1 +RD_02 57 1 +RD_03 71 1 +RD_04 74 1 +RD_05 62 1 +RD_06 70 1 +RD_07 78 1 +RD_08 53 1 +RD_09 66 1 +RD_0a 59 1 +RD_0b 76 1 +RD_0c 68 1 +RD_0d 73 1 +RD_0e 80 1 +RD_0f 67 1 +RD_10 57 1 +RD_11 54 1 +RD_12 63 1 +RD_13 79 1 +RD_14 74 1 +RD_15 71 1 +RD_16 74 1 +RD_17 79 1 +RD_18 62 1 +RD_19 66 1 +RD_1a 58 1 +RD_1b 56 1 +RD_1c 69 1 +RD_1d 65 1 +RD_1e 93 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7356 1 +auto_NON_ZERO 13605 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7425 1 +auto_NON_ZERO 13536 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8043 1 +auto_NON_ZERO 12918 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6340 1 +BIT30_1 4113 1 +BIT29_1 4118 1 +BIT28_1 4102 1 +BIT27_1 3997 1 +BIT26_1 3970 1 +BIT25_1 3985 1 +BIT24_1 3897 1 +BIT23_1 3984 1 +BIT22_1 3974 1 +BIT21_1 3931 1 +BIT20_1 4007 1 +BIT19_1 4060 1 +BIT18_1 4031 1 +BIT17_1 3912 1 +BIT16_1 4218 1 +BIT15_1 4985 1 +BIT14_1 5020 1 +BIT13_1 5228 1 +BIT12_1 4987 1 +BIT11_1 5520 1 +BIT10_1 5606 1 +BIT9_1 4894 1 +BIT8_1 4411 1 +BIT7_1 5437 1 +BIT6_1 4798 1 +BIT5_1 4879 1 +BIT4_1 6193 1 +BIT3_1 6271 1 +BIT2_1 6102 1 +BIT1_1 4952 1 +BIT0_1 5806 1 +BIT31_0 14621 1 +BIT30_0 16848 1 +BIT29_0 16843 1 +BIT28_0 16859 1 +BIT27_0 16964 1 +BIT26_0 16991 1 +BIT25_0 16976 1 +BIT24_0 17064 1 +BIT23_0 16977 1 +BIT22_0 16987 1 +BIT21_0 17030 1 +BIT20_0 16954 1 +BIT19_0 16901 1 +BIT18_0 16930 1 +BIT17_0 17049 1 +BIT16_0 16743 1 +BIT15_0 15976 1 +BIT14_0 15941 1 +BIT13_0 15733 1 +BIT12_0 15974 1 +BIT11_0 15441 1 +BIT10_0 15355 1 +BIT9_0 16067 1 +BIT8_0 16550 1 +BIT7_0 15524 1 +BIT6_0 16163 1 +BIT5_0 16082 1 +BIT4_0 14768 1 +BIT3_0 14690 1 +BIT2_0 14859 1 +BIT1_0 16009 1 +BIT0_0 15155 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6423 1 +BIT30_1 4207 1 +BIT29_1 4170 1 +BIT28_1 4213 1 +BIT27_1 4142 1 +BIT26_1 4071 1 +BIT25_1 3951 1 +BIT24_1 3961 1 +BIT23_1 4071 1 +BIT22_1 4021 1 +BIT21_1 4103 1 +BIT20_1 3899 1 +BIT19_1 4065 1 +BIT18_1 4078 1 +BIT17_1 4036 1 +BIT16_1 4224 1 +BIT15_1 5233 1 +BIT14_1 5187 1 +BIT13_1 5362 1 +BIT12_1 5183 1 +BIT11_1 5585 1 +BIT10_1 5698 1 +BIT9_1 4852 1 +BIT8_1 4375 1 +BIT7_1 5380 1 +BIT6_1 4821 1 +BIT5_1 4839 1 +BIT4_1 6234 1 +BIT3_1 6295 1 +BIT2_1 6224 1 +BIT1_1 5068 1 +BIT0_1 5854 1 +BIT31_0 14538 1 +BIT30_0 16754 1 +BIT29_0 16791 1 +BIT28_0 16748 1 +BIT27_0 16819 1 +BIT26_0 16890 1 +BIT25_0 17010 1 +BIT24_0 17000 1 +BIT23_0 16890 1 +BIT22_0 16940 1 +BIT21_0 16858 1 +BIT20_0 17062 1 +BIT19_0 16896 1 +BIT18_0 16883 1 +BIT17_0 16925 1 +BIT16_0 16737 1 +BIT15_0 15728 1 +BIT14_0 15774 1 +BIT13_0 15599 1 +BIT12_0 15778 1 +BIT11_0 15376 1 +BIT10_0 15263 1 +BIT9_0 16109 1 +BIT8_0 16586 1 +BIT7_0 15581 1 +BIT6_0 16140 1 +BIT5_0 16122 1 +BIT4_0 14727 1 +BIT3_0 14666 1 +BIT2_0 14737 1 +BIT1_0 15893 1 +BIT0_0 15107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 7711 1 +BIT30_1 7689 1 +BIT29_1 7696 1 +BIT28_1 7768 1 +BIT27_1 7854 1 +BIT26_1 7875 1 +BIT25_1 7952 1 +BIT24_1 8002 1 +BIT23_1 8034 1 +BIT22_1 8032 1 +BIT21_1 8085 1 +BIT20_1 8129 1 +BIT19_1 8136 1 +BIT18_1 8149 1 +BIT17_1 8144 1 +BIT16_1 8227 1 +BIT15_1 8310 1 +BIT14_1 8307 1 +BIT13_1 8360 1 +BIT12_1 8394 1 +BIT11_1 8390 1 +BIT10_1 8427 1 +BIT9_1 8434 1 +BIT8_1 8484 1 +BIT7_1 8552 1 +BIT6_1 8499 1 +BIT5_1 8514 1 +BIT4_1 8665 1 +BIT3_1 8733 1 +BIT2_1 8812 1 +BIT1_1 8911 1 +BIT0_1 11296 1 +BIT31_0 13250 1 +BIT30_0 13272 1 +BIT29_0 13265 1 +BIT28_0 13193 1 +BIT27_0 13107 1 +BIT26_0 13086 1 +BIT25_0 13009 1 +BIT24_0 12959 1 +BIT23_0 12927 1 +BIT22_0 12929 1 +BIT21_0 12876 1 +BIT20_0 12832 1 +BIT19_0 12825 1 +BIT18_0 12812 1 +BIT17_0 12817 1 +BIT16_0 12734 1 +BIT15_0 12651 1 +BIT14_0 12654 1 +BIT13_0 12601 1 +BIT12_0 12567 1 +BIT11_0 12571 1 +BIT10_0 12534 1 +BIT9_0 12527 1 +BIT8_0 12477 1 +BIT7_0 12409 1 +BIT6_0 12462 1 +BIT5_0 12447 1 +BIT4_0 12296 1 +BIT3_0 12228 1 +BIT2_0 12149 1 +BIT1_0 12050 1 +BIT0_0 9665 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3529 1 +auto_ZERO auto_NON_ZERO 3827 1 +auto_NON_ZERO auto_ZERO 3896 1 +auto_NON_ZERO auto_NON_ZERO 9709 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mul_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mul_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mul_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mul_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 773 1 +auto[1] 641 1 +auto[2] 632 1 +auto[3] 773 1 +auto[4] 667 1 +auto[5] 679 1 +auto[6] 630 1 +auto[7] 624 1 +auto[8] 560 1 +auto[9] 616 1 +auto[10] 578 1 +auto[11] 694 1 +auto[12] 759 1 +auto[13] 635 1 +auto[14] 607 1 +auto[15] 630 1 +auto[16] 634 1 +auto[17] 659 1 +auto[18] 653 1 +auto[19] 580 1 +auto[20] 643 1 +auto[21] 624 1 +auto[22] 635 1 +auto[23] 644 1 +auto[24] 744 1 +auto[25] 667 1 +auto[26] 655 1 +auto[27] 684 1 +auto[28] 668 1 +auto[29] 688 1 +auto[30] 735 1 +auto[31] 640 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 650 1 +auto[1] 623 1 +auto[2] 634 1 +auto[3] 647 1 +auto[4] 762 1 +auto[5] 626 1 +auto[6] 648 1 +auto[7] 683 1 +auto[8] 559 1 +auto[9] 720 1 +auto[10] 586 1 +auto[11] 684 1 +auto[12] 626 1 +auto[13] 687 1 +auto[14] 611 1 +auto[15] 593 1 +auto[16] 595 1 +auto[17] 675 1 +auto[18] 649 1 +auto[19] 636 1 +auto[20] 617 1 +auto[21] 697 1 +auto[22] 697 1 +auto[23] 618 1 +auto[24] 898 1 +auto[25] 648 1 +auto[26] 626 1 +auto[27] 727 1 +auto[28] 692 1 +auto[29] 642 1 +auto[30] 676 1 +auto[31] 619 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 791 1 +auto[1] 678 1 +auto[2] 600 1 +auto[3] 696 1 +auto[4] 772 1 +auto[5] 715 1 +auto[6] 714 1 +auto[7] 637 1 +auto[8] 568 1 +auto[9] 645 1 +auto[10] 614 1 +auto[11] 586 1 +auto[12] 541 1 +auto[13] 597 1 +auto[14] 766 1 +auto[15] 616 1 +auto[16] 605 1 +auto[17] 663 1 +auto[18] 710 1 +auto[19] 647 1 +auto[20] 621 1 +auto[21] 700 1 +auto[22] 655 1 +auto[23] 593 1 +auto[24] 616 1 +auto[25] 579 1 +auto[26] 621 1 +auto[27] 756 1 +auto[28] 823 1 +auto[29] 665 1 +auto[30] 614 1 +auto[31] 647 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 78 1 +RD_01 70 1 +RD_02 62 1 +RD_03 80 1 +RD_04 82 1 +RD_05 66 1 +RD_06 76 1 +RD_07 80 1 +RD_08 10 1 +RD_09 10 1 +RD_0a 8 1 +RD_0b 18 1 +RD_0c 14 1 +RD_0d 12 1 +RD_0e 12 1 +RD_0f 11 1 +RD_10 57 1 +RD_11 86 1 +RD_12 71 1 +RD_13 64 1 +RD_14 58 1 +RD_15 59 1 +RD_16 81 1 +RD_17 60 1 +RD_18 66 1 +RD_19 53 1 +RD_1a 62 1 +RD_1b 80 1 +RD_1c 76 1 +RD_1d 90 1 +RD_1e 61 1 +RD_1f 70 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 64 1 +RD_02 55 1 +RD_03 72 1 +RD_04 83 1 +RD_05 69 1 +RD_06 66 1 +RD_07 74 1 +RD_08 20 1 +RD_09 13 1 +RD_0a 17 1 +RD_0b 16 1 +RD_0c 15 1 +RD_0d 20 1 +RD_0e 19 1 +RD_0f 16 1 +RD_10 60 1 +RD_11 82 1 +RD_12 65 1 +RD_13 76 1 +RD_14 55 1 +RD_15 69 1 +RD_16 91 1 +RD_17 62 1 +RD_18 74 1 +RD_19 56 1 +RD_1a 71 1 +RD_1b 73 1 +RD_1c 87 1 +RD_1d 85 1 +RD_1e 59 1 +RD_1f 70 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7197 1 +auto_NON_ZERO 13854 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7122 1 +auto_NON_ZERO 13929 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11608 1 +auto_NON_ZERO 9443 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6713 1 +BIT30_1 4433 1 +BIT29_1 4449 1 +BIT28_1 4464 1 +BIT27_1 4321 1 +BIT26_1 4278 1 +BIT25_1 4238 1 +BIT24_1 4276 1 +BIT23_1 4267 1 +BIT22_1 4249 1 +BIT21_1 4271 1 +BIT20_1 4237 1 +BIT19_1 4227 1 +BIT18_1 4195 1 +BIT17_1 4258 1 +BIT16_1 4419 1 +BIT15_1 5278 1 +BIT14_1 5288 1 +BIT13_1 5599 1 +BIT12_1 5244 1 +BIT11_1 5873 1 +BIT10_1 5910 1 +BIT9_1 5172 1 +BIT8_1 4735 1 +BIT7_1 5566 1 +BIT6_1 5027 1 +BIT5_1 5199 1 +BIT4_1 6517 1 +BIT3_1 6492 1 +BIT2_1 6458 1 +BIT1_1 5213 1 +BIT0_1 5894 1 +BIT31_0 14338 1 +BIT30_0 16618 1 +BIT29_0 16602 1 +BIT28_0 16587 1 +BIT27_0 16730 1 +BIT26_0 16773 1 +BIT25_0 16813 1 +BIT24_0 16775 1 +BIT23_0 16784 1 +BIT22_0 16802 1 +BIT21_0 16780 1 +BIT20_0 16814 1 +BIT19_0 16824 1 +BIT18_0 16856 1 +BIT17_0 16793 1 +BIT16_0 16632 1 +BIT15_0 15773 1 +BIT14_0 15763 1 +BIT13_0 15452 1 +BIT12_0 15807 1 +BIT11_0 15178 1 +BIT10_0 15141 1 +BIT9_0 15879 1 +BIT8_0 16316 1 +BIT7_0 15485 1 +BIT6_0 16024 1 +BIT5_0 15852 1 +BIT4_0 14534 1 +BIT3_0 14559 1 +BIT2_0 14593 1 +BIT1_0 15838 1 +BIT0_0 15157 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6671 1 +BIT30_1 4253 1 +BIT29_1 4237 1 +BIT28_1 4201 1 +BIT27_1 4062 1 +BIT26_1 3994 1 +BIT25_1 4001 1 +BIT24_1 3991 1 +BIT23_1 4031 1 +BIT22_1 3991 1 +BIT21_1 4094 1 +BIT20_1 4019 1 +BIT19_1 4081 1 +BIT18_1 4119 1 +BIT17_1 3912 1 +BIT16_1 4326 1 +BIT15_1 5307 1 +BIT14_1 5274 1 +BIT13_1 5598 1 +BIT12_1 5260 1 +BIT11_1 5824 1 +BIT10_1 5794 1 +BIT9_1 5026 1 +BIT8_1 4557 1 +BIT7_1 5668 1 +BIT6_1 4856 1 +BIT5_1 5137 1 +BIT4_1 6568 1 +BIT3_1 6557 1 +BIT2_1 6484 1 +BIT1_1 5119 1 +BIT0_1 5854 1 +BIT31_0 14380 1 +BIT30_0 16798 1 +BIT29_0 16814 1 +BIT28_0 16850 1 +BIT27_0 16989 1 +BIT26_0 17057 1 +BIT25_0 17050 1 +BIT24_0 17060 1 +BIT23_0 17020 1 +BIT22_0 17060 1 +BIT21_0 16957 1 +BIT20_0 17032 1 +BIT19_0 16970 1 +BIT18_0 16932 1 +BIT17_0 17139 1 +BIT16_0 16725 1 +BIT15_0 15744 1 +BIT14_0 15777 1 +BIT13_0 15453 1 +BIT12_0 15791 1 +BIT11_0 15227 1 +BIT10_0 15257 1 +BIT9_0 16025 1 +BIT8_0 16494 1 +BIT7_0 15383 1 +BIT6_0 16195 1 +BIT5_0 15914 1 +BIT4_0 14483 1 +BIT3_0 14494 1 +BIT2_0 14567 1 +BIT1_0 15932 1 +BIT0_0 15197 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4001 1 +BIT30_1 3685 1 +BIT29_1 3763 1 +BIT28_1 3636 1 +BIT27_1 3777 1 +BIT26_1 3785 1 +BIT25_1 3742 1 +BIT24_1 3708 1 +BIT23_1 3770 1 +BIT22_1 3820 1 +BIT21_1 3768 1 +BIT20_1 3759 1 +BIT19_1 3919 1 +BIT18_1 3923 1 +BIT17_1 3986 1 +BIT16_1 3980 1 +BIT15_1 3865 1 +BIT14_1 3877 1 +BIT13_1 3889 1 +BIT12_1 3856 1 +BIT11_1 3697 1 +BIT10_1 3474 1 +BIT9_1 3577 1 +BIT8_1 3597 1 +BIT7_1 3548 1 +BIT6_1 3416 1 +BIT5_1 3347 1 +BIT4_1 3402 1 +BIT3_1 3196 1 +BIT2_1 2980 1 +BIT1_1 1856 1 +BIT0_1 2082 1 +BIT31_0 17050 1 +BIT30_0 17366 1 +BIT29_0 17288 1 +BIT28_0 17415 1 +BIT27_0 17274 1 +BIT26_0 17266 1 +BIT25_0 17309 1 +BIT24_0 17343 1 +BIT23_0 17281 1 +BIT22_0 17231 1 +BIT21_0 17283 1 +BIT20_0 17292 1 +BIT19_0 17132 1 +BIT18_0 17128 1 +BIT17_0 17065 1 +BIT16_0 17071 1 +BIT15_0 17186 1 +BIT14_0 17174 1 +BIT13_0 17162 1 +BIT12_0 17195 1 +BIT11_0 17354 1 +BIT10_0 17577 1 +BIT9_0 17474 1 +BIT8_0 17454 1 +BIT7_0 17503 1 +BIT6_0 17635 1 +BIT5_0 17704 1 +BIT4_0 17649 1 +BIT3_0 17855 1 +BIT2_0 18071 1 +BIT1_0 19195 1 +BIT0_0 18969 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3127 1 +auto_ZERO auto_NON_ZERO 4070 1 +auto_NON_ZERO auto_ZERO 3995 1 +auto_NON_ZERO auto_NON_ZERO 9859 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mulhu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 644 1 +auto[1] 652 1 +auto[2] 635 1 +auto[3] 631 1 +auto[4] 655 1 +auto[5] 560 1 +auto[6] 628 1 +auto[7] 615 1 +auto[8] 597 1 +auto[9] 614 1 +auto[10] 637 1 +auto[11] 610 1 +auto[12] 655 1 +auto[13] 603 1 +auto[14] 653 1 +auto[15] 633 1 +auto[16] 726 1 +auto[17] 626 1 +auto[18] 609 1 +auto[19] 685 1 +auto[20] 660 1 +auto[21] 667 1 +auto[22] 632 1 +auto[23] 614 1 +auto[24] 650 1 +auto[25] 690 1 +auto[26] 618 1 +auto[27] 722 1 +auto[28] 621 1 +auto[29] 622 1 +auto[30] 743 1 +auto[31] 598 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 594 1 +auto[1] 602 1 +auto[2] 629 1 +auto[3] 687 1 +auto[4] 637 1 +auto[5] 613 1 +auto[6] 650 1 +auto[7] 644 1 +auto[8] 649 1 +auto[9] 637 1 +auto[10] 675 1 +auto[11] 601 1 +auto[12] 738 1 +auto[13] 626 1 +auto[14] 631 1 +auto[15] 577 1 +auto[16] 604 1 +auto[17] 624 1 +auto[18] 610 1 +auto[19] 665 1 +auto[20] 713 1 +auto[21] 635 1 +auto[22] 653 1 +auto[23] 598 1 +auto[24] 663 1 +auto[25] 634 1 +auto[26] 633 1 +auto[27] 613 1 +auto[28] 645 1 +auto[29] 679 1 +auto[30] 667 1 +auto[31] 679 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 699 1 +auto[1] 726 1 +auto[2] 570 1 +auto[3] 656 1 +auto[4] 626 1 +auto[5] 582 1 +auto[6] 629 1 +auto[7] 648 1 +auto[8] 769 1 +auto[9] 686 1 +auto[10] 663 1 +auto[11] 576 1 +auto[12] 674 1 +auto[13] 698 1 +auto[14] 643 1 +auto[15] 606 1 +auto[16] 593 1 +auto[17] 613 1 +auto[18] 644 1 +auto[19] 642 1 +auto[20] 584 1 +auto[21] 629 1 +auto[22] 646 1 +auto[23] 617 1 +auto[24] 589 1 +auto[25] 651 1 +auto[26] 652 1 +auto[27] 588 1 +auto[28] 622 1 +auto[29] 655 1 +auto[30] 685 1 +auto[31] 644 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 62 1 +RD_02 55 1 +RD_03 83 1 +RD_04 71 1 +RD_05 48 1 +RD_06 54 1 +RD_07 72 1 +RD_08 73 1 +RD_09 68 1 +RD_0a 74 1 +RD_0b 56 1 +RD_0c 66 1 +RD_0d 68 1 +RD_0e 73 1 +RD_0f 66 1 +RD_10 70 1 +RD_11 61 1 +RD_12 67 1 +RD_13 93 1 +RD_14 55 1 +RD_15 74 1 +RD_16 67 1 +RD_17 59 1 +RD_18 63 1 +RD_19 71 1 +RD_1a 69 1 +RD_1b 60 1 +RD_1c 75 1 +RD_1d 66 1 +RD_1e 64 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 61 1 +RD_01 59 1 +RD_02 58 1 +RD_03 85 1 +RD_04 70 1 +RD_05 50 1 +RD_06 57 1 +RD_07 71 1 +RD_08 67 1 +RD_09 59 1 +RD_0a 68 1 +RD_0b 50 1 +RD_0c 73 1 +RD_0d 70 1 +RD_0e 64 1 +RD_0f 69 1 +RD_10 62 1 +RD_11 62 1 +RD_12 67 1 +RD_13 89 1 +RD_14 54 1 +RD_15 76 1 +RD_16 63 1 +RD_17 58 1 +RD_18 58 1 +RD_19 73 1 +RD_1a 65 1 +RD_1b 62 1 +RD_1c 72 1 +RD_1d 66 1 +RD_1e 69 1 +RD_1f 73 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7046 1 +auto_NON_ZERO 13459 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7207 1 +auto_NON_ZERO 13298 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 13561 1 +auto_NON_ZERO 6944 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6314 1 +BIT30_1 4131 1 +BIT29_1 4123 1 +BIT28_1 4170 1 +BIT27_1 3953 1 +BIT26_1 4031 1 +BIT25_1 4056 1 +BIT24_1 3931 1 +BIT23_1 3943 1 +BIT22_1 3958 1 +BIT21_1 3915 1 +BIT20_1 3983 1 +BIT19_1 3951 1 +BIT18_1 4021 1 +BIT17_1 3981 1 +BIT16_1 4166 1 +BIT15_1 5015 1 +BIT14_1 4928 1 +BIT13_1 5171 1 +BIT12_1 4957 1 +BIT11_1 5501 1 +BIT10_1 5373 1 +BIT9_1 4786 1 +BIT8_1 4317 1 +BIT7_1 5142 1 +BIT6_1 4608 1 +BIT5_1 4764 1 +BIT4_1 6245 1 +BIT3_1 6246 1 +BIT2_1 6213 1 +BIT1_1 4865 1 +BIT0_1 5884 1 +BIT31_0 14191 1 +BIT30_0 16374 1 +BIT29_0 16382 1 +BIT28_0 16335 1 +BIT27_0 16552 1 +BIT26_0 16474 1 +BIT25_0 16449 1 +BIT24_0 16574 1 +BIT23_0 16562 1 +BIT22_0 16547 1 +BIT21_0 16590 1 +BIT20_0 16522 1 +BIT19_0 16554 1 +BIT18_0 16484 1 +BIT17_0 16524 1 +BIT16_0 16339 1 +BIT15_0 15490 1 +BIT14_0 15577 1 +BIT13_0 15334 1 +BIT12_0 15548 1 +BIT11_0 15004 1 +BIT10_0 15132 1 +BIT9_0 15719 1 +BIT8_0 16188 1 +BIT7_0 15363 1 +BIT6_0 15897 1 +BIT5_0 15741 1 +BIT4_0 14260 1 +BIT3_0 14259 1 +BIT2_0 14292 1 +BIT1_0 15640 1 +BIT0_0 14621 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6404 1 +BIT30_1 4147 1 +BIT29_1 4042 1 +BIT28_1 4094 1 +BIT27_1 3964 1 +BIT26_1 3998 1 +BIT25_1 3984 1 +BIT24_1 3952 1 +BIT23_1 3943 1 +BIT22_1 3888 1 +BIT21_1 3917 1 +BIT20_1 4010 1 +BIT19_1 3952 1 +BIT18_1 4044 1 +BIT17_1 3962 1 +BIT16_1 4135 1 +BIT15_1 5094 1 +BIT14_1 4960 1 +BIT13_1 5343 1 +BIT12_1 5099 1 +BIT11_1 5562 1 +BIT10_1 5686 1 +BIT9_1 4985 1 +BIT8_1 4377 1 +BIT7_1 5504 1 +BIT6_1 4536 1 +BIT5_1 4799 1 +BIT4_1 6308 1 +BIT3_1 6313 1 +BIT2_1 6218 1 +BIT1_1 4829 1 +BIT0_1 5684 1 +BIT31_0 14101 1 +BIT30_0 16358 1 +BIT29_0 16463 1 +BIT28_0 16411 1 +BIT27_0 16541 1 +BIT26_0 16507 1 +BIT25_0 16521 1 +BIT24_0 16553 1 +BIT23_0 16562 1 +BIT22_0 16617 1 +BIT21_0 16588 1 +BIT20_0 16495 1 +BIT19_0 16553 1 +BIT18_0 16461 1 +BIT17_0 16543 1 +BIT16_0 16370 1 +BIT15_0 15411 1 +BIT14_0 15545 1 +BIT13_0 15162 1 +BIT12_0 15406 1 +BIT11_0 14943 1 +BIT10_0 14819 1 +BIT9_0 15520 1 +BIT8_0 16128 1 +BIT7_0 15001 1 +BIT6_0 15969 1 +BIT5_0 15706 1 +BIT4_0 14197 1 +BIT3_0 14192 1 +BIT2_0 14287 1 +BIT1_0 15676 1 +BIT0_0 14821 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1499 1 +BIT30_1 2151 1 +BIT29_1 1731 1 +BIT28_1 1809 1 +BIT27_1 1773 1 +BIT26_1 1723 1 +BIT25_1 1818 1 +BIT24_1 1764 1 +BIT23_1 1756 1 +BIT22_1 1816 1 +BIT21_1 1787 1 +BIT20_1 1802 1 +BIT19_1 1772 1 +BIT18_1 1814 1 +BIT17_1 1925 1 +BIT16_1 1975 1 +BIT15_1 2306 1 +BIT14_1 2392 1 +BIT13_1 2572 1 +BIT12_1 2631 1 +BIT11_1 2910 1 +BIT10_1 2900 1 +BIT9_1 2679 1 +BIT8_1 2704 1 +BIT7_1 2844 1 +BIT6_1 2935 1 +BIT5_1 2940 1 +BIT4_1 3516 1 +BIT3_1 3666 1 +BIT2_1 3624 1 +BIT1_1 3677 1 +BIT0_1 3416 1 +BIT31_0 19006 1 +BIT30_0 18354 1 +BIT29_0 18774 1 +BIT28_0 18696 1 +BIT27_0 18732 1 +BIT26_0 18782 1 +BIT25_0 18687 1 +BIT24_0 18741 1 +BIT23_0 18749 1 +BIT22_0 18689 1 +BIT21_0 18718 1 +BIT20_0 18703 1 +BIT19_0 18733 1 +BIT18_0 18691 1 +BIT17_0 18580 1 +BIT16_0 18530 1 +BIT15_0 18199 1 +BIT14_0 18113 1 +BIT13_0 17933 1 +BIT12_0 17874 1 +BIT11_0 17595 1 +BIT10_0 17605 1 +BIT9_0 17826 1 +BIT8_0 17801 1 +BIT7_0 17661 1 +BIT6_0 17570 1 +BIT5_0 17565 1 +BIT4_0 16989 1 +BIT3_0 16839 1 +BIT2_0 16881 1 +BIT1_0 16828 1 +BIT0_0 17089 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3320 1 +auto_ZERO auto_NON_ZERO 3726 1 +auto_NON_ZERO auto_ZERO 3887 1 +auto_NON_ZERO auto_NON_ZERO 9572 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_remu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_remu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_remu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_remu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 609 1 +auto[1] 622 1 +auto[2] 641 1 +auto[3] 658 1 +auto[4] 657 1 +auto[5] 668 1 +auto[6] 677 1 +auto[7] 682 1 +auto[8] 630 1 +auto[9] 629 1 +auto[10] 647 1 +auto[11] 638 1 +auto[12] 658 1 +auto[13] 613 1 +auto[14] 645 1 +auto[15] 756 1 +auto[16] 752 1 +auto[17] 640 1 +auto[18] 625 1 +auto[19] 619 1 +auto[20] 695 1 +auto[21] 687 1 +auto[22] 603 1 +auto[23] 714 1 +auto[24] 562 1 +auto[25] 648 1 +auto[26] 691 1 +auto[27] 714 1 +auto[28] 677 1 +auto[29] 653 1 +auto[30] 799 1 +auto[31] 627 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 634 1 +auto[1] 647 1 +auto[2] 643 1 +auto[3] 683 1 +auto[4] 663 1 +auto[5] 597 1 +auto[6] 670 1 +auto[7] 598 1 +auto[8] 679 1 +auto[9] 611 1 +auto[10] 681 1 +auto[11] 725 1 +auto[12] 653 1 +auto[13] 592 1 +auto[14] 644 1 +auto[15] 656 1 +auto[16] 643 1 +auto[17] 662 1 +auto[18] 668 1 +auto[19] 672 1 +auto[20] 658 1 +auto[21] 626 1 +auto[22] 714 1 +auto[23] 731 1 +auto[24] 781 1 +auto[25] 649 1 +auto[26] 650 1 +auto[27] 653 1 +auto[28] 652 1 +auto[29] 721 1 +auto[30] 654 1 +auto[31] 626 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 726 1 +auto[1] 660 1 +auto[2] 601 1 +auto[3] 702 1 +auto[4] 657 1 +auto[5] 677 1 +auto[6] 626 1 +auto[7] 626 1 +auto[8] 721 1 +auto[9] 695 1 +auto[10] 728 1 +auto[11] 656 1 +auto[12] 643 1 +auto[13] 618 1 +auto[14] 704 1 +auto[15] 655 1 +auto[16] 696 1 +auto[17] 657 1 +auto[18] 613 1 +auto[19] 618 1 +auto[20] 647 1 +auto[21] 669 1 +auto[22] 695 1 +auto[23] 667 1 +auto[24] 621 1 +auto[25] 605 1 +auto[26] 751 1 +auto[27] 651 1 +auto[28] 646 1 +auto[29] 611 1 +auto[30] 666 1 +auto[31] 628 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 64 1 +RD_02 71 1 +RD_03 67 1 +RD_04 68 1 +RD_05 75 1 +RD_06 81 1 +RD_07 58 1 +RD_08 68 1 +RD_09 57 1 +RD_0a 83 1 +RD_0b 66 1 +RD_0c 80 1 +RD_0d 61 1 +RD_0e 76 1 +RD_0f 72 1 +RD_10 66 1 +RD_11 69 1 +RD_12 67 1 +RD_13 63 1 +RD_14 74 1 +RD_15 69 1 +RD_16 73 1 +RD_17 71 1 +RD_18 59 1 +RD_19 68 1 +RD_1a 63 1 +RD_1b 79 1 +RD_1c 67 1 +RD_1d 63 1 +RD_1e 65 1 +RD_1f 59 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 61 1 +RD_02 67 1 +RD_03 65 1 +RD_04 69 1 +RD_05 61 1 +RD_06 79 1 +RD_07 60 1 +RD_08 67 1 +RD_09 63 1 +RD_0a 90 1 +RD_0b 61 1 +RD_0c 74 1 +RD_0d 58 1 +RD_0e 73 1 +RD_0f 71 1 +RD_10 76 1 +RD_11 65 1 +RD_12 74 1 +RD_13 54 1 +RD_14 74 1 +RD_15 73 1 +RD_16 77 1 +RD_17 69 1 +RD_18 63 1 +RD_19 71 1 +RD_1a 73 1 +RD_1b 60 1 +RD_1c 64 1 +RD_1d 64 1 +RD_1e 66 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7253 1 +auto_NON_ZERO 13883 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7422 1 +auto_NON_ZERO 13714 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9383 1 +auto_NON_ZERO 11753 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6354 1 +BIT30_1 4183 1 +BIT29_1 4132 1 +BIT28_1 4106 1 +BIT27_1 4057 1 +BIT26_1 4061 1 +BIT25_1 3978 1 +BIT24_1 3967 1 +BIT23_1 4113 1 +BIT22_1 4033 1 +BIT21_1 3991 1 +BIT20_1 4014 1 +BIT19_1 4047 1 +BIT18_1 4084 1 +BIT17_1 4006 1 +BIT16_1 4215 1 +BIT15_1 5275 1 +BIT14_1 5045 1 +BIT13_1 5403 1 +BIT12_1 5119 1 +BIT11_1 5665 1 +BIT10_1 5677 1 +BIT9_1 4973 1 +BIT8_1 4496 1 +BIT7_1 5314 1 +BIT6_1 4866 1 +BIT5_1 4881 1 +BIT4_1 6214 1 +BIT3_1 6278 1 +BIT2_1 6271 1 +BIT1_1 4988 1 +BIT0_1 5890 1 +BIT31_0 14782 1 +BIT30_0 16953 1 +BIT29_0 17004 1 +BIT28_0 17030 1 +BIT27_0 17079 1 +BIT26_0 17075 1 +BIT25_0 17158 1 +BIT24_0 17169 1 +BIT23_0 17023 1 +BIT22_0 17103 1 +BIT21_0 17145 1 +BIT20_0 17122 1 +BIT19_0 17089 1 +BIT18_0 17052 1 +BIT17_0 17130 1 +BIT16_0 16921 1 +BIT15_0 15861 1 +BIT14_0 16091 1 +BIT13_0 15733 1 +BIT12_0 16017 1 +BIT11_0 15471 1 +BIT10_0 15459 1 +BIT9_0 16163 1 +BIT8_0 16640 1 +BIT7_0 15822 1 +BIT6_0 16270 1 +BIT5_0 16255 1 +BIT4_0 14922 1 +BIT3_0 14858 1 +BIT2_0 14865 1 +BIT1_0 16148 1 +BIT0_0 15246 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6539 1 +BIT30_1 4147 1 +BIT29_1 4154 1 +BIT28_1 4127 1 +BIT27_1 3995 1 +BIT26_1 3964 1 +BIT25_1 3920 1 +BIT24_1 3961 1 +BIT23_1 3884 1 +BIT22_1 3901 1 +BIT21_1 3884 1 +BIT20_1 3893 1 +BIT19_1 3904 1 +BIT18_1 3936 1 +BIT17_1 3902 1 +BIT16_1 4136 1 +BIT15_1 5049 1 +BIT14_1 5143 1 +BIT13_1 5394 1 +BIT12_1 4963 1 +BIT11_1 5772 1 +BIT10_1 5821 1 +BIT9_1 4946 1 +BIT8_1 4400 1 +BIT7_1 5507 1 +BIT6_1 4708 1 +BIT5_1 4889 1 +BIT4_1 6314 1 +BIT3_1 6444 1 +BIT2_1 6441 1 +BIT1_1 4887 1 +BIT0_1 5769 1 +BIT31_0 14597 1 +BIT30_0 16989 1 +BIT29_0 16982 1 +BIT28_0 17009 1 +BIT27_0 17141 1 +BIT26_0 17172 1 +BIT25_0 17216 1 +BIT24_0 17175 1 +BIT23_0 17252 1 +BIT22_0 17235 1 +BIT21_0 17252 1 +BIT20_0 17243 1 +BIT19_0 17232 1 +BIT18_0 17200 1 +BIT17_0 17234 1 +BIT16_0 17000 1 +BIT15_0 16087 1 +BIT14_0 15993 1 +BIT13_0 15742 1 +BIT12_0 16173 1 +BIT11_0 15364 1 +BIT10_0 15315 1 +BIT9_0 16190 1 +BIT8_0 16736 1 +BIT7_0 15629 1 +BIT6_0 16428 1 +BIT5_0 16247 1 +BIT4_0 14822 1 +BIT3_0 14692 1 +BIT2_0 14695 1 +BIT1_0 16249 1 +BIT0_0 15367 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2675 1 +BIT30_1 2117 1 +BIT29_1 2259 1 +BIT28_1 2259 1 +BIT27_1 2313 1 +BIT26_1 2413 1 +BIT25_1 2339 1 +BIT24_1 2352 1 +BIT23_1 2499 1 +BIT22_1 2394 1 +BIT21_1 2444 1 +BIT20_1 2480 1 +BIT19_1 2544 1 +BIT18_1 2589 1 +BIT17_1 2538 1 +BIT16_1 2634 1 +BIT15_1 3291 1 +BIT14_1 3270 1 +BIT13_1 3561 1 +BIT12_1 3355 1 +BIT11_1 3694 1 +BIT10_1 3761 1 +BIT9_1 3524 1 +BIT8_1 3335 1 +BIT7_1 3903 1 +BIT6_1 3830 1 +BIT5_1 3822 1 +BIT4_1 4740 1 +BIT3_1 4917 1 +BIT2_1 5062 1 +BIT1_1 4239 1 +BIT0_1 5072 1 +BIT31_0 18461 1 +BIT30_0 19019 1 +BIT29_0 18877 1 +BIT28_0 18877 1 +BIT27_0 18823 1 +BIT26_0 18723 1 +BIT25_0 18797 1 +BIT24_0 18784 1 +BIT23_0 18637 1 +BIT22_0 18742 1 +BIT21_0 18692 1 +BIT20_0 18656 1 +BIT19_0 18592 1 +BIT18_0 18547 1 +BIT17_0 18598 1 +BIT16_0 18502 1 +BIT15_0 17845 1 +BIT14_0 17866 1 +BIT13_0 17575 1 +BIT12_0 17781 1 +BIT11_0 17442 1 +BIT10_0 17375 1 +BIT9_0 17612 1 +BIT8_0 17801 1 +BIT7_0 17233 1 +BIT6_0 17306 1 +BIT5_0 17314 1 +BIT4_0 16396 1 +BIT3_0 16219 1 +BIT2_0 16074 1 +BIT1_0 16897 1 +BIT0_0 16064 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3388 1 +auto_ZERO auto_NON_ZERO 3865 1 +auto_NON_ZERO auto_ZERO 4034 1 +auto_NON_ZERO auto_NON_ZERO 9849 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_andn_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 584 1 +auto[1] 597 1 +auto[2] 566 1 +auto[3] 522 1 +auto[4] 587 1 +auto[5] 519 1 +auto[6] 548 1 +auto[7] 588 1 +auto[8] 541 1 +auto[9] 560 1 +auto[10] 564 1 +auto[11] 488 1 +auto[12] 550 1 +auto[13] 502 1 +auto[14] 568 1 +auto[15] 551 1 +auto[16] 548 1 +auto[17] 558 1 +auto[18] 519 1 +auto[19] 561 1 +auto[20] 592 1 +auto[21] 535 1 +auto[22] 596 1 +auto[23] 512 1 +auto[24] 531 1 +auto[25] 548 1 +auto[26] 557 1 +auto[27] 598 1 +auto[28] 529 1 +auto[29] 527 1 +auto[30] 501 1 +auto[31] 519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 589 1 +auto[2] 522 1 +auto[3] 571 1 +auto[4] 542 1 +auto[5] 542 1 +auto[6] 550 1 +auto[7] 548 1 +auto[8] 536 1 +auto[9] 512 1 +auto[10] 561 1 +auto[11] 548 1 +auto[12] 555 1 +auto[13] 541 1 +auto[14] 577 1 +auto[15] 536 1 +auto[16] 578 1 +auto[17] 532 1 +auto[18] 528 1 +auto[19] 486 1 +auto[20] 568 1 +auto[21] 541 1 +auto[22] 560 1 +auto[23] 535 1 +auto[24] 532 1 +auto[25] 558 1 +auto[26] 550 1 +auto[27] 569 1 +auto[28] 575 1 +auto[29] 524 1 +auto[30] 554 1 +auto[31] 564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 585 1 +auto[1] 566 1 +auto[2] 495 1 +auto[3] 591 1 +auto[4] 564 1 +auto[5] 547 1 +auto[6] 524 1 +auto[7] 556 1 +auto[8] 554 1 +auto[9] 571 1 +auto[10] 565 1 +auto[11] 540 1 +auto[12] 534 1 +auto[13] 516 1 +auto[14] 537 1 +auto[15] 521 1 +auto[16] 557 1 +auto[17] 559 1 +auto[18] 574 1 +auto[19] 549 1 +auto[20] 540 1 +auto[21] 547 1 +auto[22] 559 1 +auto[23] 578 1 +auto[24] 571 1 +auto[25] 571 1 +auto[26] 515 1 +auto[27] 539 1 +auto[28] 541 1 +auto[29] 538 1 +auto[30] 508 1 +auto[31] 554 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 57 1 +RD_01 81 1 +RD_02 60 1 +RD_03 66 1 +RD_04 67 1 +RD_05 64 1 +RD_06 67 1 +RD_07 55 1 +RD_08 66 1 +RD_09 73 1 +RD_0a 72 1 +RD_0b 52 1 +RD_0c 64 1 +RD_0d 58 1 +RD_0e 67 1 +RD_0f 70 1 +RD_10 73 1 +RD_11 64 1 +RD_12 46 1 +RD_13 63 1 +RD_14 60 1 +RD_15 65 1 +RD_16 65 1 +RD_17 65 1 +RD_18 67 1 +RD_19 65 1 +RD_1a 43 1 +RD_1b 59 1 +RD_1c 52 1 +RD_1d 72 1 +RD_1e 57 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 57 1 +RD_01 81 1 +RD_02 62 1 +RD_03 69 1 +RD_04 71 1 +RD_05 61 1 +RD_06 63 1 +RD_07 59 1 +RD_08 63 1 +RD_09 66 1 +RD_0a 69 1 +RD_0b 53 1 +RD_0c 65 1 +RD_0d 50 1 +RD_0e 68 1 +RD_0f 67 1 +RD_10 74 1 +RD_11 64 1 +RD_12 49 1 +RD_13 62 1 +RD_14 65 1 +RD_15 70 1 +RD_16 68 1 +RD_17 62 1 +RD_18 64 1 +RD_19 62 1 +RD_1a 55 1 +RD_1b 67 1 +RD_1c 54 1 +RD_1d 66 1 +RD_1e 65 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6082 1 +auto_NON_ZERO 11484 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6154 1 +auto_NON_ZERO 11412 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8302 1 +auto_NON_ZERO 9264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5231 1 +BIT30_1 3512 1 +BIT29_1 3477 1 +BIT28_1 3502 1 +BIT27_1 3345 1 +BIT26_1 3367 1 +BIT25_1 3297 1 +BIT24_1 3322 1 +BIT23_1 3230 1 +BIT22_1 3363 1 +BIT21_1 3288 1 +BIT20_1 3329 1 +BIT19_1 3326 1 +BIT18_1 3315 1 +BIT17_1 3374 1 +BIT16_1 3534 1 +BIT15_1 4231 1 +BIT14_1 4222 1 +BIT13_1 4425 1 +BIT12_1 4220 1 +BIT11_1 4649 1 +BIT10_1 4733 1 +BIT9_1 4122 1 +BIT8_1 3673 1 +BIT7_1 4509 1 +BIT6_1 4080 1 +BIT5_1 4213 1 +BIT4_1 5297 1 +BIT3_1 5373 1 +BIT2_1 5353 1 +BIT1_1 4287 1 +BIT0_1 4958 1 +BIT31_0 12335 1 +BIT30_0 14054 1 +BIT29_0 14089 1 +BIT28_0 14064 1 +BIT27_0 14221 1 +BIT26_0 14199 1 +BIT25_0 14269 1 +BIT24_0 14244 1 +BIT23_0 14336 1 +BIT22_0 14203 1 +BIT21_0 14278 1 +BIT20_0 14237 1 +BIT19_0 14240 1 +BIT18_0 14251 1 +BIT17_0 14192 1 +BIT16_0 14032 1 +BIT15_0 13335 1 +BIT14_0 13344 1 +BIT13_0 13141 1 +BIT12_0 13346 1 +BIT11_0 12917 1 +BIT10_0 12833 1 +BIT9_0 13444 1 +BIT8_0 13893 1 +BIT7_0 13057 1 +BIT6_0 13486 1 +BIT5_0 13353 1 +BIT4_0 12269 1 +BIT3_0 12193 1 +BIT2_0 12213 1 +BIT1_0 13279 1 +BIT0_0 12608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5254 1 +BIT30_1 3481 1 +BIT29_1 3471 1 +BIT28_1 3497 1 +BIT27_1 3344 1 +BIT26_1 3372 1 +BIT25_1 3320 1 +BIT24_1 3342 1 +BIT23_1 3311 1 +BIT22_1 3329 1 +BIT21_1 3347 1 +BIT20_1 3317 1 +BIT19_1 3358 1 +BIT18_1 3348 1 +BIT17_1 3341 1 +BIT16_1 3545 1 +BIT15_1 4286 1 +BIT14_1 4167 1 +BIT13_1 4401 1 +BIT12_1 4262 1 +BIT11_1 4573 1 +BIT10_1 4660 1 +BIT9_1 4208 1 +BIT8_1 3713 1 +BIT7_1 4394 1 +BIT6_1 3994 1 +BIT5_1 4116 1 +BIT4_1 5229 1 +BIT3_1 5406 1 +BIT2_1 5300 1 +BIT1_1 4232 1 +BIT0_1 4811 1 +BIT31_0 12312 1 +BIT30_0 14085 1 +BIT29_0 14095 1 +BIT28_0 14069 1 +BIT27_0 14222 1 +BIT26_0 14194 1 +BIT25_0 14246 1 +BIT24_0 14224 1 +BIT23_0 14255 1 +BIT22_0 14237 1 +BIT21_0 14219 1 +BIT20_0 14249 1 +BIT19_0 14208 1 +BIT18_0 14218 1 +BIT17_0 14225 1 +BIT16_0 14021 1 +BIT15_0 13280 1 +BIT14_0 13399 1 +BIT13_0 13165 1 +BIT12_0 13304 1 +BIT11_0 12993 1 +BIT10_0 12906 1 +BIT9_0 13358 1 +BIT8_0 13853 1 +BIT7_0 13172 1 +BIT6_0 13572 1 +BIT5_0 13450 1 +BIT4_0 12337 1 +BIT3_0 12160 1 +BIT2_0 12266 1 +BIT1_0 13334 1 +BIT0_0 12755 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3150 1 +BIT30_1 2451 1 +BIT29_1 2427 1 +BIT28_1 2416 1 +BIT27_1 2321 1 +BIT26_1 2375 1 +BIT25_1 2290 1 +BIT24_1 2330 1 +BIT23_1 2247 1 +BIT22_1 2361 1 +BIT21_1 2305 1 +BIT20_1 2352 1 +BIT19_1 2336 1 +BIT18_1 2298 1 +BIT17_1 2375 1 +BIT16_1 2446 1 +BIT15_1 2783 1 +BIT14_1 2834 1 +BIT13_1 2915 1 +BIT12_1 2771 1 +BIT11_1 3024 1 +BIT10_1 3120 1 +BIT9_1 2721 1 +BIT8_1 2479 1 +BIT7_1 2968 1 +BIT6_1 2745 1 +BIT5_1 2800 1 +BIT4_1 3265 1 +BIT3_1 3286 1 +BIT2_1 3243 1 +BIT1_1 2836 1 +BIT0_1 3074 1 +BIT31_0 14416 1 +BIT30_0 15115 1 +BIT29_0 15139 1 +BIT28_0 15150 1 +BIT27_0 15245 1 +BIT26_0 15191 1 +BIT25_0 15276 1 +BIT24_0 15236 1 +BIT23_0 15319 1 +BIT22_0 15205 1 +BIT21_0 15261 1 +BIT20_0 15214 1 +BIT19_0 15230 1 +BIT18_0 15268 1 +BIT17_0 15191 1 +BIT16_0 15120 1 +BIT15_0 14783 1 +BIT14_0 14732 1 +BIT13_0 14651 1 +BIT12_0 14795 1 +BIT11_0 14542 1 +BIT10_0 14446 1 +BIT9_0 14845 1 +BIT8_0 15087 1 +BIT7_0 14598 1 +BIT6_0 14821 1 +BIT5_0 14766 1 +BIT4_0 14301 1 +BIT3_0 14280 1 +BIT2_0 14323 1 +BIT1_0 14730 1 +BIT0_0 14492 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2889 1 +auto_ZERO auto_NON_ZERO 3193 1 +auto_NON_ZERO auto_ZERO 3265 1 +auto_NON_ZERO auto_NON_ZERO 8219 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_max_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 522 1 +auto[2] 533 1 +auto[3] 563 1 +auto[4] 618 1 +auto[5] 549 1 +auto[6] 564 1 +auto[7] 517 1 +auto[8] 521 1 +auto[9] 599 1 +auto[10] 534 1 +auto[11] 582 1 +auto[12] 581 1 +auto[13] 525 1 +auto[14] 582 1 +auto[15] 524 1 +auto[16] 544 1 +auto[17] 538 1 +auto[18] 556 1 +auto[19] 534 1 +auto[20] 532 1 +auto[21] 501 1 +auto[22] 515 1 +auto[23] 562 1 +auto[24] 547 1 +auto[25] 577 1 +auto[26] 551 1 +auto[27] 554 1 +auto[28] 569 1 +auto[29] 541 1 +auto[30] 535 1 +auto[31] 555 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 564 1 +auto[1] 546 1 +auto[2] 558 1 +auto[3] 539 1 +auto[4] 514 1 +auto[5] 580 1 +auto[6] 557 1 +auto[7] 541 1 +auto[8] 526 1 +auto[9] 542 1 +auto[10] 553 1 +auto[11] 544 1 +auto[12] 566 1 +auto[13] 547 1 +auto[14] 561 1 +auto[15] 559 1 +auto[16] 565 1 +auto[17] 532 1 +auto[18] 532 1 +auto[19] 555 1 +auto[20] 551 1 +auto[21] 523 1 +auto[22] 526 1 +auto[23] 501 1 +auto[24] 567 1 +auto[25] 557 1 +auto[26] 600 1 +auto[27] 562 1 +auto[28] 576 1 +auto[29] 538 1 +auto[30] 554 1 +auto[31] 571 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 573 1 +auto[2] 462 1 +auto[3] 593 1 +auto[4] 518 1 +auto[5] 562 1 +auto[6] 603 1 +auto[7] 516 1 +auto[8] 593 1 +auto[9] 544 1 +auto[10] 571 1 +auto[11] 571 1 +auto[12] 547 1 +auto[13] 597 1 +auto[14] 587 1 +auto[15] 534 1 +auto[16] 532 1 +auto[17] 533 1 +auto[18] 561 1 +auto[19] 570 1 +auto[20] 505 1 +auto[21] 560 1 +auto[22] 500 1 +auto[23] 560 1 +auto[24] 535 1 +auto[25] 557 1 +auto[26] 588 1 +auto[27] 558 1 +auto[28] 494 1 +auto[29] 561 1 +auto[30] 521 1 +auto[31] 519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 66 1 +RD_01 62 1 +RD_02 68 1 +RD_03 74 1 +RD_04 65 1 +RD_05 84 1 +RD_06 71 1 +RD_07 52 1 +RD_08 60 1 +RD_09 60 1 +RD_0a 76 1 +RD_0b 83 1 +RD_0c 68 1 +RD_0d 68 1 +RD_0e 81 1 +RD_0f 61 1 +RD_10 60 1 +RD_11 58 1 +RD_12 63 1 +RD_13 67 1 +RD_14 60 1 +RD_15 56 1 +RD_16 53 1 +RD_17 75 1 +RD_18 59 1 +RD_19 76 1 +RD_1a 75 1 +RD_1b 66 1 +RD_1c 65 1 +RD_1d 65 1 +RD_1e 61 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 71 1 +RD_02 64 1 +RD_03 64 1 +RD_04 69 1 +RD_05 79 1 +RD_06 74 1 +RD_07 68 1 +RD_08 63 1 +RD_09 60 1 +RD_0a 79 1 +RD_0b 80 1 +RD_0c 63 1 +RD_0d 68 1 +RD_0e 82 1 +RD_0f 56 1 +RD_10 68 1 +RD_11 57 1 +RD_12 73 1 +RD_13 69 1 +RD_14 63 1 +RD_15 62 1 +RD_16 52 1 +RD_17 70 1 +RD_18 58 1 +RD_19 74 1 +RD_1a 71 1 +RD_1b 69 1 +RD_1c 69 1 +RD_1d 65 1 +RD_1e 57 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6177 1 +auto_NON_ZERO 11430 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6226 1 +auto_NON_ZERO 11381 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5921 1 +auto_NON_ZERO 11686 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5240 1 +BIT30_1 3548 1 +BIT29_1 3491 1 +BIT28_1 3524 1 +BIT27_1 3351 1 +BIT26_1 3416 1 +BIT25_1 3331 1 +BIT24_1 3344 1 +BIT23_1 3346 1 +BIT22_1 3386 1 +BIT21_1 3348 1 +BIT20_1 3396 1 +BIT19_1 3405 1 +BIT18_1 3332 1 +BIT17_1 3346 1 +BIT16_1 3605 1 +BIT15_1 4339 1 +BIT14_1 4262 1 +BIT13_1 4495 1 +BIT12_1 4343 1 +BIT11_1 4728 1 +BIT10_1 4747 1 +BIT9_1 4300 1 +BIT8_1 3742 1 +BIT7_1 4603 1 +BIT6_1 4048 1 +BIT5_1 4249 1 +BIT4_1 5369 1 +BIT3_1 5453 1 +BIT2_1 5300 1 +BIT1_1 4380 1 +BIT0_1 4968 1 +BIT31_0 12367 1 +BIT30_0 14059 1 +BIT29_0 14116 1 +BIT28_0 14083 1 +BIT27_0 14256 1 +BIT26_0 14191 1 +BIT25_0 14276 1 +BIT24_0 14263 1 +BIT23_0 14261 1 +BIT22_0 14221 1 +BIT21_0 14259 1 +BIT20_0 14211 1 +BIT19_0 14202 1 +BIT18_0 14275 1 +BIT17_0 14261 1 +BIT16_0 14002 1 +BIT15_0 13268 1 +BIT14_0 13345 1 +BIT13_0 13112 1 +BIT12_0 13264 1 +BIT11_0 12879 1 +BIT10_0 12860 1 +BIT9_0 13307 1 +BIT8_0 13865 1 +BIT7_0 13004 1 +BIT6_0 13559 1 +BIT5_0 13358 1 +BIT4_0 12238 1 +BIT3_0 12154 1 +BIT2_0 12307 1 +BIT1_0 13227 1 +BIT0_0 12639 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5236 1 +BIT30_1 3461 1 +BIT29_1 3397 1 +BIT28_1 3474 1 +BIT27_1 3331 1 +BIT26_1 3370 1 +BIT25_1 3305 1 +BIT24_1 3373 1 +BIT23_1 3350 1 +BIT22_1 3307 1 +BIT21_1 3325 1 +BIT20_1 3273 1 +BIT19_1 3302 1 +BIT18_1 3346 1 +BIT17_1 3325 1 +BIT16_1 3516 1 +BIT15_1 4188 1 +BIT14_1 4188 1 +BIT13_1 4352 1 +BIT12_1 4245 1 +BIT11_1 4698 1 +BIT10_1 4689 1 +BIT9_1 4180 1 +BIT8_1 3678 1 +BIT7_1 4417 1 +BIT6_1 3882 1 +BIT5_1 4109 1 +BIT4_1 5324 1 +BIT3_1 5341 1 +BIT2_1 5314 1 +BIT1_1 4182 1 +BIT0_1 4842 1 +BIT31_0 12371 1 +BIT30_0 14146 1 +BIT29_0 14210 1 +BIT28_0 14133 1 +BIT27_0 14276 1 +BIT26_0 14237 1 +BIT25_0 14302 1 +BIT24_0 14234 1 +BIT23_0 14257 1 +BIT22_0 14300 1 +BIT21_0 14282 1 +BIT20_0 14334 1 +BIT19_0 14305 1 +BIT18_0 14261 1 +BIT17_0 14282 1 +BIT16_0 14091 1 +BIT15_0 13419 1 +BIT14_0 13419 1 +BIT13_0 13255 1 +BIT12_0 13362 1 +BIT11_0 12909 1 +BIT10_0 12918 1 +BIT9_0 13427 1 +BIT8_0 13929 1 +BIT7_0 13190 1 +BIT6_0 13725 1 +BIT5_0 13498 1 +BIT4_0 12283 1 +BIT3_0 12266 1 +BIT2_0 12293 1 +BIT1_0 13425 1 +BIT0_0 12765 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2012 1 +BIT30_1 2686 1 +BIT29_1 2582 1 +BIT28_1 2684 1 +BIT27_1 2556 1 +BIT26_1 2654 1 +BIT25_1 2602 1 +BIT24_1 2674 1 +BIT23_1 2642 1 +BIT22_1 2707 1 +BIT21_1 2700 1 +BIT20_1 2662 1 +BIT19_1 2725 1 +BIT18_1 2704 1 +BIT17_1 2727 1 +BIT16_1 2938 1 +BIT15_1 3248 1 +BIT14_1 3309 1 +BIT13_1 3366 1 +BIT12_1 3406 1 +BIT11_1 3214 1 +BIT10_1 3390 1 +BIT9_1 3397 1 +BIT8_1 3310 1 +BIT7_1 3862 1 +BIT6_1 3892 1 +BIT5_1 4200 1 +BIT4_1 4536 1 +BIT3_1 4618 1 +BIT2_1 4502 1 +BIT1_1 4284 1 +BIT0_1 5290 1 +BIT31_0 15595 1 +BIT30_0 14921 1 +BIT29_0 15025 1 +BIT28_0 14923 1 +BIT27_0 15051 1 +BIT26_0 14953 1 +BIT25_0 15005 1 +BIT24_0 14933 1 +BIT23_0 14965 1 +BIT22_0 14900 1 +BIT21_0 14907 1 +BIT20_0 14945 1 +BIT19_0 14882 1 +BIT18_0 14903 1 +BIT17_0 14880 1 +BIT16_0 14669 1 +BIT15_0 14359 1 +BIT14_0 14298 1 +BIT13_0 14241 1 +BIT12_0 14201 1 +BIT11_0 14393 1 +BIT10_0 14217 1 +BIT9_0 14210 1 +BIT8_0 14297 1 +BIT7_0 13745 1 +BIT6_0 13715 1 +BIT5_0 13407 1 +BIT4_0 13071 1 +BIT3_0 12989 1 +BIT2_0 13105 1 +BIT1_0 13323 1 +BIT0_0 12317 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2989 1 +auto_ZERO auto_NON_ZERO 3188 1 +auto_NON_ZERO auto_ZERO 3237 1 +auto_NON_ZERO auto_NON_ZERO 8193 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_maxu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 547 1 +auto[1] 600 1 +auto[2] 542 1 +auto[3] 541 1 +auto[4] 571 1 +auto[5] 540 1 +auto[6] 542 1 +auto[7] 530 1 +auto[8] 533 1 +auto[9] 551 1 +auto[10] 547 1 +auto[11] 551 1 +auto[12] 585 1 +auto[13] 582 1 +auto[14] 558 1 +auto[15] 558 1 +auto[16] 598 1 +auto[17] 523 1 +auto[18] 515 1 +auto[19] 547 1 +auto[20] 583 1 +auto[21] 561 1 +auto[22] 576 1 +auto[23] 563 1 +auto[24] 602 1 +auto[25] 498 1 +auto[26] 533 1 +auto[27] 552 1 +auto[28] 571 1 +auto[29] 518 1 +auto[30] 518 1 +auto[31] 554 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 574 1 +auto[1] 559 1 +auto[2] 543 1 +auto[3] 570 1 +auto[4] 539 1 +auto[5] 542 1 +auto[6] 555 1 +auto[7] 567 1 +auto[8] 523 1 +auto[9] 562 1 +auto[10] 575 1 +auto[11] 622 1 +auto[12] 574 1 +auto[13] 556 1 +auto[14] 581 1 +auto[15] 555 1 +auto[16] 532 1 +auto[17] 551 1 +auto[18] 541 1 +auto[19] 568 1 +auto[20] 514 1 +auto[21] 549 1 +auto[22] 531 1 +auto[23] 568 1 +auto[24] 567 1 +auto[25] 522 1 +auto[26] 591 1 +auto[27] 505 1 +auto[28] 566 1 +auto[29] 531 1 +auto[30] 529 1 +auto[31] 528 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 534 1 +auto[1] 600 1 +auto[2] 521 1 +auto[3] 581 1 +auto[4] 561 1 +auto[5] 504 1 +auto[6] 518 1 +auto[7] 596 1 +auto[8] 540 1 +auto[9] 521 1 +auto[10] 541 1 +auto[11] 577 1 +auto[12] 544 1 +auto[13] 511 1 +auto[14] 570 1 +auto[15] 539 1 +auto[16] 545 1 +auto[17] 583 1 +auto[18] 544 1 +auto[19] 609 1 +auto[20] 523 1 +auto[21] 575 1 +auto[22] 625 1 +auto[23] 535 1 +auto[24] 547 1 +auto[25] 527 1 +auto[26] 529 1 +auto[27] 548 1 +auto[28] 547 1 +auto[29] 571 1 +auto[30] 557 1 +auto[31] 567 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 67 1 +RD_01 67 1 +RD_02 66 1 +RD_03 70 1 +RD_04 65 1 +RD_05 61 1 +RD_06 54 1 +RD_07 70 1 +RD_08 62 1 +RD_09 54 1 +RD_0a 69 1 +RD_0b 82 1 +RD_0c 75 1 +RD_0d 64 1 +RD_0e 75 1 +RD_0f 69 1 +RD_10 72 1 +RD_11 66 1 +RD_12 70 1 +RD_13 66 1 +RD_14 62 1 +RD_15 84 1 +RD_16 54 1 +RD_17 64 1 +RD_18 72 1 +RD_19 63 1 +RD_1a 61 1 +RD_1b 61 1 +RD_1c 68 1 +RD_1d 69 1 +RD_1e 64 1 +RD_1f 63 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 59 1 +RD_02 63 1 +RD_03 72 1 +RD_04 66 1 +RD_05 62 1 +RD_06 64 1 +RD_07 72 1 +RD_08 60 1 +RD_09 60 1 +RD_0a 68 1 +RD_0b 77 1 +RD_0c 78 1 +RD_0d 66 1 +RD_0e 71 1 +RD_0f 77 1 +RD_10 67 1 +RD_11 55 1 +RD_12 71 1 +RD_13 68 1 +RD_14 56 1 +RD_15 79 1 +RD_16 55 1 +RD_17 63 1 +RD_18 76 1 +RD_19 68 1 +RD_1a 68 1 +RD_1b 61 1 +RD_1c 77 1 +RD_1d 68 1 +RD_1e 62 1 +RD_1f 58 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6157 1 +auto_NON_ZERO 11533 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6281 1 +auto_NON_ZERO 11409 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2985 1 +auto_NON_ZERO 14705 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5353 1 +BIT30_1 3538 1 +BIT29_1 3559 1 +BIT28_1 3601 1 +BIT27_1 3403 1 +BIT26_1 3451 1 +BIT25_1 3379 1 +BIT24_1 3345 1 +BIT23_1 3336 1 +BIT22_1 3443 1 +BIT21_1 3360 1 +BIT20_1 3389 1 +BIT19_1 3336 1 +BIT18_1 3460 1 +BIT17_1 3356 1 +BIT16_1 3568 1 +BIT15_1 4353 1 +BIT14_1 4257 1 +BIT13_1 4469 1 +BIT12_1 4297 1 +BIT11_1 4834 1 +BIT10_1 4859 1 +BIT9_1 4222 1 +BIT8_1 3735 1 +BIT7_1 4665 1 +BIT6_1 3991 1 +BIT5_1 4201 1 +BIT4_1 5360 1 +BIT3_1 5577 1 +BIT2_1 5496 1 +BIT1_1 4211 1 +BIT0_1 4948 1 +BIT31_0 12337 1 +BIT30_0 14152 1 +BIT29_0 14131 1 +BIT28_0 14089 1 +BIT27_0 14287 1 +BIT26_0 14239 1 +BIT25_0 14311 1 +BIT24_0 14345 1 +BIT23_0 14354 1 +BIT22_0 14247 1 +BIT21_0 14330 1 +BIT20_0 14301 1 +BIT19_0 14354 1 +BIT18_0 14230 1 +BIT17_0 14334 1 +BIT16_0 14122 1 +BIT15_0 13337 1 +BIT14_0 13433 1 +BIT13_0 13221 1 +BIT12_0 13393 1 +BIT11_0 12856 1 +BIT10_0 12831 1 +BIT9_0 13468 1 +BIT8_0 13955 1 +BIT7_0 13025 1 +BIT6_0 13699 1 +BIT5_0 13489 1 +BIT4_0 12330 1 +BIT3_0 12113 1 +BIT2_0 12194 1 +BIT1_0 13479 1 +BIT0_0 12742 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5229 1 +BIT30_1 3426 1 +BIT29_1 3405 1 +BIT28_1 3417 1 +BIT27_1 3325 1 +BIT26_1 3327 1 +BIT25_1 3274 1 +BIT24_1 3323 1 +BIT23_1 3228 1 +BIT22_1 3305 1 +BIT21_1 3260 1 +BIT20_1 3260 1 +BIT19_1 3268 1 +BIT18_1 3307 1 +BIT17_1 3286 1 +BIT16_1 3509 1 +BIT15_1 4285 1 +BIT14_1 4178 1 +BIT13_1 4378 1 +BIT12_1 4249 1 +BIT11_1 4668 1 +BIT10_1 4781 1 +BIT9_1 4177 1 +BIT8_1 3682 1 +BIT7_1 4554 1 +BIT6_1 4000 1 +BIT5_1 4135 1 +BIT4_1 5352 1 +BIT3_1 5395 1 +BIT2_1 5300 1 +BIT1_1 4265 1 +BIT0_1 4894 1 +BIT31_0 12461 1 +BIT30_0 14264 1 +BIT29_0 14285 1 +BIT28_0 14273 1 +BIT27_0 14365 1 +BIT26_0 14363 1 +BIT25_0 14416 1 +BIT24_0 14367 1 +BIT23_0 14462 1 +BIT22_0 14385 1 +BIT21_0 14430 1 +BIT20_0 14430 1 +BIT19_0 14422 1 +BIT18_0 14383 1 +BIT17_0 14404 1 +BIT16_0 14181 1 +BIT15_0 13405 1 +BIT14_0 13512 1 +BIT13_0 13312 1 +BIT12_0 13441 1 +BIT11_0 13022 1 +BIT10_0 12909 1 +BIT9_0 13513 1 +BIT8_0 14008 1 +BIT7_0 13136 1 +BIT6_0 13690 1 +BIT5_0 13555 1 +BIT4_0 12338 1 +BIT3_0 12295 1 +BIT2_0 12390 1 +BIT1_0 13425 1 +BIT0_0 12796 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8529 1 +BIT30_1 5704 1 +BIT29_1 5683 1 +BIT28_1 5707 1 +BIT27_1 5483 1 +BIT26_1 5511 1 +BIT25_1 5398 1 +BIT24_1 5362 1 +BIT23_1 5290 1 +BIT22_1 5426 1 +BIT21_1 5328 1 +BIT20_1 5348 1 +BIT19_1 5322 1 +BIT18_1 5447 1 +BIT17_1 5348 1 +BIT16_1 5648 1 +BIT15_1 6785 1 +BIT14_1 6518 1 +BIT13_1 6850 1 +BIT12_1 6596 1 +BIT11_1 7298 1 +BIT10_1 7320 1 +BIT9_1 6313 1 +BIT8_1 5590 1 +BIT7_1 6829 1 +BIT6_1 5757 1 +BIT5_1 5921 1 +BIT4_1 7542 1 +BIT3_1 7669 1 +BIT2_1 7532 1 +BIT1_1 5749 1 +BIT0_1 6157 1 +BIT31_0 9161 1 +BIT30_0 11986 1 +BIT29_0 12007 1 +BIT28_0 11983 1 +BIT27_0 12207 1 +BIT26_0 12179 1 +BIT25_0 12292 1 +BIT24_0 12328 1 +BIT23_0 12400 1 +BIT22_0 12264 1 +BIT21_0 12362 1 +BIT20_0 12342 1 +BIT19_0 12368 1 +BIT18_0 12243 1 +BIT17_0 12342 1 +BIT16_0 12042 1 +BIT15_0 10905 1 +BIT14_0 11172 1 +BIT13_0 10840 1 +BIT12_0 11094 1 +BIT11_0 10392 1 +BIT10_0 10370 1 +BIT9_0 11377 1 +BIT8_0 12100 1 +BIT7_0 10861 1 +BIT6_0 11933 1 +BIT5_0 11769 1 +BIT4_0 10148 1 +BIT3_0 10021 1 +BIT2_0 10158 1 +BIT1_0 11941 1 +BIT0_0 11533 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2985 1 +auto_ZERO auto_NON_ZERO 3172 1 +auto_NON_ZERO auto_ZERO 3296 1 +auto_NON_ZERO auto_NON_ZERO 8237 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_min_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 570 1 +auto[1] 557 1 +auto[2] 530 1 +auto[3] 550 1 +auto[4] 591 1 +auto[5] 556 1 +auto[6] 533 1 +auto[7] 610 1 +auto[8] 548 1 +auto[9] 524 1 +auto[10] 559 1 +auto[11] 549 1 +auto[12] 568 1 +auto[13] 530 1 +auto[14] 570 1 +auto[15] 531 1 +auto[16] 557 1 +auto[17] 555 1 +auto[18] 552 1 +auto[19] 557 1 +auto[20] 593 1 +auto[21] 511 1 +auto[22] 524 1 +auto[23] 539 1 +auto[24] 561 1 +auto[25] 533 1 +auto[26] 539 1 +auto[27] 538 1 +auto[28] 529 1 +auto[29] 523 1 +auto[30] 528 1 +auto[31] 594 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 478 1 +auto[1] 557 1 +auto[2] 563 1 +auto[3] 543 1 +auto[4] 517 1 +auto[5] 573 1 +auto[6] 526 1 +auto[7] 512 1 +auto[8] 536 1 +auto[9] 553 1 +auto[10] 584 1 +auto[11] 548 1 +auto[12] 526 1 +auto[13] 535 1 +auto[14] 571 1 +auto[15] 529 1 +auto[16] 554 1 +auto[17] 568 1 +auto[18] 560 1 +auto[19] 557 1 +auto[20] 567 1 +auto[21] 578 1 +auto[22] 572 1 +auto[23] 544 1 +auto[24] 555 1 +auto[25] 558 1 +auto[26] 585 1 +auto[27] 536 1 +auto[28] 584 1 +auto[29] 531 1 +auto[30] 539 1 +auto[31] 570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 567 1 +auto[1] 624 1 +auto[2] 516 1 +auto[3] 539 1 +auto[4] 546 1 +auto[5] 527 1 +auto[6] 595 1 +auto[7] 546 1 +auto[8] 536 1 +auto[9] 511 1 +auto[10] 540 1 +auto[11] 556 1 +auto[12] 539 1 +auto[13] 559 1 +auto[14] 530 1 +auto[15] 563 1 +auto[16] 563 1 +auto[17] 537 1 +auto[18] 537 1 +auto[19] 561 1 +auto[20] 549 1 +auto[21] 558 1 +auto[22] 561 1 +auto[23] 552 1 +auto[24] 528 1 +auto[25] 549 1 +auto[26] 516 1 +auto[27] 543 1 +auto[28] 540 1 +auto[29] 577 1 +auto[30] 571 1 +auto[31] 573 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 70 1 +RD_01 63 1 +RD_02 61 1 +RD_03 51 1 +RD_04 65 1 +RD_05 67 1 +RD_06 56 1 +RD_07 74 1 +RD_08 60 1 +RD_09 64 1 +RD_0a 70 1 +RD_0b 50 1 +RD_0c 68 1 +RD_0d 68 1 +RD_0e 54 1 +RD_0f 51 1 +RD_10 62 1 +RD_11 66 1 +RD_12 58 1 +RD_13 58 1 +RD_14 85 1 +RD_15 58 1 +RD_16 62 1 +RD_17 64 1 +RD_18 72 1 +RD_19 64 1 +RD_1a 64 1 +RD_1b 52 1 +RD_1c 69 1 +RD_1d 77 1 +RD_1e 61 1 +RD_1f 84 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 62 1 +RD_02 61 1 +RD_03 54 1 +RD_04 58 1 +RD_05 75 1 +RD_06 60 1 +RD_07 65 1 +RD_08 71 1 +RD_09 64 1 +RD_0a 68 1 +RD_0b 58 1 +RD_0c 64 1 +RD_0d 67 1 +RD_0e 57 1 +RD_0f 47 1 +RD_10 56 1 +RD_11 62 1 +RD_12 67 1 +RD_13 60 1 +RD_14 77 1 +RD_15 62 1 +RD_16 67 1 +RD_17 63 1 +RD_18 80 1 +RD_19 66 1 +RD_1a 63 1 +RD_1b 47 1 +RD_1c 61 1 +RD_1d 71 1 +RD_1e 65 1 +RD_1f 76 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6120 1 +auto_NON_ZERO 11489 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6048 1 +auto_NON_ZERO 11561 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6339 1 +auto_NON_ZERO 11270 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5329 1 +BIT30_1 3501 1 +BIT29_1 3468 1 +BIT28_1 3508 1 +BIT27_1 3366 1 +BIT26_1 3378 1 +BIT25_1 3305 1 +BIT24_1 3329 1 +BIT23_1 3370 1 +BIT22_1 3339 1 +BIT21_1 3318 1 +BIT20_1 3336 1 +BIT19_1 3335 1 +BIT18_1 3363 1 +BIT17_1 3326 1 +BIT16_1 3578 1 +BIT15_1 4330 1 +BIT14_1 4253 1 +BIT13_1 4421 1 +BIT12_1 4224 1 +BIT11_1 4695 1 +BIT10_1 4769 1 +BIT9_1 4234 1 +BIT8_1 3733 1 +BIT7_1 4621 1 +BIT6_1 4061 1 +BIT5_1 4179 1 +BIT4_1 5326 1 +BIT3_1 5404 1 +BIT2_1 5385 1 +BIT1_1 4349 1 +BIT0_1 4895 1 +BIT31_0 12280 1 +BIT30_0 14108 1 +BIT29_0 14141 1 +BIT28_0 14101 1 +BIT27_0 14243 1 +BIT26_0 14231 1 +BIT25_0 14304 1 +BIT24_0 14280 1 +BIT23_0 14239 1 +BIT22_0 14270 1 +BIT21_0 14291 1 +BIT20_0 14273 1 +BIT19_0 14274 1 +BIT18_0 14246 1 +BIT17_0 14283 1 +BIT16_0 14031 1 +BIT15_0 13279 1 +BIT14_0 13356 1 +BIT13_0 13188 1 +BIT12_0 13385 1 +BIT11_0 12914 1 +BIT10_0 12840 1 +BIT9_0 13375 1 +BIT8_0 13876 1 +BIT7_0 12988 1 +BIT6_0 13548 1 +BIT5_0 13430 1 +BIT4_0 12283 1 +BIT3_0 12205 1 +BIT2_0 12224 1 +BIT1_0 13260 1 +BIT0_0 12714 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5316 1 +BIT30_1 3472 1 +BIT29_1 3465 1 +BIT28_1 3479 1 +BIT27_1 3364 1 +BIT26_1 3325 1 +BIT25_1 3287 1 +BIT24_1 3356 1 +BIT23_1 3286 1 +BIT22_1 3332 1 +BIT21_1 3287 1 +BIT20_1 3291 1 +BIT19_1 3283 1 +BIT18_1 3295 1 +BIT17_1 3270 1 +BIT16_1 3518 1 +BIT15_1 4316 1 +BIT14_1 4239 1 +BIT13_1 4513 1 +BIT12_1 4205 1 +BIT11_1 4766 1 +BIT10_1 4844 1 +BIT9_1 4335 1 +BIT8_1 3719 1 +BIT7_1 4537 1 +BIT6_1 4022 1 +BIT5_1 4230 1 +BIT4_1 5354 1 +BIT3_1 5368 1 +BIT2_1 5484 1 +BIT1_1 4348 1 +BIT0_1 4890 1 +BIT31_0 12293 1 +BIT30_0 14137 1 +BIT29_0 14144 1 +BIT28_0 14130 1 +BIT27_0 14245 1 +BIT26_0 14284 1 +BIT25_0 14322 1 +BIT24_0 14253 1 +BIT23_0 14323 1 +BIT22_0 14277 1 +BIT21_0 14322 1 +BIT20_0 14318 1 +BIT19_0 14326 1 +BIT18_0 14314 1 +BIT17_0 14339 1 +BIT16_0 14091 1 +BIT15_0 13293 1 +BIT14_0 13370 1 +BIT13_0 13096 1 +BIT12_0 13404 1 +BIT11_0 12843 1 +BIT10_0 12765 1 +BIT9_0 13274 1 +BIT8_0 13890 1 +BIT7_0 13072 1 +BIT6_0 13587 1 +BIT5_0 13379 1 +BIT4_0 12255 1 +BIT3_0 12241 1 +BIT2_0 12125 1 +BIT1_0 13261 1 +BIT0_0 12719 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8578 1 +BIT30_1 4303 1 +BIT29_1 4308 1 +BIT28_1 4273 1 +BIT27_1 4103 1 +BIT26_1 4028 1 +BIT25_1 3987 1 +BIT24_1 3991 1 +BIT23_1 4003 1 +BIT22_1 3926 1 +BIT21_1 3920 1 +BIT20_1 3936 1 +BIT19_1 3903 1 +BIT18_1 3915 1 +BIT17_1 3890 1 +BIT16_1 4143 1 +BIT15_1 5250 1 +BIT14_1 5121 1 +BIT13_1 5506 1 +BIT12_1 4991 1 +BIT11_1 6179 1 +BIT10_1 6087 1 +BIT9_1 5155 1 +BIT8_1 4132 1 +BIT7_1 5177 1 +BIT6_1 4178 1 +BIT5_1 4205 1 +BIT4_1 6101 1 +BIT3_1 6183 1 +BIT2_1 6217 1 +BIT1_1 4275 1 +BIT0_1 4454 1 +BIT31_0 9031 1 +BIT30_0 13306 1 +BIT29_0 13301 1 +BIT28_0 13336 1 +BIT27_0 13506 1 +BIT26_0 13581 1 +BIT25_0 13622 1 +BIT24_0 13618 1 +BIT23_0 13606 1 +BIT22_0 13683 1 +BIT21_0 13689 1 +BIT20_0 13673 1 +BIT19_0 13706 1 +BIT18_0 13694 1 +BIT17_0 13719 1 +BIT16_0 13466 1 +BIT15_0 12359 1 +BIT14_0 12488 1 +BIT13_0 12103 1 +BIT12_0 12618 1 +BIT11_0 11430 1 +BIT10_0 11522 1 +BIT9_0 12454 1 +BIT8_0 13477 1 +BIT7_0 12432 1 +BIT6_0 13431 1 +BIT5_0 13404 1 +BIT4_0 11508 1 +BIT3_0 11426 1 +BIT2_0 11392 1 +BIT1_0 13334 1 +BIT0_0 13155 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2847 1 +auto_ZERO auto_NON_ZERO 3273 1 +auto_NON_ZERO auto_ZERO 3201 1 +auto_NON_ZERO auto_NON_ZERO 8288 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_minu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 563 1 +auto[1] 560 1 +auto[2] 539 1 +auto[3] 531 1 +auto[4] 550 1 +auto[5] 523 1 +auto[6] 570 1 +auto[7] 540 1 +auto[8] 528 1 +auto[9] 553 1 +auto[10] 567 1 +auto[11] 515 1 +auto[12] 554 1 +auto[13] 529 1 +auto[14] 544 1 +auto[15] 545 1 +auto[16] 589 1 +auto[17] 522 1 +auto[18] 504 1 +auto[19] 561 1 +auto[20] 512 1 +auto[21] 530 1 +auto[22] 559 1 +auto[23] 546 1 +auto[24] 565 1 +auto[25] 535 1 +auto[26] 536 1 +auto[27] 561 1 +auto[28] 506 1 +auto[29] 532 1 +auto[30] 547 1 +auto[31] 532 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 562 1 +auto[1] 565 1 +auto[2] 575 1 +auto[3] 551 1 +auto[4] 532 1 +auto[5] 522 1 +auto[6] 507 1 +auto[7] 529 1 +auto[8] 588 1 +auto[9] 543 1 +auto[10] 548 1 +auto[11] 525 1 +auto[12] 580 1 +auto[13] 532 1 +auto[14] 508 1 +auto[15] 540 1 +auto[16] 540 1 +auto[17] 567 1 +auto[18] 531 1 +auto[19] 530 1 +auto[20] 475 1 +auto[21] 598 1 +auto[22] 600 1 +auto[23] 555 1 +auto[24] 546 1 +auto[25] 517 1 +auto[26] 544 1 +auto[27] 533 1 +auto[28] 543 1 +auto[29] 538 1 +auto[30] 481 1 +auto[31] 543 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 609 1 +auto[1] 635 1 +auto[2] 488 1 +auto[3] 560 1 +auto[4] 503 1 +auto[5] 504 1 +auto[6] 522 1 +auto[7] 547 1 +auto[8] 514 1 +auto[9] 517 1 +auto[10] 534 1 +auto[11] 526 1 +auto[12] 536 1 +auto[13] 518 1 +auto[14] 558 1 +auto[15] 543 1 +auto[16] 553 1 +auto[17] 588 1 +auto[18] 545 1 +auto[19] 583 1 +auto[20] 511 1 +auto[21] 534 1 +auto[22] 563 1 +auto[23] 543 1 +auto[24] 528 1 +auto[25] 581 1 +auto[26] 537 1 +auto[27] 548 1 +auto[28] 501 1 +auto[29] 521 1 +auto[30] 531 1 +auto[31] 567 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 74 1 +RD_02 64 1 +RD_03 66 1 +RD_04 52 1 +RD_05 60 1 +RD_06 56 1 +RD_07 57 1 +RD_08 66 1 +RD_09 54 1 +RD_0a 74 1 +RD_0b 49 1 +RD_0c 71 1 +RD_0d 73 1 +RD_0e 59 1 +RD_0f 72 1 +RD_10 79 1 +RD_11 67 1 +RD_12 57 1 +RD_13 74 1 +RD_14 52 1 +RD_15 58 1 +RD_16 66 1 +RD_17 51 1 +RD_18 76 1 +RD_19 62 1 +RD_1a 73 1 +RD_1b 49 1 +RD_1c 56 1 +RD_1d 58 1 +RD_1e 66 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 87 1 +RD_01 81 1 +RD_02 68 1 +RD_03 66 1 +RD_04 46 1 +RD_05 59 1 +RD_06 52 1 +RD_07 64 1 +RD_08 72 1 +RD_09 59 1 +RD_0a 72 1 +RD_0b 48 1 +RD_0c 69 1 +RD_0d 70 1 +RD_0e 63 1 +RD_0f 75 1 +RD_10 71 1 +RD_11 63 1 +RD_12 60 1 +RD_13 74 1 +RD_14 52 1 +RD_15 67 1 +RD_16 64 1 +RD_17 58 1 +RD_18 79 1 +RD_19 60 1 +RD_1a 75 1 +RD_1b 64 1 +RD_1c 56 1 +RD_1d 64 1 +RD_1e 58 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6112 1 +auto_NON_ZERO 11236 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5999 1 +auto_NON_ZERO 11349 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9158 1 +auto_NON_ZERO 8190 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5226 1 +BIT30_1 3505 1 +BIT29_1 3448 1 +BIT28_1 3416 1 +BIT27_1 3316 1 +BIT26_1 3349 1 +BIT25_1 3322 1 +BIT24_1 3356 1 +BIT23_1 3268 1 +BIT22_1 3335 1 +BIT21_1 3300 1 +BIT20_1 3360 1 +BIT19_1 3333 1 +BIT18_1 3301 1 +BIT17_1 3364 1 +BIT16_1 3496 1 +BIT15_1 4286 1 +BIT14_1 4180 1 +BIT13_1 4443 1 +BIT12_1 4214 1 +BIT11_1 4665 1 +BIT10_1 4671 1 +BIT9_1 4231 1 +BIT8_1 3664 1 +BIT7_1 4537 1 +BIT6_1 3977 1 +BIT5_1 4146 1 +BIT4_1 5294 1 +BIT3_1 5335 1 +BIT2_1 5323 1 +BIT1_1 4223 1 +BIT0_1 4798 1 +BIT31_0 12122 1 +BIT30_0 13843 1 +BIT29_0 13900 1 +BIT28_0 13932 1 +BIT27_0 14032 1 +BIT26_0 13999 1 +BIT25_0 14026 1 +BIT24_0 13992 1 +BIT23_0 14080 1 +BIT22_0 14013 1 +BIT21_0 14048 1 +BIT20_0 13988 1 +BIT19_0 14015 1 +BIT18_0 14047 1 +BIT17_0 13984 1 +BIT16_0 13852 1 +BIT15_0 13062 1 +BIT14_0 13168 1 +BIT13_0 12905 1 +BIT12_0 13134 1 +BIT11_0 12683 1 +BIT10_0 12677 1 +BIT9_0 13117 1 +BIT8_0 13684 1 +BIT7_0 12811 1 +BIT6_0 13371 1 +BIT5_0 13202 1 +BIT4_0 12054 1 +BIT3_0 12013 1 +BIT2_0 12025 1 +BIT1_0 13125 1 +BIT0_0 12550 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5431 1 +BIT30_1 3551 1 +BIT29_1 3513 1 +BIT28_1 3508 1 +BIT27_1 3418 1 +BIT26_1 3443 1 +BIT25_1 3408 1 +BIT24_1 3392 1 +BIT23_1 3392 1 +BIT22_1 3374 1 +BIT21_1 3357 1 +BIT20_1 3399 1 +BIT19_1 3358 1 +BIT18_1 3364 1 +BIT17_1 3323 1 +BIT16_1 3606 1 +BIT15_1 4364 1 +BIT14_1 4288 1 +BIT13_1 4455 1 +BIT12_1 4352 1 +BIT11_1 4754 1 +BIT10_1 4805 1 +BIT9_1 4259 1 +BIT8_1 3750 1 +BIT7_1 4677 1 +BIT6_1 4100 1 +BIT5_1 4269 1 +BIT4_1 5338 1 +BIT3_1 5432 1 +BIT2_1 5429 1 +BIT1_1 4271 1 +BIT0_1 4980 1 +BIT31_0 11917 1 +BIT30_0 13797 1 +BIT29_0 13835 1 +BIT28_0 13840 1 +BIT27_0 13930 1 +BIT26_0 13905 1 +BIT25_0 13940 1 +BIT24_0 13956 1 +BIT23_0 13956 1 +BIT22_0 13974 1 +BIT21_0 13991 1 +BIT20_0 13949 1 +BIT19_0 13990 1 +BIT18_0 13984 1 +BIT17_0 14025 1 +BIT16_0 13742 1 +BIT15_0 12984 1 +BIT14_0 13060 1 +BIT13_0 12893 1 +BIT12_0 12996 1 +BIT11_0 12594 1 +BIT10_0 12543 1 +BIT9_0 13089 1 +BIT8_0 13598 1 +BIT7_0 12671 1 +BIT6_0 13248 1 +BIT5_0 13079 1 +BIT4_0 12010 1 +BIT3_0 11916 1 +BIT2_0 11919 1 +BIT1_0 13077 1 +BIT0_0 12368 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2158 1 +BIT30_1 1332 1 +BIT29_1 1312 1 +BIT28_1 1344 1 +BIT27_1 1307 1 +BIT26_1 1345 1 +BIT25_1 1335 1 +BIT24_1 1327 1 +BIT23_1 1337 1 +BIT22_1 1395 1 +BIT21_1 1330 1 +BIT20_1 1405 1 +BIT19_1 1380 1 +BIT18_1 1361 1 +BIT17_1 1350 1 +BIT16_1 1530 1 +BIT15_1 1939 1 +BIT14_1 2026 1 +BIT13_1 2037 1 +BIT12_1 2064 1 +BIT11_1 2244 1 +BIT10_1 2337 1 +BIT9_1 2165 1 +BIT8_1 1855 1 +BIT7_1 2474 1 +BIT6_1 2375 1 +BIT5_1 2495 1 +BIT4_1 3196 1 +BIT3_1 3320 1 +BIT2_1 3395 1 +BIT1_1 2802 1 +BIT0_1 3677 1 +BIT31_0 15190 1 +BIT30_0 16016 1 +BIT29_0 16036 1 +BIT28_0 16004 1 +BIT27_0 16041 1 +BIT26_0 16003 1 +BIT25_0 16013 1 +BIT24_0 16021 1 +BIT23_0 16011 1 +BIT22_0 15953 1 +BIT21_0 16018 1 +BIT20_0 15943 1 +BIT19_0 15968 1 +BIT18_0 15987 1 +BIT17_0 15998 1 +BIT16_0 15818 1 +BIT15_0 15409 1 +BIT14_0 15322 1 +BIT13_0 15311 1 +BIT12_0 15284 1 +BIT11_0 15104 1 +BIT10_0 15011 1 +BIT9_0 15183 1 +BIT8_0 15493 1 +BIT7_0 14874 1 +BIT6_0 14973 1 +BIT5_0 14853 1 +BIT4_0 14152 1 +BIT3_0 14028 1 +BIT2_0 13953 1 +BIT1_0 14546 1 +BIT0_0 13671 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2953 1 +auto_ZERO auto_NON_ZERO 3159 1 +auto_NON_ZERO auto_ZERO 3046 1 +auto_NON_ZERO auto_NON_ZERO 8190 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_orn_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 549 1 +auto[1] 580 1 +auto[2] 565 1 +auto[3] 535 1 +auto[4] 581 1 +auto[5] 544 1 +auto[6] 545 1 +auto[7] 613 1 +auto[8] 601 1 +auto[9] 595 1 +auto[10] 560 1 +auto[11] 515 1 +auto[12] 565 1 +auto[13] 507 1 +auto[14] 560 1 +auto[15] 605 1 +auto[16] 563 1 +auto[17] 537 1 +auto[18] 533 1 +auto[19] 557 1 +auto[20] 537 1 +auto[21] 546 1 +auto[22] 563 1 +auto[23] 528 1 +auto[24] 563 1 +auto[25] 579 1 +auto[26] 567 1 +auto[27] 533 1 +auto[28] 554 1 +auto[29] 584 1 +auto[30] 550 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 574 1 +auto[1] 610 1 +auto[2] 556 1 +auto[3] 535 1 +auto[4] 558 1 +auto[5] 549 1 +auto[6] 646 1 +auto[7] 537 1 +auto[8] 586 1 +auto[9] 554 1 +auto[10] 579 1 +auto[11] 484 1 +auto[12] 574 1 +auto[13] 602 1 +auto[14] 534 1 +auto[15] 536 1 +auto[16] 576 1 +auto[17] 550 1 +auto[18] 546 1 +auto[19] 567 1 +auto[20] 563 1 +auto[21] 520 1 +auto[22] 615 1 +auto[23] 548 1 +auto[24] 519 1 +auto[25] 590 1 +auto[26] 542 1 +auto[27] 539 1 +auto[28] 541 1 +auto[29] 562 1 +auto[30] 538 1 +auto[31] 567 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 590 1 +auto[1] 635 1 +auto[2] 531 1 +auto[3] 595 1 +auto[4] 534 1 +auto[5] 557 1 +auto[6] 522 1 +auto[7] 577 1 +auto[8] 584 1 +auto[9] 526 1 +auto[10] 566 1 +auto[11] 537 1 +auto[12] 636 1 +auto[13] 549 1 +auto[14] 528 1 +auto[15] 552 1 +auto[16] 566 1 +auto[17] 534 1 +auto[18] 562 1 +auto[19] 501 1 +auto[20] 534 1 +auto[21] 601 1 +auto[22] 556 1 +auto[23] 546 1 +auto[24] 537 1 +auto[25] 608 1 +auto[26] 549 1 +auto[27] 556 1 +auto[28] 498 1 +auto[29] 591 1 +auto[30] 556 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 68 1 +RD_01 73 1 +RD_02 55 1 +RD_03 70 1 +RD_04 59 1 +RD_05 75 1 +RD_06 67 1 +RD_07 63 1 +RD_08 78 1 +RD_09 62 1 +RD_0a 64 1 +RD_0b 64 1 +RD_0c 78 1 +RD_0d 64 1 +RD_0e 64 1 +RD_0f 71 1 +RD_10 71 1 +RD_11 64 1 +RD_12 64 1 +RD_13 50 1 +RD_14 57 1 +RD_15 65 1 +RD_16 74 1 +RD_17 61 1 +RD_18 61 1 +RD_19 79 1 +RD_1a 58 1 +RD_1b 69 1 +RD_1c 50 1 +RD_1d 74 1 +RD_1e 71 1 +RD_1f 73 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 73 1 +RD_01 75 1 +RD_02 55 1 +RD_03 68 1 +RD_04 67 1 +RD_05 74 1 +RD_06 63 1 +RD_07 64 1 +RD_08 80 1 +RD_09 62 1 +RD_0a 65 1 +RD_0b 59 1 +RD_0c 75 1 +RD_0d 62 1 +RD_0e 64 1 +RD_0f 65 1 +RD_10 75 1 +RD_11 66 1 +RD_12 57 1 +RD_13 58 1 +RD_14 67 1 +RD_15 57 1 +RD_16 67 1 +RD_17 46 1 +RD_18 52 1 +RD_19 73 1 +RD_1a 58 1 +RD_1b 58 1 +RD_1c 58 1 +RD_1d 84 1 +RD_1e 74 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6296 1 +auto_NON_ZERO 11601 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6245 1 +auto_NON_ZERO 11652 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 210 1 +auto_NON_ZERO 17687 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5372 1 +BIT30_1 3563 1 +BIT29_1 3559 1 +BIT28_1 3579 1 +BIT27_1 3440 1 +BIT26_1 3484 1 +BIT25_1 3359 1 +BIT24_1 3423 1 +BIT23_1 3342 1 +BIT22_1 3431 1 +BIT21_1 3434 1 +BIT20_1 3422 1 +BIT19_1 3426 1 +BIT18_1 3423 1 +BIT17_1 3420 1 +BIT16_1 3589 1 +BIT15_1 4287 1 +BIT14_1 4278 1 +BIT13_1 4508 1 +BIT12_1 4197 1 +BIT11_1 4729 1 +BIT10_1 4867 1 +BIT9_1 4263 1 +BIT8_1 3775 1 +BIT7_1 4687 1 +BIT6_1 4119 1 +BIT5_1 4285 1 +BIT4_1 5428 1 +BIT3_1 5501 1 +BIT2_1 5372 1 +BIT1_1 4385 1 +BIT0_1 5016 1 +BIT31_0 12525 1 +BIT30_0 14334 1 +BIT29_0 14338 1 +BIT28_0 14318 1 +BIT27_0 14457 1 +BIT26_0 14413 1 +BIT25_0 14538 1 +BIT24_0 14474 1 +BIT23_0 14555 1 +BIT22_0 14466 1 +BIT21_0 14463 1 +BIT20_0 14475 1 +BIT19_0 14471 1 +BIT18_0 14474 1 +BIT17_0 14477 1 +BIT16_0 14308 1 +BIT15_0 13610 1 +BIT14_0 13619 1 +BIT13_0 13389 1 +BIT12_0 13700 1 +BIT11_0 13168 1 +BIT10_0 13030 1 +BIT9_0 13634 1 +BIT8_0 14122 1 +BIT7_0 13210 1 +BIT6_0 13778 1 +BIT5_0 13612 1 +BIT4_0 12469 1 +BIT3_0 12396 1 +BIT2_0 12525 1 +BIT1_0 13512 1 +BIT0_0 12881 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5401 1 +BIT30_1 3628 1 +BIT29_1 3494 1 +BIT28_1 3611 1 +BIT27_1 3363 1 +BIT26_1 3451 1 +BIT25_1 3388 1 +BIT24_1 3468 1 +BIT23_1 3370 1 +BIT22_1 3458 1 +BIT21_1 3394 1 +BIT20_1 3446 1 +BIT19_1 3428 1 +BIT18_1 3451 1 +BIT17_1 3426 1 +BIT16_1 3506 1 +BIT15_1 4357 1 +BIT14_1 4302 1 +BIT13_1 4536 1 +BIT12_1 4292 1 +BIT11_1 4797 1 +BIT10_1 4829 1 +BIT9_1 4293 1 +BIT8_1 3723 1 +BIT7_1 4627 1 +BIT6_1 4137 1 +BIT5_1 4301 1 +BIT4_1 5332 1 +BIT3_1 5391 1 +BIT2_1 5457 1 +BIT1_1 4400 1 +BIT0_1 5006 1 +BIT31_0 12496 1 +BIT30_0 14269 1 +BIT29_0 14403 1 +BIT28_0 14286 1 +BIT27_0 14534 1 +BIT26_0 14446 1 +BIT25_0 14509 1 +BIT24_0 14429 1 +BIT23_0 14527 1 +BIT22_0 14439 1 +BIT21_0 14503 1 +BIT20_0 14451 1 +BIT19_0 14469 1 +BIT18_0 14446 1 +BIT17_0 14471 1 +BIT16_0 14391 1 +BIT15_0 13540 1 +BIT14_0 13595 1 +BIT13_0 13361 1 +BIT12_0 13605 1 +BIT11_0 13100 1 +BIT10_0 13068 1 +BIT9_0 13604 1 +BIT8_0 14174 1 +BIT7_0 13270 1 +BIT6_0 13760 1 +BIT5_0 13596 1 +BIT4_0 12565 1 +BIT3_0 12506 1 +BIT2_0 12440 1 +BIT1_0 13497 1 +BIT0_0 12891 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 14663 1 +BIT30_1 15402 1 +BIT29_1 15493 1 +BIT28_1 15400 1 +BIT27_1 15549 1 +BIT26_1 15526 1 +BIT25_1 15540 1 +BIT24_1 15470 1 +BIT23_1 15534 1 +BIT22_1 15473 1 +BIT21_1 15517 1 +BIT20_1 15483 1 +BIT19_1 15475 1 +BIT18_1 15451 1 +BIT17_1 15461 1 +BIT16_1 15450 1 +BIT15_1 14987 1 +BIT14_1 15020 1 +BIT13_1 14947 1 +BIT12_1 15024 1 +BIT11_1 14819 1 +BIT10_1 14800 1 +BIT9_1 15039 1 +BIT8_1 15358 1 +BIT7_1 14947 1 +BIT6_1 15130 1 +BIT5_1 14994 1 +BIT4_1 14632 1 +BIT3_1 14658 1 +BIT2_1 14574 1 +BIT1_1 15022 1 +BIT0_1 14743 1 +BIT31_0 3234 1 +BIT30_0 2495 1 +BIT29_0 2404 1 +BIT28_0 2497 1 +BIT27_0 2348 1 +BIT26_0 2371 1 +BIT25_0 2357 1 +BIT24_0 2427 1 +BIT23_0 2363 1 +BIT22_0 2424 1 +BIT21_0 2380 1 +BIT20_0 2414 1 +BIT19_0 2422 1 +BIT18_0 2446 1 +BIT17_0 2436 1 +BIT16_0 2447 1 +BIT15_0 2910 1 +BIT14_0 2877 1 +BIT13_0 2950 1 +BIT12_0 2873 1 +BIT11_0 3078 1 +BIT10_0 3097 1 +BIT9_0 2858 1 +BIT8_0 2539 1 +BIT7_0 2950 1 +BIT6_0 2767 1 +BIT5_0 2903 1 +BIT4_0 3265 1 +BIT3_0 3239 1 +BIT2_0 3323 1 +BIT1_0 2875 1 +BIT0_0 3154 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2986 1 +auto_ZERO auto_NON_ZERO 3310 1 +auto_NON_ZERO auto_ZERO 3259 1 +auto_NON_ZERO auto_NON_ZERO 8342 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_rol_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 576 1 +auto[1] 557 1 +auto[2] 553 1 +auto[3] 582 1 +auto[4] 558 1 +auto[5] 521 1 +auto[6] 559 1 +auto[7] 488 1 +auto[8] 559 1 +auto[9] 541 1 +auto[10] 555 1 +auto[11] 533 1 +auto[12] 569 1 +auto[13] 536 1 +auto[14] 539 1 +auto[15] 582 1 +auto[16] 564 1 +auto[17] 574 1 +auto[18] 552 1 +auto[19] 544 1 +auto[20] 552 1 +auto[21] 541 1 +auto[22] 538 1 +auto[23] 524 1 +auto[24] 524 1 +auto[25] 520 1 +auto[26] 556 1 +auto[27] 604 1 +auto[28] 554 1 +auto[29] 574 1 +auto[30] 564 1 +auto[31] 546 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 549 1 +auto[1] 581 1 +auto[2] 534 1 +auto[3] 560 1 +auto[4] 561 1 +auto[5] 507 1 +auto[6] 585 1 +auto[7] 561 1 +auto[8] 556 1 +auto[9] 557 1 +auto[10] 554 1 +auto[11] 529 1 +auto[12] 580 1 +auto[13] 498 1 +auto[14] 585 1 +auto[15] 568 1 +auto[16] 546 1 +auto[17] 531 1 +auto[18] 567 1 +auto[19] 535 1 +auto[20] 498 1 +auto[21] 513 1 +auto[22] 563 1 +auto[23] 557 1 +auto[24] 590 1 +auto[25] 585 1 +auto[26] 524 1 +auto[27] 546 1 +auto[28] 566 1 +auto[29] 549 1 +auto[30] 525 1 +auto[31] 579 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 635 1 +auto[1] 603 1 +auto[2] 495 1 +auto[3] 500 1 +auto[4] 517 1 +auto[5] 518 1 +auto[6] 576 1 +auto[7] 567 1 +auto[8] 504 1 +auto[9] 562 1 +auto[10] 483 1 +auto[11] 592 1 +auto[12] 561 1 +auto[13] 537 1 +auto[14] 576 1 +auto[15] 571 1 +auto[16] 555 1 +auto[17] 526 1 +auto[18] 576 1 +auto[19] 541 1 +auto[20] 486 1 +auto[21] 547 1 +auto[22] 538 1 +auto[23] 577 1 +auto[24] 539 1 +auto[25] 550 1 +auto[26] 565 1 +auto[27] 606 1 +auto[28] 567 1 +auto[29] 543 1 +auto[30] 570 1 +auto[31] 556 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 86 1 +RD_01 66 1 +RD_02 60 1 +RD_03 77 1 +RD_04 60 1 +RD_05 59 1 +RD_06 79 1 +RD_07 69 1 +RD_08 54 1 +RD_09 72 1 +RD_0a 59 1 +RD_0b 70 1 +RD_0c 67 1 +RD_0d 63 1 +RD_0e 59 1 +RD_0f 74 1 +RD_10 59 1 +RD_11 69 1 +RD_12 66 1 +RD_13 61 1 +RD_14 55 1 +RD_15 65 1 +RD_16 61 1 +RD_17 69 1 +RD_18 68 1 +RD_19 64 1 +RD_1a 65 1 +RD_1b 74 1 +RD_1c 71 1 +RD_1d 57 1 +RD_1e 77 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 73 1 +RD_01 62 1 +RD_02 60 1 +RD_03 71 1 +RD_04 59 1 +RD_05 61 1 +RD_06 76 1 +RD_07 64 1 +RD_08 56 1 +RD_09 69 1 +RD_0a 56 1 +RD_0b 68 1 +RD_0c 68 1 +RD_0d 75 1 +RD_0e 69 1 +RD_0f 80 1 +RD_10 66 1 +RD_11 67 1 +RD_12 70 1 +RD_13 60 1 +RD_14 54 1 +RD_15 65 1 +RD_16 74 1 +RD_17 68 1 +RD_18 68 1 +RD_19 62 1 +RD_1a 67 1 +RD_1b 72 1 +RD_1c 61 1 +RD_1d 58 1 +RD_1e 68 1 +RD_1f 80 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6208 1 +auto_NON_ZERO 11431 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6246 1 +auto_NON_ZERO 11393 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6208 1 +auto_NON_ZERO 11431 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5300 1 +BIT30_1 3569 1 +BIT29_1 3483 1 +BIT28_1 3550 1 +BIT27_1 3380 1 +BIT26_1 3395 1 +BIT25_1 3363 1 +BIT24_1 3357 1 +BIT23_1 3317 1 +BIT22_1 3305 1 +BIT21_1 3317 1 +BIT20_1 3337 1 +BIT19_1 3371 1 +BIT18_1 3365 1 +BIT17_1 3309 1 +BIT16_1 3539 1 +BIT15_1 4344 1 +BIT14_1 4195 1 +BIT13_1 4454 1 +BIT12_1 4269 1 +BIT11_1 4744 1 +BIT10_1 4681 1 +BIT9_1 4297 1 +BIT8_1 3686 1 +BIT7_1 4570 1 +BIT6_1 4030 1 +BIT5_1 4180 1 +BIT4_1 5366 1 +BIT3_1 5447 1 +BIT2_1 5370 1 +BIT1_1 4334 1 +BIT0_1 4992 1 +BIT31_0 12339 1 +BIT30_0 14070 1 +BIT29_0 14156 1 +BIT28_0 14089 1 +BIT27_0 14259 1 +BIT26_0 14244 1 +BIT25_0 14276 1 +BIT24_0 14282 1 +BIT23_0 14322 1 +BIT22_0 14334 1 +BIT21_0 14322 1 +BIT20_0 14302 1 +BIT19_0 14268 1 +BIT18_0 14274 1 +BIT17_0 14330 1 +BIT16_0 14100 1 +BIT15_0 13295 1 +BIT14_0 13444 1 +BIT13_0 13185 1 +BIT12_0 13370 1 +BIT11_0 12895 1 +BIT10_0 12958 1 +BIT9_0 13342 1 +BIT8_0 13953 1 +BIT7_0 13069 1 +BIT6_0 13609 1 +BIT5_0 13459 1 +BIT4_0 12273 1 +BIT3_0 12192 1 +BIT2_0 12269 1 +BIT1_0 13305 1 +BIT0_0 12647 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5232 1 +BIT30_1 3447 1 +BIT29_1 3382 1 +BIT28_1 3468 1 +BIT27_1 3367 1 +BIT26_1 3359 1 +BIT25_1 3268 1 +BIT24_1 3353 1 +BIT23_1 3285 1 +BIT22_1 3338 1 +BIT21_1 3221 1 +BIT20_1 3272 1 +BIT19_1 3284 1 +BIT18_1 3298 1 +BIT17_1 3303 1 +BIT16_1 3549 1 +BIT15_1 4254 1 +BIT14_1 4218 1 +BIT13_1 4377 1 +BIT12_1 4233 1 +BIT11_1 4646 1 +BIT10_1 4601 1 +BIT9_1 4181 1 +BIT8_1 3678 1 +BIT7_1 4434 1 +BIT6_1 3896 1 +BIT5_1 4108 1 +BIT4_1 5203 1 +BIT3_1 5251 1 +BIT2_1 5255 1 +BIT1_1 4169 1 +BIT0_1 4870 1 +BIT31_0 12407 1 +BIT30_0 14192 1 +BIT29_0 14257 1 +BIT28_0 14171 1 +BIT27_0 14272 1 +BIT26_0 14280 1 +BIT25_0 14371 1 +BIT24_0 14286 1 +BIT23_0 14354 1 +BIT22_0 14301 1 +BIT21_0 14418 1 +BIT20_0 14367 1 +BIT19_0 14355 1 +BIT18_0 14341 1 +BIT17_0 14336 1 +BIT16_0 14090 1 +BIT15_0 13385 1 +BIT14_0 13421 1 +BIT13_0 13262 1 +BIT12_0 13406 1 +BIT11_0 12993 1 +BIT10_0 13038 1 +BIT9_0 13458 1 +BIT8_0 13961 1 +BIT7_0 13205 1 +BIT6_0 13743 1 +BIT5_0 13531 1 +BIT4_0 12436 1 +BIT3_0 12388 1 +BIT2_0 12384 1 +BIT1_0 13470 1 +BIT0_0 12769 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4745 1 +BIT30_1 3937 1 +BIT29_1 3791 1 +BIT28_1 3783 1 +BIT27_1 3806 1 +BIT26_1 3594 1 +BIT25_1 3641 1 +BIT24_1 3614 1 +BIT23_1 3673 1 +BIT22_1 3559 1 +BIT21_1 3641 1 +BIT20_1 3625 1 +BIT19_1 3731 1 +BIT18_1 3625 1 +BIT17_1 3696 1 +BIT16_1 3792 1 +BIT15_1 4270 1 +BIT14_1 4080 1 +BIT13_1 4218 1 +BIT12_1 4255 1 +BIT11_1 4513 1 +BIT10_1 4332 1 +BIT9_1 4267 1 +BIT8_1 4012 1 +BIT7_1 4331 1 +BIT6_1 4024 1 +BIT5_1 4140 1 +BIT4_1 4541 1 +BIT3_1 4695 1 +BIT2_1 4485 1 +BIT1_1 4351 1 +BIT0_1 4449 1 +BIT31_0 12894 1 +BIT30_0 13702 1 +BIT29_0 13848 1 +BIT28_0 13856 1 +BIT27_0 13833 1 +BIT26_0 14045 1 +BIT25_0 13998 1 +BIT24_0 14025 1 +BIT23_0 13966 1 +BIT22_0 14080 1 +BIT21_0 13998 1 +BIT20_0 14014 1 +BIT19_0 13908 1 +BIT18_0 14014 1 +BIT17_0 13943 1 +BIT16_0 13847 1 +BIT15_0 13369 1 +BIT14_0 13559 1 +BIT13_0 13421 1 +BIT12_0 13384 1 +BIT11_0 13126 1 +BIT10_0 13307 1 +BIT9_0 13372 1 +BIT8_0 13627 1 +BIT7_0 13308 1 +BIT6_0 13615 1 +BIT5_0 13499 1 +BIT4_0 13098 1 +BIT3_0 12944 1 +BIT2_0 13154 1 +BIT1_0 13288 1 +BIT0_0 13190 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3055 1 +auto_ZERO auto_NON_ZERO 3153 1 +auto_NON_ZERO auto_ZERO 3191 1 +auto_NON_ZERO auto_NON_ZERO 8240 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_ror_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 571 1 +auto[1] 560 1 +auto[2] 552 1 +auto[3] 544 1 +auto[4] 534 1 +auto[5] 578 1 +auto[6] 555 1 +auto[7] 532 1 +auto[8] 499 1 +auto[9] 542 1 +auto[10] 576 1 +auto[11] 535 1 +auto[12] 585 1 +auto[13] 574 1 +auto[14] 503 1 +auto[15] 520 1 +auto[16] 552 1 +auto[17] 582 1 +auto[18] 530 1 +auto[19] 555 1 +auto[20] 567 1 +auto[21] 574 1 +auto[22] 573 1 +auto[23] 556 1 +auto[24] 572 1 +auto[25] 537 1 +auto[26] 598 1 +auto[27] 534 1 +auto[28] 601 1 +auto[29] 510 1 +auto[30] 570 1 +auto[31] 546 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 571 1 +auto[1] 562 1 +auto[2] 526 1 +auto[3] 522 1 +auto[4] 524 1 +auto[5] 528 1 +auto[6] 545 1 +auto[7] 577 1 +auto[8] 592 1 +auto[9] 569 1 +auto[10] 608 1 +auto[11] 513 1 +auto[12] 511 1 +auto[13] 549 1 +auto[14] 591 1 +auto[15] 582 1 +auto[16] 565 1 +auto[17] 538 1 +auto[18] 524 1 +auto[19] 577 1 +auto[20] 593 1 +auto[21] 535 1 +auto[22] 561 1 +auto[23] 545 1 +auto[24] 553 1 +auto[25] 575 1 +auto[26] 598 1 +auto[27] 530 1 +auto[28] 567 1 +auto[29] 507 1 +auto[30] 517 1 +auto[31] 562 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 557 1 +auto[1] 556 1 +auto[2] 489 1 +auto[3] 661 1 +auto[4] 528 1 +auto[5] 553 1 +auto[6] 539 1 +auto[7] 569 1 +auto[8] 540 1 +auto[9] 539 1 +auto[10] 508 1 +auto[11] 572 1 +auto[12] 557 1 +auto[13] 548 1 +auto[14] 549 1 +auto[15] 539 1 +auto[16] 564 1 +auto[17] 566 1 +auto[18] 545 1 +auto[19] 584 1 +auto[20] 526 1 +auto[21] 602 1 +auto[22] 561 1 +auto[23] 610 1 +auto[24] 524 1 +auto[25] 606 1 +auto[26] 593 1 +auto[27] 502 1 +auto[28] 548 1 +auto[29] 529 1 +auto[30] 533 1 +auto[31] 520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 72 1 +RD_02 69 1 +RD_03 69 1 +RD_04 59 1 +RD_05 65 1 +RD_06 57 1 +RD_07 61 1 +RD_08 62 1 +RD_09 60 1 +RD_0a 62 1 +RD_0b 64 1 +RD_0c 62 1 +RD_0d 62 1 +RD_0e 56 1 +RD_0f 51 1 +RD_10 74 1 +RD_11 67 1 +RD_12 74 1 +RD_13 80 1 +RD_14 78 1 +RD_15 68 1 +RD_16 60 1 +RD_17 78 1 +RD_18 67 1 +RD_19 78 1 +RD_1a 64 1 +RD_1b 53 1 +RD_1c 61 1 +RD_1d 63 1 +RD_1e 64 1 +RD_1f 57 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 60 1 +RD_01 71 1 +RD_02 66 1 +RD_03 74 1 +RD_04 60 1 +RD_05 59 1 +RD_06 49 1 +RD_07 58 1 +RD_08 55 1 +RD_09 59 1 +RD_0a 56 1 +RD_0b 68 1 +RD_0c 69 1 +RD_0d 63 1 +RD_0e 54 1 +RD_0f 53 1 +RD_10 77 1 +RD_11 62 1 +RD_12 73 1 +RD_13 77 1 +RD_14 81 1 +RD_15 61 1 +RD_16 63 1 +RD_17 74 1 +RD_18 71 1 +RD_19 81 1 +RD_1a 66 1 +RD_1b 59 1 +RD_1c 58 1 +RD_1d 61 1 +RD_1e 66 1 +RD_1f 57 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6218 1 +auto_NON_ZERO 11499 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6258 1 +auto_NON_ZERO 11459 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6218 1 +auto_NON_ZERO 11499 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5292 1 +BIT30_1 3519 1 +BIT29_1 3499 1 +BIT28_1 3478 1 +BIT27_1 3414 1 +BIT26_1 3384 1 +BIT25_1 3370 1 +BIT24_1 3343 1 +BIT23_1 3348 1 +BIT22_1 3342 1 +BIT21_1 3335 1 +BIT20_1 3361 1 +BIT19_1 3419 1 +BIT18_1 3430 1 +BIT17_1 3348 1 +BIT16_1 3581 1 +BIT15_1 4353 1 +BIT14_1 4220 1 +BIT13_1 4486 1 +BIT12_1 4269 1 +BIT11_1 4687 1 +BIT10_1 4741 1 +BIT9_1 4210 1 +BIT8_1 3721 1 +BIT7_1 4540 1 +BIT6_1 4071 1 +BIT5_1 4231 1 +BIT4_1 5332 1 +BIT3_1 5493 1 +BIT2_1 5360 1 +BIT1_1 4337 1 +BIT0_1 4895 1 +BIT31_0 12425 1 +BIT30_0 14198 1 +BIT29_0 14218 1 +BIT28_0 14239 1 +BIT27_0 14303 1 +BIT26_0 14333 1 +BIT25_0 14347 1 +BIT24_0 14374 1 +BIT23_0 14369 1 +BIT22_0 14375 1 +BIT21_0 14382 1 +BIT20_0 14356 1 +BIT19_0 14298 1 +BIT18_0 14287 1 +BIT17_0 14369 1 +BIT16_0 14136 1 +BIT15_0 13364 1 +BIT14_0 13497 1 +BIT13_0 13231 1 +BIT12_0 13448 1 +BIT11_0 13030 1 +BIT10_0 12976 1 +BIT9_0 13507 1 +BIT8_0 13996 1 +BIT7_0 13177 1 +BIT6_0 13646 1 +BIT5_0 13486 1 +BIT4_0 12385 1 +BIT3_0 12224 1 +BIT2_0 12357 1 +BIT1_0 13380 1 +BIT0_0 12822 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5214 1 +BIT30_1 3424 1 +BIT29_1 3404 1 +BIT28_1 3445 1 +BIT27_1 3332 1 +BIT26_1 3272 1 +BIT25_1 3255 1 +BIT24_1 3249 1 +BIT23_1 3277 1 +BIT22_1 3290 1 +BIT21_1 3220 1 +BIT20_1 3299 1 +BIT19_1 3324 1 +BIT18_1 3301 1 +BIT17_1 3331 1 +BIT16_1 3536 1 +BIT15_1 4171 1 +BIT14_1 4209 1 +BIT13_1 4382 1 +BIT12_1 4207 1 +BIT11_1 4707 1 +BIT10_1 4655 1 +BIT9_1 4271 1 +BIT8_1 3649 1 +BIT7_1 4532 1 +BIT6_1 4034 1 +BIT5_1 4204 1 +BIT4_1 5285 1 +BIT3_1 5417 1 +BIT2_1 5308 1 +BIT1_1 4205 1 +BIT0_1 4988 1 +BIT31_0 12503 1 +BIT30_0 14293 1 +BIT29_0 14313 1 +BIT28_0 14272 1 +BIT27_0 14385 1 +BIT26_0 14445 1 +BIT25_0 14462 1 +BIT24_0 14468 1 +BIT23_0 14440 1 +BIT22_0 14427 1 +BIT21_0 14497 1 +BIT20_0 14418 1 +BIT19_0 14393 1 +BIT18_0 14416 1 +BIT17_0 14386 1 +BIT16_0 14181 1 +BIT15_0 13546 1 +BIT14_0 13508 1 +BIT13_0 13335 1 +BIT12_0 13510 1 +BIT11_0 13010 1 +BIT10_0 13062 1 +BIT9_0 13446 1 +BIT8_0 14068 1 +BIT7_0 13185 1 +BIT6_0 13683 1 +BIT5_0 13513 1 +BIT4_0 12432 1 +BIT3_0 12300 1 +BIT2_0 12409 1 +BIT1_0 13512 1 +BIT0_0 12729 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4680 1 +BIT30_1 3870 1 +BIT29_1 3811 1 +BIT28_1 3707 1 +BIT27_1 3807 1 +BIT26_1 3651 1 +BIT25_1 3653 1 +BIT24_1 3623 1 +BIT23_1 3696 1 +BIT22_1 3701 1 +BIT21_1 3680 1 +BIT20_1 3748 1 +BIT19_1 3875 1 +BIT18_1 3711 1 +BIT17_1 3779 1 +BIT16_1 3813 1 +BIT15_1 4249 1 +BIT14_1 4190 1 +BIT13_1 4238 1 +BIT12_1 4150 1 +BIT11_1 4424 1 +BIT10_1 4291 1 +BIT9_1 4112 1 +BIT8_1 4005 1 +BIT7_1 4288 1 +BIT6_1 4145 1 +BIT5_1 4191 1 +BIT4_1 4609 1 +BIT3_1 4793 1 +BIT2_1 4484 1 +BIT1_1 4176 1 +BIT0_1 4259 1 +BIT31_0 13037 1 +BIT30_0 13847 1 +BIT29_0 13906 1 +BIT28_0 14010 1 +BIT27_0 13910 1 +BIT26_0 14066 1 +BIT25_0 14064 1 +BIT24_0 14094 1 +BIT23_0 14021 1 +BIT22_0 14016 1 +BIT21_0 14037 1 +BIT20_0 13969 1 +BIT19_0 13842 1 +BIT18_0 14006 1 +BIT17_0 13938 1 +BIT16_0 13904 1 +BIT15_0 13468 1 +BIT14_0 13527 1 +BIT13_0 13479 1 +BIT12_0 13567 1 +BIT11_0 13293 1 +BIT10_0 13426 1 +BIT9_0 13605 1 +BIT8_0 13712 1 +BIT7_0 13429 1 +BIT6_0 13572 1 +BIT5_0 13526 1 +BIT4_0 13108 1 +BIT3_0 12924 1 +BIT2_0 13233 1 +BIT1_0 13541 1 +BIT0_0 13458 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3046 1 +auto_ZERO auto_NON_ZERO 3172 1 +auto_NON_ZERO auto_ZERO 3212 1 +auto_NON_ZERO auto_NON_ZERO 8287 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_xnor_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 630 1 +auto[1] 525 1 +auto[2] 542 1 +auto[3] 554 1 +auto[4] 543 1 +auto[5] 533 1 +auto[6] 551 1 +auto[7] 518 1 +auto[8] 532 1 +auto[9] 541 1 +auto[10] 523 1 +auto[11] 540 1 +auto[12] 508 1 +auto[13] 566 1 +auto[14] 581 1 +auto[15] 542 1 +auto[16] 536 1 +auto[17] 550 1 +auto[18] 570 1 +auto[19] 571 1 +auto[20] 565 1 +auto[21] 558 1 +auto[22] 567 1 +auto[23] 512 1 +auto[24] 529 1 +auto[25] 543 1 +auto[26] 539 1 +auto[27] 599 1 +auto[28] 529 1 +auto[29] 572 1 +auto[30] 571 1 +auto[31] 560 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 567 1 +auto[1] 538 1 +auto[2] 515 1 +auto[3] 589 1 +auto[4] 557 1 +auto[5] 580 1 +auto[6] 553 1 +auto[7] 578 1 +auto[8] 514 1 +auto[9] 555 1 +auto[10] 555 1 +auto[11] 554 1 +auto[12] 539 1 +auto[13] 514 1 +auto[14] 559 1 +auto[15] 503 1 +auto[16] 520 1 +auto[17] 510 1 +auto[18] 535 1 +auto[19] 550 1 +auto[20] 546 1 +auto[21] 534 1 +auto[22] 585 1 +auto[23] 560 1 +auto[24] 592 1 +auto[25] 547 1 +auto[26] 548 1 +auto[27] 565 1 +auto[28] 544 1 +auto[29] 549 1 +auto[30] 562 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 600 1 +auto[1] 579 1 +auto[2] 520 1 +auto[3] 602 1 +auto[4] 548 1 +auto[5] 595 1 +auto[6] 573 1 +auto[7] 576 1 +auto[8] 530 1 +auto[9] 554 1 +auto[10] 581 1 +auto[11] 540 1 +auto[12] 583 1 +auto[13] 527 1 +auto[14] 507 1 +auto[15] 520 1 +auto[16] 506 1 +auto[17] 543 1 +auto[18] 515 1 +auto[19] 526 1 +auto[20] 521 1 +auto[21] 543 1 +auto[22] 527 1 +auto[23] 539 1 +auto[24] 576 1 +auto[25] 558 1 +auto[26] 514 1 +auto[27] 527 1 +auto[28] 546 1 +auto[29] 592 1 +auto[30] 544 1 +auto[31] 588 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 79 1 +RD_01 69 1 +RD_02 63 1 +RD_03 77 1 +RD_04 60 1 +RD_05 74 1 +RD_06 63 1 +RD_07 59 1 +RD_08 55 1 +RD_09 71 1 +RD_0a 78 1 +RD_0b 65 1 +RD_0c 55 1 +RD_0d 64 1 +RD_0e 57 1 +RD_0f 65 1 +RD_10 53 1 +RD_11 69 1 +RD_12 66 1 +RD_13 62 1 +RD_14 49 1 +RD_15 72 1 +RD_16 66 1 +RD_17 59 1 +RD_18 72 1 +RD_19 49 1 +RD_1a 52 1 +RD_1b 68 1 +RD_1c 69 1 +RD_1d 77 1 +RD_1e 73 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 85 1 +RD_01 69 1 +RD_02 66 1 +RD_03 83 1 +RD_04 61 1 +RD_05 73 1 +RD_06 63 1 +RD_07 56 1 +RD_08 60 1 +RD_09 82 1 +RD_0a 80 1 +RD_0b 63 1 +RD_0c 59 1 +RD_0d 68 1 +RD_0e 53 1 +RD_0f 58 1 +RD_10 53 1 +RD_11 65 1 +RD_12 71 1 +RD_13 57 1 +RD_14 58 1 +RD_15 73 1 +RD_16 66 1 +RD_17 60 1 +RD_18 75 1 +RD_19 56 1 +RD_1a 47 1 +RD_1b 66 1 +RD_1c 62 1 +RD_1d 78 1 +RD_1e 77 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6099 1 +auto_NON_ZERO 11501 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6021 1 +auto_NON_ZERO 11579 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 444 1 +auto_NON_ZERO 17156 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5280 1 +BIT30_1 3511 1 +BIT29_1 3488 1 +BIT28_1 3478 1 +BIT27_1 3408 1 +BIT26_1 3422 1 +BIT25_1 3382 1 +BIT24_1 3365 1 +BIT23_1 3354 1 +BIT22_1 3376 1 +BIT21_1 3364 1 +BIT20_1 3436 1 +BIT19_1 3419 1 +BIT18_1 3398 1 +BIT17_1 3419 1 +BIT16_1 3627 1 +BIT15_1 4406 1 +BIT14_1 4273 1 +BIT13_1 4537 1 +BIT12_1 4326 1 +BIT11_1 4802 1 +BIT10_1 4781 1 +BIT9_1 4352 1 +BIT8_1 3784 1 +BIT7_1 4646 1 +BIT6_1 4116 1 +BIT5_1 4267 1 +BIT4_1 5451 1 +BIT3_1 5530 1 +BIT2_1 5410 1 +BIT1_1 4318 1 +BIT0_1 4943 1 +BIT31_0 12320 1 +BIT30_0 14089 1 +BIT29_0 14112 1 +BIT28_0 14122 1 +BIT27_0 14192 1 +BIT26_0 14178 1 +BIT25_0 14218 1 +BIT24_0 14235 1 +BIT23_0 14246 1 +BIT22_0 14224 1 +BIT21_0 14236 1 +BIT20_0 14164 1 +BIT19_0 14181 1 +BIT18_0 14202 1 +BIT17_0 14181 1 +BIT16_0 13973 1 +BIT15_0 13194 1 +BIT14_0 13327 1 +BIT13_0 13063 1 +BIT12_0 13274 1 +BIT11_0 12798 1 +BIT10_0 12819 1 +BIT9_0 13248 1 +BIT8_0 13816 1 +BIT7_0 12954 1 +BIT6_0 13484 1 +BIT5_0 13333 1 +BIT4_0 12149 1 +BIT3_0 12070 1 +BIT2_0 12190 1 +BIT1_0 13282 1 +BIT0_0 12657 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5315 1 +BIT30_1 3554 1 +BIT29_1 3498 1 +BIT28_1 3546 1 +BIT27_1 3422 1 +BIT26_1 3400 1 +BIT25_1 3377 1 +BIT24_1 3369 1 +BIT23_1 3377 1 +BIT22_1 3378 1 +BIT21_1 3345 1 +BIT20_1 3379 1 +BIT19_1 3395 1 +BIT18_1 3416 1 +BIT17_1 3385 1 +BIT16_1 3569 1 +BIT15_1 4314 1 +BIT14_1 4295 1 +BIT13_1 4405 1 +BIT12_1 4292 1 +BIT11_1 4769 1 +BIT10_1 4808 1 +BIT9_1 4308 1 +BIT8_1 3752 1 +BIT7_1 4639 1 +BIT6_1 4100 1 +BIT5_1 4247 1 +BIT4_1 5441 1 +BIT3_1 5553 1 +BIT2_1 5513 1 +BIT1_1 4286 1 +BIT0_1 4934 1 +BIT31_0 12285 1 +BIT30_0 14046 1 +BIT29_0 14102 1 +BIT28_0 14054 1 +BIT27_0 14178 1 +BIT26_0 14200 1 +BIT25_0 14223 1 +BIT24_0 14231 1 +BIT23_0 14223 1 +BIT22_0 14222 1 +BIT21_0 14255 1 +BIT20_0 14221 1 +BIT19_0 14205 1 +BIT18_0 14184 1 +BIT17_0 14215 1 +BIT16_0 14031 1 +BIT15_0 13286 1 +BIT14_0 13305 1 +BIT13_0 13195 1 +BIT12_0 13308 1 +BIT11_0 12831 1 +BIT10_0 12792 1 +BIT9_0 13292 1 +BIT8_0 13848 1 +BIT7_0 12961 1 +BIT6_0 13500 1 +BIT5_0 13353 1 +BIT4_0 12159 1 +BIT3_0 12047 1 +BIT2_0 12087 1 +BIT1_0 13314 1 +BIT0_0 12666 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 11201 1 +BIT30_1 12711 1 +BIT29_1 12710 1 +BIT28_1 12760 1 +BIT27_1 12850 1 +BIT26_1 12846 1 +BIT25_1 12853 1 +BIT24_1 12890 1 +BIT23_1 12899 1 +BIT22_1 12856 1 +BIT21_1 12895 1 +BIT20_1 12887 1 +BIT19_1 12842 1 +BIT18_1 12834 1 +BIT17_1 12878 1 +BIT16_1 12614 1 +BIT15_1 11872 1 +BIT14_1 11916 1 +BIT13_1 11754 1 +BIT12_1 11964 1 +BIT11_1 11515 1 +BIT10_1 11549 1 +BIT9_1 11938 1 +BIT8_1 12448 1 +BIT7_1 11675 1 +BIT6_1 12152 1 +BIT5_1 11926 1 +BIT4_1 11044 1 +BIT3_1 11029 1 +BIT2_1 10999 1 +BIT1_1 11990 1 +BIT0_1 11527 1 +BIT31_0 6399 1 +BIT30_0 4889 1 +BIT29_0 4890 1 +BIT28_0 4840 1 +BIT27_0 4750 1 +BIT26_0 4754 1 +BIT25_0 4747 1 +BIT24_0 4710 1 +BIT23_0 4701 1 +BIT22_0 4744 1 +BIT21_0 4705 1 +BIT20_0 4713 1 +BIT19_0 4758 1 +BIT18_0 4766 1 +BIT17_0 4722 1 +BIT16_0 4986 1 +BIT15_0 5728 1 +BIT14_0 5684 1 +BIT13_0 5846 1 +BIT12_0 5636 1 +BIT11_0 6085 1 +BIT10_0 6051 1 +BIT9_0 5662 1 +BIT8_0 5152 1 +BIT7_0 5925 1 +BIT6_0 5448 1 +BIT5_0 5674 1 +BIT4_0 6556 1 +BIT3_0 6571 1 +BIT2_0 6601 1 +BIT1_0 5610 1 +BIT0_0 6073 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2951 1 +auto_ZERO auto_NON_ZERO 3148 1 +auto_NON_ZERO auto_ZERO 3070 1 +auto_NON_ZERO auto_NON_ZERO 8431 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbc_clmul_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 581 1 +auto[1] 560 1 +auto[2] 550 1 +auto[3] 555 1 +auto[4] 555 1 +auto[5] 536 1 +auto[6] 487 1 +auto[7] 579 1 +auto[8] 577 1 +auto[9] 530 1 +auto[10] 551 1 +auto[11] 544 1 +auto[12] 545 1 +auto[13] 543 1 +auto[14] 581 1 +auto[15] 520 1 +auto[16] 561 1 +auto[17] 537 1 +auto[18] 589 1 +auto[19] 527 1 +auto[20] 574 1 +auto[21] 535 1 +auto[22] 523 1 +auto[23] 608 1 +auto[24] 516 1 +auto[25] 547 1 +auto[26] 530 1 +auto[27] 522 1 +auto[28] 538 1 +auto[29] 518 1 +auto[30] 576 1 +auto[31] 522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 577 1 +auto[1] 534 1 +auto[2] 533 1 +auto[3] 520 1 +auto[4] 509 1 +auto[5] 524 1 +auto[6] 549 1 +auto[7] 513 1 +auto[8] 562 1 +auto[9] 541 1 +auto[10] 559 1 +auto[11] 630 1 +auto[12] 559 1 +auto[13] 575 1 +auto[14] 529 1 +auto[15] 516 1 +auto[16] 539 1 +auto[17] 577 1 +auto[18] 554 1 +auto[19] 554 1 +auto[20] 593 1 +auto[21] 513 1 +auto[22] 517 1 +auto[23] 560 1 +auto[24] 499 1 +auto[25] 542 1 +auto[26] 582 1 +auto[27] 499 1 +auto[28] 546 1 +auto[29] 591 1 +auto[30] 573 1 +auto[31] 548 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 613 1 +auto[1] 568 1 +auto[2] 553 1 +auto[3] 630 1 +auto[4] 516 1 +auto[5] 557 1 +auto[6] 509 1 +auto[7] 535 1 +auto[8] 518 1 +auto[9] 501 1 +auto[10] 559 1 +auto[11] 507 1 +auto[12] 543 1 +auto[13] 581 1 +auto[14] 526 1 +auto[15] 546 1 +auto[16] 548 1 +auto[17] 538 1 +auto[18] 539 1 +auto[19] 517 1 +auto[20] 549 1 +auto[21] 560 1 +auto[22] 532 1 +auto[23] 587 1 +auto[24] 524 1 +auto[25] 564 1 +auto[26] 540 1 +auto[27] 556 1 +auto[28] 535 1 +auto[29] 557 1 +auto[30] 572 1 +auto[31] 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 84 1 +RD_01 61 1 +RD_02 62 1 +RD_03 62 1 +RD_04 53 1 +RD_05 61 1 +RD_06 56 1 +RD_07 60 1 +RD_08 67 1 +RD_09 64 1 +RD_0a 69 1 +RD_0b 69 1 +RD_0c 62 1 +RD_0d 67 1 +RD_0e 68 1 +RD_0f 71 1 +RD_10 74 1 +RD_11 64 1 +RD_12 56 1 +RD_13 74 1 +RD_14 73 1 +RD_15 54 1 +RD_16 50 1 +RD_17 79 1 +RD_18 44 1 +RD_19 54 1 +RD_1a 62 1 +RD_1b 60 1 +RD_1c 59 1 +RD_1d 52 1 +RD_1e 73 1 +RD_1f 55 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 90 1 +RD_01 67 1 +RD_02 66 1 +RD_03 66 1 +RD_04 52 1 +RD_05 62 1 +RD_06 53 1 +RD_07 54 1 +RD_08 69 1 +RD_09 65 1 +RD_0a 63 1 +RD_0b 79 1 +RD_0c 59 1 +RD_0d 77 1 +RD_0e 67 1 +RD_0f 70 1 +RD_10 69 1 +RD_11 62 1 +RD_12 68 1 +RD_13 68 1 +RD_14 82 1 +RD_15 68 1 +RD_16 51 1 +RD_17 78 1 +RD_18 48 1 +RD_19 53 1 +RD_1a 67 1 +RD_1b 61 1 +RD_1c 56 1 +RD_1d 52 1 +RD_1e 60 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6164 1 +auto_NON_ZERO 11353 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6212 1 +auto_NON_ZERO 11305 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9730 1 +auto_NON_ZERO 7787 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5301 1 +BIT30_1 3414 1 +BIT29_1 3440 1 +BIT28_1 3489 1 +BIT27_1 3361 1 +BIT26_1 3346 1 +BIT25_1 3350 1 +BIT24_1 3335 1 +BIT23_1 3315 1 +BIT22_1 3339 1 +BIT21_1 3314 1 +BIT20_1 3343 1 +BIT19_1 3337 1 +BIT18_1 3343 1 +BIT17_1 3340 1 +BIT16_1 3499 1 +BIT15_1 4351 1 +BIT14_1 4152 1 +BIT13_1 4364 1 +BIT12_1 4198 1 +BIT11_1 4693 1 +BIT10_1 4700 1 +BIT9_1 4175 1 +BIT8_1 3655 1 +BIT7_1 4527 1 +BIT6_1 3889 1 +BIT5_1 4090 1 +BIT4_1 5290 1 +BIT3_1 5376 1 +BIT2_1 5384 1 +BIT1_1 4232 1 +BIT0_1 4832 1 +BIT31_0 12216 1 +BIT30_0 14103 1 +BIT29_0 14077 1 +BIT28_0 14028 1 +BIT27_0 14156 1 +BIT26_0 14171 1 +BIT25_0 14167 1 +BIT24_0 14182 1 +BIT23_0 14202 1 +BIT22_0 14178 1 +BIT21_0 14203 1 +BIT20_0 14174 1 +BIT19_0 14180 1 +BIT18_0 14174 1 +BIT17_0 14177 1 +BIT16_0 14018 1 +BIT15_0 13166 1 +BIT14_0 13365 1 +BIT13_0 13153 1 +BIT12_0 13319 1 +BIT11_0 12824 1 +BIT10_0 12817 1 +BIT9_0 13342 1 +BIT8_0 13862 1 +BIT7_0 12990 1 +BIT6_0 13628 1 +BIT5_0 13427 1 +BIT4_0 12227 1 +BIT3_0 12141 1 +BIT2_0 12133 1 +BIT1_0 13285 1 +BIT0_0 12685 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5160 1 +BIT30_1 3444 1 +BIT29_1 3422 1 +BIT28_1 3434 1 +BIT27_1 3388 1 +BIT26_1 3367 1 +BIT25_1 3359 1 +BIT24_1 3388 1 +BIT23_1 3362 1 +BIT22_1 3363 1 +BIT21_1 3302 1 +BIT20_1 3318 1 +BIT19_1 3360 1 +BIT18_1 3348 1 +BIT17_1 3365 1 +BIT16_1 3590 1 +BIT15_1 4313 1 +BIT14_1 4168 1 +BIT13_1 4454 1 +BIT12_1 4256 1 +BIT11_1 4612 1 +BIT10_1 4718 1 +BIT9_1 4221 1 +BIT8_1 3693 1 +BIT7_1 4564 1 +BIT6_1 3980 1 +BIT5_1 4190 1 +BIT4_1 5262 1 +BIT3_1 5272 1 +BIT2_1 5261 1 +BIT1_1 4130 1 +BIT0_1 4846 1 +BIT31_0 12357 1 +BIT30_0 14073 1 +BIT29_0 14095 1 +BIT28_0 14083 1 +BIT27_0 14129 1 +BIT26_0 14150 1 +BIT25_0 14158 1 +BIT24_0 14129 1 +BIT23_0 14155 1 +BIT22_0 14154 1 +BIT21_0 14215 1 +BIT20_0 14199 1 +BIT19_0 14157 1 +BIT18_0 14169 1 +BIT17_0 14152 1 +BIT16_0 13927 1 +BIT15_0 13204 1 +BIT14_0 13349 1 +BIT13_0 13063 1 +BIT12_0 13261 1 +BIT11_0 12905 1 +BIT10_0 12799 1 +BIT9_0 13296 1 +BIT8_0 13824 1 +BIT7_0 12953 1 +BIT6_0 13537 1 +BIT5_0 13327 1 +BIT4_0 12255 1 +BIT3_0 12245 1 +BIT2_0 12256 1 +BIT1_0 13387 1 +BIT0_0 12671 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2941 1 +BIT30_1 2852 1 +BIT29_1 2405 1 +BIT28_1 2837 1 +BIT27_1 2377 1 +BIT26_1 2790 1 +BIT25_1 2393 1 +BIT24_1 2928 1 +BIT23_1 2387 1 +BIT22_1 3021 1 +BIT21_1 2543 1 +BIT20_1 3098 1 +BIT19_1 2658 1 +BIT18_1 3176 1 +BIT17_1 2676 1 +BIT16_1 3081 1 +BIT15_1 2711 1 +BIT14_1 3221 1 +BIT13_1 2828 1 +BIT12_1 3206 1 +BIT11_1 2676 1 +BIT10_1 3205 1 +BIT9_1 2702 1 +BIT8_1 3180 1 +BIT7_1 2573 1 +BIT6_1 3204 1 +BIT5_1 2555 1 +BIT4_1 3196 1 +BIT3_1 2360 1 +BIT2_1 2814 1 +BIT1_1 1485 1 +BIT0_1 1839 1 +BIT31_0 14576 1 +BIT30_0 14665 1 +BIT29_0 15112 1 +BIT28_0 14680 1 +BIT27_0 15140 1 +BIT26_0 14727 1 +BIT25_0 15124 1 +BIT24_0 14589 1 +BIT23_0 15130 1 +BIT22_0 14496 1 +BIT21_0 14974 1 +BIT20_0 14419 1 +BIT19_0 14859 1 +BIT18_0 14341 1 +BIT17_0 14841 1 +BIT16_0 14436 1 +BIT15_0 14806 1 +BIT14_0 14296 1 +BIT13_0 14689 1 +BIT12_0 14311 1 +BIT11_0 14841 1 +BIT10_0 14312 1 +BIT9_0 14815 1 +BIT8_0 14337 1 +BIT7_0 14944 1 +BIT6_0 14313 1 +BIT5_0 14962 1 +BIT4_0 14321 1 +BIT3_0 15157 1 +BIT2_0 14703 1 +BIT1_0 16032 1 +BIT0_0 15678 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2963 1 +auto_ZERO auto_NON_ZERO 3201 1 +auto_NON_ZERO auto_ZERO 3249 1 +auto_NON_ZERO auto_NON_ZERO 8104 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbc_clmulr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 568 1 +auto[1] 564 1 +auto[2] 556 1 +auto[3] 549 1 +auto[4] 571 1 +auto[5] 535 1 +auto[6] 514 1 +auto[7] 577 1 +auto[8] 545 1 +auto[9] 534 1 +auto[10] 595 1 +auto[11] 578 1 +auto[12] 561 1 +auto[13] 556 1 +auto[14] 560 1 +auto[15] 524 1 +auto[16] 569 1 +auto[17] 557 1 +auto[18] 533 1 +auto[19] 564 1 +auto[20] 563 1 +auto[21] 497 1 +auto[22] 545 1 +auto[23] 561 1 +auto[24] 562 1 +auto[25] 556 1 +auto[26] 522 1 +auto[27] 567 1 +auto[28] 516 1 +auto[29] 535 1 +auto[30] 534 1 +auto[31] 526 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 570 1 +auto[1] 598 1 +auto[2] 564 1 +auto[3] 577 1 +auto[4] 526 1 +auto[5] 524 1 +auto[6] 524 1 +auto[7] 549 1 +auto[8] 569 1 +auto[9] 557 1 +auto[10] 546 1 +auto[11] 542 1 +auto[12] 547 1 +auto[13] 543 1 +auto[14] 563 1 +auto[15] 553 1 +auto[16] 525 1 +auto[17] 499 1 +auto[18] 558 1 +auto[19] 565 1 +auto[20] 534 1 +auto[21] 575 1 +auto[22] 578 1 +auto[23] 567 1 +auto[24] 566 1 +auto[25] 531 1 +auto[26] 524 1 +auto[27] 517 1 +auto[28] 541 1 +auto[29] 590 1 +auto[30] 528 1 +auto[31] 544 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 591 1 +auto[1] 610 1 +auto[2] 519 1 +auto[3] 597 1 +auto[4] 509 1 +auto[5] 525 1 +auto[6] 575 1 +auto[7] 556 1 +auto[8] 558 1 +auto[9] 516 1 +auto[10] 520 1 +auto[11] 551 1 +auto[12] 548 1 +auto[13] 567 1 +auto[14] 540 1 +auto[15] 557 1 +auto[16] 567 1 +auto[17] 558 1 +auto[18] 564 1 +auto[19] 533 1 +auto[20] 572 1 +auto[21] 488 1 +auto[22] 558 1 +auto[23] 540 1 +auto[24] 566 1 +auto[25] 561 1 +auto[26] 526 1 +auto[27] 565 1 +auto[28] 545 1 +auto[29] 534 1 +auto[30] 520 1 +auto[31] 558 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 77 1 +RD_01 74 1 +RD_02 61 1 +RD_03 54 1 +RD_04 66 1 +RD_05 77 1 +RD_06 74 1 +RD_07 70 1 +RD_08 76 1 +RD_09 62 1 +RD_0a 61 1 +RD_0b 63 1 +RD_0c 65 1 +RD_0d 55 1 +RD_0e 57 1 +RD_0f 67 1 +RD_10 74 1 +RD_11 63 1 +RD_12 59 1 +RD_13 60 1 +RD_14 65 1 +RD_15 50 1 +RD_16 66 1 +RD_17 73 1 +RD_18 79 1 +RD_19 64 1 +RD_1a 65 1 +RD_1b 63 1 +RD_1c 64 1 +RD_1d 67 1 +RD_1e 58 1 +RD_1f 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 74 1 +RD_02 70 1 +RD_03 61 1 +RD_04 66 1 +RD_05 66 1 +RD_06 75 1 +RD_07 75 1 +RD_08 66 1 +RD_09 60 1 +RD_0a 60 1 +RD_0b 65 1 +RD_0c 62 1 +RD_0d 65 1 +RD_0e 53 1 +RD_0f 69 1 +RD_10 75 1 +RD_11 70 1 +RD_12 63 1 +RD_13 73 1 +RD_14 60 1 +RD_15 55 1 +RD_16 70 1 +RD_17 77 1 +RD_18 77 1 +RD_19 67 1 +RD_1a 57 1 +RD_1b 58 1 +RD_1c 56 1 +RD_1d 73 1 +RD_1e 63 1 +RD_1f 63 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6260 1 +auto_NON_ZERO 11334 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6176 1 +auto_NON_ZERO 11418 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11212 1 +auto_NON_ZERO 6382 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5279 1 +BIT30_1 3459 1 +BIT29_1 3484 1 +BIT28_1 3541 1 +BIT27_1 3341 1 +BIT26_1 3321 1 +BIT25_1 3305 1 +BIT24_1 3364 1 +BIT23_1 3283 1 +BIT22_1 3352 1 +BIT21_1 3301 1 +BIT20_1 3342 1 +BIT19_1 3371 1 +BIT18_1 3361 1 +BIT17_1 3349 1 +BIT16_1 3496 1 +BIT15_1 4248 1 +BIT14_1 4159 1 +BIT13_1 4426 1 +BIT12_1 4272 1 +BIT11_1 4665 1 +BIT10_1 4752 1 +BIT9_1 4190 1 +BIT8_1 3721 1 +BIT7_1 4505 1 +BIT6_1 4080 1 +BIT5_1 4172 1 +BIT4_1 5270 1 +BIT3_1 5348 1 +BIT2_1 5252 1 +BIT1_1 4166 1 +BIT0_1 4824 1 +BIT31_0 12315 1 +BIT30_0 14135 1 +BIT29_0 14110 1 +BIT28_0 14053 1 +BIT27_0 14253 1 +BIT26_0 14273 1 +BIT25_0 14289 1 +BIT24_0 14230 1 +BIT23_0 14311 1 +BIT22_0 14242 1 +BIT21_0 14293 1 +BIT20_0 14252 1 +BIT19_0 14223 1 +BIT18_0 14233 1 +BIT17_0 14245 1 +BIT16_0 14098 1 +BIT15_0 13346 1 +BIT14_0 13435 1 +BIT13_0 13168 1 +BIT12_0 13322 1 +BIT11_0 12929 1 +BIT10_0 12842 1 +BIT9_0 13404 1 +BIT8_0 13873 1 +BIT7_0 13089 1 +BIT6_0 13514 1 +BIT5_0 13422 1 +BIT4_0 12324 1 +BIT3_0 12246 1 +BIT2_0 12342 1 +BIT1_0 13428 1 +BIT0_0 12770 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5255 1 +BIT30_1 3484 1 +BIT29_1 3486 1 +BIT28_1 3517 1 +BIT27_1 3327 1 +BIT26_1 3396 1 +BIT25_1 3356 1 +BIT24_1 3370 1 +BIT23_1 3309 1 +BIT22_1 3348 1 +BIT21_1 3291 1 +BIT20_1 3308 1 +BIT19_1 3292 1 +BIT18_1 3284 1 +BIT17_1 3262 1 +BIT16_1 3476 1 +BIT15_1 4270 1 +BIT14_1 4267 1 +BIT13_1 4460 1 +BIT12_1 4255 1 +BIT11_1 4628 1 +BIT10_1 4690 1 +BIT9_1 4224 1 +BIT8_1 3656 1 +BIT7_1 4492 1 +BIT6_1 4025 1 +BIT5_1 4168 1 +BIT4_1 5286 1 +BIT3_1 5373 1 +BIT2_1 5335 1 +BIT1_1 4258 1 +BIT0_1 4868 1 +BIT31_0 12339 1 +BIT30_0 14110 1 +BIT29_0 14108 1 +BIT28_0 14077 1 +BIT27_0 14267 1 +BIT26_0 14198 1 +BIT25_0 14238 1 +BIT24_0 14224 1 +BIT23_0 14285 1 +BIT22_0 14246 1 +BIT21_0 14303 1 +BIT20_0 14286 1 +BIT19_0 14302 1 +BIT18_0 14310 1 +BIT17_0 14332 1 +BIT16_0 14118 1 +BIT15_0 13324 1 +BIT14_0 13327 1 +BIT13_0 13134 1 +BIT12_0 13339 1 +BIT11_0 12966 1 +BIT10_0 12904 1 +BIT9_0 13370 1 +BIT8_0 13938 1 +BIT7_0 13102 1 +BIT6_0 13569 1 +BIT5_0 13426 1 +BIT4_0 12308 1 +BIT3_0 12221 1 +BIT2_0 12259 1 +BIT1_0 13336 1 +BIT0_0 12726 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2061 1 +BIT30_1 1085 1 +BIT29_1 1821 1 +BIT28_1 1172 1 +BIT27_1 1785 1 +BIT26_1 1183 1 +BIT25_1 1864 1 +BIT24_1 1180 1 +BIT23_1 1837 1 +BIT22_1 1249 1 +BIT21_1 1815 1 +BIT20_1 1237 1 +BIT19_1 1821 1 +BIT18_1 1264 1 +BIT17_1 1860 1 +BIT16_1 1377 1 +BIT15_1 2039 1 +BIT14_1 1476 1 +BIT13_1 2149 1 +BIT12_1 1608 1 +BIT11_1 2043 1 +BIT10_1 1760 1 +BIT9_1 2231 1 +BIT8_1 1781 1 +BIT7_1 2381 1 +BIT6_1 2033 1 +BIT5_1 2631 1 +BIT4_1 2436 1 +BIT3_1 2892 1 +BIT2_1 2588 1 +BIT1_1 3055 1 +BIT0_1 2910 1 +BIT31_0 15533 1 +BIT30_0 16509 1 +BIT29_0 15773 1 +BIT28_0 16422 1 +BIT27_0 15809 1 +BIT26_0 16411 1 +BIT25_0 15730 1 +BIT24_0 16414 1 +BIT23_0 15757 1 +BIT22_0 16345 1 +BIT21_0 15779 1 +BIT20_0 16357 1 +BIT19_0 15773 1 +BIT18_0 16330 1 +BIT17_0 15734 1 +BIT16_0 16217 1 +BIT15_0 15555 1 +BIT14_0 16118 1 +BIT13_0 15445 1 +BIT12_0 15986 1 +BIT11_0 15551 1 +BIT10_0 15834 1 +BIT9_0 15363 1 +BIT8_0 15813 1 +BIT7_0 15213 1 +BIT6_0 15561 1 +BIT5_0 14963 1 +BIT4_0 15158 1 +BIT3_0 14702 1 +BIT2_0 15006 1 +BIT1_0 14539 1 +BIT0_0 14684 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3026 1 +auto_ZERO auto_NON_ZERO 3234 1 +auto_NON_ZERO auto_ZERO 3150 1 +auto_NON_ZERO auto_NON_ZERO 8184 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bclr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 492 1 +auto[1] 517 1 +auto[2] 578 1 +auto[3] 550 1 +auto[4] 522 1 +auto[5] 556 1 +auto[6] 548 1 +auto[7] 532 1 +auto[8] 556 1 +auto[9] 558 1 +auto[10] 544 1 +auto[11] 566 1 +auto[12] 558 1 +auto[13] 560 1 +auto[14] 535 1 +auto[15] 542 1 +auto[16] 599 1 +auto[17] 539 1 +auto[18] 556 1 +auto[19] 570 1 +auto[20] 557 1 +auto[21] 576 1 +auto[22] 522 1 +auto[23] 555 1 +auto[24] 522 1 +auto[25] 551 1 +auto[26] 503 1 +auto[27] 536 1 +auto[28] 547 1 +auto[29] 561 1 +auto[30] 545 1 +auto[31] 549 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 581 1 +auto[1] 534 1 +auto[2] 543 1 +auto[3] 516 1 +auto[4] 495 1 +auto[5] 514 1 +auto[6] 571 1 +auto[7] 577 1 +auto[8] 566 1 +auto[9] 554 1 +auto[10] 536 1 +auto[11] 552 1 +auto[12] 557 1 +auto[13] 590 1 +auto[14] 523 1 +auto[15] 551 1 +auto[16] 509 1 +auto[17] 603 1 +auto[18] 537 1 +auto[19] 521 1 +auto[20] 534 1 +auto[21] 528 1 +auto[22] 556 1 +auto[23] 527 1 +auto[24] 533 1 +auto[25] 609 1 +auto[26] 509 1 +auto[27] 541 1 +auto[28] 573 1 +auto[29] 541 1 +auto[30] 562 1 +auto[31] 559 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 548 1 +auto[2] 541 1 +auto[3] 600 1 +auto[4] 522 1 +auto[5] 558 1 +auto[6] 561 1 +auto[7] 512 1 +auto[8] 509 1 +auto[9] 536 1 +auto[10] 551 1 +auto[11] 523 1 +auto[12] 560 1 +auto[13] 573 1 +auto[14] 557 1 +auto[15] 557 1 +auto[16] 538 1 +auto[17] 529 1 +auto[18] 549 1 +auto[19] 527 1 +auto[20] 545 1 +auto[21] 576 1 +auto[22] 535 1 +auto[23] 591 1 +auto[24] 504 1 +auto[25] 525 1 +auto[26] 514 1 +auto[27] 526 1 +auto[28] 548 1 +auto[29] 560 1 +auto[30] 551 1 +auto[31] 594 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 64 1 +RD_01 67 1 +RD_02 80 1 +RD_03 66 1 +RD_04 55 1 +RD_05 62 1 +RD_06 71 1 +RD_07 74 1 +RD_08 72 1 +RD_09 61 1 +RD_0a 68 1 +RD_0b 57 1 +RD_0c 83 1 +RD_0d 76 1 +RD_0e 65 1 +RD_0f 69 1 +RD_10 77 1 +RD_11 68 1 +RD_12 62 1 +RD_13 68 1 +RD_14 77 1 +RD_15 79 1 +RD_16 74 1 +RD_17 73 1 +RD_18 61 1 +RD_19 60 1 +RD_1a 48 1 +RD_1b 50 1 +RD_1c 76 1 +RD_1d 58 1 +RD_1e 55 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 64 1 +RD_02 73 1 +RD_03 58 1 +RD_04 58 1 +RD_05 54 1 +RD_06 76 1 +RD_07 72 1 +RD_08 65 1 +RD_09 61 1 +RD_0a 65 1 +RD_0b 55 1 +RD_0c 79 1 +RD_0d 77 1 +RD_0e 73 1 +RD_0f 63 1 +RD_10 73 1 +RD_11 71 1 +RD_12 65 1 +RD_13 60 1 +RD_14 67 1 +RD_15 77 1 +RD_16 70 1 +RD_17 68 1 +RD_18 64 1 +RD_19 62 1 +RD_1a 55 1 +RD_1b 45 1 +RD_1c 66 1 +RD_1d 56 1 +RD_1e 56 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6063 1 +auto_NON_ZERO 11439 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6187 1 +auto_NON_ZERO 11315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6444 1 +auto_NON_ZERO 11058 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5269 1 +BIT30_1 3559 1 +BIT29_1 3511 1 +BIT28_1 3512 1 +BIT27_1 3372 1 +BIT26_1 3437 1 +BIT25_1 3430 1 +BIT24_1 3391 1 +BIT23_1 3332 1 +BIT22_1 3363 1 +BIT21_1 3359 1 +BIT20_1 3363 1 +BIT19_1 3412 1 +BIT18_1 3406 1 +BIT17_1 3380 1 +BIT16_1 3585 1 +BIT15_1 4319 1 +BIT14_1 4293 1 +BIT13_1 4508 1 +BIT12_1 4271 1 +BIT11_1 4652 1 +BIT10_1 4775 1 +BIT9_1 4283 1 +BIT8_1 3775 1 +BIT7_1 4616 1 +BIT6_1 4094 1 +BIT5_1 4241 1 +BIT4_1 5369 1 +BIT3_1 5431 1 +BIT2_1 5457 1 +BIT1_1 4379 1 +BIT0_1 4880 1 +BIT31_0 12233 1 +BIT30_0 13943 1 +BIT29_0 13991 1 +BIT28_0 13990 1 +BIT27_0 14130 1 +BIT26_0 14065 1 +BIT25_0 14072 1 +BIT24_0 14111 1 +BIT23_0 14170 1 +BIT22_0 14139 1 +BIT21_0 14143 1 +BIT20_0 14139 1 +BIT19_0 14090 1 +BIT18_0 14096 1 +BIT17_0 14122 1 +BIT16_0 13917 1 +BIT15_0 13183 1 +BIT14_0 13209 1 +BIT13_0 12994 1 +BIT12_0 13231 1 +BIT11_0 12850 1 +BIT10_0 12727 1 +BIT9_0 13219 1 +BIT8_0 13727 1 +BIT7_0 12886 1 +BIT6_0 13408 1 +BIT5_0 13261 1 +BIT4_0 12133 1 +BIT3_0 12071 1 +BIT2_0 12045 1 +BIT1_0 13123 1 +BIT0_0 12622 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5311 1 +BIT30_1 3484 1 +BIT29_1 3449 1 +BIT28_1 3503 1 +BIT27_1 3378 1 +BIT26_1 3355 1 +BIT25_1 3303 1 +BIT24_1 3348 1 +BIT23_1 3299 1 +BIT22_1 3347 1 +BIT21_1 3316 1 +BIT20_1 3380 1 +BIT19_1 3358 1 +BIT18_1 3344 1 +BIT17_1 3378 1 +BIT16_1 3502 1 +BIT15_1 4249 1 +BIT14_1 4219 1 +BIT13_1 4380 1 +BIT12_1 4236 1 +BIT11_1 4687 1 +BIT10_1 4735 1 +BIT9_1 4261 1 +BIT8_1 3730 1 +BIT7_1 4447 1 +BIT6_1 4102 1 +BIT5_1 4213 1 +BIT4_1 5213 1 +BIT3_1 5365 1 +BIT2_1 5231 1 +BIT1_1 4246 1 +BIT0_1 4871 1 +BIT31_0 12191 1 +BIT30_0 14018 1 +BIT29_0 14053 1 +BIT28_0 13999 1 +BIT27_0 14124 1 +BIT26_0 14147 1 +BIT25_0 14199 1 +BIT24_0 14154 1 +BIT23_0 14203 1 +BIT22_0 14155 1 +BIT21_0 14186 1 +BIT20_0 14122 1 +BIT19_0 14144 1 +BIT18_0 14158 1 +BIT17_0 14124 1 +BIT16_0 14000 1 +BIT15_0 13253 1 +BIT14_0 13283 1 +BIT13_0 13122 1 +BIT12_0 13266 1 +BIT11_0 12815 1 +BIT10_0 12767 1 +BIT9_0 13241 1 +BIT8_0 13772 1 +BIT7_0 13055 1 +BIT6_0 13400 1 +BIT5_0 13289 1 +BIT4_0 12289 1 +BIT3_0 12137 1 +BIT2_0 12271 1 +BIT1_0 13256 1 +BIT0_0 12631 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4742 1 +BIT30_1 3477 1 +BIT29_1 3463 1 +BIT28_1 3284 1 +BIT27_1 3325 1 +BIT26_1 3391 1 +BIT25_1 3393 1 +BIT24_1 3337 1 +BIT23_1 3294 1 +BIT22_1 3334 1 +BIT21_1 3334 1 +BIT20_1 3327 1 +BIT19_1 3390 1 +BIT18_1 3366 1 +BIT17_1 3332 1 +BIT16_1 3519 1 +BIT15_1 4271 1 +BIT14_1 4250 1 +BIT13_1 4466 1 +BIT12_1 4209 1 +BIT11_1 4608 1 +BIT10_1 4728 1 +BIT9_1 4227 1 +BIT8_1 3704 1 +BIT7_1 4559 1 +BIT6_1 4023 1 +BIT5_1 4198 1 +BIT4_1 5291 1 +BIT3_1 5371 1 +BIT2_1 5340 1 +BIT1_1 4100 1 +BIT0_1 3074 1 +BIT31_0 12760 1 +BIT30_0 14025 1 +BIT29_0 14039 1 +BIT28_0 14218 1 +BIT27_0 14177 1 +BIT26_0 14111 1 +BIT25_0 14109 1 +BIT24_0 14165 1 +BIT23_0 14208 1 +BIT22_0 14168 1 +BIT21_0 14168 1 +BIT20_0 14175 1 +BIT19_0 14112 1 +BIT18_0 14136 1 +BIT17_0 14170 1 +BIT16_0 13983 1 +BIT15_0 13231 1 +BIT14_0 13252 1 +BIT13_0 13036 1 +BIT12_0 13293 1 +BIT11_0 12894 1 +BIT10_0 12774 1 +BIT9_0 13275 1 +BIT8_0 13798 1 +BIT7_0 12943 1 +BIT6_0 13479 1 +BIT5_0 13304 1 +BIT4_0 12211 1 +BIT3_0 12131 1 +BIT2_0 12162 1 +BIT1_0 13402 1 +BIT0_0 14428 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3047 1 +auto_ZERO auto_NON_ZERO 3016 1 +auto_NON_ZERO auto_ZERO 3140 1 +auto_NON_ZERO auto_NON_ZERO 8299 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_binv_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 561 1 +auto[1] 530 1 +auto[2] 504 1 +auto[3] 519 1 +auto[4] 573 1 +auto[5] 550 1 +auto[6] 526 1 +auto[7] 559 1 +auto[8] 544 1 +auto[9] 554 1 +auto[10] 536 1 +auto[11] 580 1 +auto[12] 570 1 +auto[13] 572 1 +auto[14] 557 1 +auto[15] 525 1 +auto[16] 547 1 +auto[17] 534 1 +auto[18] 531 1 +auto[19] 552 1 +auto[20] 552 1 +auto[21] 525 1 +auto[22] 557 1 +auto[23] 532 1 +auto[24] 545 1 +auto[25] 552 1 +auto[26] 552 1 +auto[27] 522 1 +auto[28] 536 1 +auto[29] 509 1 +auto[30] 561 1 +auto[31] 593 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 519 1 +auto[1] 580 1 +auto[2] 544 1 +auto[3] 537 1 +auto[4] 570 1 +auto[5] 530 1 +auto[6] 531 1 +auto[7] 539 1 +auto[8] 592 1 +auto[9] 598 1 +auto[10] 561 1 +auto[11] 514 1 +auto[12] 544 1 +auto[13] 520 1 +auto[14] 527 1 +auto[15] 537 1 +auto[16] 577 1 +auto[17] 570 1 +auto[18] 500 1 +auto[19] 511 1 +auto[20] 527 1 +auto[21] 562 1 +auto[22] 529 1 +auto[23] 523 1 +auto[24] 571 1 +auto[25] 531 1 +auto[26] 555 1 +auto[27] 551 1 +auto[28] 543 1 +auto[29] 554 1 +auto[30] 533 1 +auto[31] 580 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 643 1 +auto[1] 570 1 +auto[2] 517 1 +auto[3] 560 1 +auto[4] 536 1 +auto[5] 504 1 +auto[6] 556 1 +auto[7] 551 1 +auto[8] 576 1 +auto[9] 559 1 +auto[10] 556 1 +auto[11] 521 1 +auto[12] 568 1 +auto[13] 517 1 +auto[14] 539 1 +auto[15] 546 1 +auto[16] 537 1 +auto[17] 518 1 +auto[18] 570 1 +auto[19] 549 1 +auto[20] 558 1 +auto[21] 538 1 +auto[22] 527 1 +auto[23] 515 1 +auto[24] 503 1 +auto[25] 589 1 +auto[26] 508 1 +auto[27] 478 1 +auto[28] 568 1 +auto[29] 554 1 +auto[30] 585 1 +auto[31] 544 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 77 1 +RD_01 69 1 +RD_02 60 1 +RD_03 64 1 +RD_04 57 1 +RD_05 66 1 +RD_06 47 1 +RD_07 66 1 +RD_08 78 1 +RD_09 70 1 +RD_0a 64 1 +RD_0b 73 1 +RD_0c 67 1 +RD_0d 73 1 +RD_0e 72 1 +RD_0f 58 1 +RD_10 64 1 +RD_11 66 1 +RD_12 66 1 +RD_13 68 1 +RD_14 60 1 +RD_15 62 1 +RD_16 53 1 +RD_17 66 1 +RD_18 64 1 +RD_19 70 1 +RD_1a 57 1 +RD_1b 64 1 +RD_1c 64 1 +RD_1d 63 1 +RD_1e 65 1 +RD_1f 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 70 1 +RD_01 70 1 +RD_02 54 1 +RD_03 70 1 +RD_04 63 1 +RD_05 61 1 +RD_06 52 1 +RD_07 63 1 +RD_08 71 1 +RD_09 67 1 +RD_0a 70 1 +RD_0b 64 1 +RD_0c 72 1 +RD_0d 67 1 +RD_0e 67 1 +RD_0f 55 1 +RD_10 57 1 +RD_11 69 1 +RD_12 65 1 +RD_13 67 1 +RD_14 57 1 +RD_15 55 1 +RD_16 56 1 +RD_17 58 1 +RD_18 71 1 +RD_19 67 1 +RD_1a 62 1 +RD_1b 65 1 +RD_1c 67 1 +RD_1d 70 1 +RD_1e 70 1 +RD_1f 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6041 1 +auto_NON_ZERO 11419 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6025 1 +auto_NON_ZERO 11435 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 415 1 +auto_NON_ZERO 17045 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5281 1 +BIT30_1 3482 1 +BIT29_1 3466 1 +BIT28_1 3475 1 +BIT27_1 3330 1 +BIT26_1 3360 1 +BIT25_1 3297 1 +BIT24_1 3375 1 +BIT23_1 3347 1 +BIT22_1 3330 1 +BIT21_1 3292 1 +BIT20_1 3333 1 +BIT19_1 3339 1 +BIT18_1 3355 1 +BIT17_1 3362 1 +BIT16_1 3564 1 +BIT15_1 4300 1 +BIT14_1 4220 1 +BIT13_1 4523 1 +BIT12_1 4268 1 +BIT11_1 4743 1 +BIT10_1 4729 1 +BIT9_1 4291 1 +BIT8_1 3728 1 +BIT7_1 4467 1 +BIT6_1 4014 1 +BIT5_1 4247 1 +BIT4_1 5360 1 +BIT3_1 5398 1 +BIT2_1 5325 1 +BIT1_1 4230 1 +BIT0_1 4906 1 +BIT31_0 12179 1 +BIT30_0 13978 1 +BIT29_0 13994 1 +BIT28_0 13985 1 +BIT27_0 14130 1 +BIT26_0 14100 1 +BIT25_0 14163 1 +BIT24_0 14085 1 +BIT23_0 14113 1 +BIT22_0 14130 1 +BIT21_0 14168 1 +BIT20_0 14127 1 +BIT19_0 14121 1 +BIT18_0 14105 1 +BIT17_0 14098 1 +BIT16_0 13896 1 +BIT15_0 13160 1 +BIT14_0 13240 1 +BIT13_0 12937 1 +BIT12_0 13192 1 +BIT11_0 12717 1 +BIT10_0 12731 1 +BIT9_0 13169 1 +BIT8_0 13732 1 +BIT7_0 12993 1 +BIT6_0 13446 1 +BIT5_0 13213 1 +BIT4_0 12100 1 +BIT3_0 12062 1 +BIT2_0 12135 1 +BIT1_0 13230 1 +BIT0_0 12554 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5316 1 +BIT30_1 3425 1 +BIT29_1 3411 1 +BIT28_1 3445 1 +BIT27_1 3289 1 +BIT26_1 3328 1 +BIT25_1 3287 1 +BIT24_1 3286 1 +BIT23_1 3311 1 +BIT22_1 3297 1 +BIT21_1 3232 1 +BIT20_1 3304 1 +BIT19_1 3313 1 +BIT18_1 3328 1 +BIT17_1 3308 1 +BIT16_1 3444 1 +BIT15_1 4266 1 +BIT14_1 4196 1 +BIT13_1 4457 1 +BIT12_1 4205 1 +BIT11_1 4768 1 +BIT10_1 4750 1 +BIT9_1 4188 1 +BIT8_1 3679 1 +BIT7_1 4470 1 +BIT6_1 3991 1 +BIT5_1 4222 1 +BIT4_1 5321 1 +BIT3_1 5468 1 +BIT2_1 5400 1 +BIT1_1 4284 1 +BIT0_1 4850 1 +BIT31_0 12144 1 +BIT30_0 14035 1 +BIT29_0 14049 1 +BIT28_0 14015 1 +BIT27_0 14171 1 +BIT26_0 14132 1 +BIT25_0 14173 1 +BIT24_0 14174 1 +BIT23_0 14149 1 +BIT22_0 14163 1 +BIT21_0 14228 1 +BIT20_0 14156 1 +BIT19_0 14147 1 +BIT18_0 14132 1 +BIT17_0 14152 1 +BIT16_0 14016 1 +BIT15_0 13194 1 +BIT14_0 13264 1 +BIT13_0 13003 1 +BIT12_0 13255 1 +BIT11_0 12692 1 +BIT10_0 12710 1 +BIT9_0 13272 1 +BIT8_0 13781 1 +BIT7_0 12990 1 +BIT6_0 13469 1 +BIT5_0 13238 1 +BIT4_0 12139 1 +BIT3_0 11992 1 +BIT2_0 12060 1 +BIT1_0 13176 1 +BIT0_0 12610 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5518 1 +BIT30_1 3635 1 +BIT29_1 3568 1 +BIT28_1 4315 1 +BIT27_1 3436 1 +BIT26_1 3457 1 +BIT25_1 3384 1 +BIT24_1 3511 1 +BIT23_1 3445 1 +BIT22_1 3424 1 +BIT21_1 3382 1 +BIT20_1 3467 1 +BIT19_1 3397 1 +BIT18_1 3443 1 +BIT17_1 3449 1 +BIT16_1 3778 1 +BIT15_1 4387 1 +BIT14_1 4312 1 +BIT13_1 4579 1 +BIT12_1 4374 1 +BIT11_1 4827 1 +BIT10_1 4827 1 +BIT9_1 4372 1 +BIT8_1 3868 1 +BIT7_1 4538 1 +BIT6_1 4115 1 +BIT5_1 4349 1 +BIT4_1 5457 1 +BIT3_1 5498 1 +BIT2_1 5441 1 +BIT1_1 4916 1 +BIT0_1 9230 1 +BIT31_0 11942 1 +BIT30_0 13825 1 +BIT29_0 13892 1 +BIT28_0 13145 1 +BIT27_0 14024 1 +BIT26_0 14003 1 +BIT25_0 14076 1 +BIT24_0 13949 1 +BIT23_0 14015 1 +BIT22_0 14036 1 +BIT21_0 14078 1 +BIT20_0 13993 1 +BIT19_0 14063 1 +BIT18_0 14017 1 +BIT17_0 14011 1 +BIT16_0 13682 1 +BIT15_0 13073 1 +BIT14_0 13148 1 +BIT13_0 12881 1 +BIT12_0 13086 1 +BIT11_0 12633 1 +BIT10_0 12633 1 +BIT9_0 13088 1 +BIT8_0 13592 1 +BIT7_0 12922 1 +BIT6_0 13345 1 +BIT5_0 13111 1 +BIT4_0 12003 1 +BIT3_0 11962 1 +BIT2_0 12019 1 +BIT1_0 12544 1 +BIT0_0 8230 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2917 1 +auto_ZERO auto_NON_ZERO 3124 1 +auto_NON_ZERO auto_ZERO 3108 1 +auto_NON_ZERO auto_NON_ZERO 8311 1 + + +Group : uvma_isacov_pkg::cg_zcb_sh + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sh +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.80 99.80 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.80 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sh + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 152 1 151 99.80 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sh + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.80 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sh + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 152 1 151 99.80 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 144 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 42 1 +auto_NON_ZERO 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 52 1 +auto_NON_ZERO 93 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 14 1 +auto[1] 27 1 +auto[2] 12 1 +auto[3] 21 1 +auto[4] 20 1 +auto[5] 15 1 +auto[6] 17 1 +auto[7] 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 28 1 +auto[1] 22 1 +auto[2] 22 1 +auto[3] 19 1 +auto[4] 9 1 +auto[5] 15 1 +auto[6] 11 1 +auto[7] 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 58 1 +BIT30_1 18 1 +BIT29_1 22 1 +BIT28_1 20 1 +BIT27_1 21 1 +BIT26_1 24 1 +BIT25_1 20 1 +BIT24_1 18 1 +BIT23_1 21 1 +BIT22_1 18 1 +BIT21_1 21 1 +BIT20_1 20 1 +BIT19_1 20 1 +BIT18_1 21 1 +BIT17_1 20 1 +BIT16_1 27 1 +BIT15_1 40 1 +BIT14_1 35 1 +BIT13_1 39 1 +BIT12_1 33 1 +BIT11_1 38 1 +BIT10_1 42 1 +BIT9_1 36 1 +BIT8_1 36 1 +BIT7_1 48 1 +BIT6_1 37 1 +BIT5_1 47 1 +BIT4_1 43 1 +BIT3_1 54 1 +BIT2_1 51 1 +BIT1_1 53 1 +BIT0_1 35 1 +BIT31_0 87 1 +BIT30_0 127 1 +BIT29_0 123 1 +BIT28_0 125 1 +BIT27_0 124 1 +BIT26_0 121 1 +BIT25_0 125 1 +BIT24_0 127 1 +BIT23_0 124 1 +BIT22_0 127 1 +BIT21_0 124 1 +BIT20_0 125 1 +BIT19_0 125 1 +BIT18_0 124 1 +BIT17_0 125 1 +BIT16_0 118 1 +BIT15_0 105 1 +BIT14_0 110 1 +BIT13_0 106 1 +BIT12_0 112 1 +BIT11_0 107 1 +BIT10_0 103 1 +BIT9_0 109 1 +BIT8_0 109 1 +BIT7_0 97 1 +BIT6_0 108 1 +BIT5_0 98 1 +BIT4_0 102 1 +BIT3_0 91 1 +BIT2_0 94 1 +BIT1_0 92 1 +BIT0_0 110 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 1 63 98.44 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 144 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 57 1 +BIT15_1 116 1 +BIT14_1 68 1 +BIT13_1 82 1 +BIT12_1 68 1 +BIT11_1 72 1 +BIT10_1 83 1 +BIT9_1 63 1 +BIT8_1 77 1 +BIT7_1 88 1 +BIT6_1 62 1 +BIT5_1 64 1 +BIT4_1 64 1 +BIT3_1 79 1 +BIT2_1 68 1 +BIT1_1 65 1 +BIT31_0 1 1 +BIT30_0 144 1 +BIT29_0 144 1 +BIT28_0 144 1 +BIT27_0 144 1 +BIT26_0 144 1 +BIT25_0 144 1 +BIT24_0 144 1 +BIT23_0 144 1 +BIT22_0 144 1 +BIT21_0 144 1 +BIT20_0 144 1 +BIT19_0 144 1 +BIT18_0 144 1 +BIT17_0 144 1 +BIT16_0 88 1 +BIT15_0 29 1 +BIT14_0 77 1 +BIT13_0 63 1 +BIT12_0 77 1 +BIT11_0 73 1 +BIT10_0 62 1 +BIT9_0 82 1 +BIT8_0 68 1 +BIT7_0 57 1 +BIT6_0 83 1 +BIT5_0 81 1 +BIT4_0 81 1 +BIT3_0 66 1 +BIT2_0 77 1 +BIT1_0 80 1 +BIT0_0 145 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT0_1 93 1 +BIT0_0 52 1 + + +Group : uvma_isacov_pkg::cg_zcb_lhu + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_lhu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.83 99.83 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.83 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_lhu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_lhu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 128 1 127 99.83 + + +Variables for Group uvma_isacov_pkg::cg_zcb_lhu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_lhu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.83 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lhu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_lhu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 128 1 127 99.83 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_lhu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 159 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 55 1 +auto_NON_ZERO 105 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 77 1 +auto_NON_ZERO 83 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 18 1 +auto[1] 18 1 +auto[2] 20 1 +auto[3] 16 1 +auto[4] 22 1 +auto[5] 18 1 +auto[6] 27 1 +auto[7] 21 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 20 1 +auto[1] 23 1 +auto[2] 21 1 +auto[3] 22 1 +auto[4] 16 1 +auto[5] 19 1 +auto[6] 20 1 +auto[7] 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 1 63 98.44 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 159 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 61 1 +BIT15_1 120 1 +BIT14_1 56 1 +BIT13_1 94 1 +BIT12_1 71 1 +BIT11_1 78 1 +BIT10_1 85 1 +BIT9_1 76 1 +BIT8_1 75 1 +BIT7_1 74 1 +BIT6_1 80 1 +BIT5_1 83 1 +BIT4_1 76 1 +BIT3_1 73 1 +BIT2_1 62 1 +BIT1_1 71 1 +BIT31_0 1 1 +BIT30_0 159 1 +BIT29_0 159 1 +BIT28_0 159 1 +BIT27_0 159 1 +BIT26_0 159 1 +BIT25_0 159 1 +BIT24_0 159 1 +BIT23_0 159 1 +BIT22_0 159 1 +BIT21_0 159 1 +BIT20_0 159 1 +BIT19_0 159 1 +BIT18_0 159 1 +BIT17_0 159 1 +BIT16_0 99 1 +BIT15_0 40 1 +BIT14_0 104 1 +BIT13_0 66 1 +BIT12_0 89 1 +BIT11_0 82 1 +BIT10_0 75 1 +BIT9_0 84 1 +BIT8_0 85 1 +BIT7_0 86 1 +BIT6_0 80 1 +BIT5_0 77 1 +BIT4_0 84 1 +BIT3_0 87 1 +BIT2_0 98 1 +BIT1_0 89 1 +BIT0_0 160 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 47 1 +BIT14_1 51 1 +BIT13_1 46 1 +BIT12_1 41 1 +BIT11_1 47 1 +BIT10_1 51 1 +BIT9_1 53 1 +BIT8_1 28 1 +BIT7_1 50 1 +BIT6_1 33 1 +BIT5_1 49 1 +BIT4_1 45 1 +BIT3_1 51 1 +BIT2_1 47 1 +BIT1_1 35 1 +BIT0_1 69 1 +BIT15_0 113 1 +BIT14_0 109 1 +BIT13_0 114 1 +BIT12_0 119 1 +BIT11_0 113 1 +BIT10_0 109 1 +BIT9_0 107 1 +BIT8_0 132 1 +BIT7_0 110 1 +BIT6_0 127 1 +BIT5_0 111 1 +BIT4_0 115 1 +BIT3_0 109 1 +BIT2_0 113 1 +BIT1_0 125 1 +BIT0_0 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT0_1 83 1 +BIT0_0 77 1 + + +Group : uvma_isacov_pkg::cg_zcb_lh + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_lh +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.83 99.83 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.83 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_lh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_lh + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 161 1 160 99.83 + + +Variables for Group uvma_isacov_pkg::cg_zcb_lh + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_lh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.83 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lh + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_lh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 161 1 160 99.83 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_lh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 130 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 41 1 +auto_POSITIVE 51 1 +auto_NEGATIVE 39 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 63 1 +auto_NON_ZERO 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 19 1 +auto[1] 22 1 +auto[2] 12 1 +auto[3] 8 1 +auto[4] 30 1 +auto[5] 14 1 +auto[6] 12 1 +auto[7] 14 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 11 1 +auto[1] 18 1 +auto[2] 10 1 +auto[3] 16 1 +auto[4] 15 1 +auto[5] 25 1 +auto[6] 24 1 +auto[7] 12 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 1 63 98.44 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 130 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 47 1 +BIT15_1 104 1 +BIT14_1 49 1 +BIT13_1 64 1 +BIT12_1 67 1 +BIT11_1 49 1 +BIT10_1 62 1 +BIT9_1 50 1 +BIT8_1 69 1 +BIT7_1 64 1 +BIT6_1 54 1 +BIT5_1 52 1 +BIT4_1 49 1 +BIT3_1 58 1 +BIT2_1 62 1 +BIT1_1 56 1 +BIT31_0 1 1 +BIT30_0 130 1 +BIT29_0 130 1 +BIT28_0 130 1 +BIT27_0 130 1 +BIT26_0 130 1 +BIT25_0 130 1 +BIT24_0 130 1 +BIT23_0 130 1 +BIT22_0 130 1 +BIT21_0 130 1 +BIT20_0 130 1 +BIT19_0 130 1 +BIT18_0 130 1 +BIT17_0 130 1 +BIT16_0 84 1 +BIT15_0 27 1 +BIT14_0 82 1 +BIT13_0 67 1 +BIT12_0 64 1 +BIT11_0 82 1 +BIT10_0 69 1 +BIT9_0 81 1 +BIT8_0 62 1 +BIT7_0 67 1 +BIT6_0 77 1 +BIT5_0 79 1 +BIT4_0 82 1 +BIT3_0 73 1 +BIT2_0 69 1 +BIT1_0 75 1 +BIT0_0 131 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 39 1 +BIT30_1 39 1 +BIT29_1 39 1 +BIT28_1 39 1 +BIT27_1 39 1 +BIT26_1 39 1 +BIT25_1 39 1 +BIT24_1 39 1 +BIT23_1 39 1 +BIT22_1 39 1 +BIT21_1 39 1 +BIT20_1 39 1 +BIT19_1 39 1 +BIT18_1 39 1 +BIT17_1 39 1 +BIT16_1 39 1 +BIT15_1 39 1 +BIT14_1 48 1 +BIT13_1 33 1 +BIT12_1 39 1 +BIT11_1 37 1 +BIT10_1 46 1 +BIT9_1 34 1 +BIT8_1 31 1 +BIT7_1 49 1 +BIT6_1 38 1 +BIT5_1 39 1 +BIT4_1 38 1 +BIT3_1 34 1 +BIT2_1 38 1 +BIT1_1 35 1 +BIT0_1 56 1 +BIT31_0 92 1 +BIT30_0 92 1 +BIT29_0 92 1 +BIT28_0 92 1 +BIT27_0 92 1 +BIT26_0 92 1 +BIT25_0 92 1 +BIT24_0 92 1 +BIT23_0 92 1 +BIT22_0 92 1 +BIT21_0 92 1 +BIT20_0 92 1 +BIT19_0 92 1 +BIT18_0 92 1 +BIT17_0 92 1 +BIT16_0 92 1 +BIT15_0 92 1 +BIT14_0 83 1 +BIT13_0 98 1 +BIT12_0 92 1 +BIT11_0 94 1 +BIT10_0 85 1 +BIT9_0 97 1 +BIT8_0 100 1 +BIT7_0 82 1 +BIT6_0 93 1 +BIT5_0 92 1 +BIT4_0 93 1 +BIT3_0 97 1 +BIT2_0 93 1 +BIT1_0 96 1 +BIT0_0 75 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT0_1 68 1 +BIT0_0 63 1 + + +Group : uvme_cva6_pkg::cg_cvxif_compressed_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_compressed_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.92 99.92 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.92 1 100 1 64 64 uvme_cva6_pkg.cus_cadd_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_compressed_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 1024 4 1020 99.61 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_compressed_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_cadd_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.92 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.92 99.92 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_compressed_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_cadd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 1024 4 1020 99.61 + + +Variables for Group Instance uvme_cva6_pkg.cus_cadd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_cadd_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 1024 4 1020 99.61 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 470 1 +RS2_01 480 1 +RS2_02 565 1 +RS2_03 541 1 +RS2_04 577 1 +RS2_05 514 1 +RS2_06 544 1 +RS2_07 555 1 +RS2_08 525 1 +RS2_09 483 1 +RS2_0a 545 1 +RS2_0b 505 1 +RS2_0c 457 1 +RS2_0d 459 1 +RS2_0e 566 1 +RS2_0f 542 1 +RS2_10 646 1 +RS2_11 551 1 +RS2_12 462 1 +RS2_13 561 1 +RS2_14 443 1 +RS2_15 565 1 +RS2_16 537 1 +RS2_17 565 1 +RS2_18 596 1 +RS2_19 571 1 +RS2_1a 448 1 +RS2_1b 538 1 +RS2_1c 537 1 +RS2_1d 546 1 +RS2_1e 506 1 +RS2_1f 609 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 544 1 +RS1_01 533 1 +RS1_02 445 1 +RS1_03 508 1 +RS1_04 544 1 +RS1_05 495 1 +RS1_06 522 1 +RS1_07 523 1 +RS1_08 523 1 +RS1_09 528 1 +RS1_0a 475 1 +RS1_0b 579 1 +RS1_0c 543 1 +RS1_0d 545 1 +RS1_0e 471 1 +RS1_0f 597 1 +RS1_10 563 1 +RS1_11 520 1 +RS1_12 544 1 +RS1_13 519 1 +RS1_14 511 1 +RS1_15 591 1 +RS1_16 633 1 +RS1_17 571 1 +RS1_18 527 1 +RS1_19 464 1 +RS1_1a 515 1 +RS1_1b 569 1 +RS1_1c 515 1 +RS1_1d 587 1 +RS1_1e 517 1 +RS1_1f 488 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2978 1 +BIT30_1 2433 1 +BIT29_1 2421 1 +BIT28_1 2488 1 +BIT27_1 2299 1 +BIT26_1 2263 1 +BIT25_1 2369 1 +BIT24_1 2388 1 +BIT23_1 2307 1 +BIT22_1 2354 1 +BIT21_1 2353 1 +BIT20_1 2310 1 +BIT19_1 2311 1 +BIT18_1 2372 1 +BIT17_1 2383 1 +BIT16_1 2422 1 +BIT15_1 2593 1 +BIT14_1 2514 1 +BIT13_1 2319 1 +BIT12_1 2791 1 +BIT11_1 2789 1 +BIT10_1 2746 1 +BIT9_1 2506 1 +BIT8_1 2483 1 +BIT7_1 2633 1 +BIT6_1 2406 1 +BIT5_1 2395 1 +BIT4_1 2878 1 +BIT3_1 2935 1 +BIT2_1 2794 1 +BIT1_1 2344 1 +BIT0_1 1938 1 +BIT31_0 4140 1 +BIT30_0 4685 1 +BIT29_0 4697 1 +BIT28_0 4630 1 +BIT27_0 4819 1 +BIT26_0 4855 1 +BIT25_0 4749 1 +BIT24_0 4730 1 +BIT23_0 4811 1 +BIT22_0 4764 1 +BIT21_0 4765 1 +BIT20_0 4808 1 +BIT19_0 4807 1 +BIT18_0 4746 1 +BIT17_0 4735 1 +BIT16_0 4696 1 +BIT15_0 4525 1 +BIT14_0 4604 1 +BIT13_0 4799 1 +BIT12_0 4327 1 +BIT11_0 4329 1 +BIT10_0 4372 1 +BIT9_0 4612 1 +BIT8_0 4635 1 +BIT7_0 4485 1 +BIT6_0 4712 1 +BIT5_0 4723 1 +BIT4_0 4240 1 +BIT3_0 4183 1 +BIT2_0 4324 1 +BIT1_0 4774 1 +BIT0_0 5180 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3040 1 +BIT30_1 2505 1 +BIT29_1 2396 1 +BIT28_1 2496 1 +BIT27_1 2374 1 +BIT26_1 2344 1 +BIT25_1 2468 1 +BIT24_1 2351 1 +BIT23_1 2463 1 +BIT22_1 2370 1 +BIT21_1 2349 1 +BIT20_1 2380 1 +BIT19_1 2320 1 +BIT18_1 2392 1 +BIT17_1 2335 1 +BIT16_1 2463 1 +BIT15_1 2618 1 +BIT14_1 2520 1 +BIT13_1 2433 1 +BIT12_1 2870 1 +BIT11_1 2834 1 +BIT10_1 2800 1 +BIT9_1 2533 1 +BIT8_1 2497 1 +BIT7_1 2576 1 +BIT6_1 2422 1 +BIT5_1 2362 1 +BIT4_1 2882 1 +BIT3_1 2981 1 +BIT2_1 2888 1 +BIT1_1 2377 1 +BIT0_1 2088 1 +BIT31_0 4080 1 +BIT30_0 4615 1 +BIT29_0 4724 1 +BIT28_0 4624 1 +BIT27_0 4746 1 +BIT26_0 4776 1 +BIT25_0 4652 1 +BIT24_0 4769 1 +BIT23_0 4657 1 +BIT22_0 4750 1 +BIT21_0 4771 1 +BIT20_0 4740 1 +BIT19_0 4800 1 +BIT18_0 4728 1 +BIT17_0 4785 1 +BIT16_0 4657 1 +BIT15_0 4502 1 +BIT14_0 4600 1 +BIT13_0 4687 1 +BIT12_0 4250 1 +BIT11_0 4286 1 +BIT10_0 4320 1 +BIT9_0 4587 1 +BIT8_0 4623 1 +BIT7_0 4544 1 +BIT6_0 4698 1 +BIT5_0 4758 1 +BIT4_0 4238 1 +BIT3_0 4139 1 +BIT2_0 4232 1 +BIT1_0 4743 1 +BIT0_0 5032 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 4 1020 99.61 4 + + +Automatically Generated Cross Bins for cross_rs1_rs2 + + +Uncovered bins + +cp_rs1 cp_rs2 COUNT AT LEAST NUMBER +[RS1_08] [RS2_16] 0 1 1 +[RS1_09] [RS2_06] 0 1 1 +[RS1_0c] [RS2_0d] 0 1 1 +[RS1_10] [RS2_1a] 0 1 1 + + +Covered bins + +cp_rs1 cp_rs2 COUNT AT LEAST +RS1_00 RS2_00 11 1 +RS1_00 RS2_01 19 1 +RS1_00 RS2_02 28 1 +RS1_00 RS2_03 15 1 +RS1_00 RS2_04 10 1 +RS1_00 RS2_05 25 1 +RS1_00 RS2_06 20 1 +RS1_00 RS2_07 15 1 +RS1_00 RS2_08 16 1 +RS1_00 RS2_09 10 1 +RS1_00 RS2_0a 26 1 +RS1_00 RS2_0b 17 1 +RS1_00 RS2_0c 32 1 +RS1_00 RS2_0d 8 1 +RS1_00 RS2_0e 27 1 +RS1_00 RS2_0f 12 1 +RS1_00 RS2_10 21 1 +RS1_00 RS2_11 24 1 +RS1_00 RS2_12 14 1 +RS1_00 RS2_13 11 1 +RS1_00 RS2_14 9 1 +RS1_00 RS2_15 22 1 +RS1_00 RS2_16 7 1 +RS1_00 RS2_17 17 1 +RS1_00 RS2_18 8 1 +RS1_00 RS2_19 9 1 +RS1_00 RS2_1a 30 1 +RS1_00 RS2_1b 10 1 +RS1_00 RS2_1c 22 1 +RS1_00 RS2_1d 22 1 +RS1_00 RS2_1e 14 1 +RS1_00 RS2_1f 13 1 +RS1_01 RS2_00 27 1 +RS1_01 RS2_01 14 1 +RS1_01 RS2_02 19 1 +RS1_01 RS2_03 6 1 +RS1_01 RS2_04 19 1 +RS1_01 RS2_05 8 1 +RS1_01 RS2_06 3 1 +RS1_01 RS2_07 25 1 +RS1_01 RS2_08 13 1 +RS1_01 RS2_09 15 1 +RS1_01 RS2_0a 9 1 +RS1_01 RS2_0b 12 1 +RS1_01 RS2_0c 9 1 +RS1_01 RS2_0d 8 1 +RS1_01 RS2_0e 27 1 +RS1_01 RS2_0f 34 1 +RS1_01 RS2_10 26 1 +RS1_01 RS2_11 20 1 +RS1_01 RS2_12 17 1 +RS1_01 RS2_13 19 1 +RS1_01 RS2_14 9 1 +RS1_01 RS2_15 5 1 +RS1_01 RS2_16 13 1 +RS1_01 RS2_17 6 1 +RS1_01 RS2_18 29 1 +RS1_01 RS2_19 11 1 +RS1_01 RS2_1a 14 1 +RS1_01 RS2_1b 33 1 +RS1_01 RS2_1c 5 1 +RS1_01 RS2_1d 16 1 +RS1_01 RS2_1e 25 1 +RS1_01 RS2_1f 37 1 +RS1_02 RS2_00 17 1 +RS1_02 RS2_01 7 1 +RS1_02 RS2_02 12 1 +RS1_02 RS2_03 22 1 +RS1_02 RS2_04 5 1 +RS1_02 RS2_05 8 1 +RS1_02 RS2_06 19 1 +RS1_02 RS2_07 17 1 +RS1_02 RS2_08 21 1 +RS1_02 RS2_09 12 1 +RS1_02 RS2_0a 6 1 +RS1_02 RS2_0b 30 1 +RS1_02 RS2_0c 7 1 +RS1_02 RS2_0d 10 1 +RS1_02 RS2_0e 24 1 +RS1_02 RS2_0f 28 1 +RS1_02 RS2_10 17 1 +RS1_02 RS2_11 19 1 +RS1_02 RS2_12 10 1 +RS1_02 RS2_13 13 1 +RS1_02 RS2_14 19 1 +RS1_02 RS2_15 15 1 +RS1_02 RS2_16 6 1 +RS1_02 RS2_17 5 1 +RS1_02 RS2_18 14 1 +RS1_02 RS2_19 15 1 +RS1_02 RS2_1a 12 1 +RS1_02 RS2_1b 9 1 +RS1_02 RS2_1c 7 1 +RS1_02 RS2_1d 18 1 +RS1_02 RS2_1e 4 1 +RS1_02 RS2_1f 17 1 +RS1_03 RS2_00 19 1 +RS1_03 RS2_01 11 1 +RS1_03 RS2_02 26 1 +RS1_03 RS2_03 9 1 +RS1_03 RS2_04 14 1 +RS1_03 RS2_05 11 1 +RS1_03 RS2_06 9 1 +RS1_03 RS2_07 22 1 +RS1_03 RS2_08 12 1 +RS1_03 RS2_09 8 1 +RS1_03 RS2_0a 12 1 +RS1_03 RS2_0b 7 1 +RS1_03 RS2_0c 15 1 +RS1_03 RS2_0d 9 1 +RS1_03 RS2_0e 22 1 +RS1_03 RS2_0f 27 1 +RS1_03 RS2_10 20 1 +RS1_03 RS2_11 11 1 +RS1_03 RS2_12 26 1 +RS1_03 RS2_13 26 1 +RS1_03 RS2_14 7 1 +RS1_03 RS2_15 13 1 +RS1_03 RS2_16 19 1 +RS1_03 RS2_17 27 1 +RS1_03 RS2_18 34 1 +RS1_03 RS2_19 12 1 +RS1_03 RS2_1a 16 1 +RS1_03 RS2_1b 19 1 +RS1_03 RS2_1c 5 1 +RS1_03 RS2_1d 11 1 +RS1_03 RS2_1e 7 1 +RS1_03 RS2_1f 22 1 +RS1_04 RS2_00 16 1 +RS1_04 RS2_01 7 1 +RS1_04 RS2_02 24 1 +RS1_04 RS2_03 31 1 +RS1_04 RS2_04 28 1 +RS1_04 RS2_05 9 1 +RS1_04 RS2_06 12 1 +RS1_04 RS2_07 23 1 +RS1_04 RS2_08 18 1 +RS1_04 RS2_09 31 1 +RS1_04 RS2_0a 3 1 +RS1_04 RS2_0b 19 1 +RS1_04 RS2_0c 19 1 +RS1_04 RS2_0d 19 1 +RS1_04 RS2_0e 21 1 +RS1_04 RS2_0f 21 1 +RS1_04 RS2_10 19 1 +RS1_04 RS2_11 13 1 +RS1_04 RS2_12 14 1 +RS1_04 RS2_13 29 1 +RS1_04 RS2_14 9 1 +RS1_04 RS2_15 3 1 +RS1_04 RS2_16 32 1 +RS1_04 RS2_17 7 1 +RS1_04 RS2_18 23 1 +RS1_04 RS2_19 2 1 +RS1_04 RS2_1a 18 1 +RS1_04 RS2_1b 16 1 +RS1_04 RS2_1c 11 1 +RS1_04 RS2_1d 17 1 +RS1_04 RS2_1e 20 1 +RS1_04 RS2_1f 10 1 +RS1_05 RS2_00 2 1 +RS1_05 RS2_01 16 1 +RS1_05 RS2_02 10 1 +RS1_05 RS2_03 18 1 +RS1_05 RS2_04 19 1 +RS1_05 RS2_05 7 1 +RS1_05 RS2_06 28 1 +RS1_05 RS2_07 20 1 +RS1_05 RS2_08 7 1 +RS1_05 RS2_09 9 1 +RS1_05 RS2_0a 11 1 +RS1_05 RS2_0b 20 1 +RS1_05 RS2_0c 5 1 +RS1_05 RS2_0d 5 1 +RS1_05 RS2_0e 22 1 +RS1_05 RS2_0f 13 1 +RS1_05 RS2_10 16 1 +RS1_05 RS2_11 20 1 +RS1_05 RS2_12 17 1 +RS1_05 RS2_13 18 1 +RS1_05 RS2_14 6 1 +RS1_05 RS2_15 27 1 +RS1_05 RS2_16 25 1 +RS1_05 RS2_17 16 1 +RS1_05 RS2_18 8 1 +RS1_05 RS2_19 37 1 +RS1_05 RS2_1a 24 1 +RS1_05 RS2_1b 11 1 +RS1_05 RS2_1c 2 1 +RS1_05 RS2_1d 22 1 +RS1_05 RS2_1e 16 1 +RS1_05 RS2_1f 18 1 +RS1_06 RS2_00 15 1 +RS1_06 RS2_01 7 1 +RS1_06 RS2_02 15 1 +RS1_06 RS2_03 24 1 +RS1_06 RS2_04 21 1 +RS1_06 RS2_05 25 1 +RS1_06 RS2_06 15 1 +RS1_06 RS2_07 17 1 +RS1_06 RS2_08 11 1 +RS1_06 RS2_09 6 1 +RS1_06 RS2_0a 27 1 +RS1_06 RS2_0b 8 1 +RS1_06 RS2_0c 9 1 +RS1_06 RS2_0d 10 1 +RS1_06 RS2_0e 12 1 +RS1_06 RS2_0f 15 1 +RS1_06 RS2_10 12 1 +RS1_06 RS2_11 14 1 +RS1_06 RS2_12 8 1 +RS1_06 RS2_13 17 1 +RS1_06 RS2_14 14 1 +RS1_06 RS2_15 27 1 +RS1_06 RS2_16 15 1 +RS1_06 RS2_17 12 1 +RS1_06 RS2_18 18 1 +RS1_06 RS2_19 25 1 +RS1_06 RS2_1a 11 1 +RS1_06 RS2_1b 26 1 +RS1_06 RS2_1c 25 1 +RS1_06 RS2_1d 28 1 +RS1_06 RS2_1e 16 1 +RS1_06 RS2_1f 17 1 +RS1_07 RS2_00 6 1 +RS1_07 RS2_01 11 1 +RS1_07 RS2_02 22 1 +RS1_07 RS2_03 18 1 +RS1_07 RS2_04 29 1 +RS1_07 RS2_05 22 1 +RS1_07 RS2_06 18 1 +RS1_07 RS2_07 10 1 +RS1_07 RS2_08 16 1 +RS1_07 RS2_09 11 1 +RS1_07 RS2_0a 13 1 +RS1_07 RS2_0b 15 1 +RS1_07 RS2_0c 19 1 +RS1_07 RS2_0d 11 1 +RS1_07 RS2_0e 30 1 +RS1_07 RS2_0f 17 1 +RS1_07 RS2_10 25 1 +RS1_07 RS2_11 17 1 +RS1_07 RS2_12 5 1 +RS1_07 RS2_13 20 1 +RS1_07 RS2_14 20 1 +RS1_07 RS2_15 15 1 +RS1_07 RS2_16 8 1 +RS1_07 RS2_17 16 1 +RS1_07 RS2_18 25 1 +RS1_07 RS2_19 24 1 +RS1_07 RS2_1a 9 1 +RS1_07 RS2_1b 12 1 +RS1_07 RS2_1c 8 1 +RS1_07 RS2_1d 5 1 +RS1_07 RS2_1e 14 1 +RS1_07 RS2_1f 32 1 +RS1_08 RS2_00 22 1 +RS1_08 RS2_01 15 1 +RS1_08 RS2_02 28 1 +RS1_08 RS2_03 6 1 +RS1_08 RS2_04 7 1 +RS1_08 RS2_05 23 1 +RS1_08 RS2_06 10 1 +RS1_08 RS2_07 33 1 +RS1_08 RS2_08 6 1 +RS1_08 RS2_09 8 1 +RS1_08 RS2_0a 27 1 +RS1_08 RS2_0b 4 1 +RS1_08 RS2_0c 20 1 +RS1_08 RS2_0d 10 1 +RS1_08 RS2_0e 20 1 +RS1_08 RS2_0f 31 1 +RS1_08 RS2_10 19 1 +RS1_08 RS2_11 18 1 +RS1_08 RS2_12 9 1 +RS1_08 RS2_13 11 1 +RS1_08 RS2_14 23 1 +RS1_08 RS2_15 10 1 +RS1_08 RS2_17 16 1 +RS1_08 RS2_18 7 1 +RS1_08 RS2_19 34 1 +RS1_08 RS2_1a 11 1 +RS1_08 RS2_1b 19 1 +RS1_08 RS2_1c 18 1 +RS1_08 RS2_1d 14 1 +RS1_08 RS2_1e 16 1 +RS1_08 RS2_1f 28 1 +RS1_09 RS2_00 20 1 +RS1_09 RS2_01 14 1 +RS1_09 RS2_02 23 1 +RS1_09 RS2_03 25 1 +RS1_09 RS2_04 18 1 +RS1_09 RS2_05 13 1 +RS1_09 RS2_07 8 1 +RS1_09 RS2_08 16 1 +RS1_09 RS2_09 12 1 +RS1_09 RS2_0a 10 1 +RS1_09 RS2_0b 13 1 +RS1_09 RS2_0c 22 1 +RS1_09 RS2_0d 1 1 +RS1_09 RS2_0e 16 1 +RS1_09 RS2_0f 31 1 +RS1_09 RS2_10 16 1 +RS1_09 RS2_11 16 1 +RS1_09 RS2_12 25 1 +RS1_09 RS2_13 12 1 +RS1_09 RS2_14 26 1 +RS1_09 RS2_15 12 1 +RS1_09 RS2_16 23 1 +RS1_09 RS2_17 8 1 +RS1_09 RS2_18 18 1 +RS1_09 RS2_19 21 1 +RS1_09 RS2_1a 14 1 +RS1_09 RS2_1b 18 1 +RS1_09 RS2_1c 19 1 +RS1_09 RS2_1d 17 1 +RS1_09 RS2_1e 22 1 +RS1_09 RS2_1f 19 1 +RS1_0a RS2_00 4 1 +RS1_0a RS2_01 20 1 +RS1_0a RS2_02 12 1 +RS1_0a RS2_03 39 1 +RS1_0a RS2_04 10 1 +RS1_0a RS2_05 12 1 +RS1_0a RS2_06 8 1 +RS1_0a RS2_07 8 1 +RS1_0a RS2_08 2 1 +RS1_0a RS2_09 18 1 +RS1_0a RS2_0a 5 1 +RS1_0a RS2_0b 19 1 +RS1_0a RS2_0c 4 1 +RS1_0a RS2_0d 13 1 +RS1_0a RS2_0e 15 1 +RS1_0a RS2_0f 16 1 +RS1_0a RS2_10 16 1 +RS1_0a RS2_11 3 1 +RS1_0a RS2_12 12 1 +RS1_0a RS2_13 28 1 +RS1_0a RS2_14 11 1 +RS1_0a RS2_15 23 1 +RS1_0a RS2_16 16 1 +RS1_0a RS2_17 22 1 +RS1_0a RS2_18 29 1 +RS1_0a RS2_19 23 1 +RS1_0a RS2_1a 6 1 +RS1_0a RS2_1b 2 1 +RS1_0a RS2_1c 10 1 +RS1_0a RS2_1d 44 1 +RS1_0a RS2_1e 10 1 +RS1_0a RS2_1f 15 1 +RS1_0b RS2_00 16 1 +RS1_0b RS2_01 18 1 +RS1_0b RS2_02 30 1 +RS1_0b RS2_03 10 1 +RS1_0b RS2_04 33 1 +RS1_0b RS2_05 28 1 +RS1_0b RS2_06 11 1 +RS1_0b RS2_07 8 1 +RS1_0b RS2_08 13 1 +RS1_0b RS2_09 25 1 +RS1_0b RS2_0a 16 1 +RS1_0b RS2_0b 9 1 +RS1_0b RS2_0c 12 1 +RS1_0b RS2_0d 20 1 +RS1_0b RS2_0e 12 1 +RS1_0b RS2_0f 10 1 +RS1_0b RS2_10 20 1 +RS1_0b RS2_11 16 1 +RS1_0b RS2_12 12 1 +RS1_0b RS2_13 11 1 +RS1_0b RS2_14 16 1 +RS1_0b RS2_15 18 1 +RS1_0b RS2_16 23 1 +RS1_0b RS2_17 11 1 +RS1_0b RS2_18 25 1 +RS1_0b RS2_19 26 1 +RS1_0b RS2_1a 32 1 +RS1_0b RS2_1b 22 1 +RS1_0b RS2_1c 24 1 +RS1_0b RS2_1d 9 1 +RS1_0b RS2_1e 12 1 +RS1_0b RS2_1f 31 1 +RS1_0c RS2_00 6 1 +RS1_0c RS2_01 16 1 +RS1_0c RS2_02 25 1 +RS1_0c RS2_03 19 1 +RS1_0c RS2_04 31 1 +RS1_0c RS2_05 25 1 +RS1_0c RS2_06 7 1 +RS1_0c RS2_07 13 1 +RS1_0c RS2_08 17 1 +RS1_0c RS2_09 13 1 +RS1_0c RS2_0a 22 1 +RS1_0c RS2_0b 8 1 +RS1_0c RS2_0c 16 1 +RS1_0c RS2_0e 22 1 +RS1_0c RS2_0f 7 1 +RS1_0c RS2_10 12 1 +RS1_0c RS2_11 18 1 +RS1_0c RS2_12 14 1 +RS1_0c RS2_13 22 1 +RS1_0c RS2_14 17 1 +RS1_0c RS2_15 34 1 +RS1_0c RS2_16 14 1 +RS1_0c RS2_17 20 1 +RS1_0c RS2_18 29 1 +RS1_0c RS2_19 23 1 +RS1_0c RS2_1a 21 1 +RS1_0c RS2_1b 16 1 +RS1_0c RS2_1c 17 1 +RS1_0c RS2_1d 13 1 +RS1_0c RS2_1e 14 1 +RS1_0c RS2_1f 12 1 +RS1_0d RS2_00 23 1 +RS1_0d RS2_01 8 1 +RS1_0d RS2_02 12 1 +RS1_0d RS2_03 14 1 +RS1_0d RS2_04 26 1 +RS1_0d RS2_05 19 1 +RS1_0d RS2_06 13 1 +RS1_0d RS2_07 18 1 +RS1_0d RS2_08 24 1 +RS1_0d RS2_09 10 1 +RS1_0d RS2_0a 22 1 +RS1_0d RS2_0b 12 1 +RS1_0d RS2_0c 35 1 +RS1_0d RS2_0d 8 1 +RS1_0d RS2_0e 8 1 +RS1_0d RS2_0f 26 1 +RS1_0d RS2_10 13 1 +RS1_0d RS2_11 27 1 +RS1_0d RS2_12 16 1 +RS1_0d RS2_13 7 1 +RS1_0d RS2_14 21 1 +RS1_0d RS2_15 22 1 +RS1_0d RS2_16 22 1 +RS1_0d RS2_17 21 1 +RS1_0d RS2_18 8 1 +RS1_0d RS2_19 15 1 +RS1_0d RS2_1a 1 1 +RS1_0d RS2_1b 20 1 +RS1_0d RS2_1c 22 1 +RS1_0d RS2_1d 26 1 +RS1_0d RS2_1e 19 1 +RS1_0d RS2_1f 7 1 +RS1_0e RS2_00 15 1 +RS1_0e RS2_01 11 1 +RS1_0e RS2_02 10 1 +RS1_0e RS2_03 9 1 +RS1_0e RS2_04 13 1 +RS1_0e RS2_05 12 1 +RS1_0e RS2_06 10 1 +RS1_0e RS2_07 8 1 +RS1_0e RS2_08 20 1 +RS1_0e RS2_09 14 1 +RS1_0e RS2_0a 19 1 +RS1_0e RS2_0b 4 1 +RS1_0e RS2_0c 13 1 +RS1_0e RS2_0d 25 1 +RS1_0e RS2_0e 17 1 +RS1_0e RS2_0f 13 1 +RS1_0e RS2_10 28 1 +RS1_0e RS2_11 13 1 +RS1_0e RS2_12 12 1 +RS1_0e RS2_13 31 1 +RS1_0e RS2_14 6 1 +RS1_0e RS2_15 29 1 +RS1_0e RS2_16 8 1 +RS1_0e RS2_17 18 1 +RS1_0e RS2_18 33 1 +RS1_0e RS2_19 2 1 +RS1_0e RS2_1a 13 1 +RS1_0e RS2_1b 11 1 +RS1_0e RS2_1c 23 1 +RS1_0e RS2_1d 10 1 +RS1_0e RS2_1e 4 1 +RS1_0e RS2_1f 17 1 +RS1_0f RS2_00 24 1 +RS1_0f RS2_01 42 1 +RS1_0f RS2_02 19 1 +RS1_0f RS2_03 28 1 +RS1_0f RS2_04 15 1 +RS1_0f RS2_05 9 1 +RS1_0f RS2_06 23 1 +RS1_0f RS2_07 13 1 +RS1_0f RS2_08 15 1 +RS1_0f RS2_09 26 1 +RS1_0f RS2_0a 5 1 +RS1_0f RS2_0b 22 1 +RS1_0f RS2_0c 11 1 +RS1_0f RS2_0d 26 1 +RS1_0f RS2_0e 16 1 +RS1_0f RS2_0f 22 1 +RS1_0f RS2_10 19 1 +RS1_0f RS2_11 28 1 +RS1_0f RS2_12 19 1 +RS1_0f RS2_13 18 1 +RS1_0f RS2_14 1 1 +RS1_0f RS2_15 12 1 +RS1_0f RS2_16 16 1 +RS1_0f RS2_17 43 1 +RS1_0f RS2_18 24 1 +RS1_0f RS2_19 17 1 +RS1_0f RS2_1a 12 1 +RS1_0f RS2_1b 12 1 +RS1_0f RS2_1c 24 1 +RS1_0f RS2_1d 18 1 +RS1_0f RS2_1e 3 1 +RS1_0f RS2_1f 15 1 +RS1_10 RS2_00 17 1 +RS1_10 RS2_01 18 1 +RS1_10 RS2_02 5 1 +RS1_10 RS2_03 3 1 +RS1_10 RS2_04 12 1 +RS1_10 RS2_05 17 1 +RS1_10 RS2_06 14 1 +RS1_10 RS2_07 29 1 +RS1_10 RS2_08 21 1 +RS1_10 RS2_09 19 1 +RS1_10 RS2_0a 46 1 +RS1_10 RS2_0b 30 1 +RS1_10 RS2_0c 9 1 +RS1_10 RS2_0d 28 1 +RS1_10 RS2_0e 17 1 +RS1_10 RS2_0f 12 1 +RS1_10 RS2_10 27 1 +RS1_10 RS2_11 23 1 +RS1_10 RS2_12 14 1 +RS1_10 RS2_13 17 1 +RS1_10 RS2_14 10 1 +RS1_10 RS2_15 13 1 +RS1_10 RS2_16 10 1 +RS1_10 RS2_17 33 1 +RS1_10 RS2_18 15 1 +RS1_10 RS2_19 20 1 +RS1_10 RS2_1b 26 1 +RS1_10 RS2_1c 13 1 +RS1_10 RS2_1d 11 1 +RS1_10 RS2_1e 14 1 +RS1_10 RS2_1f 20 1 +RS1_11 RS2_00 16 1 +RS1_11 RS2_01 14 1 +RS1_11 RS2_02 12 1 +RS1_11 RS2_03 9 1 +RS1_11 RS2_04 10 1 +RS1_11 RS2_05 17 1 +RS1_11 RS2_06 20 1 +RS1_11 RS2_07 14 1 +RS1_11 RS2_08 24 1 +RS1_11 RS2_09 11 1 +RS1_11 RS2_0a 10 1 +RS1_11 RS2_0b 40 1 +RS1_11 RS2_0c 14 1 +RS1_11 RS2_0d 8 1 +RS1_11 RS2_0e 27 1 +RS1_11 RS2_0f 7 1 +RS1_11 RS2_10 18 1 +RS1_11 RS2_11 8 1 +RS1_11 RS2_12 3 1 +RS1_11 RS2_13 10 1 +RS1_11 RS2_14 9 1 +RS1_11 RS2_15 28 1 +RS1_11 RS2_16 22 1 +RS1_11 RS2_17 12 1 +RS1_11 RS2_18 14 1 +RS1_11 RS2_19 33 1 +RS1_11 RS2_1a 29 1 +RS1_11 RS2_1b 23 1 +RS1_11 RS2_1c 18 1 +RS1_11 RS2_1d 7 1 +RS1_11 RS2_1e 24 1 +RS1_11 RS2_1f 9 1 +RS1_12 RS2_00 11 1 +RS1_12 RS2_01 5 1 +RS1_12 RS2_02 32 1 +RS1_12 RS2_03 3 1 +RS1_12 RS2_04 23 1 +RS1_12 RS2_05 21 1 +RS1_12 RS2_06 25 1 +RS1_12 RS2_07 22 1 +RS1_12 RS2_08 36 1 +RS1_12 RS2_09 26 1 +RS1_12 RS2_0a 20 1 +RS1_12 RS2_0b 6 1 +RS1_12 RS2_0c 8 1 +RS1_12 RS2_0d 27 1 +RS1_12 RS2_0e 9 1 +RS1_12 RS2_0f 6 1 +RS1_12 RS2_10 16 1 +RS1_12 RS2_11 18 1 +RS1_12 RS2_12 30 1 +RS1_12 RS2_13 12 1 +RS1_12 RS2_14 9 1 +RS1_12 RS2_15 15 1 +RS1_12 RS2_16 20 1 +RS1_12 RS2_17 18 1 +RS1_12 RS2_18 7 1 +RS1_12 RS2_19 15 1 +RS1_12 RS2_1a 3 1 +RS1_12 RS2_1b 21 1 +RS1_12 RS2_1c 12 1 +RS1_12 RS2_1d 21 1 +RS1_12 RS2_1e 14 1 +RS1_12 RS2_1f 33 1 +RS1_13 RS2_00 25 1 +RS1_13 RS2_01 18 1 +RS1_13 RS2_02 23 1 +RS1_13 RS2_03 21 1 +RS1_13 RS2_04 6 1 +RS1_13 RS2_05 21 1 +RS1_13 RS2_06 2 1 +RS1_13 RS2_07 14 1 +RS1_13 RS2_08 10 1 +RS1_13 RS2_09 12 1 +RS1_13 RS2_0a 19 1 +RS1_13 RS2_0b 16 1 +RS1_13 RS2_0c 17 1 +RS1_13 RS2_0d 17 1 +RS1_13 RS2_0e 23 1 +RS1_13 RS2_0f 9 1 +RS1_13 RS2_10 38 1 +RS1_13 RS2_11 17 1 +RS1_13 RS2_12 26 1 +RS1_13 RS2_13 4 1 +RS1_13 RS2_14 12 1 +RS1_13 RS2_15 19 1 +RS1_13 RS2_16 14 1 +RS1_13 RS2_17 5 1 +RS1_13 RS2_18 34 1 +RS1_13 RS2_19 6 1 +RS1_13 RS2_1a 20 1 +RS1_13 RS2_1b 19 1 +RS1_13 RS2_1c 5 1 +RS1_13 RS2_1d 6 1 +RS1_13 RS2_1e 13 1 +RS1_13 RS2_1f 28 1 +RS1_14 RS2_00 19 1 +RS1_14 RS2_01 14 1 +RS1_14 RS2_02 18 1 +RS1_14 RS2_03 17 1 +RS1_14 RS2_04 5 1 +RS1_14 RS2_05 16 1 +RS1_14 RS2_06 35 1 +RS1_14 RS2_07 15 1 +RS1_14 RS2_08 23 1 +RS1_14 RS2_09 11 1 +RS1_14 RS2_0a 41 1 +RS1_14 RS2_0b 19 1 +RS1_14 RS2_0c 15 1 +RS1_14 RS2_0d 8 1 +RS1_14 RS2_0e 3 1 +RS1_14 RS2_0f 11 1 +RS1_14 RS2_10 33 1 +RS1_14 RS2_11 13 1 +RS1_14 RS2_12 16 1 +RS1_14 RS2_13 10 1 +RS1_14 RS2_14 24 1 +RS1_14 RS2_15 6 1 +RS1_14 RS2_16 10 1 +RS1_14 RS2_17 29 1 +RS1_14 RS2_18 1 1 +RS1_14 RS2_19 19 1 +RS1_14 RS2_1a 4 1 +RS1_14 RS2_1b 17 1 +RS1_14 RS2_1c 8 1 +RS1_14 RS2_1d 32 1 +RS1_14 RS2_1e 4 1 +RS1_14 RS2_1f 15 1 +RS1_15 RS2_00 10 1 +RS1_15 RS2_01 7 1 +RS1_15 RS2_02 8 1 +RS1_15 RS2_03 16 1 +RS1_15 RS2_04 51 1 +RS1_15 RS2_05 29 1 +RS1_15 RS2_06 21 1 +RS1_15 RS2_07 33 1 +RS1_15 RS2_08 22 1 +RS1_15 RS2_09 18 1 +RS1_15 RS2_0a 25 1 +RS1_15 RS2_0b 21 1 +RS1_15 RS2_0c 30 1 +RS1_15 RS2_0d 8 1 +RS1_15 RS2_0e 25 1 +RS1_15 RS2_0f 24 1 +RS1_15 RS2_10 21 1 +RS1_15 RS2_11 25 1 +RS1_15 RS2_12 5 1 +RS1_15 RS2_13 13 1 +RS1_15 RS2_14 11 1 +RS1_15 RS2_15 12 1 +RS1_15 RS2_16 20 1 +RS1_15 RS2_17 4 1 +RS1_15 RS2_18 13 1 +RS1_15 RS2_19 5 1 +RS1_15 RS2_1a 7 1 +RS1_15 RS2_1b 14 1 +RS1_15 RS2_1c 23 1 +RS1_15 RS2_1d 34 1 +RS1_15 RS2_1e 9 1 +RS1_15 RS2_1f 27 1 +RS1_16 RS2_00 8 1 +RS1_16 RS2_01 25 1 +RS1_16 RS2_02 23 1 +RS1_16 RS2_03 19 1 +RS1_16 RS2_04 19 1 +RS1_16 RS2_05 26 1 +RS1_16 RS2_06 23 1 +RS1_16 RS2_07 16 1 +RS1_16 RS2_08 30 1 +RS1_16 RS2_09 27 1 +RS1_16 RS2_0a 25 1 +RS1_16 RS2_0b 10 1 +RS1_16 RS2_0c 3 1 +RS1_16 RS2_0d 18 1 +RS1_16 RS2_0e 3 1 +RS1_16 RS2_0f 16 1 +RS1_16 RS2_10 18 1 +RS1_16 RS2_11 35 1 +RS1_16 RS2_12 10 1 +RS1_16 RS2_13 18 1 +RS1_16 RS2_14 11 1 +RS1_16 RS2_15 20 1 +RS1_16 RS2_16 25 1 +RS1_16 RS2_17 26 1 +RS1_16 RS2_18 20 1 +RS1_16 RS2_19 35 1 +RS1_16 RS2_1a 8 1 +RS1_16 RS2_1b 20 1 +RS1_16 RS2_1c 36 1 +RS1_16 RS2_1d 11 1 +RS1_16 RS2_1e 31 1 +RS1_16 RS2_1f 18 1 +RS1_17 RS2_00 9 1 +RS1_17 RS2_01 33 1 +RS1_17 RS2_02 20 1 +RS1_17 RS2_03 24 1 +RS1_17 RS2_04 27 1 +RS1_17 RS2_05 17 1 +RS1_17 RS2_06 15 1 +RS1_17 RS2_07 11 1 +RS1_17 RS2_08 31 1 +RS1_17 RS2_09 20 1 +RS1_17 RS2_0a 14 1 +RS1_17 RS2_0b 29 1 +RS1_17 RS2_0c 2 1 +RS1_17 RS2_0d 11 1 +RS1_17 RS2_0e 24 1 +RS1_17 RS2_0f 4 1 +RS1_17 RS2_10 38 1 +RS1_17 RS2_11 20 1 +RS1_17 RS2_12 16 1 +RS1_17 RS2_13 17 1 +RS1_17 RS2_14 7 1 +RS1_17 RS2_15 16 1 +RS1_17 RS2_16 1 1 +RS1_17 RS2_17 12 1 +RS1_17 RS2_18 32 1 +RS1_17 RS2_19 11 1 +RS1_17 RS2_1a 15 1 +RS1_17 RS2_1b 22 1 +RS1_17 RS2_1c 6 1 +RS1_17 RS2_1d 26 1 +RS1_17 RS2_1e 34 1 +RS1_17 RS2_1f 7 1 +RS1_18 RS2_00 8 1 +RS1_18 RS2_01 8 1 +RS1_18 RS2_02 13 1 +RS1_18 RS2_03 1 1 +RS1_18 RS2_04 11 1 +RS1_18 RS2_05 9 1 +RS1_18 RS2_06 20 1 +RS1_18 RS2_07 19 1 +RS1_18 RS2_08 6 1 +RS1_18 RS2_09 7 1 +RS1_18 RS2_0a 11 1 +RS1_18 RS2_0b 11 1 +RS1_18 RS2_0c 18 1 +RS1_18 RS2_0d 17 1 +RS1_18 RS2_0e 17 1 +RS1_18 RS2_0f 15 1 +RS1_18 RS2_10 35 1 +RS1_18 RS2_11 15 1 +RS1_18 RS2_12 18 1 +RS1_18 RS2_13 35 1 +RS1_18 RS2_14 30 1 +RS1_18 RS2_15 8 1 +RS1_18 RS2_16 13 1 +RS1_18 RS2_17 24 1 +RS1_18 RS2_18 13 1 +RS1_18 RS2_19 17 1 +RS1_18 RS2_1a 32 1 +RS1_18 RS2_1b 24 1 +RS1_18 RS2_1c 25 1 +RS1_18 RS2_1d 7 1 +RS1_18 RS2_1e 20 1 +RS1_18 RS2_1f 20 1 +RS1_19 RS2_00 21 1 +RS1_19 RS2_01 8 1 +RS1_19 RS2_02 20 1 +RS1_19 RS2_03 13 1 +RS1_19 RS2_04 13 1 +RS1_19 RS2_05 3 1 +RS1_19 RS2_06 23 1 +RS1_19 RS2_07 25 1 +RS1_19 RS2_08 12 1 +RS1_19 RS2_09 10 1 +RS1_19 RS2_0a 9 1 +RS1_19 RS2_0b 26 1 +RS1_19 RS2_0c 12 1 +RS1_19 RS2_0d 21 1 +RS1_19 RS2_0e 16 1 +RS1_19 RS2_0f 8 1 +RS1_19 RS2_10 13 1 +RS1_19 RS2_11 16 1 +RS1_19 RS2_12 4 1 +RS1_19 RS2_13 24 1 +RS1_19 RS2_14 21 1 +RS1_19 RS2_15 16 1 +RS1_19 RS2_16 23 1 +RS1_19 RS2_17 32 1 +RS1_19 RS2_18 15 1 +RS1_19 RS2_19 9 1 +RS1_19 RS2_1a 5 1 +RS1_19 RS2_1b 11 1 +RS1_19 RS2_1c 8 1 +RS1_19 RS2_1d 8 1 +RS1_19 RS2_1e 10 1 +RS1_19 RS2_1f 9 1 +RS1_1a RS2_00 16 1 +RS1_1a RS2_01 14 1 +RS1_1a RS2_02 17 1 +RS1_1a RS2_03 18 1 +RS1_1a RS2_04 4 1 +RS1_1a RS2_05 9 1 +RS1_1a RS2_06 14 1 +RS1_1a RS2_07 2 1 +RS1_1a RS2_08 13 1 +RS1_1a RS2_09 8 1 +RS1_1a RS2_0a 28 1 +RS1_1a RS2_0b 16 1 +RS1_1a RS2_0c 16 1 +RS1_1a RS2_0d 23 1 +RS1_1a RS2_0e 18 1 +RS1_1a RS2_0f 22 1 +RS1_1a RS2_10 21 1 +RS1_1a RS2_11 21 1 +RS1_1a RS2_12 10 1 +RS1_1a RS2_13 15 1 +RS1_1a RS2_14 14 1 +RS1_1a RS2_15 27 1 +RS1_1a RS2_16 15 1 +RS1_1a RS2_17 10 1 +RS1_1a RS2_18 26 1 +RS1_1a RS2_19 24 1 +RS1_1a RS2_1a 18 1 +RS1_1a RS2_1b 10 1 +RS1_1a RS2_1c 24 1 +RS1_1a RS2_1d 5 1 +RS1_1a RS2_1e 26 1 +RS1_1a RS2_1f 11 1 +RS1_1b RS2_00 12 1 +RS1_1b RS2_01 24 1 +RS1_1b RS2_02 2 1 +RS1_1b RS2_03 13 1 +RS1_1b RS2_04 29 1 +RS1_1b RS2_05 30 1 +RS1_1b RS2_06 25 1 +RS1_1b RS2_07 18 1 +RS1_1b RS2_08 10 1 +RS1_1b RS2_09 25 1 +RS1_1b RS2_0a 26 1 +RS1_1b RS2_0b 18 1 +RS1_1b RS2_0c 19 1 +RS1_1b RS2_0d 15 1 +RS1_1b RS2_0e 14 1 +RS1_1b RS2_0f 8 1 +RS1_1b RS2_10 9 1 +RS1_1b RS2_11 17 1 +RS1_1b RS2_12 21 1 +RS1_1b RS2_13 30 1 +RS1_1b RS2_14 9 1 +RS1_1b RS2_15 33 1 +RS1_1b RS2_16 20 1 +RS1_1b RS2_17 19 1 +RS1_1b RS2_18 14 1 +RS1_1b RS2_19 12 1 +RS1_1b RS2_1a 16 1 +RS1_1b RS2_1b 9 1 +RS1_1b RS2_1c 20 1 +RS1_1b RS2_1d 10 1 +RS1_1b RS2_1e 20 1 +RS1_1b RS2_1f 22 1 +RS1_1c RS2_00 17 1 +RS1_1c RS2_01 12 1 +RS1_1c RS2_02 16 1 +RS1_1c RS2_03 22 1 +RS1_1c RS2_04 16 1 +RS1_1c RS2_05 8 1 +RS1_1c RS2_06 14 1 +RS1_1c RS2_07 27 1 +RS1_1c RS2_08 14 1 +RS1_1c RS2_09 6 1 +RS1_1c RS2_0a 3 1 +RS1_1c RS2_0b 6 1 +RS1_1c RS2_0c 16 1 +RS1_1c RS2_0d 22 1 +RS1_1c RS2_0e 6 1 +RS1_1c RS2_0f 7 1 +RS1_1c RS2_10 25 1 +RS1_1c RS2_11 11 1 +RS1_1c RS2_12 10 1 +RS1_1c RS2_13 19 1 +RS1_1c RS2_14 6 1 +RS1_1c RS2_15 26 1 +RS1_1c RS2_16 25 1 +RS1_1c RS2_17 17 1 +RS1_1c RS2_18 33 1 +RS1_1c RS2_19 16 1 +RS1_1c RS2_1a 14 1 +RS1_1c RS2_1b 26 1 +RS1_1c RS2_1c 19 1 +RS1_1c RS2_1d 23 1 +RS1_1c RS2_1e 20 1 +RS1_1c RS2_1f 13 1 +RS1_1d RS2_00 4 1 +RS1_1d RS2_01 8 1 +RS1_1d RS2_02 21 1 +RS1_1d RS2_03 27 1 +RS1_1d RS2_04 20 1 +RS1_1d RS2_05 14 1 +RS1_1d RS2_06 34 1 +RS1_1d RS2_07 13 1 +RS1_1d RS2_08 19 1 +RS1_1d RS2_09 24 1 +RS1_1d RS2_0a 13 1 +RS1_1d RS2_0b 12 1 +RS1_1d RS2_0c 17 1 +RS1_1d RS2_0d 21 1 +RS1_1d RS2_0e 16 1 +RS1_1d RS2_0f 40 1 +RS1_1d RS2_10 13 1 +RS1_1d RS2_11 5 1 +RS1_1d RS2_12 21 1 +RS1_1d RS2_13 3 1 +RS1_1d RS2_14 23 1 +RS1_1d RS2_15 5 1 +RS1_1d RS2_16 20 1 +RS1_1d RS2_17 30 1 +RS1_1d RS2_18 14 1 +RS1_1d RS2_19 11 1 +RS1_1d RS2_1a 5 1 +RS1_1d RS2_1b 14 1 +RS1_1d RS2_1c 33 1 +RS1_1d RS2_1d 23 1 +RS1_1d RS2_1e 32 1 +RS1_1d RS2_1f 32 1 +RS1_1e RS2_00 30 1 +RS1_1e RS2_01 10 1 +RS1_1e RS2_02 14 1 +RS1_1e RS2_03 17 1 +RS1_1e RS2_04 24 1 +RS1_1e RS2_05 7 1 +RS1_1e RS2_06 27 1 +RS1_1e RS2_07 16 1 +RS1_1e RS2_08 14 1 +RS1_1e RS2_09 11 1 +RS1_1e RS2_0a 17 1 +RS1_1e RS2_0b 14 1 +RS1_1e RS2_0c 5 1 +RS1_1e RS2_0d 21 1 +RS1_1e RS2_0e 11 1 +RS1_1e RS2_0f 17 1 +RS1_1e RS2_10 17 1 +RS1_1e RS2_11 19 1 +RS1_1e RS2_12 19 1 +RS1_1e RS2_13 24 1 +RS1_1e RS2_14 9 1 +RS1_1e RS2_15 24 1 +RS1_1e RS2_16 27 1 +RS1_1e RS2_17 10 1 +RS1_1e RS2_18 12 1 +RS1_1e RS2_19 13 1 +RS1_1e RS2_1a 10 1 +RS1_1e RS2_1b 17 1 +RS1_1e RS2_1c 22 1 +RS1_1e RS2_1d 19 1 +RS1_1e RS2_1e 10 1 +RS1_1e RS2_1f 10 1 +RS1_1f RS2_00 4 1 +RS1_1f RS2_01 26 1 +RS1_1f RS2_02 6 1 +RS1_1f RS2_03 25 1 +RS1_1f RS2_04 9 1 +RS1_1f RS2_05 14 1 +RS1_1f RS2_06 26 1 +RS1_1f RS2_07 23 1 +RS1_1f RS2_08 13 1 +RS1_1f RS2_09 20 1 +RS1_1f RS2_0a 5 1 +RS1_1f RS2_0b 12 1 +RS1_1f RS2_0c 8 1 +RS1_1f RS2_0d 11 1 +RS1_1f RS2_0e 26 1 +RS1_1f RS2_0f 13 1 +RS1_1f RS2_10 5 1 +RS1_1f RS2_11 11 1 +RS1_1f RS2_12 9 1 +RS1_1f RS2_13 17 1 +RS1_1f RS2_14 24 1 +RS1_1f RS2_15 10 1 +RS1_1f RS2_16 25 1 +RS1_1f RS2_17 19 1 +RS1_1f RS2_18 1 1 +RS1_1f RS2_19 29 1 +RS1_1f RS2_1a 18 1 +RS1_1f RS2_1b 9 1 +RS1_1f RS2_1c 23 1 +RS1_1f RS2_1d 13 1 +RS1_1f RS2_1e 9 1 +RS1_1f RS2_1f 25 1 + + +Group : uvma_cvxif_pkg::cg_response + +=============================================================================== +Group : uvma_cvxif_pkg::cg_response +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_cvxif_pkg.response_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_cvxif_pkg::cg_response + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_cvxif_pkg::cg_response + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_issue_accept 2 0 2 100.00 100 1 1 0 +cp_writeback 2 0 2 100.00 100 1 1 0 +cp_register_read 4 0 4 100.00 100 1 1 0 +cp_compressed_accept 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_cvxif_pkg.response_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_cvxif_pkg::cg_response + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_cvxif_pkg.response_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_cvxif_pkg.response_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_issue_accept 2 0 2 100.00 100 1 1 0 +cp_writeback 2 0 2 100.00 100 1 1 0 +cp_register_read 4 0 4 100.00 100 1 1 0 +cp_compressed_accept 2 0 2 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_cvxif_pkg.response_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_resp 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_issue_accept + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_issue_accept + + +Bins + +NAME COUNT AT LEAST +ISSUE_ACCEPT_0 96430 1 +ISSUE_ACCEPT_1 2318 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_writeback + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_writeback + + +Bins + +NAME COUNT AT LEAST +WRITEBACK_0 96852 1 +WRITEBACK_1 1896 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_register_read + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_register_read + + +Bins + +NAME COUNT AT LEAST +REGISTER_READ_0 96852 1 +REGISTER_READ_1 189 1 +REGISTER_READ_2 171 1 +REGISTER_READ_3 1536 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_compressed_accept + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_compressed_accept + + +Bins + +NAME COUNT AT LEAST +COMPRESSED_ACCEPT_0 96430 1 +COMPRESSED_ACCEPT_1 2318 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_resp + + +Samples crossed: cp_issue_accept cp_writeback cp_register_read +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 4 0 4 100.00 +Automatically Generated Cross Bins 4 0 4 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_resp + + +Bins + +cp_issue_accept cp_writeback cp_register_read COUNT AT LEAST +ISSUE_ACCEPT_1 WRITEBACK_0 REGISTER_READ_0 422 1 +ISSUE_ACCEPT_1 WRITEBACK_1 REGISTER_READ_1 189 1 +ISSUE_ACCEPT_1 WRITEBACK_1 REGISTER_READ_2 171 1 +ISSUE_ACCEPT_1 WRITEBACK_1 REGISTER_READ_3 1536 1 + + +User Defined Cross Bins for cross_resp + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_ACCEPT0 0 Excluded +IGN_WRITEBACK1 0 Excluded +IGN_WRITEBACK0 0 Excluded + + +Group : uvma_interrupt_pkg::cg_interrupt + +=============================================================================== +Group : uvma_interrupt_pkg::cg_interrupt +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/uvma_interrupt/cov/uvma_interrupt_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_interrupt_pkg.interrupt_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_interrupt_pkg::cg_interrupt + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvma_interrupt_pkg::cg_interrupt + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt_req 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_interrupt_pkg.interrupt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_interrupt_pkg::cg_interrupt + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_interrupt_pkg.interrupt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance uvma_interrupt_pkg.interrupt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt_req 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_interrupt_req + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_interrupt_req + + +Bins + +NAME COUNT AT LEAST +INTERRUPTS_0000 43638 1 +INTERRUPTS_0001 82882 1 +INTERRUPTS_0002 130185 1 +INTERRUPTS_0003 169380 1 + + +Group : uvma_isacov_pkg::cg_zb_rstype_zexth + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype_zexth +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_zext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype_zexth + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype_zexth + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_zext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_zexth + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2191 1 +auto[1] 503 1 +auto[2] 530 1 +auto[3] 506 1 +auto[4] 484 1 +auto[5] 468 1 +auto[6] 486 1 +auto[7] 529 1 +auto[8] 509 1 +auto[9] 520 1 +auto[10] 538 1 +auto[11] 462 1 +auto[12] 465 1 +auto[13] 485 1 +auto[14] 495 1 +auto[15] 512 1 +auto[16] 482 1 +auto[17] 501 1 +auto[18] 488 1 +auto[19] 538 1 +auto[20] 489 1 +auto[21] 495 1 +auto[22] 498 1 +auto[23] 531 1 +auto[24] 527 1 +auto[25] 486 1 +auto[26] 460 1 +auto[27] 525 1 +auto[28] 520 1 +auto[29] 479 1 +auto[30] 530 1 +auto[31] 515 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2215 1 +auto[1] 516 1 +auto[2] 451 1 +auto[3] 565 1 +auto[4] 465 1 +auto[5] 506 1 +auto[6] 579 1 +auto[7] 467 1 +auto[8] 445 1 +auto[9] 490 1 +auto[10] 496 1 +auto[11] 486 1 +auto[12] 479 1 +auto[13] 488 1 +auto[14] 503 1 +auto[15] 541 1 +auto[16] 521 1 +auto[17] 490 1 +auto[18] 495 1 +auto[19] 527 1 +auto[20] 496 1 +auto[21] 492 1 +auto[22] 543 1 +auto[23] 511 1 +auto[24] 525 1 +auto[25] 478 1 +auto[26] 520 1 +auto[27] 518 1 +auto[28] 469 1 +auto[29] 498 1 +auto[30] 479 1 +auto[31] 493 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1692 1 +RD_01 16 1 +RD_02 13 1 +RD_03 20 1 +RD_04 10 1 +RD_05 10 1 +RD_06 21 1 +RD_07 15 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 7 1 +RD_11 14 1 +RD_12 20 1 +RD_13 22 1 +RD_14 16 1 +RD_15 12 1 +RD_16 18 1 +RD_17 19 1 +RD_18 21 1 +RD_19 14 1 +RD_1a 12 1 +RD_1b 15 1 +RD_1c 15 1 +RD_1d 8 1 +RD_1e 18 1 +RD_1f 18 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6906 1 +auto_NON_ZERO 10841 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7567 1 +auto_NON_ZERO 10180 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4980 1 +BIT30_1 3130 1 +BIT29_1 3146 1 +BIT28_1 3142 1 +BIT27_1 3053 1 +BIT26_1 3034 1 +BIT25_1 2979 1 +BIT24_1 3031 1 +BIT23_1 3002 1 +BIT22_1 2997 1 +BIT21_1 2976 1 +BIT20_1 2994 1 +BIT19_1 3017 1 +BIT18_1 3013 1 +BIT17_1 3024 1 +BIT16_1 3189 1 +BIT15_1 3947 1 +BIT14_1 3952 1 +BIT13_1 4120 1 +BIT12_1 3952 1 +BIT11_1 4459 1 +BIT10_1 4448 1 +BIT9_1 3974 1 +BIT8_1 3441 1 +BIT7_1 4200 1 +BIT6_1 3768 1 +BIT5_1 3944 1 +BIT4_1 5070 1 +BIT3_1 5201 1 +BIT2_1 5099 1 +BIT1_1 4025 1 +BIT0_1 4471 1 +BIT31_0 12767 1 +BIT30_0 14617 1 +BIT29_0 14601 1 +BIT28_0 14605 1 +BIT27_0 14694 1 +BIT26_0 14713 1 +BIT25_0 14768 1 +BIT24_0 14716 1 +BIT23_0 14745 1 +BIT22_0 14750 1 +BIT21_0 14771 1 +BIT20_0 14753 1 +BIT19_0 14730 1 +BIT18_0 14734 1 +BIT17_0 14723 1 +BIT16_0 14558 1 +BIT15_0 13800 1 +BIT14_0 13795 1 +BIT13_0 13627 1 +BIT12_0 13795 1 +BIT11_0 13288 1 +BIT10_0 13299 1 +BIT9_0 13773 1 +BIT8_0 14306 1 +BIT7_0 13547 1 +BIT6_0 13979 1 +BIT5_0 13803 1 +BIT4_0 12677 1 +BIT3_0 12546 1 +BIT2_0 12648 1 +BIT1_0 13722 1 +BIT0_0 13276 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 3947 1 +BIT14_1 3952 1 +BIT13_1 4120 1 +BIT12_1 3952 1 +BIT11_1 4459 1 +BIT10_1 4448 1 +BIT9_1 3974 1 +BIT8_1 3441 1 +BIT7_1 4200 1 +BIT6_1 3768 1 +BIT5_1 3944 1 +BIT4_1 5070 1 +BIT3_1 5201 1 +BIT2_1 5099 1 +BIT1_1 4025 1 +BIT0_1 4471 1 +BIT15_0 13800 1 +BIT14_0 13795 1 +BIT13_0 13627 1 +BIT12_0 13795 1 +BIT11_0 13288 1 +BIT10_0 13299 1 +BIT9_0 13773 1 +BIT8_0 14306 1 +BIT7_0 13547 1 +BIT6_0 13979 1 +BIT5_0 13803 1 +BIT4_0 12677 1 +BIT3_0 12546 1 +BIT2_0 12648 1 +BIT1_0 13722 1 +BIT0_0 13276 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_rtype(withChksum=777630929) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype(withChksum=777630929) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mulhsu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 360 0 360 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mulhsu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 360 0 360 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 656 1 +auto[1] 649 1 +auto[2] 609 1 +auto[3] 578 1 +auto[4] 683 1 +auto[5] 608 1 +auto[6] 601 1 +auto[7] 624 1 +auto[8] 597 1 +auto[9] 604 1 +auto[10] 642 1 +auto[11] 649 1 +auto[12] 652 1 +auto[13] 649 1 +auto[14] 602 1 +auto[15] 634 1 +auto[16] 673 1 +auto[17] 646 1 +auto[18] 660 1 +auto[19] 621 1 +auto[20] 698 1 +auto[21] 668 1 +auto[22] 625 1 +auto[23] 615 1 +auto[24] 657 1 +auto[25] 697 1 +auto[26] 649 1 +auto[27] 701 1 +auto[28] 642 1 +auto[29] 603 1 +auto[30] 701 1 +auto[31] 738 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 613 1 +auto[1] 651 1 +auto[2] 605 1 +auto[3] 620 1 +auto[4] 625 1 +auto[5] 665 1 +auto[6] 691 1 +auto[7] 627 1 +auto[8] 685 1 +auto[9] 615 1 +auto[10] 639 1 +auto[11] 644 1 +auto[12] 662 1 +auto[13] 708 1 +auto[14] 656 1 +auto[15] 602 1 +auto[16] 598 1 +auto[17] 640 1 +auto[18] 620 1 +auto[19] 654 1 +auto[20] 635 1 +auto[21] 659 1 +auto[22] 622 1 +auto[23] 685 1 +auto[24] 703 1 +auto[25] 620 1 +auto[26] 672 1 +auto[27] 601 1 +auto[28] 662 1 +auto[29] 632 1 +auto[30] 705 1 +auto[31] 615 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 703 1 +auto[1] 670 1 +auto[2] 584 1 +auto[3] 685 1 +auto[4] 769 1 +auto[5] 579 1 +auto[6] 777 1 +auto[7] 677 1 +auto[8] 614 1 +auto[9] 632 1 +auto[10] 645 1 +auto[11] 610 1 +auto[12] 593 1 +auto[13] 622 1 +auto[14] 649 1 +auto[15] 630 1 +auto[16] 597 1 +auto[17] 638 1 +auto[18] 620 1 +auto[19] 601 1 +auto[20] 566 1 +auto[21] 705 1 +auto[22] 647 1 +auto[23] 644 1 +auto[24] 635 1 +auto[25] 637 1 +auto[26] 647 1 +auto[27] 715 1 +auto[28] 662 1 +auto[29] 588 1 +auto[30] 650 1 +auto[31] 640 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 69 1 +RD_02 66 1 +RD_03 55 1 +RD_04 74 1 +RD_05 64 1 +RD_06 61 1 +RD_07 70 1 +RD_08 60 1 +RD_09 55 1 +RD_0a 71 1 +RD_0b 74 1 +RD_0c 63 1 +RD_0d 65 1 +RD_0e 63 1 +RD_0f 64 1 +RD_10 63 1 +RD_11 70 1 +RD_12 74 1 +RD_13 56 1 +RD_14 50 1 +RD_15 72 1 +RD_16 62 1 +RD_17 56 1 +RD_18 76 1 +RD_19 62 1 +RD_1a 66 1 +RD_1b 68 1 +RD_1c 83 1 +RD_1d 57 1 +RD_1e 65 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 62 1 +RD_01 71 1 +RD_02 71 1 +RD_03 63 1 +RD_04 67 1 +RD_05 75 1 +RD_06 55 1 +RD_07 66 1 +RD_08 58 1 +RD_09 60 1 +RD_0a 70 1 +RD_0b 73 1 +RD_0c 71 1 +RD_0d 73 1 +RD_0e 60 1 +RD_0f 67 1 +RD_10 64 1 +RD_11 57 1 +RD_12 78 1 +RD_13 59 1 +RD_14 45 1 +RD_15 66 1 +RD_16 62 1 +RD_17 63 1 +RD_18 69 1 +RD_19 52 1 +RD_1a 72 1 +RD_1b 83 1 +RD_1c 83 1 +RD_1d 73 1 +RD_1e 70 1 +RD_1f 78 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7117 1 +auto_POSITIVE 7114 1 +auto_NEGATIVE 6400 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7113 1 +auto_NON_ZERO 13518 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 13363 1 +auto_POSITIVE 2760 1 +auto_NEGATIVE 4508 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6400 1 +BIT30_1 4178 1 +BIT29_1 4153 1 +BIT28_1 4109 1 +BIT27_1 3967 1 +BIT26_1 3970 1 +BIT25_1 3935 1 +BIT24_1 3994 1 +BIT23_1 3886 1 +BIT22_1 3885 1 +BIT21_1 4049 1 +BIT20_1 3914 1 +BIT19_1 3912 1 +BIT18_1 3959 1 +BIT17_1 3901 1 +BIT16_1 4185 1 +BIT15_1 5271 1 +BIT14_1 4908 1 +BIT13_1 5348 1 +BIT12_1 5071 1 +BIT11_1 5664 1 +BIT10_1 5762 1 +BIT9_1 4946 1 +BIT8_1 4343 1 +BIT7_1 5222 1 +BIT6_1 4681 1 +BIT5_1 4835 1 +BIT4_1 6390 1 +BIT3_1 6329 1 +BIT2_1 6399 1 +BIT1_1 4973 1 +BIT0_1 5781 1 +BIT31_0 14231 1 +BIT30_0 16453 1 +BIT29_0 16478 1 +BIT28_0 16522 1 +BIT27_0 16664 1 +BIT26_0 16661 1 +BIT25_0 16696 1 +BIT24_0 16637 1 +BIT23_0 16745 1 +BIT22_0 16746 1 +BIT21_0 16582 1 +BIT20_0 16717 1 +BIT19_0 16719 1 +BIT18_0 16672 1 +BIT17_0 16730 1 +BIT16_0 16446 1 +BIT15_0 15360 1 +BIT14_0 15723 1 +BIT13_0 15283 1 +BIT12_0 15560 1 +BIT11_0 14967 1 +BIT10_0 14869 1 +BIT9_0 15685 1 +BIT8_0 16288 1 +BIT7_0 15409 1 +BIT6_0 15950 1 +BIT5_0 15796 1 +BIT4_0 14241 1 +BIT3_0 14302 1 +BIT2_0 14232 1 +BIT1_0 15658 1 +BIT0_0 14850 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6426 1 +BIT30_1 4143 1 +BIT29_1 4083 1 +BIT28_1 4102 1 +BIT27_1 4044 1 +BIT26_1 3979 1 +BIT25_1 3907 1 +BIT24_1 3984 1 +BIT23_1 3979 1 +BIT22_1 3953 1 +BIT21_1 3886 1 +BIT20_1 3900 1 +BIT19_1 3957 1 +BIT18_1 3938 1 +BIT17_1 3944 1 +BIT16_1 4224 1 +BIT15_1 5119 1 +BIT14_1 5116 1 +BIT13_1 5294 1 +BIT12_1 5054 1 +BIT11_1 5618 1 +BIT10_1 5703 1 +BIT9_1 4947 1 +BIT8_1 4409 1 +BIT7_1 5403 1 +BIT6_1 4818 1 +BIT5_1 4834 1 +BIT4_1 6162 1 +BIT3_1 6237 1 +BIT2_1 6338 1 +BIT1_1 4977 1 +BIT0_1 5800 1 +BIT31_0 14205 1 +BIT30_0 16488 1 +BIT29_0 16548 1 +BIT28_0 16529 1 +BIT27_0 16587 1 +BIT26_0 16652 1 +BIT25_0 16724 1 +BIT24_0 16647 1 +BIT23_0 16652 1 +BIT22_0 16678 1 +BIT21_0 16745 1 +BIT20_0 16731 1 +BIT19_0 16674 1 +BIT18_0 16693 1 +BIT17_0 16687 1 +BIT16_0 16407 1 +BIT15_0 15512 1 +BIT14_0 15515 1 +BIT13_0 15337 1 +BIT12_0 15577 1 +BIT11_0 15013 1 +BIT10_0 14928 1 +BIT9_0 15684 1 +BIT8_0 16222 1 +BIT7_0 15228 1 +BIT6_0 15813 1 +BIT5_0 15797 1 +BIT4_0 14469 1 +BIT3_0 14394 1 +BIT2_0 14293 1 +BIT1_0 15654 1 +BIT0_0 14831 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4508 1 +BIT30_1 4009 1 +BIT29_1 3790 1 +BIT28_1 3797 1 +BIT27_1 3747 1 +BIT26_1 3769 1 +BIT25_1 3817 1 +BIT24_1 3775 1 +BIT23_1 3757 1 +BIT22_1 3795 1 +BIT21_1 3840 1 +BIT20_1 3865 1 +BIT19_1 3804 1 +BIT18_1 3869 1 +BIT17_1 3763 1 +BIT16_1 3819 1 +BIT15_1 3957 1 +BIT14_1 3965 1 +BIT13_1 3981 1 +BIT12_1 3995 1 +BIT11_1 4015 1 +BIT10_1 4028 1 +BIT9_1 4011 1 +BIT8_1 3953 1 +BIT7_1 3965 1 +BIT6_1 4050 1 +BIT5_1 3970 1 +BIT4_1 4133 1 +BIT3_1 4130 1 +BIT2_1 4154 1 +BIT1_1 4111 1 +BIT0_1 4052 1 +BIT31_0 16123 1 +BIT30_0 16622 1 +BIT29_0 16841 1 +BIT28_0 16834 1 +BIT27_0 16884 1 +BIT26_0 16862 1 +BIT25_0 16814 1 +BIT24_0 16856 1 +BIT23_0 16874 1 +BIT22_0 16836 1 +BIT21_0 16791 1 +BIT20_0 16766 1 +BIT19_0 16827 1 +BIT18_0 16762 1 +BIT17_0 16868 1 +BIT16_0 16812 1 +BIT15_0 16674 1 +BIT14_0 16666 1 +BIT13_0 16650 1 +BIT12_0 16636 1 +BIT11_0 16616 1 +BIT10_0 16603 1 +BIT9_0 16620 1 +BIT8_0 16678 1 +BIT7_0 16666 1 +BIT6_0 16581 1 +BIT5_0 16661 1 +BIT4_0 16498 1 +BIT3_0 16501 1 +BIT2_0 16477 1 +BIT1_0 16520 1 +BIT0_0 16579 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (2 bins) +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3303 1 +auto_ZERO auto_NON_ZERO 3814 1 +auto_POSITIVE auto_ZERO 1918 1 +auto_POSITIVE auto_NON_ZERO 5196 1 +auto_NEGATIVE auto_ZERO 1892 1 +auto_NEGATIVE auto_NON_ZERO 4508 1 + + +Group : uvma_isacov_pkg::cg_rtype(withChksum=689159069) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype(withChksum=689159069) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +8 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_add_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sub_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_div_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mulh_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_rem_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zba_sh1add_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zba_sh2add_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zba_sh3add_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 90 1 +auto[1] 603 1 +auto[2] 16214 1 +auto[3] 581 1 +auto[4] 33898 1 +auto[5] 40953 1 +auto[6] 26755 1 +auto[7] 33458 1 +auto[8] 31247 1 +auto[9] 33233 1 +auto[10] 19786 1 +auto[11] 20274 1 +auto[12] 21408 1 +auto[13] 51555 1 +auto[14] 30355 1 +auto[15] 53282 1 +auto[16] 39972 1 +auto[17] 36965 1 +auto[18] 20495 1 +auto[19] 63451 1 +auto[20] 90795 1 +auto[21] 34776 1 +auto[22] 21021 1 +auto[23] 40184 1 +auto[24] 100514 1 +auto[25] 25782 1 +auto[26] 39043 1 +auto[27] 25611 1 +auto[28] 40797 1 +auto[29] 27460 1 +auto[30] 28767 1 +auto[31] 29370 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1061502 1 +auto[1] 589 1 +auto[2] 693 1 +auto[3] 516 1 +auto[4] 545 1 +auto[5] 549 1 +auto[6] 551 1 +auto[7] 516 1 +auto[8] 489 1 +auto[9] 531 1 +auto[10] 509 1 +auto[11] 542 1 +auto[12] 529 1 +auto[13] 488 1 +auto[14] 618 1 +auto[15] 556 1 +auto[16] 526 1 +auto[17] 556 1 +auto[18] 546 1 +auto[19] 502 1 +auto[20] 547 1 +auto[21] 590 1 +auto[22] 599 1 +auto[23] 514 1 +auto[24] 691 1 +auto[25] 511 1 +auto[26] 532 1 +auto[27] 567 1 +auto[28] 499 1 +auto[29] 648 1 +auto[30] 602 1 +auto[31] 542 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 704 1 +auto[1] 571 1 +auto[2] 16088 1 +auto[3] 600 1 +auto[4] 33903 1 +auto[5] 40928 1 +auto[6] 26692 1 +auto[7] 33552 1 +auto[8] 31153 1 +auto[9] 33233 1 +auto[10] 19742 1 +auto[11] 20277 1 +auto[12] 21166 1 +auto[13] 51687 1 +auto[14] 30520 1 +auto[15] 53014 1 +auto[16] 40012 1 +auto[17] 36866 1 +auto[18] 20442 1 +auto[19] 63418 1 +auto[20] 90792 1 +auto[21] 34762 1 +auto[22] 21044 1 +auto[23] 40048 1 +auto[24] 100518 1 +auto[25] 25719 1 +auto[26] 39111 1 +auto[27] 25586 1 +auto[28] 40845 1 +auto[29] 27492 1 +auto[30] 28791 1 +auto[31] 29419 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 53 1 +RD_01 2 1 +RD_02 2 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 3 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 3 1 +RD_0c 2 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 2 1 +RD_11 2 1 +RD_12 1 1 +RD_13 2 1 +RD_14 2 1 +RD_15 2 1 +RD_16 2 1 +RD_17 1 1 +RD_18 2 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 2 1 +RD_1d 2 1 +RD_1e 1 1 +RD_1f 2 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 55 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5563 1 +auto_POSITIVE 6548 1 +auto_NEGATIVE 1066584 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1067152 1 +auto_POSITIVE 6225 1 +auto_NEGATIVE 5318 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2415 1 +auto_POSITIVE 8215 1 +auto_NEGATIVE 1068065 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1066584 1 +BIT30_1 3671 1 +BIT29_1 3675 1 +BIT28_1 3708 1 +BIT27_1 3600 1 +BIT26_1 3613 1 +BIT25_1 3553 1 +BIT24_1 3569 1 +BIT23_1 3599 1 +BIT22_1 3555 1 +BIT21_1 3541 1 +BIT20_1 3588 1 +BIT19_1 3569 1 +BIT18_1 3578 1 +BIT17_1 3525 1 +BIT16_1 3773 1 +BIT15_1 1065478 1 +BIT14_1 4594 1 +BIT13_1 593360 1 +BIT12_1 476972 1 +BIT11_1 1065917 1 +BIT10_1 1066042 1 +BIT9_1 4540 1 +BIT8_1 4020 1 +BIT7_1 535326 1 +BIT6_1 4369 1 +BIT5_1 4549 1 +BIT4_1 1066548 1 +BIT3_1 1066740 1 +BIT2_1 5770 1 +BIT1_1 4488 1 +BIT0_1 5256 1 +BIT31_0 12111 1 +BIT30_0 1075024 1 +BIT29_0 1075020 1 +BIT28_0 1074987 1 +BIT27_0 1075095 1 +BIT26_0 1075082 1 +BIT25_0 1075142 1 +BIT24_0 1075126 1 +BIT23_0 1075096 1 +BIT22_0 1075140 1 +BIT21_0 1075154 1 +BIT20_0 1075107 1 +BIT19_0 1075126 1 +BIT18_0 1075117 1 +BIT17_0 1075170 1 +BIT16_0 1074922 1 +BIT15_0 13217 1 +BIT14_0 1074101 1 +BIT13_0 485335 1 +BIT12_0 601723 1 +BIT11_0 12778 1 +BIT10_0 12653 1 +BIT9_0 1074155 1 +BIT8_0 1074675 1 +BIT7_0 543369 1 +BIT6_0 1074326 1 +BIT5_0 1074146 1 +BIT4_0 12147 1 +BIT3_0 11955 1 +BIT2_0 1072925 1 +BIT1_0 1074207 1 +BIT0_0 1073439 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5318 1 +BIT30_1 3387 1 +BIT29_1 3353 1 +BIT28_1 3376 1 +BIT27_1 3254 1 +BIT26_1 3280 1 +BIT25_1 3178 1 +BIT24_1 3193 1 +BIT23_1 3227 1 +BIT22_1 3250 1 +BIT21_1 3229 1 +BIT20_1 3275 1 +BIT19_1 3296 1 +BIT18_1 3355 1 +BIT17_1 3300 1 +BIT16_1 3417 1 +BIT15_1 4273 1 +BIT14_1 4244 1 +BIT13_1 4300 1 +BIT12_1 4164 1 +BIT11_1 4681 1 +BIT10_1 4702 1 +BIT9_1 4094 1 +BIT8_1 3582 1 +BIT7_1 4441 1 +BIT6_1 3947 1 +BIT5_1 4121 1 +BIT4_1 5294 1 +BIT3_1 5342 1 +BIT2_1 5257 1 +BIT1_1 4121 1 +BIT0_1 4890 1 +BIT31_0 1073377 1 +BIT30_0 1075308 1 +BIT29_0 1075342 1 +BIT28_0 1075319 1 +BIT27_0 1075441 1 +BIT26_0 1075415 1 +BIT25_0 1075517 1 +BIT24_0 1075502 1 +BIT23_0 1075468 1 +BIT22_0 1075445 1 +BIT21_0 1075466 1 +BIT20_0 1075420 1 +BIT19_0 1075399 1 +BIT18_0 1075340 1 +BIT17_0 1075395 1 +BIT16_0 1075278 1 +BIT15_0 1074422 1 +BIT14_0 1074451 1 +BIT13_0 1074395 1 +BIT12_0 1074531 1 +BIT11_0 1074014 1 +BIT10_0 1073993 1 +BIT9_0 1074601 1 +BIT8_0 1075113 1 +BIT7_0 1074254 1 +BIT6_0 1074748 1 +BIT5_0 1074574 1 +BIT4_0 1073401 1 +BIT3_0 1073353 1 +BIT2_0 1073438 1 +BIT1_0 1074574 1 +BIT0_0 1073805 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1068065 1 +BIT30_1 4849 1 +BIT29_1 4857 1 +BIT28_1 4870 1 +BIT27_1 4686 1 +BIT26_1 4755 1 +BIT25_1 4615 1 +BIT24_1 4626 1 +BIT23_1 4635 1 +BIT22_1 4656 1 +BIT21_1 4634 1 +BIT20_1 4725 1 +BIT19_1 4715 1 +BIT18_1 4802 1 +BIT17_1 4762 1 +BIT16_1 5214 1 +BIT15_1 1067096 1 +BIT14_1 6271 1 +BIT13_1 594981 1 +BIT12_1 478576 1 +BIT11_1 1067724 1 +BIT10_1 1067792 1 +BIT9_1 6151 1 +BIT8_1 5551 1 +BIT7_1 536956 1 +BIT6_1 6020 1 +BIT5_1 6322 1 +BIT4_1 1068344 1 +BIT3_1 1068396 1 +BIT2_1 7333 1 +BIT1_1 6380 1 +BIT0_1 6804 1 +BIT31_0 10630 1 +BIT30_0 1073846 1 +BIT29_0 1073838 1 +BIT28_0 1073825 1 +BIT27_0 1074009 1 +BIT26_0 1073940 1 +BIT25_0 1074080 1 +BIT24_0 1074069 1 +BIT23_0 1074060 1 +BIT22_0 1074039 1 +BIT21_0 1074061 1 +BIT20_0 1073970 1 +BIT19_0 1073980 1 +BIT18_0 1073893 1 +BIT17_0 1073933 1 +BIT16_0 1073481 1 +BIT15_0 11599 1 +BIT14_0 1072424 1 +BIT13_0 483714 1 +BIT12_0 600119 1 +BIT11_0 10971 1 +BIT10_0 10903 1 +BIT9_0 1072544 1 +BIT8_0 1073144 1 +BIT7_0 541739 1 +BIT6_0 1072675 1 +BIT5_0 1072373 1 +BIT4_0 10351 1 +BIT3_0 10299 1 +BIT2_0 1071362 1 +BIT1_0 1072315 1 +BIT0_0 1071891 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2297 1 +auto_ZERO auto_POSITIVE 1793 1 +auto_ZERO auto_NEGATIVE 1473 1 +auto_POSITIVE auto_ZERO 2078 1 +auto_POSITIVE auto_POSITIVE 2564 1 +auto_POSITIVE auto_NEGATIVE 1906 1 +auto_NEGATIVE auto_ZERO 1062777 1 +auto_NEGATIVE auto_POSITIVE 1868 1 +auto_NEGATIVE auto_NEGATIVE 1939 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 680 1 +auto[1] 708 1 +auto[2] 591 1 +auto[3] 618 1 +auto[4] 634 1 +auto[5] 644 1 +auto[6] 630 1 +auto[7] 619 1 +auto[8] 592 1 +auto[9] 706 1 +auto[10] 566 1 +auto[11] 556 1 +auto[12] 581 1 +auto[13] 621 1 +auto[14] 702 1 +auto[15] 598 1 +auto[16] 615 1 +auto[17] 586 1 +auto[18] 792 1 +auto[19] 646 1 +auto[20] 674 1 +auto[21] 612 1 +auto[22] 590 1 +auto[23] 632 1 +auto[24] 615 1 +auto[25] 678 1 +auto[26] 615 1 +auto[27] 679 1 +auto[28] 654 1 +auto[29] 727 1 +auto[30] 638 1 +auto[31] 596 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 710 1 +auto[1] 774 1 +auto[2] 635 1 +auto[3] 684 1 +auto[4] 610 1 +auto[5] 605 1 +auto[6] 620 1 +auto[7] 624 1 +auto[8] 581 1 +auto[9] 578 1 +auto[10] 589 1 +auto[11] 582 1 +auto[12] 581 1 +auto[13] 619 1 +auto[14] 563 1 +auto[15] 577 1 +auto[16] 649 1 +auto[17] 628 1 +auto[18] 701 1 +auto[19] 618 1 +auto[20] 656 1 +auto[21] 650 1 +auto[22] 608 1 +auto[23] 639 1 +auto[24] 611 1 +auto[25] 613 1 +auto[26] 650 1 +auto[27] 667 1 +auto[28] 657 1 +auto[29] 689 1 +auto[30] 779 1 +auto[31] 648 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 690 1 +auto[1] 680 1 +auto[2] 613 1 +auto[3] 653 1 +auto[4] 605 1 +auto[5] 590 1 +auto[6] 617 1 +auto[7] 679 1 +auto[8] 597 1 +auto[9] 542 1 +auto[10] 716 1 +auto[11] 640 1 +auto[12] 573 1 +auto[13] 552 1 +auto[14] 588 1 +auto[15] 575 1 +auto[16] 606 1 +auto[17] 685 1 +auto[18] 641 1 +auto[19] 652 1 +auto[20] 604 1 +auto[21] 694 1 +auto[22] 685 1 +auto[23] 662 1 +auto[24] 604 1 +auto[25] 673 1 +auto[26] 706 1 +auto[27] 721 1 +auto[28] 641 1 +auto[29] 665 1 +auto[30] 662 1 +auto[31] 584 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 83 1 +RD_01 81 1 +RD_02 75 1 +RD_03 62 1 +RD_04 66 1 +RD_05 68 1 +RD_06 57 1 +RD_07 58 1 +RD_08 6 1 +RD_09 14 1 +RD_0a 15 1 +RD_0b 10 1 +RD_0c 13 1 +RD_0d 13 1 +RD_0e 21 1 +RD_0f 17 1 +RD_10 72 1 +RD_11 61 1 +RD_12 67 1 +RD_13 71 1 +RD_14 77 1 +RD_15 73 1 +RD_16 58 1 +RD_17 70 1 +RD_18 78 1 +RD_19 73 1 +RD_1a 65 1 +RD_1b 68 1 +RD_1c 70 1 +RD_1d 79 1 +RD_1e 63 1 +RD_1f 58 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 87 1 +RD_01 73 1 +RD_02 75 1 +RD_03 57 1 +RD_04 57 1 +RD_05 66 1 +RD_06 65 1 +RD_07 56 1 +RD_08 11 1 +RD_09 16 1 +RD_0a 19 1 +RD_0b 22 1 +RD_0c 36 1 +RD_0d 16 1 +RD_0e 26 1 +RD_0f 14 1 +RD_10 67 1 +RD_11 64 1 +RD_12 86 1 +RD_13 68 1 +RD_14 74 1 +RD_15 63 1 +RD_16 68 1 +RD_17 60 1 +RD_18 67 1 +RD_19 67 1 +RD_1a 77 1 +RD_1b 73 1 +RD_1c 67 1 +RD_1d 83 1 +RD_1e 62 1 +RD_1f 78 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7102 1 +auto_POSITIVE 7099 1 +auto_NEGATIVE 6194 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6810 1 +auto_POSITIVE 7182 1 +auto_NEGATIVE 6403 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4281 1 +auto_POSITIVE 7978 1 +auto_NEGATIVE 8136 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6194 1 +BIT30_1 4076 1 +BIT29_1 4043 1 +BIT28_1 4047 1 +BIT27_1 3907 1 +BIT26_1 3993 1 +BIT25_1 3879 1 +BIT24_1 3975 1 +BIT23_1 3952 1 +BIT22_1 3890 1 +BIT21_1 3913 1 +BIT20_1 3975 1 +BIT19_1 4006 1 +BIT18_1 4036 1 +BIT17_1 4004 1 +BIT16_1 4058 1 +BIT15_1 5055 1 +BIT14_1 4975 1 +BIT13_1 5238 1 +BIT12_1 4950 1 +BIT11_1 5558 1 +BIT10_1 5567 1 +BIT9_1 4972 1 +BIT8_1 4256 1 +BIT7_1 5233 1 +BIT6_1 4629 1 +BIT5_1 4724 1 +BIT4_1 6062 1 +BIT3_1 6128 1 +BIT2_1 6091 1 +BIT1_1 4775 1 +BIT0_1 5660 1 +BIT31_0 14201 1 +BIT30_0 16319 1 +BIT29_0 16352 1 +BIT28_0 16348 1 +BIT27_0 16488 1 +BIT26_0 16402 1 +BIT25_0 16516 1 +BIT24_0 16420 1 +BIT23_0 16443 1 +BIT22_0 16505 1 +BIT21_0 16482 1 +BIT20_0 16420 1 +BIT19_0 16389 1 +BIT18_0 16359 1 +BIT17_0 16391 1 +BIT16_0 16337 1 +BIT15_0 15340 1 +BIT14_0 15420 1 +BIT13_0 15157 1 +BIT12_0 15445 1 +BIT11_0 14837 1 +BIT10_0 14828 1 +BIT9_0 15423 1 +BIT8_0 16139 1 +BIT7_0 15162 1 +BIT6_0 15766 1 +BIT5_0 15671 1 +BIT4_0 14333 1 +BIT3_0 14267 1 +BIT2_0 14304 1 +BIT1_0 15620 1 +BIT0_0 14735 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6403 1 +BIT30_1 4201 1 +BIT29_1 4205 1 +BIT28_1 4287 1 +BIT27_1 4108 1 +BIT26_1 4108 1 +BIT25_1 3980 1 +BIT24_1 4075 1 +BIT23_1 4005 1 +BIT22_1 4133 1 +BIT21_1 4166 1 +BIT20_1 4084 1 +BIT19_1 4045 1 +BIT18_1 4122 1 +BIT17_1 4003 1 +BIT16_1 4262 1 +BIT15_1 5109 1 +BIT14_1 5105 1 +BIT13_1 5230 1 +BIT12_1 5141 1 +BIT11_1 5568 1 +BIT10_1 5659 1 +BIT9_1 4957 1 +BIT8_1 4364 1 +BIT7_1 5285 1 +BIT6_1 4773 1 +BIT5_1 4942 1 +BIT4_1 6283 1 +BIT3_1 6327 1 +BIT2_1 6273 1 +BIT1_1 4842 1 +BIT0_1 5713 1 +BIT31_0 13992 1 +BIT30_0 16194 1 +BIT29_0 16190 1 +BIT28_0 16108 1 +BIT27_0 16287 1 +BIT26_0 16287 1 +BIT25_0 16415 1 +BIT24_0 16320 1 +BIT23_0 16390 1 +BIT22_0 16262 1 +BIT21_0 16229 1 +BIT20_0 16311 1 +BIT19_0 16350 1 +BIT18_0 16273 1 +BIT17_0 16392 1 +BIT16_0 16133 1 +BIT15_0 15286 1 +BIT14_0 15290 1 +BIT13_0 15165 1 +BIT12_0 15254 1 +BIT11_0 14827 1 +BIT10_0 14736 1 +BIT9_0 15438 1 +BIT8_0 16031 1 +BIT7_0 15110 1 +BIT6_0 15622 1 +BIT5_0 15453 1 +BIT4_0 14112 1 +BIT3_0 14068 1 +BIT2_0 14122 1 +BIT1_0 15553 1 +BIT0_0 14682 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8136 1 +BIT30_1 7989 1 +BIT29_1 7949 1 +BIT28_1 7867 1 +BIT27_1 7954 1 +BIT26_1 7980 1 +BIT25_1 7985 1 +BIT24_1 7962 1 +BIT23_1 7978 1 +BIT22_1 7860 1 +BIT21_1 7855 1 +BIT20_1 7894 1 +BIT19_1 7865 1 +BIT18_1 7877 1 +BIT17_1 7938 1 +BIT16_1 7745 1 +BIT15_1 7789 1 +BIT14_1 7726 1 +BIT13_1 7780 1 +BIT12_1 7733 1 +BIT11_1 7628 1 +BIT10_1 7547 1 +BIT9_1 7639 1 +BIT8_1 7455 1 +BIT7_1 7561 1 +BIT6_1 7484 1 +BIT5_1 7469 1 +BIT4_1 7286 1 +BIT3_1 7105 1 +BIT2_1 7709 1 +BIT1_1 6695 1 +BIT0_1 7387 1 +BIT31_0 12259 1 +BIT30_0 12406 1 +BIT29_0 12446 1 +BIT28_0 12528 1 +BIT27_0 12441 1 +BIT26_0 12415 1 +BIT25_0 12410 1 +BIT24_0 12433 1 +BIT23_0 12417 1 +BIT22_0 12535 1 +BIT21_0 12540 1 +BIT20_0 12501 1 +BIT19_0 12530 1 +BIT18_0 12518 1 +BIT17_0 12457 1 +BIT16_0 12650 1 +BIT15_0 12606 1 +BIT14_0 12669 1 +BIT13_0 12615 1 +BIT12_0 12662 1 +BIT11_0 12767 1 +BIT10_0 12848 1 +BIT9_0 12756 1 +BIT8_0 12940 1 +BIT7_0 12834 1 +BIT6_0 12911 1 +BIT5_0 12926 1 +BIT4_0 13109 1 +BIT3_0 13290 1 +BIT2_0 12686 1 +BIT1_0 13700 1 +BIT0_0 13008 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3147 1 +auto_ZERO auto_POSITIVE 2123 1 +auto_ZERO auto_NEGATIVE 1832 1 +auto_POSITIVE auto_ZERO 1958 1 +auto_POSITIVE auto_POSITIVE 3019 1 +auto_POSITIVE auto_NEGATIVE 2122 1 +auto_NEGATIVE auto_ZERO 1705 1 +auto_NEGATIVE auto_POSITIVE 2040 1 +auto_NEGATIVE auto_NEGATIVE 2449 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_div_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_div_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_div_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_div_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 670 1 +auto[1] 634 1 +auto[2] 666 1 +auto[3] 615 1 +auto[4] 647 1 +auto[5] 746 1 +auto[6] 719 1 +auto[7] 646 1 +auto[8] 647 1 +auto[9] 679 1 +auto[10] 638 1 +auto[11] 610 1 +auto[12] 785 1 +auto[13] 597 1 +auto[14] 591 1 +auto[15] 675 1 +auto[16] 677 1 +auto[17] 612 1 +auto[18] 694 1 +auto[19] 661 1 +auto[20] 620 1 +auto[21] 571 1 +auto[22] 615 1 +auto[23] 598 1 +auto[24] 661 1 +auto[25] 656 1 +auto[26] 611 1 +auto[27] 608 1 +auto[28] 670 1 +auto[29] 598 1 +auto[30] 625 1 +auto[31] 650 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 620 1 +auto[1] 662 1 +auto[2] 656 1 +auto[3] 758 1 +auto[4] 646 1 +auto[5] 643 1 +auto[6] 612 1 +auto[7] 624 1 +auto[8] 639 1 +auto[9] 619 1 +auto[10] 743 1 +auto[11] 682 1 +auto[12] 604 1 +auto[13] 633 1 +auto[14] 653 1 +auto[15] 610 1 +auto[16] 629 1 +auto[17] 626 1 +auto[18] 651 1 +auto[19] 625 1 +auto[20] 579 1 +auto[21] 642 1 +auto[22] 653 1 +auto[23] 639 1 +auto[24] 835 1 +auto[25] 652 1 +auto[26] 604 1 +auto[27] 689 1 +auto[28] 625 1 +auto[29] 628 1 +auto[30] 611 1 +auto[31] 600 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 675 1 +auto[1] 669 1 +auto[2] 604 1 +auto[3] 764 1 +auto[4] 629 1 +auto[5] 611 1 +auto[6] 655 1 +auto[7] 630 1 +auto[8] 629 1 +auto[9] 615 1 +auto[10] 621 1 +auto[11] 596 1 +auto[12] 614 1 +auto[13] 788 1 +auto[14] 862 1 +auto[15] 629 1 +auto[16] 590 1 +auto[17] 683 1 +auto[18] 604 1 +auto[19] 643 1 +auto[20] 623 1 +auto[21] 604 1 +auto[22] 630 1 +auto[23] 679 1 +auto[24] 677 1 +auto[25] 631 1 +auto[26] 614 1 +auto[27] 627 1 +auto[28] 676 1 +auto[29] 612 1 +auto[30] 618 1 +auto[31] 590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 76 1 +RD_01 73 1 +RD_02 76 1 +RD_03 66 1 +RD_04 77 1 +RD_05 60 1 +RD_06 65 1 +RD_07 71 1 +RD_08 73 1 +RD_09 70 1 +RD_0a 81 1 +RD_0b 65 1 +RD_0c 75 1 +RD_0d 83 1 +RD_0e 56 1 +RD_0f 76 1 +RD_10 71 1 +RD_11 58 1 +RD_12 76 1 +RD_13 68 1 +RD_14 66 1 +RD_15 65 1 +RD_16 64 1 +RD_17 92 1 +RD_18 70 1 +RD_19 67 1 +RD_1a 54 1 +RD_1b 70 1 +RD_1c 73 1 +RD_1d 77 1 +RD_1e 56 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 78 1 +RD_01 70 1 +RD_02 71 1 +RD_03 73 1 +RD_04 74 1 +RD_05 66 1 +RD_06 62 1 +RD_07 64 1 +RD_08 70 1 +RD_09 68 1 +RD_0a 80 1 +RD_0b 60 1 +RD_0c 71 1 +RD_0d 74 1 +RD_0e 63 1 +RD_0f 67 1 +RD_10 66 1 +RD_11 57 1 +RD_12 70 1 +RD_13 78 1 +RD_14 59 1 +RD_15 56 1 +RD_16 71 1 +RD_17 77 1 +RD_18 74 1 +RD_19 71 1 +RD_1a 52 1 +RD_1b 74 1 +RD_1c 73 1 +RD_1d 73 1 +RD_1e 63 1 +RD_1f 62 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7120 1 +auto_POSITIVE 7137 1 +auto_NEGATIVE 6435 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7317 1 +auto_POSITIVE 7121 1 +auto_NEGATIVE 6254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7864 1 +auto_POSITIVE 3349 1 +auto_NEGATIVE 9479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6435 1 +BIT30_1 4362 1 +BIT29_1 4312 1 +BIT28_1 4333 1 +BIT27_1 4328 1 +BIT26_1 4196 1 +BIT25_1 4263 1 +BIT24_1 4151 1 +BIT23_1 4187 1 +BIT22_1 4237 1 +BIT21_1 4109 1 +BIT20_1 4225 1 +BIT19_1 4194 1 +BIT18_1 4317 1 +BIT17_1 4117 1 +BIT16_1 4513 1 +BIT15_1 5306 1 +BIT14_1 5238 1 +BIT13_1 5548 1 +BIT12_1 5266 1 +BIT11_1 5616 1 +BIT10_1 5862 1 +BIT9_1 5134 1 +BIT8_1 4589 1 +BIT7_1 5539 1 +BIT6_1 4824 1 +BIT5_1 5111 1 +BIT4_1 6344 1 +BIT3_1 6484 1 +BIT2_1 6419 1 +BIT1_1 5163 1 +BIT0_1 5990 1 +BIT31_0 14257 1 +BIT30_0 16330 1 +BIT29_0 16380 1 +BIT28_0 16359 1 +BIT27_0 16364 1 +BIT26_0 16496 1 +BIT25_0 16429 1 +BIT24_0 16541 1 +BIT23_0 16505 1 +BIT22_0 16455 1 +BIT21_0 16583 1 +BIT20_0 16467 1 +BIT19_0 16498 1 +BIT18_0 16375 1 +BIT17_0 16575 1 +BIT16_0 16179 1 +BIT15_0 15386 1 +BIT14_0 15454 1 +BIT13_0 15144 1 +BIT12_0 15426 1 +BIT11_0 15076 1 +BIT10_0 14830 1 +BIT9_0 15558 1 +BIT8_0 16103 1 +BIT7_0 15153 1 +BIT6_0 15868 1 +BIT5_0 15581 1 +BIT4_0 14348 1 +BIT3_0 14208 1 +BIT2_0 14273 1 +BIT1_0 15529 1 +BIT0_0 14702 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6254 1 +BIT30_1 4248 1 +BIT29_1 4270 1 +BIT28_1 4287 1 +BIT27_1 4123 1 +BIT26_1 4156 1 +BIT25_1 4082 1 +BIT24_1 4103 1 +BIT23_1 4111 1 +BIT22_1 4091 1 +BIT21_1 4060 1 +BIT20_1 4054 1 +BIT19_1 4120 1 +BIT18_1 4075 1 +BIT17_1 4027 1 +BIT16_1 4276 1 +BIT15_1 5091 1 +BIT14_1 5025 1 +BIT13_1 5260 1 +BIT12_1 5135 1 +BIT11_1 5518 1 +BIT10_1 5574 1 +BIT9_1 5015 1 +BIT8_1 4354 1 +BIT7_1 5398 1 +BIT6_1 4653 1 +BIT5_1 4858 1 +BIT4_1 6275 1 +BIT3_1 6273 1 +BIT2_1 6214 1 +BIT1_1 5044 1 +BIT0_1 5855 1 +BIT31_0 14438 1 +BIT30_0 16444 1 +BIT29_0 16422 1 +BIT28_0 16405 1 +BIT27_0 16569 1 +BIT26_0 16536 1 +BIT25_0 16610 1 +BIT24_0 16589 1 +BIT23_0 16581 1 +BIT22_0 16601 1 +BIT21_0 16632 1 +BIT20_0 16638 1 +BIT19_0 16572 1 +BIT18_0 16617 1 +BIT17_0 16665 1 +BIT16_0 16416 1 +BIT15_0 15601 1 +BIT14_0 15667 1 +BIT13_0 15432 1 +BIT12_0 15557 1 +BIT11_0 15174 1 +BIT10_0 15118 1 +BIT9_0 15677 1 +BIT8_0 16338 1 +BIT7_0 15294 1 +BIT6_0 16039 1 +BIT5_0 15834 1 +BIT4_0 14417 1 +BIT3_0 14419 1 +BIT2_0 14478 1 +BIT1_0 15648 1 +BIT0_0 14837 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 9479 1 +BIT30_1 9415 1 +BIT29_1 9447 1 +BIT28_1 9405 1 +BIT27_1 9341 1 +BIT26_1 9351 1 +BIT25_1 9314 1 +BIT24_1 9336 1 +BIT23_1 9300 1 +BIT22_1 9336 1 +BIT21_1 9328 1 +BIT20_1 9310 1 +BIT19_1 9295 1 +BIT18_1 9292 1 +BIT17_1 9332 1 +BIT16_1 9297 1 +BIT15_1 9338 1 +BIT14_1 9307 1 +BIT13_1 9335 1 +BIT12_1 9287 1 +BIT11_1 9291 1 +BIT10_1 9235 1 +BIT9_1 9236 1 +BIT8_1 9255 1 +BIT7_1 9235 1 +BIT6_1 9238 1 +BIT5_1 9275 1 +BIT4_1 9242 1 +BIT3_1 9242 1 +BIT2_1 9360 1 +BIT1_1 9244 1 +BIT0_1 10725 1 +BIT31_0 11213 1 +BIT30_0 11277 1 +BIT29_0 11245 1 +BIT28_0 11287 1 +BIT27_0 11351 1 +BIT26_0 11341 1 +BIT25_0 11378 1 +BIT24_0 11356 1 +BIT23_0 11392 1 +BIT22_0 11356 1 +BIT21_0 11364 1 +BIT20_0 11382 1 +BIT19_0 11397 1 +BIT18_0 11400 1 +BIT17_0 11360 1 +BIT16_0 11395 1 +BIT15_0 11354 1 +BIT14_0 11385 1 +BIT13_0 11357 1 +BIT12_0 11405 1 +BIT11_0 11401 1 +BIT10_0 11457 1 +BIT9_0 11456 1 +BIT8_0 11437 1 +BIT7_0 11457 1 +BIT6_0 11454 1 +BIT5_0 11417 1 +BIT4_0 11450 1 +BIT3_0 11450 1 +BIT2_0 11332 1 +BIT1_0 11448 1 +BIT0_0 9967 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3383 1 +auto_ZERO auto_POSITIVE 1965 1 +auto_ZERO auto_NEGATIVE 1772 1 +auto_POSITIVE auto_ZERO 2046 1 +auto_POSITIVE auto_POSITIVE 3080 1 +auto_POSITIVE auto_NEGATIVE 2011 1 +auto_NEGATIVE auto_ZERO 1888 1 +auto_NEGATIVE auto_POSITIVE 2076 1 +auto_NEGATIVE auto_NEGATIVE 2471 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mulh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 592 1 +auto[1] 594 1 +auto[2] 706 1 +auto[3] 626 1 +auto[4] 625 1 +auto[5] 657 1 +auto[6] 575 1 +auto[7] 634 1 +auto[8] 634 1 +auto[9] 710 1 +auto[10] 636 1 +auto[11] 628 1 +auto[12] 824 1 +auto[13] 668 1 +auto[14] 594 1 +auto[15] 582 1 +auto[16] 600 1 +auto[17] 632 1 +auto[18] 613 1 +auto[19] 628 1 +auto[20] 640 1 +auto[21] 609 1 +auto[22] 635 1 +auto[23] 660 1 +auto[24] 737 1 +auto[25] 612 1 +auto[26] 635 1 +auto[27] 640 1 +auto[28] 641 1 +auto[29] 609 1 +auto[30] 729 1 +auto[31] 748 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 612 1 +auto[1] 681 1 +auto[2] 622 1 +auto[3] 624 1 +auto[4] 618 1 +auto[5] 635 1 +auto[6] 734 1 +auto[7] 659 1 +auto[8] 737 1 +auto[9] 734 1 +auto[10] 618 1 +auto[11] 657 1 +auto[12] 590 1 +auto[13] 573 1 +auto[14] 598 1 +auto[15] 616 1 +auto[16] 682 1 +auto[17] 704 1 +auto[18] 611 1 +auto[19] 650 1 +auto[20] 568 1 +auto[21] 644 1 +auto[22] 628 1 +auto[23] 613 1 +auto[24] 776 1 +auto[25] 609 1 +auto[26] 675 1 +auto[27] 605 1 +auto[28] 649 1 +auto[29] 646 1 +auto[30] 688 1 +auto[31] 597 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 741 1 +auto[1] 665 1 +auto[2] 576 1 +auto[3] 648 1 +auto[4] 600 1 +auto[5] 693 1 +auto[6] 623 1 +auto[7] 619 1 +auto[8] 657 1 +auto[9] 683 1 +auto[10] 621 1 +auto[11] 651 1 +auto[12] 748 1 +auto[13] 659 1 +auto[14] 772 1 +auto[15] 680 1 +auto[16] 620 1 +auto[17] 644 1 +auto[18] 637 1 +auto[19] 621 1 +auto[20] 638 1 +auto[21] 598 1 +auto[22] 625 1 +auto[23] 636 1 +auto[24] 658 1 +auto[25] 596 1 +auto[26] 603 1 +auto[27] 629 1 +auto[28] 644 1 +auto[29] 614 1 +auto[30] 629 1 +auto[31] 625 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 69 1 +RD_02 55 1 +RD_03 68 1 +RD_04 58 1 +RD_05 68 1 +RD_06 57 1 +RD_07 71 1 +RD_08 70 1 +RD_09 69 1 +RD_0a 79 1 +RD_0b 74 1 +RD_0c 58 1 +RD_0d 69 1 +RD_0e 43 1 +RD_0f 62 1 +RD_10 71 1 +RD_11 69 1 +RD_12 65 1 +RD_13 69 1 +RD_14 58 1 +RD_15 70 1 +RD_16 54 1 +RD_17 75 1 +RD_18 64 1 +RD_19 67 1 +RD_1a 73 1 +RD_1b 69 1 +RD_1c 66 1 +RD_1d 75 1 +RD_1e 88 1 +RD_1f 62 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 69 1 +RD_02 71 1 +RD_03 71 1 +RD_04 68 1 +RD_05 66 1 +RD_06 50 1 +RD_07 63 1 +RD_08 74 1 +RD_09 63 1 +RD_0a 59 1 +RD_0b 73 1 +RD_0c 50 1 +RD_0d 69 1 +RD_0e 50 1 +RD_0f 60 1 +RD_10 65 1 +RD_11 70 1 +RD_12 73 1 +RD_13 70 1 +RD_14 58 1 +RD_15 64 1 +RD_16 52 1 +RD_17 61 1 +RD_18 70 1 +RD_19 70 1 +RD_1a 68 1 +RD_1b 61 1 +RD_1c 65 1 +RD_1d 72 1 +RD_1e 68 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7075 1 +auto_POSITIVE 6985 1 +auto_NEGATIVE 6593 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7352 1 +auto_POSITIVE 7008 1 +auto_NEGATIVE 6293 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 13910 1 +auto_POSITIVE 2646 1 +auto_NEGATIVE 4097 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6593 1 +BIT30_1 4445 1 +BIT29_1 4352 1 +BIT28_1 4393 1 +BIT27_1 4216 1 +BIT26_1 4125 1 +BIT25_1 4097 1 +BIT24_1 4248 1 +BIT23_1 4099 1 +BIT22_1 4088 1 +BIT21_1 4174 1 +BIT20_1 4091 1 +BIT19_1 4082 1 +BIT18_1 4141 1 +BIT17_1 4051 1 +BIT16_1 4351 1 +BIT15_1 5280 1 +BIT14_1 5341 1 +BIT13_1 5556 1 +BIT12_1 5242 1 +BIT11_1 5857 1 +BIT10_1 5954 1 +BIT9_1 5046 1 +BIT8_1 4512 1 +BIT7_1 5514 1 +BIT6_1 4955 1 +BIT5_1 5196 1 +BIT4_1 6409 1 +BIT3_1 6348 1 +BIT2_1 6579 1 +BIT1_1 5060 1 +BIT0_1 6025 1 +BIT31_0 14060 1 +BIT30_0 16208 1 +BIT29_0 16301 1 +BIT28_0 16260 1 +BIT27_0 16437 1 +BIT26_0 16528 1 +BIT25_0 16556 1 +BIT24_0 16405 1 +BIT23_0 16554 1 +BIT22_0 16565 1 +BIT21_0 16479 1 +BIT20_0 16562 1 +BIT19_0 16571 1 +BIT18_0 16512 1 +BIT17_0 16602 1 +BIT16_0 16302 1 +BIT15_0 15373 1 +BIT14_0 15312 1 +BIT13_0 15097 1 +BIT12_0 15411 1 +BIT11_0 14796 1 +BIT10_0 14699 1 +BIT9_0 15607 1 +BIT8_0 16141 1 +BIT7_0 15139 1 +BIT6_0 15698 1 +BIT5_0 15457 1 +BIT4_0 14244 1 +BIT3_0 14305 1 +BIT2_0 14074 1 +BIT1_0 15593 1 +BIT0_0 14628 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6293 1 +BIT30_1 4134 1 +BIT29_1 4055 1 +BIT28_1 4091 1 +BIT27_1 3956 1 +BIT26_1 3951 1 +BIT25_1 3908 1 +BIT24_1 3919 1 +BIT23_1 3902 1 +BIT22_1 3884 1 +BIT21_1 3950 1 +BIT20_1 3907 1 +BIT19_1 3938 1 +BIT18_1 3956 1 +BIT17_1 3960 1 +BIT16_1 4145 1 +BIT15_1 5028 1 +BIT14_1 4867 1 +BIT13_1 5128 1 +BIT12_1 4955 1 +BIT11_1 5488 1 +BIT10_1 5615 1 +BIT9_1 4856 1 +BIT8_1 4270 1 +BIT7_1 5274 1 +BIT6_1 4606 1 +BIT5_1 4731 1 +BIT4_1 6091 1 +BIT3_1 6187 1 +BIT2_1 6108 1 +BIT1_1 4859 1 +BIT0_1 5679 1 +BIT31_0 14360 1 +BIT30_0 16519 1 +BIT29_0 16598 1 +BIT28_0 16562 1 +BIT27_0 16697 1 +BIT26_0 16702 1 +BIT25_0 16745 1 +BIT24_0 16734 1 +BIT23_0 16751 1 +BIT22_0 16769 1 +BIT21_0 16703 1 +BIT20_0 16746 1 +BIT19_0 16715 1 +BIT18_0 16697 1 +BIT17_0 16693 1 +BIT16_0 16508 1 +BIT15_0 15625 1 +BIT14_0 15786 1 +BIT13_0 15525 1 +BIT12_0 15698 1 +BIT11_0 15165 1 +BIT10_0 15038 1 +BIT9_0 15797 1 +BIT8_0 16383 1 +BIT7_0 15379 1 +BIT6_0 16047 1 +BIT5_0 15922 1 +BIT4_0 14562 1 +BIT3_0 14466 1 +BIT2_0 14545 1 +BIT1_0 15794 1 +BIT0_0 14974 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4097 1 +BIT30_1 4168 1 +BIT29_1 4538 1 +BIT28_1 4635 1 +BIT27_1 4593 1 +BIT26_1 4664 1 +BIT25_1 4602 1 +BIT24_1 4634 1 +BIT23_1 4601 1 +BIT22_1 4659 1 +BIT21_1 4691 1 +BIT20_1 4650 1 +BIT19_1 4636 1 +BIT18_1 4693 1 +BIT17_1 4643 1 +BIT16_1 4683 1 +BIT15_1 4517 1 +BIT14_1 4473 1 +BIT13_1 4446 1 +BIT12_1 4406 1 +BIT11_1 4269 1 +BIT10_1 4349 1 +BIT9_1 4374 1 +BIT8_1 4346 1 +BIT7_1 4459 1 +BIT6_1 4226 1 +BIT5_1 4315 1 +BIT4_1 4259 1 +BIT3_1 4079 1 +BIT2_1 4109 1 +BIT1_1 4016 1 +BIT0_1 3995 1 +BIT31_0 16556 1 +BIT30_0 16485 1 +BIT29_0 16115 1 +BIT28_0 16018 1 +BIT27_0 16060 1 +BIT26_0 15989 1 +BIT25_0 16051 1 +BIT24_0 16019 1 +BIT23_0 16052 1 +BIT22_0 15994 1 +BIT21_0 15962 1 +BIT20_0 16003 1 +BIT19_0 16017 1 +BIT18_0 15960 1 +BIT17_0 16010 1 +BIT16_0 15970 1 +BIT15_0 16136 1 +BIT14_0 16180 1 +BIT13_0 16207 1 +BIT12_0 16247 1 +BIT11_0 16384 1 +BIT10_0 16304 1 +BIT9_0 16279 1 +BIT8_0 16307 1 +BIT7_0 16194 1 +BIT6_0 16427 1 +BIT5_0 16338 1 +BIT4_0 16394 1 +BIT3_0 16574 1 +BIT2_0 16544 1 +BIT1_0 16637 1 +BIT0_0 16658 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3359 1 +auto_ZERO auto_POSITIVE 1977 1 +auto_ZERO auto_NEGATIVE 1739 1 +auto_POSITIVE auto_ZERO 2009 1 +auto_POSITIVE auto_POSITIVE 2955 1 +auto_POSITIVE auto_NEGATIVE 2021 1 +auto_NEGATIVE auto_ZERO 1984 1 +auto_NEGATIVE auto_POSITIVE 2076 1 +auto_NEGATIVE auto_NEGATIVE 2533 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_rem_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_rem_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_rem_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_rem_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 687 1 +auto[1] 581 1 +auto[2] 654 1 +auto[3] 620 1 +auto[4] 613 1 +auto[5] 638 1 +auto[6] 707 1 +auto[7] 589 1 +auto[8] 658 1 +auto[9] 641 1 +auto[10] 649 1 +auto[11] 607 1 +auto[12] 618 1 +auto[13] 593 1 +auto[14] 638 1 +auto[15] 637 1 +auto[16] 592 1 +auto[17] 649 1 +auto[18] 644 1 +auto[19] 575 1 +auto[20] 661 1 +auto[21] 608 1 +auto[22] 592 1 +auto[23] 651 1 +auto[24] 661 1 +auto[25] 583 1 +auto[26] 635 1 +auto[27] 689 1 +auto[28] 668 1 +auto[29] 630 1 +auto[30] 632 1 +auto[31] 603 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 675 1 +auto[1] 644 1 +auto[2] 604 1 +auto[3] 638 1 +auto[4] 597 1 +auto[5] 688 1 +auto[6] 704 1 +auto[7] 672 1 +auto[8] 630 1 +auto[9] 613 1 +auto[10] 635 1 +auto[11] 638 1 +auto[12] 623 1 +auto[13] 619 1 +auto[14] 598 1 +auto[15] 620 1 +auto[16] 593 1 +auto[17] 595 1 +auto[18] 631 1 +auto[19] 659 1 +auto[20] 606 1 +auto[21] 649 1 +auto[22] 671 1 +auto[23] 591 1 +auto[24] 674 1 +auto[25] 585 1 +auto[26] 624 1 +auto[27] 624 1 +auto[28] 637 1 +auto[29] 614 1 +auto[30] 644 1 +auto[31] 608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 693 1 +auto[1] 620 1 +auto[2] 622 1 +auto[3] 683 1 +auto[4] 647 1 +auto[5] 668 1 +auto[6] 623 1 +auto[7] 648 1 +auto[8] 625 1 +auto[9] 616 1 +auto[10] 618 1 +auto[11] 627 1 +auto[12] 662 1 +auto[13] 625 1 +auto[14] 642 1 +auto[15] 610 1 +auto[16] 591 1 +auto[17] 636 1 +auto[18] 633 1 +auto[19] 635 1 +auto[20] 663 1 +auto[21] 641 1 +auto[22] 631 1 +auto[23] 624 1 +auto[24] 648 1 +auto[25] 658 1 +auto[26] 616 1 +auto[27] 653 1 +auto[28] 585 1 +auto[29] 605 1 +auto[30] 606 1 +auto[31] 549 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 82 1 +RD_01 49 1 +RD_02 71 1 +RD_03 72 1 +RD_04 73 1 +RD_05 62 1 +RD_06 83 1 +RD_07 52 1 +RD_08 70 1 +RD_09 71 1 +RD_0a 64 1 +RD_0b 58 1 +RD_0c 77 1 +RD_0d 70 1 +RD_0e 73 1 +RD_0f 70 1 +RD_10 66 1 +RD_11 62 1 +RD_12 66 1 +RD_13 52 1 +RD_14 88 1 +RD_15 77 1 +RD_16 60 1 +RD_17 86 1 +RD_18 79 1 +RD_19 62 1 +RD_1a 65 1 +RD_1b 78 1 +RD_1c 65 1 +RD_1d 55 1 +RD_1e 67 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 77 1 +RD_01 55 1 +RD_02 72 1 +RD_03 70 1 +RD_04 71 1 +RD_05 104 1 +RD_06 84 1 +RD_07 65 1 +RD_08 73 1 +RD_09 67 1 +RD_0a 56 1 +RD_0b 59 1 +RD_0c 75 1 +RD_0d 68 1 +RD_0e 85 1 +RD_0f 58 1 +RD_10 64 1 +RD_11 61 1 +RD_12 66 1 +RD_13 55 1 +RD_14 79 1 +RD_15 69 1 +RD_16 60 1 +RD_17 73 1 +RD_18 78 1 +RD_19 65 1 +RD_1a 59 1 +RD_1b 76 1 +RD_1c 54 1 +RD_1d 67 1 +RD_1e 70 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7106 1 +auto_POSITIVE 6832 1 +auto_NEGATIVE 6265 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7115 1 +auto_POSITIVE 6902 1 +auto_NEGATIVE 6186 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9614 1 +auto_POSITIVE 5527 1 +auto_NEGATIVE 5062 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6265 1 +BIT30_1 4121 1 +BIT29_1 4052 1 +BIT28_1 4096 1 +BIT27_1 3929 1 +BIT26_1 3957 1 +BIT25_1 3855 1 +BIT24_1 3844 1 +BIT23_1 3857 1 +BIT22_1 3885 1 +BIT21_1 3839 1 +BIT20_1 3858 1 +BIT19_1 3884 1 +BIT18_1 3879 1 +BIT17_1 3856 1 +BIT16_1 4058 1 +BIT15_1 4900 1 +BIT14_1 4804 1 +BIT13_1 5113 1 +BIT12_1 4897 1 +BIT11_1 5337 1 +BIT10_1 5463 1 +BIT9_1 4793 1 +BIT8_1 4240 1 +BIT7_1 5213 1 +BIT6_1 4531 1 +BIT5_1 4727 1 +BIT4_1 6015 1 +BIT3_1 6065 1 +BIT2_1 5993 1 +BIT1_1 4813 1 +BIT0_1 5617 1 +BIT31_0 13938 1 +BIT30_0 16082 1 +BIT29_0 16151 1 +BIT28_0 16107 1 +BIT27_0 16274 1 +BIT26_0 16246 1 +BIT25_0 16348 1 +BIT24_0 16359 1 +BIT23_0 16346 1 +BIT22_0 16318 1 +BIT21_0 16364 1 +BIT20_0 16345 1 +BIT19_0 16319 1 +BIT18_0 16324 1 +BIT17_0 16347 1 +BIT16_0 16145 1 +BIT15_0 15303 1 +BIT14_0 15399 1 +BIT13_0 15090 1 +BIT12_0 15306 1 +BIT11_0 14866 1 +BIT10_0 14740 1 +BIT9_0 15410 1 +BIT8_0 15963 1 +BIT7_0 14990 1 +BIT6_0 15672 1 +BIT5_0 15476 1 +BIT4_0 14188 1 +BIT3_0 14138 1 +BIT2_0 14210 1 +BIT1_0 15390 1 +BIT0_0 14586 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6186 1 +BIT30_1 3954 1 +BIT29_1 3985 1 +BIT28_1 4014 1 +BIT27_1 3841 1 +BIT26_1 3851 1 +BIT25_1 3753 1 +BIT24_1 3788 1 +BIT23_1 3786 1 +BIT22_1 3783 1 +BIT21_1 3819 1 +BIT20_1 3854 1 +BIT19_1 3837 1 +BIT18_1 3852 1 +BIT17_1 3769 1 +BIT16_1 4002 1 +BIT15_1 4814 1 +BIT14_1 4728 1 +BIT13_1 5009 1 +BIT12_1 4766 1 +BIT11_1 5303 1 +BIT10_1 5349 1 +BIT9_1 4732 1 +BIT8_1 4173 1 +BIT7_1 5141 1 +BIT6_1 4493 1 +BIT5_1 4657 1 +BIT4_1 6026 1 +BIT3_1 6168 1 +BIT2_1 6034 1 +BIT1_1 4741 1 +BIT0_1 5537 1 +BIT31_0 14017 1 +BIT30_0 16249 1 +BIT29_0 16218 1 +BIT28_0 16189 1 +BIT27_0 16362 1 +BIT26_0 16352 1 +BIT25_0 16450 1 +BIT24_0 16415 1 +BIT23_0 16417 1 +BIT22_0 16420 1 +BIT21_0 16384 1 +BIT20_0 16349 1 +BIT19_0 16366 1 +BIT18_0 16351 1 +BIT17_0 16434 1 +BIT16_0 16201 1 +BIT15_0 15389 1 +BIT14_0 15475 1 +BIT13_0 15194 1 +BIT12_0 15437 1 +BIT11_0 14900 1 +BIT10_0 14854 1 +BIT9_0 15471 1 +BIT8_0 16030 1 +BIT7_0 15062 1 +BIT6_0 15710 1 +BIT5_0 15546 1 +BIT4_0 14177 1 +BIT3_0 14035 1 +BIT2_0 14169 1 +BIT1_0 15462 1 +BIT0_0 14666 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5062 1 +BIT30_1 4288 1 +BIT29_1 4165 1 +BIT28_1 4139 1 +BIT27_1 4136 1 +BIT26_1 4038 1 +BIT25_1 3977 1 +BIT24_1 3941 1 +BIT23_1 3947 1 +BIT22_1 3969 1 +BIT21_1 3901 1 +BIT20_1 3937 1 +BIT19_1 3974 1 +BIT18_1 3919 1 +BIT17_1 3904 1 +BIT16_1 4013 1 +BIT15_1 4345 1 +BIT14_1 4199 1 +BIT13_1 4369 1 +BIT12_1 4266 1 +BIT11_1 4390 1 +BIT10_1 4469 1 +BIT9_1 4078 1 +BIT8_1 3895 1 +BIT7_1 4450 1 +BIT6_1 4047 1 +BIT5_1 4141 1 +BIT4_1 4829 1 +BIT3_1 4938 1 +BIT2_1 4878 1 +BIT1_1 4216 1 +BIT0_1 4684 1 +BIT31_0 15141 1 +BIT30_0 15915 1 +BIT29_0 16038 1 +BIT28_0 16064 1 +BIT27_0 16067 1 +BIT26_0 16165 1 +BIT25_0 16226 1 +BIT24_0 16262 1 +BIT23_0 16256 1 +BIT22_0 16234 1 +BIT21_0 16302 1 +BIT20_0 16266 1 +BIT19_0 16229 1 +BIT18_0 16284 1 +BIT17_0 16299 1 +BIT16_0 16190 1 +BIT15_0 15858 1 +BIT14_0 16004 1 +BIT13_0 15834 1 +BIT12_0 15937 1 +BIT11_0 15813 1 +BIT10_0 15734 1 +BIT9_0 16125 1 +BIT8_0 16308 1 +BIT7_0 15753 1 +BIT6_0 16156 1 +BIT5_0 16062 1 +BIT4_0 15374 1 +BIT3_0 15265 1 +BIT2_0 15325 1 +BIT1_0 15987 1 +BIT0_0 15519 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3421 1 +auto_ZERO auto_POSITIVE 2010 1 +auto_ZERO auto_NEGATIVE 1675 1 +auto_POSITIVE auto_ZERO 1952 1 +auto_POSITIVE auto_POSITIVE 2890 1 +auto_POSITIVE auto_NEGATIVE 1990 1 +auto_NEGATIVE auto_ZERO 1742 1 +auto_NEGATIVE auto_POSITIVE 2002 1 +auto_NEGATIVE auto_NEGATIVE 2521 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zba_sh1add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 571 1 +auto[1] 585 1 +auto[2] 526 1 +auto[3] 550 1 +auto[4] 544 1 +auto[5] 519 1 +auto[6] 587 1 +auto[7] 568 1 +auto[8] 533 1 +auto[9] 549 1 +auto[10] 563 1 +auto[11] 547 1 +auto[12] 512 1 +auto[13] 535 1 +auto[14] 522 1 +auto[15] 559 1 +auto[16] 545 1 +auto[17] 549 1 +auto[18] 541 1 +auto[19] 547 1 +auto[20] 552 1 +auto[21] 560 1 +auto[22] 522 1 +auto[23] 525 1 +auto[24] 575 1 +auto[25] 521 1 +auto[26] 548 1 +auto[27] 554 1 +auto[28] 531 1 +auto[29] 542 1 +auto[30] 527 1 +auto[31] 571 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 511 1 +auto[1] 566 1 +auto[2] 502 1 +auto[3] 525 1 +auto[4] 537 1 +auto[5] 559 1 +auto[6] 536 1 +auto[7] 537 1 +auto[8] 546 1 +auto[9] 577 1 +auto[10] 553 1 +auto[11] 554 1 +auto[12] 562 1 +auto[13] 546 1 +auto[14] 538 1 +auto[15] 541 1 +auto[16] 524 1 +auto[17] 562 1 +auto[18] 596 1 +auto[19] 559 1 +auto[20] 579 1 +auto[21] 571 1 +auto[22] 511 1 +auto[23] 568 1 +auto[24] 531 1 +auto[25] 547 1 +auto[26] 543 1 +auto[27] 541 1 +auto[28] 531 1 +auto[29] 596 1 +auto[30] 494 1 +auto[31] 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 576 1 +auto[1] 577 1 +auto[2] 502 1 +auto[3] 626 1 +auto[4] 528 1 +auto[5] 532 1 +auto[6] 563 1 +auto[7] 579 1 +auto[8] 559 1 +auto[9] 536 1 +auto[10] 506 1 +auto[11] 522 1 +auto[12] 579 1 +auto[13] 550 1 +auto[14] 567 1 +auto[15] 540 1 +auto[16] 551 1 +auto[17] 548 1 +auto[18] 529 1 +auto[19] 538 1 +auto[20] 512 1 +auto[21] 580 1 +auto[22] 524 1 +auto[23] 558 1 +auto[24] 570 1 +auto[25] 533 1 +auto[26] 543 1 +auto[27] 548 1 +auto[28] 564 1 +auto[29] 493 1 +auto[30] 525 1 +auto[31] 522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 64 1 +RD_01 80 1 +RD_02 59 1 +RD_03 73 1 +RD_04 70 1 +RD_05 68 1 +RD_06 77 1 +RD_07 82 1 +RD_08 63 1 +RD_09 74 1 +RD_0a 63 1 +RD_0b 64 1 +RD_0c 61 1 +RD_0d 64 1 +RD_0e 54 1 +RD_0f 65 1 +RD_10 72 1 +RD_11 72 1 +RD_12 76 1 +RD_13 60 1 +RD_14 74 1 +RD_15 69 1 +RD_16 48 1 +RD_17 80 1 +RD_18 70 1 +RD_19 73 1 +RD_1a 72 1 +RD_1b 68 1 +RD_1c 74 1 +RD_1d 67 1 +RD_1e 45 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 59 1 +RD_01 75 1 +RD_02 65 1 +RD_03 57 1 +RD_04 63 1 +RD_05 73 1 +RD_06 80 1 +RD_07 80 1 +RD_08 59 1 +RD_09 74 1 +RD_0a 64 1 +RD_0b 70 1 +RD_0c 62 1 +RD_0d 68 1 +RD_0e 52 1 +RD_0f 66 1 +RD_10 74 1 +RD_11 72 1 +RD_12 67 1 +RD_13 64 1 +RD_14 81 1 +RD_15 73 1 +RD_16 48 1 +RD_17 79 1 +RD_18 67 1 +RD_19 74 1 +RD_1a 61 1 +RD_1b 67 1 +RD_1c 71 1 +RD_1d 69 1 +RD_1e 43 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6232 1 +auto_POSITIVE 5982 1 +auto_NEGATIVE 5266 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6188 1 +auto_POSITIVE 6141 1 +auto_NEGATIVE 5151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3201 1 +auto_POSITIVE 8295 1 +auto_NEGATIVE 5984 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5266 1 +BIT30_1 3487 1 +BIT29_1 3475 1 +BIT28_1 3563 1 +BIT27_1 3382 1 +BIT26_1 3394 1 +BIT25_1 3315 1 +BIT24_1 3365 1 +BIT23_1 3374 1 +BIT22_1 3355 1 +BIT21_1 3313 1 +BIT20_1 3302 1 +BIT19_1 3335 1 +BIT18_1 3377 1 +BIT17_1 3373 1 +BIT16_1 3556 1 +BIT15_1 4246 1 +BIT14_1 4110 1 +BIT13_1 4338 1 +BIT12_1 4224 1 +BIT11_1 4663 1 +BIT10_1 4644 1 +BIT9_1 4159 1 +BIT8_1 3633 1 +BIT7_1 4509 1 +BIT6_1 3967 1 +BIT5_1 4195 1 +BIT4_1 5182 1 +BIT3_1 5274 1 +BIT2_1 5295 1 +BIT1_1 4260 1 +BIT0_1 4850 1 +BIT31_0 12214 1 +BIT30_0 13993 1 +BIT29_0 14005 1 +BIT28_0 13917 1 +BIT27_0 14098 1 +BIT26_0 14086 1 +BIT25_0 14165 1 +BIT24_0 14115 1 +BIT23_0 14106 1 +BIT22_0 14125 1 +BIT21_0 14167 1 +BIT20_0 14178 1 +BIT19_0 14145 1 +BIT18_0 14103 1 +BIT17_0 14107 1 +BIT16_0 13924 1 +BIT15_0 13234 1 +BIT14_0 13370 1 +BIT13_0 13142 1 +BIT12_0 13256 1 +BIT11_0 12817 1 +BIT10_0 12836 1 +BIT9_0 13321 1 +BIT8_0 13847 1 +BIT7_0 12971 1 +BIT6_0 13513 1 +BIT5_0 13285 1 +BIT4_0 12298 1 +BIT3_0 12206 1 +BIT2_0 12185 1 +BIT1_0 13220 1 +BIT0_0 12630 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5151 1 +BIT30_1 3421 1 +BIT29_1 3430 1 +BIT28_1 3471 1 +BIT27_1 3338 1 +BIT26_1 3371 1 +BIT25_1 3309 1 +BIT24_1 3319 1 +BIT23_1 3279 1 +BIT22_1 3288 1 +BIT21_1 3249 1 +BIT20_1 3294 1 +BIT19_1 3284 1 +BIT18_1 3318 1 +BIT17_1 3303 1 +BIT16_1 3459 1 +BIT15_1 4209 1 +BIT14_1 4056 1 +BIT13_1 4379 1 +BIT12_1 4137 1 +BIT11_1 4664 1 +BIT10_1 4690 1 +BIT9_1 4128 1 +BIT8_1 3685 1 +BIT7_1 4456 1 +BIT6_1 3960 1 +BIT5_1 4144 1 +BIT4_1 5216 1 +BIT3_1 5322 1 +BIT2_1 5155 1 +BIT1_1 4217 1 +BIT0_1 4895 1 +BIT31_0 12329 1 +BIT30_0 14059 1 +BIT29_0 14050 1 +BIT28_0 14009 1 +BIT27_0 14142 1 +BIT26_0 14109 1 +BIT25_0 14171 1 +BIT24_0 14161 1 +BIT23_0 14201 1 +BIT22_0 14192 1 +BIT21_0 14231 1 +BIT20_0 14186 1 +BIT19_0 14196 1 +BIT18_0 14162 1 +BIT17_0 14177 1 +BIT16_0 14021 1 +BIT15_0 13271 1 +BIT14_0 13424 1 +BIT13_0 13101 1 +BIT12_0 13343 1 +BIT11_0 12816 1 +BIT10_0 12790 1 +BIT9_0 13352 1 +BIT8_0 13795 1 +BIT7_0 13024 1 +BIT6_0 13520 1 +BIT5_0 13336 1 +BIT4_0 12264 1 +BIT3_0 12158 1 +BIT2_0 12325 1 +BIT1_0 13263 1 +BIT0_0 12585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5984 1 +BIT30_1 4600 1 +BIT29_1 4652 1 +BIT28_1 4508 1 +BIT27_1 4434 1 +BIT26_1 4443 1 +BIT25_1 4434 1 +BIT24_1 4439 1 +BIT23_1 4419 1 +BIT22_1 4403 1 +BIT21_1 4351 1 +BIT20_1 4398 1 +BIT19_1 4385 1 +BIT18_1 4448 1 +BIT17_1 4691 1 +BIT16_1 5394 1 +BIT15_1 5680 1 +BIT14_1 5708 1 +BIT13_1 5911 1 +BIT12_1 6052 1 +BIT11_1 6421 1 +BIT10_1 6166 1 +BIT9_1 5499 1 +BIT8_1 5781 1 +BIT7_1 5771 1 +BIT6_1 5773 1 +BIT5_1 6340 1 +BIT4_1 7076 1 +BIT3_1 6914 1 +BIT2_1 6529 1 +BIT1_1 6243 1 +BIT0_1 4895 1 +BIT31_0 11496 1 +BIT30_0 12880 1 +BIT29_0 12828 1 +BIT28_0 12972 1 +BIT27_0 13046 1 +BIT26_0 13037 1 +BIT25_0 13046 1 +BIT24_0 13041 1 +BIT23_0 13061 1 +BIT22_0 13077 1 +BIT21_0 13129 1 +BIT20_0 13082 1 +BIT19_0 13095 1 +BIT18_0 13032 1 +BIT17_0 12789 1 +BIT16_0 12086 1 +BIT15_0 11800 1 +BIT14_0 11772 1 +BIT13_0 11569 1 +BIT12_0 11428 1 +BIT11_0 11059 1 +BIT10_0 11314 1 +BIT9_0 11981 1 +BIT8_0 11699 1 +BIT7_0 11709 1 +BIT6_0 11707 1 +BIT5_0 11140 1 +BIT4_0 10404 1 +BIT3_0 10566 1 +BIT2_0 10951 1 +BIT1_0 11237 1 +BIT0_0 12585 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3131 1 +auto_ZERO auto_POSITIVE 1663 1 +auto_ZERO auto_NEGATIVE 1438 1 +auto_POSITIVE auto_ZERO 1670 1 +auto_POSITIVE auto_POSITIVE 2657 1 +auto_POSITIVE auto_NEGATIVE 1655 1 +auto_NEGATIVE auto_ZERO 1387 1 +auto_NEGATIVE auto_POSITIVE 1821 1 +auto_NEGATIVE auto_NEGATIVE 2058 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zba_sh2add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 574 1 +auto[1] 537 1 +auto[2] 565 1 +auto[3] 553 1 +auto[4] 582 1 +auto[5] 546 1 +auto[6] 556 1 +auto[7] 594 1 +auto[8] 554 1 +auto[9] 553 1 +auto[10] 559 1 +auto[11] 515 1 +auto[12] 587 1 +auto[13] 560 1 +auto[14] 557 1 +auto[15] 569 1 +auto[16] 531 1 +auto[17] 517 1 +auto[18] 541 1 +auto[19] 561 1 +auto[20] 570 1 +auto[21] 577 1 +auto[22] 512 1 +auto[23] 513 1 +auto[24] 564 1 +auto[25] 544 1 +auto[26] 544 1 +auto[27] 531 1 +auto[28] 539 1 +auto[29] 551 1 +auto[30] 550 1 +auto[31] 520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 565 1 +auto[1] 571 1 +auto[2] 582 1 +auto[3] 552 1 +auto[4] 583 1 +auto[5] 554 1 +auto[6] 593 1 +auto[7] 586 1 +auto[8] 533 1 +auto[9] 537 1 +auto[10] 550 1 +auto[11] 561 1 +auto[12] 542 1 +auto[13] 522 1 +auto[14] 562 1 +auto[15] 527 1 +auto[16] 560 1 +auto[17] 551 1 +auto[18] 536 1 +auto[19] 589 1 +auto[20] 549 1 +auto[21] 514 1 +auto[22] 527 1 +auto[23] 558 1 +auto[24] 563 1 +auto[25] 544 1 +auto[26] 566 1 +auto[27] 522 1 +auto[28] 565 1 +auto[29] 508 1 +auto[30] 521 1 +auto[31] 533 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 616 1 +auto[1] 609 1 +auto[2] 522 1 +auto[3] 618 1 +auto[4] 564 1 +auto[5] 552 1 +auto[6] 567 1 +auto[7] 506 1 +auto[8] 534 1 +auto[9] 529 1 +auto[10] 560 1 +auto[11] 567 1 +auto[12] 529 1 +auto[13] 535 1 +auto[14] 535 1 +auto[15] 521 1 +auto[16] 545 1 +auto[17] 585 1 +auto[18] 545 1 +auto[19] 524 1 +auto[20] 528 1 +auto[21] 542 1 +auto[22] 568 1 +auto[23] 538 1 +auto[24] 572 1 +auto[25] 546 1 +auto[26] 560 1 +auto[27] 528 1 +auto[28] 572 1 +auto[29] 536 1 +auto[30] 560 1 +auto[31] 513 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 58 1 +RD_01 69 1 +RD_02 69 1 +RD_03 81 1 +RD_04 77 1 +RD_05 63 1 +RD_06 68 1 +RD_07 71 1 +RD_08 65 1 +RD_09 54 1 +RD_0a 76 1 +RD_0b 55 1 +RD_0c 72 1 +RD_0d 67 1 +RD_0e 64 1 +RD_0f 55 1 +RD_10 72 1 +RD_11 68 1 +RD_12 57 1 +RD_13 68 1 +RD_14 62 1 +RD_15 69 1 +RD_16 56 1 +RD_17 52 1 +RD_18 75 1 +RD_19 58 1 +RD_1a 67 1 +RD_1b 56 1 +RD_1c 74 1 +RD_1d 59 1 +RD_1e 58 1 +RD_1f 57 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 59 1 +RD_01 65 1 +RD_02 67 1 +RD_03 73 1 +RD_04 73 1 +RD_05 66 1 +RD_06 58 1 +RD_07 68 1 +RD_08 57 1 +RD_09 59 1 +RD_0a 67 1 +RD_0b 60 1 +RD_0c 63 1 +RD_0d 71 1 +RD_0e 67 1 +RD_0f 54 1 +RD_10 74 1 +RD_11 70 1 +RD_12 53 1 +RD_13 67 1 +RD_14 64 1 +RD_15 58 1 +RD_16 56 1 +RD_17 55 1 +RD_18 72 1 +RD_19 68 1 +RD_1a 69 1 +RD_1b 64 1 +RD_1c 68 1 +RD_1d 60 1 +RD_1e 62 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6225 1 +auto_POSITIVE 6027 1 +auto_NEGATIVE 5374 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6140 1 +auto_POSITIVE 6170 1 +auto_NEGATIVE 5316 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3117 1 +auto_POSITIVE 8363 1 +auto_NEGATIVE 6146 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5374 1 +BIT30_1 3475 1 +BIT29_1 3502 1 +BIT28_1 3483 1 +BIT27_1 3363 1 +BIT26_1 3340 1 +BIT25_1 3315 1 +BIT24_1 3344 1 +BIT23_1 3312 1 +BIT22_1 3311 1 +BIT21_1 3332 1 +BIT20_1 3373 1 +BIT19_1 3363 1 +BIT18_1 3425 1 +BIT17_1 3368 1 +BIT16_1 3549 1 +BIT15_1 4244 1 +BIT14_1 4294 1 +BIT13_1 4476 1 +BIT12_1 4243 1 +BIT11_1 4723 1 +BIT10_1 4791 1 +BIT9_1 4294 1 +BIT8_1 3712 1 +BIT7_1 4557 1 +BIT6_1 4050 1 +BIT5_1 4247 1 +BIT4_1 5338 1 +BIT3_1 5512 1 +BIT2_1 5376 1 +BIT1_1 4273 1 +BIT0_1 4987 1 +BIT31_0 12252 1 +BIT30_0 14151 1 +BIT29_0 14124 1 +BIT28_0 14143 1 +BIT27_0 14263 1 +BIT26_0 14286 1 +BIT25_0 14311 1 +BIT24_0 14282 1 +BIT23_0 14314 1 +BIT22_0 14315 1 +BIT21_0 14294 1 +BIT20_0 14253 1 +BIT19_0 14263 1 +BIT18_0 14201 1 +BIT17_0 14258 1 +BIT16_0 14077 1 +BIT15_0 13382 1 +BIT14_0 13332 1 +BIT13_0 13150 1 +BIT12_0 13383 1 +BIT11_0 12903 1 +BIT10_0 12835 1 +BIT9_0 13332 1 +BIT8_0 13914 1 +BIT7_0 13069 1 +BIT6_0 13576 1 +BIT5_0 13379 1 +BIT4_0 12288 1 +BIT3_0 12114 1 +BIT2_0 12250 1 +BIT1_0 13353 1 +BIT0_0 12639 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5316 1 +BIT30_1 3518 1 +BIT29_1 3511 1 +BIT28_1 3550 1 +BIT27_1 3394 1 +BIT26_1 3426 1 +BIT25_1 3398 1 +BIT24_1 3430 1 +BIT23_1 3375 1 +BIT22_1 3380 1 +BIT21_1 3372 1 +BIT20_1 3371 1 +BIT19_1 3361 1 +BIT18_1 3415 1 +BIT17_1 3388 1 +BIT16_1 3612 1 +BIT15_1 4299 1 +BIT14_1 4242 1 +BIT13_1 4474 1 +BIT12_1 4294 1 +BIT11_1 4713 1 +BIT10_1 4711 1 +BIT9_1 4290 1 +BIT8_1 3781 1 +BIT7_1 4602 1 +BIT6_1 4115 1 +BIT5_1 4257 1 +BIT4_1 5402 1 +BIT3_1 5399 1 +BIT2_1 5453 1 +BIT1_1 4323 1 +BIT0_1 4984 1 +BIT31_0 12310 1 +BIT30_0 14108 1 +BIT29_0 14115 1 +BIT28_0 14076 1 +BIT27_0 14232 1 +BIT26_0 14200 1 +BIT25_0 14228 1 +BIT24_0 14196 1 +BIT23_0 14251 1 +BIT22_0 14246 1 +BIT21_0 14254 1 +BIT20_0 14255 1 +BIT19_0 14265 1 +BIT18_0 14211 1 +BIT17_0 14238 1 +BIT16_0 14014 1 +BIT15_0 13327 1 +BIT14_0 13384 1 +BIT13_0 13152 1 +BIT12_0 13332 1 +BIT11_0 12913 1 +BIT10_0 12915 1 +BIT9_0 13336 1 +BIT8_0 13845 1 +BIT7_0 13024 1 +BIT6_0 13511 1 +BIT5_0 13369 1 +BIT4_0 12224 1 +BIT3_0 12227 1 +BIT2_0 12173 1 +BIT1_0 13303 1 +BIT0_0 12642 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6146 1 +BIT30_1 4656 1 +BIT29_1 4544 1 +BIT28_1 4590 1 +BIT27_1 4441 1 +BIT26_1 4498 1 +BIT25_1 4455 1 +BIT24_1 4456 1 +BIT23_1 4443 1 +BIT22_1 4500 1 +BIT21_1 4477 1 +BIT20_1 4539 1 +BIT19_1 4513 1 +BIT18_1 4718 1 +BIT17_1 5373 1 +BIT16_1 5418 1 +BIT15_1 5977 1 +BIT14_1 5897 1 +BIT13_1 6341 1 +BIT12_1 6256 1 +BIT11_1 6212 1 +BIT10_1 5957 1 +BIT9_1 6196 1 +BIT8_1 5674 1 +BIT7_1 6203 1 +BIT6_1 6432 1 +BIT5_1 6615 1 +BIT4_1 6979 1 +BIT3_1 6619 1 +BIT2_1 6878 1 +BIT1_1 4323 1 +BIT0_1 4984 1 +BIT31_0 11480 1 +BIT30_0 12970 1 +BIT29_0 13082 1 +BIT28_0 13036 1 +BIT27_0 13185 1 +BIT26_0 13128 1 +BIT25_0 13171 1 +BIT24_0 13170 1 +BIT23_0 13183 1 +BIT22_0 13126 1 +BIT21_0 13149 1 +BIT20_0 13087 1 +BIT19_0 13113 1 +BIT18_0 12908 1 +BIT17_0 12253 1 +BIT16_0 12208 1 +BIT15_0 11649 1 +BIT14_0 11729 1 +BIT13_0 11285 1 +BIT12_0 11370 1 +BIT11_0 11414 1 +BIT10_0 11669 1 +BIT9_0 11430 1 +BIT8_0 11952 1 +BIT7_0 11423 1 +BIT6_0 11194 1 +BIT5_0 11011 1 +BIT4_0 10647 1 +BIT3_0 11007 1 +BIT2_0 10748 1 +BIT1_0 13303 1 +BIT0_0 12642 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3045 1 +auto_ZERO auto_POSITIVE 1762 1 +auto_ZERO auto_NEGATIVE 1418 1 +auto_POSITIVE auto_ZERO 1602 1 +auto_POSITIVE auto_POSITIVE 2647 1 +auto_POSITIVE auto_NEGATIVE 1778 1 +auto_NEGATIVE auto_ZERO 1493 1 +auto_NEGATIVE auto_POSITIVE 1761 1 +auto_NEGATIVE auto_NEGATIVE 2120 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zba_sh3add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 526 1 +auto[1] 549 1 +auto[2] 498 1 +auto[3] 561 1 +auto[4] 550 1 +auto[5] 558 1 +auto[6] 584 1 +auto[7] 524 1 +auto[8] 568 1 +auto[9] 546 1 +auto[10] 533 1 +auto[11] 545 1 +auto[12] 562 1 +auto[13] 551 1 +auto[14] 555 1 +auto[15] 562 1 +auto[16] 564 1 +auto[17] 531 1 +auto[18] 559 1 +auto[19] 561 1 +auto[20] 541 1 +auto[21] 575 1 +auto[22] 525 1 +auto[23] 556 1 +auto[24] 551 1 +auto[25] 503 1 +auto[26] 535 1 +auto[27] 550 1 +auto[28] 519 1 +auto[29] 527 1 +auto[30] 534 1 +auto[31] 557 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 546 1 +auto[1] 574 1 +auto[2] 579 1 +auto[3] 515 1 +auto[4] 535 1 +auto[5] 550 1 +auto[6] 565 1 +auto[7] 546 1 +auto[8] 519 1 +auto[9] 540 1 +auto[10] 552 1 +auto[11] 572 1 +auto[12] 554 1 +auto[13] 499 1 +auto[14] 552 1 +auto[15] 609 1 +auto[16] 549 1 +auto[17] 552 1 +auto[18] 546 1 +auto[19] 513 1 +auto[20] 561 1 +auto[21] 546 1 +auto[22] 551 1 +auto[23] 524 1 +auto[24] 572 1 +auto[25] 530 1 +auto[26] 550 1 +auto[27] 512 1 +auto[28] 574 1 +auto[29] 515 1 +auto[30] 520 1 +auto[31] 538 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 573 1 +auto[1] 594 1 +auto[2] 521 1 +auto[3] 557 1 +auto[4] 536 1 +auto[5] 520 1 +auto[6] 558 1 +auto[7] 566 1 +auto[8] 509 1 +auto[9] 532 1 +auto[10] 533 1 +auto[11] 540 1 +auto[12] 511 1 +auto[13] 587 1 +auto[14] 508 1 +auto[15] 556 1 +auto[16] 561 1 +auto[17] 528 1 +auto[18] 588 1 +auto[19] 528 1 +auto[20] 536 1 +auto[21] 551 1 +auto[22] 588 1 +auto[23] 526 1 +auto[24] 509 1 +auto[25] 587 1 +auto[26] 538 1 +auto[27] 546 1 +auto[28] 511 1 +auto[29] 579 1 +auto[30] 519 1 +auto[31] 564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 54 1 +RD_01 75 1 +RD_02 65 1 +RD_03 57 1 +RD_04 50 1 +RD_05 63 1 +RD_06 72 1 +RD_07 76 1 +RD_08 56 1 +RD_09 74 1 +RD_0a 51 1 +RD_0b 67 1 +RD_0c 63 1 +RD_0d 57 1 +RD_0e 52 1 +RD_0f 76 1 +RD_10 67 1 +RD_11 67 1 +RD_12 64 1 +RD_13 60 1 +RD_14 63 1 +RD_15 71 1 +RD_16 73 1 +RD_17 67 1 +RD_18 61 1 +RD_19 64 1 +RD_1a 73 1 +RD_1b 53 1 +RD_1c 52 1 +RD_1d 53 1 +RD_1e 66 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 49 1 +RD_01 79 1 +RD_02 60 1 +RD_03 55 1 +RD_04 57 1 +RD_05 68 1 +RD_06 74 1 +RD_07 80 1 +RD_08 59 1 +RD_09 81 1 +RD_0a 60 1 +RD_0b 76 1 +RD_0c 64 1 +RD_0d 65 1 +RD_0e 47 1 +RD_0f 86 1 +RD_10 74 1 +RD_11 70 1 +RD_12 69 1 +RD_13 60 1 +RD_14 64 1 +RD_15 79 1 +RD_16 65 1 +RD_17 80 1 +RD_18 59 1 +RD_19 61 1 +RD_1a 74 1 +RD_1b 51 1 +RD_1c 60 1 +RD_1d 51 1 +RD_1e 65 1 +RD_1f 79 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6070 1 +auto_POSITIVE 6076 1 +auto_NEGATIVE 5314 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6113 1 +auto_POSITIVE 6069 1 +auto_NEGATIVE 5278 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3066 1 +auto_POSITIVE 8312 1 +auto_NEGATIVE 6082 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5314 1 +BIT30_1 3513 1 +BIT29_1 3456 1 +BIT28_1 3523 1 +BIT27_1 3388 1 +BIT26_1 3374 1 +BIT25_1 3328 1 +BIT24_1 3370 1 +BIT23_1 3324 1 +BIT22_1 3372 1 +BIT21_1 3330 1 +BIT20_1 3313 1 +BIT19_1 3342 1 +BIT18_1 3430 1 +BIT17_1 3354 1 +BIT16_1 3543 1 +BIT15_1 4282 1 +BIT14_1 4263 1 +BIT13_1 4462 1 +BIT12_1 4301 1 +BIT11_1 4753 1 +BIT10_1 4723 1 +BIT9_1 4325 1 +BIT8_1 3753 1 +BIT7_1 4516 1 +BIT6_1 4027 1 +BIT5_1 4272 1 +BIT4_1 5346 1 +BIT3_1 5394 1 +BIT2_1 5365 1 +BIT1_1 4310 1 +BIT0_1 4927 1 +BIT31_0 12146 1 +BIT30_0 13947 1 +BIT29_0 14004 1 +BIT28_0 13937 1 +BIT27_0 14072 1 +BIT26_0 14086 1 +BIT25_0 14132 1 +BIT24_0 14090 1 +BIT23_0 14136 1 +BIT22_0 14088 1 +BIT21_0 14130 1 +BIT20_0 14147 1 +BIT19_0 14118 1 +BIT18_0 14030 1 +BIT17_0 14106 1 +BIT16_0 13917 1 +BIT15_0 13178 1 +BIT14_0 13197 1 +BIT13_0 12998 1 +BIT12_0 13159 1 +BIT11_0 12707 1 +BIT10_0 12737 1 +BIT9_0 13135 1 +BIT8_0 13707 1 +BIT7_0 12944 1 +BIT6_0 13433 1 +BIT5_0 13188 1 +BIT4_0 12114 1 +BIT3_0 12066 1 +BIT2_0 12095 1 +BIT1_0 13150 1 +BIT0_0 12533 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5278 1 +BIT30_1 3435 1 +BIT29_1 3408 1 +BIT28_1 3462 1 +BIT27_1 3334 1 +BIT26_1 3304 1 +BIT25_1 3305 1 +BIT24_1 3294 1 +BIT23_1 3294 1 +BIT22_1 3299 1 +BIT21_1 3254 1 +BIT20_1 3225 1 +BIT19_1 3310 1 +BIT18_1 3297 1 +BIT17_1 3284 1 +BIT16_1 3451 1 +BIT15_1 4252 1 +BIT14_1 4127 1 +BIT13_1 4355 1 +BIT12_1 4184 1 +BIT11_1 4640 1 +BIT10_1 4697 1 +BIT9_1 4173 1 +BIT8_1 3671 1 +BIT7_1 4460 1 +BIT6_1 4005 1 +BIT5_1 4231 1 +BIT4_1 5301 1 +BIT3_1 5370 1 +BIT2_1 5216 1 +BIT1_1 4218 1 +BIT0_1 4909 1 +BIT31_0 12182 1 +BIT30_0 14025 1 +BIT29_0 14052 1 +BIT28_0 13998 1 +BIT27_0 14126 1 +BIT26_0 14156 1 +BIT25_0 14155 1 +BIT24_0 14166 1 +BIT23_0 14166 1 +BIT22_0 14161 1 +BIT21_0 14206 1 +BIT20_0 14235 1 +BIT19_0 14150 1 +BIT18_0 14163 1 +BIT17_0 14176 1 +BIT16_0 14009 1 +BIT15_0 13208 1 +BIT14_0 13333 1 +BIT13_0 13105 1 +BIT12_0 13276 1 +BIT11_0 12820 1 +BIT10_0 12763 1 +BIT9_0 13287 1 +BIT8_0 13789 1 +BIT7_0 13000 1 +BIT6_0 13455 1 +BIT5_0 13229 1 +BIT4_0 12159 1 +BIT3_0 12090 1 +BIT2_0 12244 1 +BIT1_0 13242 1 +BIT0_0 12551 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6082 1 +BIT30_1 4499 1 +BIT29_1 4544 1 +BIT28_1 4540 1 +BIT27_1 4447 1 +BIT26_1 4401 1 +BIT25_1 4440 1 +BIT24_1 4401 1 +BIT23_1 4401 1 +BIT22_1 4427 1 +BIT21_1 4489 1 +BIT20_1 4395 1 +BIT19_1 4653 1 +BIT18_1 5245 1 +BIT17_1 5171 1 +BIT16_1 5528 1 +BIT15_1 5883 1 +BIT14_1 6176 1 +BIT13_1 6198 1 +BIT12_1 5964 1 +BIT11_1 5791 1 +BIT10_1 6371 1 +BIT9_1 5753 1 +BIT8_1 5752 1 +BIT7_1 6642 1 +BIT6_1 6518 1 +BIT5_1 6694 1 +BIT4_1 6701 1 +BIT3_1 6837 1 +BIT2_1 5216 1 +BIT1_1 4218 1 +BIT0_1 4909 1 +BIT31_0 11378 1 +BIT30_0 12961 1 +BIT29_0 12916 1 +BIT28_0 12920 1 +BIT27_0 13013 1 +BIT26_0 13059 1 +BIT25_0 13020 1 +BIT24_0 13059 1 +BIT23_0 13059 1 +BIT22_0 13033 1 +BIT21_0 12971 1 +BIT20_0 13065 1 +BIT19_0 12807 1 +BIT18_0 12215 1 +BIT17_0 12289 1 +BIT16_0 11932 1 +BIT15_0 11577 1 +BIT14_0 11284 1 +BIT13_0 11262 1 +BIT12_0 11496 1 +BIT11_0 11669 1 +BIT10_0 11089 1 +BIT9_0 11707 1 +BIT8_0 11708 1 +BIT7_0 10818 1 +BIT6_0 10942 1 +BIT5_0 10766 1 +BIT4_0 10759 1 +BIT3_0 10623 1 +BIT2_0 12244 1 +BIT1_0 13242 1 +BIT0_0 12551 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2986 1 +auto_ZERO auto_POSITIVE 1666 1 +auto_ZERO auto_NEGATIVE 1418 1 +auto_POSITIVE auto_ZERO 1691 1 +auto_POSITIVE auto_POSITIVE 2676 1 +auto_POSITIVE auto_NEGATIVE 1709 1 +auto_NEGATIVE auto_ZERO 1436 1 +auto_NEGATIVE auto_POSITIVE 1727 1 +auto_NEGATIVE auto_NEGATIVE 2151 1 + + +Group : uvma_isacov_pkg::cg_ca(withChksum=28571194) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ca(withChksum=28571194) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_and_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_or_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_xor_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_ca(withChksum=28571194) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_and_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_and_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_and_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_and_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3855 1 +auto_NON_ZERO 10893 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3304 1 +auto_NON_ZERO 11444 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6474 1 +auto_NON_ZERO 8274 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1890 1 +auto[1] 1842 1 +auto[2] 1705 1 +auto[3] 1897 1 +auto[4] 1536 1 +auto[5] 1951 1 +auto[6] 2065 1 +auto[7] 1862 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1530 1 +auto[1] 2161 1 +auto[2] 1765 1 +auto[3] 1849 1 +auto[4] 2015 1 +auto[5] 1900 1 +auto[6] 2049 1 +auto[7] 1479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1315 1 +BIT30_1 789 1 +BIT29_1 784 1 +BIT28_1 800 1 +BIT27_1 762 1 +BIT26_1 764 1 +BIT25_1 759 1 +BIT24_1 776 1 +BIT23_1 749 1 +BIT22_1 789 1 +BIT21_1 776 1 +BIT20_1 757 1 +BIT19_1 785 1 +BIT18_1 757 1 +BIT17_1 793 1 +BIT16_1 843 1 +BIT15_1 1082 1 +BIT14_1 1046 1 +BIT13_1 1145 1 +BIT12_1 1080 1 +BIT11_1 1160 1 +BIT10_1 1149 1 +BIT9_1 1133 1 +BIT8_1 969 1 +BIT7_1 1255 1 +BIT6_1 1143 1 +BIT5_1 1180 1 +BIT4_1 1563 1 +BIT3_1 1533 1 +BIT2_1 1533 1 +BIT1_1 4724 1 +BIT0_1 4558 1 +BIT31_0 13433 1 +BIT30_0 13959 1 +BIT29_0 13964 1 +BIT28_0 13948 1 +BIT27_0 13986 1 +BIT26_0 13984 1 +BIT25_0 13989 1 +BIT24_0 13972 1 +BIT23_0 13999 1 +BIT22_0 13959 1 +BIT21_0 13972 1 +BIT20_0 13991 1 +BIT19_0 13963 1 +BIT18_0 13991 1 +BIT17_0 13955 1 +BIT16_0 13905 1 +BIT15_0 13666 1 +BIT14_0 13702 1 +BIT13_0 13603 1 +BIT12_0 13668 1 +BIT11_0 13588 1 +BIT10_0 13599 1 +BIT9_0 13615 1 +BIT8_0 13779 1 +BIT7_0 13493 1 +BIT6_0 13605 1 +BIT5_0 13568 1 +BIT4_0 13185 1 +BIT3_0 13215 1 +BIT2_0 13215 1 +BIT1_0 10024 1 +BIT0_0 10190 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3538 1 +BIT30_1 2179 1 +BIT29_1 2134 1 +BIT28_1 2200 1 +BIT27_1 2115 1 +BIT26_1 2082 1 +BIT25_1 2060 1 +BIT24_1 2116 1 +BIT23_1 2090 1 +BIT22_1 2112 1 +BIT21_1 2105 1 +BIT20_1 2124 1 +BIT19_1 2150 1 +BIT18_1 2129 1 +BIT17_1 2133 1 +BIT16_1 2264 1 +BIT15_1 3002 1 +BIT14_1 2867 1 +BIT13_1 3139 1 +BIT12_1 2903 1 +BIT11_1 3278 1 +BIT10_1 3306 1 +BIT9_1 2988 1 +BIT8_1 2548 1 +BIT7_1 3300 1 +BIT6_1 2795 1 +BIT5_1 2820 1 +BIT4_1 3869 1 +BIT3_1 3780 1 +BIT2_1 3832 1 +BIT1_1 6690 1 +BIT0_1 7000 1 +BIT31_0 11210 1 +BIT30_0 12569 1 +BIT29_0 12614 1 +BIT28_0 12548 1 +BIT27_0 12633 1 +BIT26_0 12666 1 +BIT25_0 12688 1 +BIT24_0 12632 1 +BIT23_0 12658 1 +BIT22_0 12636 1 +BIT21_0 12643 1 +BIT20_0 12624 1 +BIT19_0 12598 1 +BIT18_0 12619 1 +BIT17_0 12615 1 +BIT16_0 12484 1 +BIT15_0 11746 1 +BIT14_0 11881 1 +BIT13_0 11609 1 +BIT12_0 11845 1 +BIT11_0 11470 1 +BIT10_0 11442 1 +BIT9_0 11760 1 +BIT8_0 12200 1 +BIT7_0 11448 1 +BIT6_0 11953 1 +BIT5_0 11928 1 +BIT4_0 10879 1 +BIT3_0 10968 1 +BIT2_0 10916 1 +BIT1_0 8058 1 +BIT0_0 7748 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2921 1 +BIT30_1 2362 1 +BIT29_1 2354 1 +BIT28_1 2341 1 +BIT27_1 2277 1 +BIT26_1 2278 1 +BIT25_1 2273 1 +BIT24_1 2283 1 +BIT23_1 2278 1 +BIT22_1 2265 1 +BIT21_1 2262 1 +BIT20_1 2265 1 +BIT19_1 2276 1 +BIT18_1 2270 1 +BIT17_1 2298 1 +BIT16_1 2409 1 +BIT15_1 2691 1 +BIT14_1 2622 1 +BIT13_1 2765 1 +BIT12_1 2720 1 +BIT11_1 2712 1 +BIT10_1 2689 1 +BIT9_1 2790 1 +BIT8_1 2632 1 +BIT7_1 4172 1 +BIT6_1 4527 1 +BIT5_1 5358 1 +BIT4_1 5258 1 +BIT3_1 3897 1 +BIT2_1 3553 1 +BIT1_1 6585 1 +BIT0_1 6457 1 +BIT31_0 11827 1 +BIT30_0 12386 1 +BIT29_0 12394 1 +BIT28_0 12407 1 +BIT27_0 12471 1 +BIT26_0 12470 1 +BIT25_0 12475 1 +BIT24_0 12465 1 +BIT23_0 12470 1 +BIT22_0 12483 1 +BIT21_0 12486 1 +BIT20_0 12483 1 +BIT19_0 12472 1 +BIT18_0 12478 1 +BIT17_0 12450 1 +BIT16_0 12339 1 +BIT15_0 12057 1 +BIT14_0 12126 1 +BIT13_0 11983 1 +BIT12_0 12028 1 +BIT11_0 12036 1 +BIT10_0 12059 1 +BIT9_0 11958 1 +BIT8_0 12116 1 +BIT7_0 10576 1 +BIT6_0 10221 1 +BIT5_0 9390 1 +BIT4_0 9490 1 +BIT3_0 10851 1 +BIT2_0 11195 1 +BIT1_0 8163 1 +BIT0_0 8291 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_or_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_or_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_or_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_or_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3681 1 +auto_NON_ZERO 7285 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3374 1 +auto_NON_ZERO 7592 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1735 1 +auto_NON_ZERO 9231 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1436 1 +auto[1] 1378 1 +auto[2] 1290 1 +auto[3] 1466 1 +auto[4] 1386 1 +auto[5] 1317 1 +auto[6] 1346 1 +auto[7] 1347 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1324 1 +auto[1] 1352 1 +auto[2] 1364 1 +auto[3] 1318 1 +auto[4] 1322 1 +auto[5] 1392 1 +auto[6] 1444 1 +auto[7] 1450 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5070 1 +BIT30_1 3695 1 +BIT29_1 3725 1 +BIT28_1 3757 1 +BIT27_1 3676 1 +BIT26_1 3647 1 +BIT25_1 3612 1 +BIT24_1 3584 1 +BIT23_1 3614 1 +BIT22_1 3617 1 +BIT21_1 3619 1 +BIT20_1 3616 1 +BIT19_1 3587 1 +BIT18_1 3623 1 +BIT17_1 3618 1 +BIT16_1 3883 1 +BIT15_1 4491 1 +BIT14_1 4432 1 +BIT13_1 4698 1 +BIT12_1 4537 1 +BIT11_1 4803 1 +BIT10_1 4848 1 +BIT9_1 4604 1 +BIT8_1 4129 1 +BIT7_1 4905 1 +BIT6_1 4580 1 +BIT5_1 4685 1 +BIT4_1 5606 1 +BIT3_1 5550 1 +BIT2_1 5579 1 +BIT1_1 4653 1 +BIT0_1 5123 1 +BIT31_0 5896 1 +BIT30_0 7271 1 +BIT29_0 7241 1 +BIT28_0 7209 1 +BIT27_0 7290 1 +BIT26_0 7319 1 +BIT25_0 7354 1 +BIT24_0 7382 1 +BIT23_0 7352 1 +BIT22_0 7349 1 +BIT21_0 7347 1 +BIT20_0 7350 1 +BIT19_0 7379 1 +BIT18_0 7343 1 +BIT17_0 7348 1 +BIT16_0 7083 1 +BIT15_0 6475 1 +BIT14_0 6534 1 +BIT13_0 6268 1 +BIT12_0 6429 1 +BIT11_0 6163 1 +BIT10_0 6118 1 +BIT9_0 6362 1 +BIT8_0 6837 1 +BIT7_0 6061 1 +BIT6_0 6386 1 +BIT5_0 6281 1 +BIT4_0 5360 1 +BIT3_0 5416 1 +BIT2_0 5387 1 +BIT1_0 6313 1 +BIT0_0 5843 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3534 1 +BIT30_1 2139 1 +BIT29_1 2134 1 +BIT28_1 2171 1 +BIT27_1 2092 1 +BIT26_1 2074 1 +BIT25_1 2053 1 +BIT24_1 2051 1 +BIT23_1 2054 1 +BIT22_1 2067 1 +BIT21_1 2064 1 +BIT20_1 2106 1 +BIT19_1 2041 1 +BIT18_1 2092 1 +BIT17_1 2072 1 +BIT16_1 2259 1 +BIT15_1 2815 1 +BIT14_1 2787 1 +BIT13_1 3037 1 +BIT12_1 2859 1 +BIT11_1 3249 1 +BIT10_1 3298 1 +BIT9_1 2952 1 +BIT8_1 2458 1 +BIT7_1 3156 1 +BIT6_1 2766 1 +BIT5_1 2798 1 +BIT4_1 3845 1 +BIT3_1 3849 1 +BIT2_1 3853 1 +BIT1_1 2890 1 +BIT0_1 3180 1 +BIT31_0 7432 1 +BIT30_0 8827 1 +BIT29_0 8832 1 +BIT28_0 8795 1 +BIT27_0 8874 1 +BIT26_0 8892 1 +BIT25_0 8913 1 +BIT24_0 8915 1 +BIT23_0 8912 1 +BIT22_0 8899 1 +BIT21_0 8902 1 +BIT20_0 8860 1 +BIT19_0 8925 1 +BIT18_0 8874 1 +BIT17_0 8894 1 +BIT16_0 8707 1 +BIT15_0 8151 1 +BIT14_0 8179 1 +BIT13_0 7929 1 +BIT12_0 8107 1 +BIT11_0 7717 1 +BIT10_0 7668 1 +BIT9_0 8014 1 +BIT8_0 8508 1 +BIT7_0 7810 1 +BIT6_0 8200 1 +BIT5_0 8168 1 +BIT4_0 7121 1 +BIT3_0 7117 1 +BIT2_0 7113 1 +BIT1_0 8076 1 +BIT0_0 7786 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2902 1 +BIT30_1 2338 1 +BIT29_1 2343 1 +BIT28_1 2376 1 +BIT27_1 2330 1 +BIT26_1 2299 1 +BIT25_1 2306 1 +BIT24_1 2283 1 +BIT23_1 2296 1 +BIT22_1 2291 1 +BIT21_1 2296 1 +BIT20_1 2266 1 +BIT19_1 2265 1 +BIT18_1 2285 1 +BIT17_1 2300 1 +BIT16_1 2460 1 +BIT15_1 2743 1 +BIT14_1 2716 1 +BIT13_1 2829 1 +BIT12_1 2779 1 +BIT11_1 2739 1 +BIT10_1 2760 1 +BIT9_1 2792 1 +BIT8_1 2623 1 +BIT7_1 3001 1 +BIT6_1 2953 1 +BIT5_1 2997 1 +BIT4_1 3338 1 +BIT3_1 3322 1 +BIT2_1 3302 1 +BIT1_1 2997 1 +BIT0_1 3374 1 +BIT31_0 8064 1 +BIT30_0 8628 1 +BIT29_0 8623 1 +BIT28_0 8590 1 +BIT27_0 8636 1 +BIT26_0 8667 1 +BIT25_0 8660 1 +BIT24_0 8683 1 +BIT23_0 8670 1 +BIT22_0 8675 1 +BIT21_0 8670 1 +BIT20_0 8700 1 +BIT19_0 8701 1 +BIT18_0 8681 1 +BIT17_0 8666 1 +BIT16_0 8506 1 +BIT15_0 8223 1 +BIT14_0 8250 1 +BIT13_0 8137 1 +BIT12_0 8187 1 +BIT11_0 8227 1 +BIT10_0 8206 1 +BIT9_0 8174 1 +BIT8_0 8343 1 +BIT7_0 7965 1 +BIT6_0 8013 1 +BIT5_0 7969 1 +BIT4_0 7628 1 +BIT3_0 7644 1 +BIT2_0 7664 1 +BIT1_0 7969 1 +BIT0_0 7592 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_xor_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_xor_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_xor_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_xor_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3686 1 +auto_NON_ZERO 7148 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3372 1 +auto_NON_ZERO 7462 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2958 1 +auto_NON_ZERO 7876 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1310 1 +auto[1] 1345 1 +auto[2] 1374 1 +auto[3] 1376 1 +auto[4] 1415 1 +auto[5] 1387 1 +auto[6] 1315 1 +auto[7] 1312 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1330 1 +auto[1] 1349 1 +auto[2] 1411 1 +auto[3] 1394 1 +auto[4] 1292 1 +auto[5] 1350 1 +auto[6] 1383 1 +auto[7] 1325 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3790 1 +BIT30_1 2894 1 +BIT29_1 2888 1 +BIT28_1 2894 1 +BIT27_1 2817 1 +BIT26_1 2801 1 +BIT25_1 2810 1 +BIT24_1 2784 1 +BIT23_1 2811 1 +BIT22_1 2812 1 +BIT21_1 2819 1 +BIT20_1 2804 1 +BIT19_1 2814 1 +BIT18_1 2845 1 +BIT17_1 2836 1 +BIT16_1 2984 1 +BIT15_1 3397 1 +BIT14_1 3313 1 +BIT13_1 3543 1 +BIT12_1 3327 1 +BIT11_1 3575 1 +BIT10_1 3622 1 +BIT9_1 3415 1 +BIT8_1 3150 1 +BIT7_1 3616 1 +BIT6_1 3318 1 +BIT5_1 3374 1 +BIT4_1 3932 1 +BIT3_1 3971 1 +BIT2_1 3902 1 +BIT1_1 3419 1 +BIT0_1 3585 1 +BIT31_0 7044 1 +BIT30_0 7940 1 +BIT29_0 7946 1 +BIT28_0 7940 1 +BIT27_0 8017 1 +BIT26_0 8033 1 +BIT25_0 8024 1 +BIT24_0 8050 1 +BIT23_0 8023 1 +BIT22_0 8022 1 +BIT21_0 8015 1 +BIT20_0 8030 1 +BIT19_0 8020 1 +BIT18_0 7989 1 +BIT17_0 7998 1 +BIT16_0 7850 1 +BIT15_0 7437 1 +BIT14_0 7521 1 +BIT13_0 7291 1 +BIT12_0 7507 1 +BIT11_0 7259 1 +BIT10_0 7212 1 +BIT9_0 7419 1 +BIT8_0 7684 1 +BIT7_0 7218 1 +BIT6_0 7516 1 +BIT5_0 7460 1 +BIT4_0 6902 1 +BIT3_0 6863 1 +BIT2_0 6932 1 +BIT1_0 7415 1 +BIT0_0 7249 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3556 1 +BIT30_1 2130 1 +BIT29_1 2131 1 +BIT28_1 2126 1 +BIT27_1 2104 1 +BIT26_1 2093 1 +BIT25_1 2022 1 +BIT24_1 2052 1 +BIT23_1 2075 1 +BIT22_1 2011 1 +BIT21_1 2033 1 +BIT20_1 2037 1 +BIT19_1 2061 1 +BIT18_1 2066 1 +BIT17_1 2060 1 +BIT16_1 2196 1 +BIT15_1 2783 1 +BIT14_1 2738 1 +BIT13_1 3015 1 +BIT12_1 2762 1 +BIT11_1 3201 1 +BIT10_1 3187 1 +BIT9_1 2871 1 +BIT8_1 2419 1 +BIT7_1 3142 1 +BIT6_1 2725 1 +BIT5_1 2750 1 +BIT4_1 3765 1 +BIT3_1 3721 1 +BIT2_1 3795 1 +BIT1_1 2782 1 +BIT0_1 3094 1 +BIT31_0 7278 1 +BIT30_0 8704 1 +BIT29_0 8703 1 +BIT28_0 8708 1 +BIT27_0 8730 1 +BIT26_0 8741 1 +BIT25_0 8812 1 +BIT24_0 8782 1 +BIT23_0 8759 1 +BIT22_0 8823 1 +BIT21_0 8801 1 +BIT20_0 8797 1 +BIT19_0 8773 1 +BIT18_0 8768 1 +BIT17_0 8774 1 +BIT16_0 8638 1 +BIT15_0 8051 1 +BIT14_0 8096 1 +BIT13_0 7819 1 +BIT12_0 8072 1 +BIT11_0 7633 1 +BIT10_0 7647 1 +BIT9_0 7963 1 +BIT8_0 8415 1 +BIT7_0 7692 1 +BIT6_0 8109 1 +BIT5_0 8084 1 +BIT4_0 7069 1 +BIT3_0 7113 1 +BIT2_0 7039 1 +BIT1_0 8052 1 +BIT0_0 7740 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2840 1 +BIT30_1 2274 1 +BIT29_1 2269 1 +BIT28_1 2284 1 +BIT27_1 2237 1 +BIT26_1 2202 1 +BIT25_1 2202 1 +BIT24_1 2218 1 +BIT23_1 2244 1 +BIT22_1 2201 1 +BIT21_1 2224 1 +BIT20_1 2251 1 +BIT19_1 2229 1 +BIT18_1 2239 1 +BIT17_1 2196 1 +BIT16_1 2384 1 +BIT15_1 2622 1 +BIT14_1 2595 1 +BIT13_1 2736 1 +BIT12_1 2649 1 +BIT11_1 2652 1 +BIT10_1 2729 1 +BIT9_1 2682 1 +BIT8_1 2605 1 +BIT7_1 3042 1 +BIT6_1 2851 1 +BIT5_1 2948 1 +BIT4_1 3263 1 +BIT3_1 3230 1 +BIT2_1 3327 1 +BIT1_1 3019 1 +BIT0_1 3281 1 +BIT31_0 7994 1 +BIT30_0 8560 1 +BIT29_0 8565 1 +BIT28_0 8550 1 +BIT27_0 8597 1 +BIT26_0 8632 1 +BIT25_0 8632 1 +BIT24_0 8616 1 +BIT23_0 8590 1 +BIT22_0 8633 1 +BIT21_0 8610 1 +BIT20_0 8583 1 +BIT19_0 8605 1 +BIT18_0 8595 1 +BIT17_0 8638 1 +BIT16_0 8450 1 +BIT15_0 8212 1 +BIT14_0 8239 1 +BIT13_0 8098 1 +BIT12_0 8185 1 +BIT11_0 8182 1 +BIT10_0 8105 1 +BIT9_0 8152 1 +BIT8_0 8229 1 +BIT7_0 7792 1 +BIT6_0 7983 1 +BIT5_0 7886 1 +BIT4_0 7571 1 +BIT3_0 7604 1 +BIT2_0 7507 1 +BIT1_0 7815 1 +BIT0_0 7553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_ca(withChksum=2304086666) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ca(withChksum=2304086666) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_sub_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 217 0 217 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_sub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_sub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 217 0 217 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_sub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_sub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3658 1 +auto_POSITIVE 4254 1 +auto_NEGATIVE 2931 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3359 1 +auto_POSITIVE 3946 1 +auto_NEGATIVE 3538 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2892 1 +auto_POSITIVE 4172 1 +auto_NEGATIVE 3779 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1279 1 +auto[1] 1345 1 +auto[2] 1351 1 +auto[3] 1367 1 +auto[4] 1364 1 +auto[5] 1363 1 +auto[6] 1472 1 +auto[7] 1302 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1340 1 +auto[1] 1334 1 +auto[2] 1436 1 +auto[3] 1327 1 +auto[4] 1353 1 +auto[5] 1329 1 +auto[6] 1376 1 +auto[7] 1348 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3779 1 +BIT30_1 4209 1 +BIT29_1 4233 1 +BIT28_1 4209 1 +BIT27_1 4207 1 +BIT26_1 4220 1 +BIT25_1 4250 1 +BIT24_1 4256 1 +BIT23_1 4208 1 +BIT22_1 4285 1 +BIT21_1 4246 1 +BIT20_1 4251 1 +BIT19_1 4298 1 +BIT18_1 4221 1 +BIT17_1 4188 1 +BIT16_1 4224 1 +BIT15_1 3825 1 +BIT14_1 3933 1 +BIT13_1 3700 1 +BIT12_1 3942 1 +BIT11_1 3571 1 +BIT10_1 3546 1 +BIT9_1 3838 1 +BIT8_1 3968 1 +BIT7_1 3784 1 +BIT6_1 3974 1 +BIT5_1 4025 1 +BIT4_1 3561 1 +BIT3_1 3399 1 +BIT2_1 3858 1 +BIT1_1 3321 1 +BIT0_1 3601 1 +BIT31_0 7064 1 +BIT30_0 6634 1 +BIT29_0 6610 1 +BIT28_0 6634 1 +BIT27_0 6636 1 +BIT26_0 6623 1 +BIT25_0 6593 1 +BIT24_0 6587 1 +BIT23_0 6635 1 +BIT22_0 6558 1 +BIT21_0 6597 1 +BIT20_0 6592 1 +BIT19_0 6545 1 +BIT18_0 6622 1 +BIT17_0 6655 1 +BIT16_0 6619 1 +BIT15_0 7018 1 +BIT14_0 6910 1 +BIT13_0 7143 1 +BIT12_0 6901 1 +BIT11_0 7272 1 +BIT10_0 7297 1 +BIT9_0 7005 1 +BIT8_0 6875 1 +BIT7_0 7059 1 +BIT6_0 6869 1 +BIT5_0 6818 1 +BIT4_0 7282 1 +BIT3_0 7444 1 +BIT2_0 6985 1 +BIT1_0 7522 1 +BIT0_0 7242 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3538 1 +BIT30_1 2157 1 +BIT29_1 2123 1 +BIT28_1 2159 1 +BIT27_1 2092 1 +BIT26_1 2070 1 +BIT25_1 2069 1 +BIT24_1 2078 1 +BIT23_1 2096 1 +BIT22_1 2071 1 +BIT21_1 2103 1 +BIT20_1 2083 1 +BIT19_1 2063 1 +BIT18_1 2053 1 +BIT17_1 2100 1 +BIT16_1 2208 1 +BIT15_1 2928 1 +BIT14_1 2796 1 +BIT13_1 3048 1 +BIT12_1 2845 1 +BIT11_1 3199 1 +BIT10_1 3297 1 +BIT9_1 2898 1 +BIT8_1 2396 1 +BIT7_1 3132 1 +BIT6_1 2663 1 +BIT5_1 2729 1 +BIT4_1 3733 1 +BIT3_1 3782 1 +BIT2_1 3736 1 +BIT1_1 2772 1 +BIT0_1 3083 1 +BIT31_0 7305 1 +BIT30_0 8686 1 +BIT29_0 8720 1 +BIT28_0 8684 1 +BIT27_0 8751 1 +BIT26_0 8773 1 +BIT25_0 8774 1 +BIT24_0 8765 1 +BIT23_0 8747 1 +BIT22_0 8772 1 +BIT21_0 8740 1 +BIT20_0 8760 1 +BIT19_0 8780 1 +BIT18_0 8790 1 +BIT17_0 8743 1 +BIT16_0 8635 1 +BIT15_0 7915 1 +BIT14_0 8047 1 +BIT13_0 7795 1 +BIT12_0 7998 1 +BIT11_0 7644 1 +BIT10_0 7546 1 +BIT9_0 7945 1 +BIT8_0 8447 1 +BIT7_0 7711 1 +BIT6_0 8180 1 +BIT5_0 8114 1 +BIT4_0 7110 1 +BIT3_0 7061 1 +BIT2_0 7107 1 +BIT1_0 8071 1 +BIT0_0 7760 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2931 1 +BIT30_1 2365 1 +BIT29_1 2351 1 +BIT28_1 2373 1 +BIT27_1 2294 1 +BIT26_1 2313 1 +BIT25_1 2272 1 +BIT24_1 2294 1 +BIT23_1 2294 1 +BIT22_1 2302 1 +BIT21_1 2301 1 +BIT20_1 2291 1 +BIT19_1 2303 1 +BIT18_1 2242 1 +BIT17_1 2261 1 +BIT16_1 2419 1 +BIT15_1 2685 1 +BIT14_1 2668 1 +BIT13_1 2721 1 +BIT12_1 2688 1 +BIT11_1 2714 1 +BIT10_1 2716 1 +BIT9_1 2725 1 +BIT8_1 2615 1 +BIT7_1 2987 1 +BIT6_1 2927 1 +BIT5_1 2998 1 +BIT4_1 3358 1 +BIT3_1 3319 1 +BIT2_1 3288 1 +BIT1_1 3009 1 +BIT0_1 3244 1 +BIT31_0 7912 1 +BIT30_0 8478 1 +BIT29_0 8492 1 +BIT28_0 8470 1 +BIT27_0 8549 1 +BIT26_0 8530 1 +BIT25_0 8571 1 +BIT24_0 8549 1 +BIT23_0 8549 1 +BIT22_0 8541 1 +BIT21_0 8542 1 +BIT20_0 8552 1 +BIT19_0 8540 1 +BIT18_0 8601 1 +BIT17_0 8582 1 +BIT16_0 8424 1 +BIT15_0 8158 1 +BIT14_0 8175 1 +BIT13_0 8122 1 +BIT12_0 8155 1 +BIT11_0 8129 1 +BIT10_0 8127 1 +BIT9_0 8118 1 +BIT8_0 8228 1 +BIT7_0 7856 1 +BIT6_0 7916 1 +BIT5_0 7845 1 +BIT4_0 7485 1 +BIT3_0 7524 1 +BIT2_0 7555 1 +BIT1_0 7834 1 +BIT0_0 7599 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_ciw + +=============================================================================== +Group : uvma_isacov_pkg::cg_ciw +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_addi4spn_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ciw + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 88 0 88 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ciw + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_addi4spn_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ciw + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_addi4spn_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 88 0 88 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_addi4spn_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1097 1 +auto[1] 1027 1 +auto[2] 1028 1 +auto[3] 1167 1 +auto[4] 1113 1 +auto[5] 1046 1 +auto[6] 1105 1 +auto[7] 1010 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2381 1 +BIT30_1 1144 1 +BIT29_1 1085 1 +BIT28_1 1151 1 +BIT27_1 1061 1 +BIT26_1 1047 1 +BIT25_1 1011 1 +BIT24_1 1016 1 +BIT23_1 996 1 +BIT22_1 1008 1 +BIT21_1 1015 1 +BIT20_1 1001 1 +BIT19_1 1026 1 +BIT18_1 1010 1 +BIT17_1 1098 1 +BIT16_1 1367 1 +BIT15_1 1632 1 +BIT14_1 1447 1 +BIT13_1 1615 1 +BIT12_1 1585 1 +BIT11_1 1607 1 +BIT10_1 1959 1 +BIT9_1 4327 1 +BIT8_1 4377 1 +BIT7_1 4292 1 +BIT6_1 4408 1 +BIT5_1 4415 1 +BIT4_1 4389 1 +BIT3_1 2606 1 +BIT2_1 2583 1 +BIT1_1 2357 1 +BIT0_1 2645 1 +BIT31_0 6212 1 +BIT30_0 7449 1 +BIT29_0 7508 1 +BIT28_0 7442 1 +BIT27_0 7532 1 +BIT26_0 7546 1 +BIT25_0 7582 1 +BIT24_0 7577 1 +BIT23_0 7597 1 +BIT22_0 7585 1 +BIT21_0 7578 1 +BIT20_0 7592 1 +BIT19_0 7567 1 +BIT18_0 7583 1 +BIT17_0 7495 1 +BIT16_0 7226 1 +BIT15_0 6961 1 +BIT14_0 7146 1 +BIT13_0 6978 1 +BIT12_0 7008 1 +BIT11_0 6986 1 +BIT10_0 6634 1 +BIT9_0 4266 1 +BIT8_0 4216 1 +BIT7_0 4301 1 +BIT6_0 4185 1 +BIT5_0 4178 1 +BIT4_0 4204 1 +BIT3_0 5987 1 +BIT2_0 6010 1 +BIT1_0 6236 1 +BIT0_0 5948 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 4232 1 +BIT6_1 4301 1 +BIT5_1 4353 1 +BIT4_1 4561 1 +BIT3_1 4596 1 +BIT2_1 4652 1 +BIT1_1 4 1 +BIT0_1 5 1 +BIT7_0 4361 1 +BIT6_0 4292 1 +BIT5_0 4240 1 +BIT4_0 4032 1 +BIT3_0 3997 1 +BIT2_0 3941 1 +BIT1_0 8589 1 +BIT0_0 8588 1 + + +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_slt_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 296 0 296 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_slt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_slt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 296 0 296 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_slt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_slt_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 659 1 +auto[1] 603 1 +auto[2] 676 1 +auto[3] 735 1 +auto[4] 598 1 +auto[5] 632 1 +auto[6] 619 1 +auto[7] 737 1 +auto[8] 626 1 +auto[9] 631 1 +auto[10] 657 1 +auto[11] 698 1 +auto[12] 700 1 +auto[13] 625 1 +auto[14] 617 1 +auto[15] 663 1 +auto[16] 604 1 +auto[17] 647 1 +auto[18] 642 1 +auto[19] 668 1 +auto[20] 674 1 +auto[21] 638 1 +auto[22] 626 1 +auto[23] 648 1 +auto[24] 649 1 +auto[25] 601 1 +auto[26] 754 1 +auto[27] 602 1 +auto[28] 602 1 +auto[29] 670 1 +auto[30] 601 1 +auto[31] 679 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 707 1 +auto[1] 622 1 +auto[2] 678 1 +auto[3] 691 1 +auto[4] 620 1 +auto[5] 618 1 +auto[6] 659 1 +auto[7] 607 1 +auto[8] 711 1 +auto[9] 573 1 +auto[10] 668 1 +auto[11] 618 1 +auto[12] 635 1 +auto[13] 655 1 +auto[14] 638 1 +auto[15] 622 1 +auto[16] 655 1 +auto[17] 656 1 +auto[18] 631 1 +auto[19] 735 1 +auto[20] 644 1 +auto[21] 605 1 +auto[22] 686 1 +auto[23] 658 1 +auto[24] 673 1 +auto[25] 631 1 +auto[26] 649 1 +auto[27] 657 1 +auto[28] 613 1 +auto[29] 618 1 +auto[30] 683 1 +auto[31] 665 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 652 1 +auto[1] 665 1 +auto[2] 579 1 +auto[3] 652 1 +auto[4] 590 1 +auto[5] 617 1 +auto[6] 666 1 +auto[7] 627 1 +auto[8] 707 1 +auto[9] 660 1 +auto[10] 761 1 +auto[11] 715 1 +auto[12] 618 1 +auto[13] 648 1 +auto[14] 631 1 +auto[15] 573 1 +auto[16] 692 1 +auto[17] 651 1 +auto[18] 726 1 +auto[19] 663 1 +auto[20] 679 1 +auto[21] 661 1 +auto[22] 600 1 +auto[23] 721 1 +auto[24] 602 1 +auto[25] 683 1 +auto[26] 619 1 +auto[27] 596 1 +auto[28] 638 1 +auto[29] 628 1 +auto[30] 638 1 +auto[31] 623 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 70 1 +RD_01 61 1 +RD_02 62 1 +RD_03 69 1 +RD_04 56 1 +RD_05 67 1 +RD_06 62 1 +RD_07 65 1 +RD_08 49 1 +RD_09 67 1 +RD_0a 71 1 +RD_0b 75 1 +RD_0c 79 1 +RD_0d 67 1 +RD_0e 78 1 +RD_0f 71 1 +RD_10 73 1 +RD_11 78 1 +RD_12 73 1 +RD_13 70 1 +RD_14 77 1 +RD_15 68 1 +RD_16 74 1 +RD_17 59 1 +RD_18 59 1 +RD_19 71 1 +RD_1a 80 1 +RD_1b 60 1 +RD_1c 65 1 +RD_1d 71 1 +RD_1e 70 1 +RD_1f 62 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 67 1 +RD_02 59 1 +RD_03 83 1 +RD_04 63 1 +RD_05 70 1 +RD_06 63 1 +RD_07 69 1 +RD_08 56 1 +RD_09 68 1 +RD_0a 75 1 +RD_0b 75 1 +RD_0c 64 1 +RD_0d 75 1 +RD_0e 81 1 +RD_0f 66 1 +RD_10 70 1 +RD_11 61 1 +RD_12 77 1 +RD_13 64 1 +RD_14 68 1 +RD_15 73 1 +RD_16 67 1 +RD_17 70 1 +RD_18 71 1 +RD_19 67 1 +RD_1a 67 1 +RD_1b 60 1 +RD_1c 66 1 +RD_1d 70 1 +RD_1e 60 1 +RD_1f 63 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7188 1 +auto_POSITIVE 7232 1 +auto_NEGATIVE 6361 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7150 1 +auto_POSITIVE 7123 1 +auto_NEGATIVE 6508 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 12721 1 +SLT_1 8060 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6361 1 +BIT30_1 4268 1 +BIT29_1 4274 1 +BIT28_1 4338 1 +BIT27_1 4167 1 +BIT26_1 4104 1 +BIT25_1 4153 1 +BIT24_1 4149 1 +BIT23_1 4051 1 +BIT22_1 4322 1 +BIT21_1 4163 1 +BIT20_1 4219 1 +BIT19_1 4321 1 +BIT18_1 4209 1 +BIT17_1 4217 1 +BIT16_1 4330 1 +BIT15_1 5292 1 +BIT14_1 5210 1 +BIT13_1 5275 1 +BIT12_1 5252 1 +BIT11_1 5629 1 +BIT10_1 5805 1 +BIT9_1 5052 1 +BIT8_1 4601 1 +BIT7_1 5390 1 +BIT6_1 4981 1 +BIT5_1 4940 1 +BIT4_1 6362 1 +BIT3_1 6580 1 +BIT2_1 6380 1 +BIT1_1 4970 1 +BIT0_1 5896 1 +BIT31_0 14420 1 +BIT30_0 16513 1 +BIT29_0 16507 1 +BIT28_0 16443 1 +BIT27_0 16614 1 +BIT26_0 16677 1 +BIT25_0 16628 1 +BIT24_0 16632 1 +BIT23_0 16730 1 +BIT22_0 16459 1 +BIT21_0 16618 1 +BIT20_0 16562 1 +BIT19_0 16460 1 +BIT18_0 16572 1 +BIT17_0 16564 1 +BIT16_0 16451 1 +BIT15_0 15489 1 +BIT14_0 15571 1 +BIT13_0 15506 1 +BIT12_0 15529 1 +BIT11_0 15152 1 +BIT10_0 14976 1 +BIT9_0 15729 1 +BIT8_0 16180 1 +BIT7_0 15391 1 +BIT6_0 15800 1 +BIT5_0 15841 1 +BIT4_0 14419 1 +BIT3_0 14201 1 +BIT2_0 14401 1 +BIT1_0 15811 1 +BIT0_0 14885 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6508 1 +BIT30_1 4339 1 +BIT29_1 4294 1 +BIT28_1 4338 1 +BIT27_1 4127 1 +BIT26_1 4134 1 +BIT25_1 4046 1 +BIT24_1 4090 1 +BIT23_1 4070 1 +BIT22_1 4129 1 +BIT21_1 4087 1 +BIT20_1 4140 1 +BIT19_1 4103 1 +BIT18_1 4131 1 +BIT17_1 4155 1 +BIT16_1 4290 1 +BIT15_1 5174 1 +BIT14_1 5182 1 +BIT13_1 5353 1 +BIT12_1 5200 1 +BIT11_1 5730 1 +BIT10_1 5758 1 +BIT9_1 5195 1 +BIT8_1 4590 1 +BIT7_1 5537 1 +BIT6_1 4884 1 +BIT5_1 5121 1 +BIT4_1 6384 1 +BIT3_1 6554 1 +BIT2_1 6529 1 +BIT1_1 5167 1 +BIT0_1 5853 1 +BIT31_0 14273 1 +BIT30_0 16442 1 +BIT29_0 16487 1 +BIT28_0 16443 1 +BIT27_0 16654 1 +BIT26_0 16647 1 +BIT25_0 16735 1 +BIT24_0 16691 1 +BIT23_0 16711 1 +BIT22_0 16652 1 +BIT21_0 16694 1 +BIT20_0 16641 1 +BIT19_0 16678 1 +BIT18_0 16650 1 +BIT17_0 16626 1 +BIT16_0 16491 1 +BIT15_0 15607 1 +BIT14_0 15599 1 +BIT13_0 15428 1 +BIT12_0 15581 1 +BIT11_0 15051 1 +BIT10_0 15023 1 +BIT9_0 15586 1 +BIT8_0 16191 1 +BIT7_0 15244 1 +BIT6_0 15897 1 +BIT5_0 15660 1 +BIT4_0 14397 1 +BIT3_0 14227 1 +BIT2_0 14252 1 +BIT1_0 15614 1 +BIT0_0 14928 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3302 1 +auto_ZERO auto_POSITIVE 2042 1 +auto_ZERO auto_NEGATIVE 1844 1 +auto_POSITIVE auto_ZERO 2034 1 +auto_POSITIVE auto_POSITIVE 3057 1 +auto_POSITIVE auto_NEGATIVE 2141 1 +auto_NEGATIVE auto_ZERO 1814 1 +auto_NEGATIVE auto_POSITIVE 2024 1 +auto_NEGATIVE auto_NEGATIVE 2523 1 + + +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sltu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sltu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 665 1 +auto[1] 707 1 +auto[2] 770 1 +auto[3] 634 1 +auto[4] 718 1 +auto[5] 678 1 +auto[6] 672 1 +auto[7] 715 1 +auto[8] 632 1 +auto[9] 680 1 +auto[10] 654 1 +auto[11] 696 1 +auto[12] 626 1 +auto[13] 559 1 +auto[14] 654 1 +auto[15] 681 1 +auto[16] 626 1 +auto[17] 684 1 +auto[18] 597 1 +auto[19] 671 1 +auto[20] 607 1 +auto[21] 628 1 +auto[22] 702 1 +auto[23] 674 1 +auto[24] 609 1 +auto[25] 651 1 +auto[26] 704 1 +auto[27] 654 1 +auto[28] 594 1 +auto[29] 604 1 +auto[30] 640 1 +auto[31] 633 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 612 1 +auto[1] 666 1 +auto[2] 681 1 +auto[3] 547 1 +auto[4] 726 1 +auto[5] 675 1 +auto[6] 659 1 +auto[7] 653 1 +auto[8] 717 1 +auto[9] 635 1 +auto[10] 677 1 +auto[11] 632 1 +auto[12] 651 1 +auto[13] 583 1 +auto[14] 578 1 +auto[15] 624 1 +auto[16] 689 1 +auto[17] 649 1 +auto[18] 646 1 +auto[19] 659 1 +auto[20] 657 1 +auto[21] 683 1 +auto[22] 737 1 +auto[23] 647 1 +auto[24] 714 1 +auto[25] 612 1 +auto[26] 630 1 +auto[27] 712 1 +auto[28] 636 1 +auto[29] 680 1 +auto[30] 658 1 +auto[31] 694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 654 1 +auto[1] 688 1 +auto[2] 621 1 +auto[3] 816 1 +auto[4] 729 1 +auto[5] 582 1 +auto[6] 654 1 +auto[7] 721 1 +auto[8] 628 1 +auto[9] 687 1 +auto[10] 598 1 +auto[11] 679 1 +auto[12] 705 1 +auto[13] 645 1 +auto[14] 639 1 +auto[15] 631 1 +auto[16] 715 1 +auto[17] 595 1 +auto[18] 676 1 +auto[19] 639 1 +auto[20] 586 1 +auto[21] 580 1 +auto[22] 590 1 +auto[23] 629 1 +auto[24] 687 1 +auto[25] 662 1 +auto[26] 635 1 +auto[27] 727 1 +auto[28] 639 1 +auto[29] 624 1 +auto[30] 718 1 +auto[31] 640 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 68 1 +RD_02 78 1 +RD_03 64 1 +RD_04 167 1 +RD_05 62 1 +RD_06 66 1 +RD_07 62 1 +RD_08 66 1 +RD_09 59 1 +RD_0a 67 1 +RD_0b 74 1 +RD_0c 66 1 +RD_0d 51 1 +RD_0e 84 1 +RD_0f 68 1 +RD_10 63 1 +RD_11 66 1 +RD_12 72 1 +RD_13 57 1 +RD_14 65 1 +RD_15 58 1 +RD_16 62 1 +RD_17 74 1 +RD_18 76 1 +RD_19 63 1 +RD_1a 71 1 +RD_1b 78 1 +RD_1c 58 1 +RD_1d 67 1 +RD_1e 75 1 +RD_1f 89 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 56 1 +RD_02 78 1 +RD_03 60 1 +RD_04 164 1 +RD_05 60 1 +RD_06 68 1 +RD_07 60 1 +RD_08 63 1 +RD_09 55 1 +RD_0a 65 1 +RD_0b 77 1 +RD_0c 71 1 +RD_0d 57 1 +RD_0e 68 1 +RD_0f 63 1 +RD_10 64 1 +RD_11 68 1 +RD_12 86 1 +RD_13 54 1 +RD_14 72 1 +RD_15 56 1 +RD_16 64 1 +RD_17 80 1 +RD_18 83 1 +RD_19 72 1 +RD_1a 62 1 +RD_1b 75 1 +RD_1c 59 1 +RD_1d 62 1 +RD_1e 85 1 +RD_1f 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7434 1 +auto_NON_ZERO 13585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7224 1 +auto_NON_ZERO 13795 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 12716 1 +SLT_1 8303 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6249 1 +BIT30_1 4118 1 +BIT29_1 4124 1 +BIT28_1 4103 1 +BIT27_1 4019 1 +BIT26_1 3933 1 +BIT25_1 3900 1 +BIT24_1 3914 1 +BIT23_1 3891 1 +BIT22_1 3974 1 +BIT21_1 3887 1 +BIT20_1 3986 1 +BIT19_1 4027 1 +BIT18_1 3954 1 +BIT17_1 3925 1 +BIT16_1 4126 1 +BIT15_1 5102 1 +BIT14_1 4901 1 +BIT13_1 5109 1 +BIT12_1 4994 1 +BIT11_1 5485 1 +BIT10_1 5535 1 +BIT9_1 4918 1 +BIT8_1 4439 1 +BIT7_1 5313 1 +BIT6_1 4872 1 +BIT5_1 4945 1 +BIT4_1 6031 1 +BIT3_1 6291 1 +BIT2_1 6286 1 +BIT1_1 5027 1 +BIT0_1 5758 1 +BIT31_0 14770 1 +BIT30_0 16901 1 +BIT29_0 16895 1 +BIT28_0 16916 1 +BIT27_0 17000 1 +BIT26_0 17086 1 +BIT25_0 17119 1 +BIT24_0 17105 1 +BIT23_0 17128 1 +BIT22_0 17045 1 +BIT21_0 17132 1 +BIT20_0 17033 1 +BIT19_0 16992 1 +BIT18_0 17065 1 +BIT17_0 17094 1 +BIT16_0 16893 1 +BIT15_0 15917 1 +BIT14_0 16118 1 +BIT13_0 15910 1 +BIT12_0 16025 1 +BIT11_0 15534 1 +BIT10_0 15484 1 +BIT9_0 16101 1 +BIT8_0 16580 1 +BIT7_0 15706 1 +BIT6_0 16147 1 +BIT5_0 16074 1 +BIT4_0 14988 1 +BIT3_0 14728 1 +BIT2_0 14733 1 +BIT1_0 15992 1 +BIT0_0 15261 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6576 1 +BIT30_1 4172 1 +BIT29_1 4133 1 +BIT28_1 4209 1 +BIT27_1 4092 1 +BIT26_1 4127 1 +BIT25_1 3978 1 +BIT24_1 4007 1 +BIT23_1 3985 1 +BIT22_1 4038 1 +BIT21_1 4000 1 +BIT20_1 4056 1 +BIT19_1 4096 1 +BIT18_1 4023 1 +BIT17_1 3967 1 +BIT16_1 4292 1 +BIT15_1 5145 1 +BIT14_1 5058 1 +BIT13_1 5317 1 +BIT12_1 5104 1 +BIT11_1 5577 1 +BIT10_1 5759 1 +BIT9_1 5101 1 +BIT8_1 4451 1 +BIT7_1 5485 1 +BIT6_1 5023 1 +BIT5_1 4943 1 +BIT4_1 6429 1 +BIT3_1 6407 1 +BIT2_1 6316 1 +BIT1_1 5128 1 +BIT0_1 5811 1 +BIT31_0 14443 1 +BIT30_0 16847 1 +BIT29_0 16886 1 +BIT28_0 16810 1 +BIT27_0 16927 1 +BIT26_0 16892 1 +BIT25_0 17041 1 +BIT24_0 17012 1 +BIT23_0 17034 1 +BIT22_0 16981 1 +BIT21_0 17019 1 +BIT20_0 16963 1 +BIT19_0 16923 1 +BIT18_0 16996 1 +BIT17_0 17052 1 +BIT16_0 16727 1 +BIT15_0 15874 1 +BIT14_0 15961 1 +BIT13_0 15702 1 +BIT12_0 15915 1 +BIT11_0 15442 1 +BIT10_0 15260 1 +BIT9_0 15918 1 +BIT8_0 16568 1 +BIT7_0 15534 1 +BIT6_0 15996 1 +BIT5_0 16076 1 +BIT4_0 14590 1 +BIT3_0 14612 1 +BIT2_0 14703 1 +BIT1_0 15891 1 +BIT0_0 15208 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3524 1 +auto_ZERO auto_NON_ZERO 3910 1 +auto_NON_ZERO auto_ZERO 3700 1 +auto_NON_ZERO auto_NON_ZERO 9885 1 + + +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrc_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrs_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 283 0 283 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrc_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 283 0 283 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 6052 1 +auto[1] 75 1 +auto[2] 59 1 +auto[3] 726 1 +auto[4] 70 1 +auto[5] 71 1 +auto[6] 72 1 +auto[7] 68 1 +auto[8] 76 1 +auto[9] 56 1 +auto[10] 77 1 +auto[11] 85 1 +auto[12] 71 1 +auto[13] 72 1 +auto[14] 60 1 +auto[15] 70 1 +auto[16] 67 1 +auto[17] 64 1 +auto[18] 75 1 +auto[19] 83 1 +auto[20] 57 1 +auto[21] 67 1 +auto[22] 63 1 +auto[23] 65 1 +auto[24] 74 1 +auto[25] 53 1 +auto[26] 67 1 +auto[27] 66 1 +auto[28] 89 1 +auto[29] 81 1 +auto[30] 72 1 +auto[31] 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 308 1 +auto[1] 281 1 +auto[2] 217 1 +auto[3] 273 1 +auto[4] 263 1 +auto[5] 248 1 +auto[6] 260 1 +auto[7] 257 1 +auto[8] 263 1 +auto[9] 234 1 +auto[10] 231 1 +auto[11] 230 1 +auto[12] 259 1 +auto[13] 287 1 +auto[14] 941 1 +auto[15] 267 1 +auto[16] 218 1 +auto[17] 253 1 +auto[18] 242 1 +auto[19] 245 1 +auto[20] 282 1 +auto[21] 279 1 +auto[22] 262 1 +auto[23] 245 1 +auto[24] 250 1 +auto[25] 265 1 +auto[26] 250 1 +auto[27] 254 1 +auto[28] 244 1 +auto[29] 244 1 +auto[30] 247 1 +auto[31] 276 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 25 1 +CSR_MISA 25 1 +CSR_MIE 24 1 +CSR_MTVEC 31 1 +CSR_MSTATUSH 21 1 +CSR_MCOUNTINHIBIT 24 1 +CSR_MHPMEVENT3 41 1 +CSR_MHPMEVENT4 33 1 +CSR_MHPMEVENT5 22 1 +CSR_MHPMEVENT6 28 1 +CSR_MHPMEVENT7 36 1 +CSR_MHPMEVENT8 28 1 +CSR_MHPMEVENT9 34 1 +CSR_MHPMEVENT10 24 1 +CSR_MHPMEVENT11 34 1 +CSR_MHPMEVENT12 42 1 +CSR_MHPMEVENT13 28 1 +CSR_MHPMEVENT14 30 1 +CSR_MHPMEVENT15 31 1 +CSR_MHPMEVENT16 36 1 +CSR_MHPMEVENT17 21 1 +CSR_MHPMEVENT18 24 1 +CSR_MHPMEVENT19 36 1 +CSR_MHPMEVENT20 43 1 +CSR_MHPMEVENT21 20 1 +CSR_MHPMEVENT22 36 1 +CSR_MHPMEVENT23 30 1 +CSR_MHPMEVENT24 26 1 +CSR_MHPMEVENT25 25 1 +CSR_MHPMEVENT26 28 1 +CSR_MHPMEVENT27 34 1 +CSR_MHPMEVENT28 24 1 +CSR_MHPMEVENT29 28 1 +CSR_MHPMEVENT30 36 1 +CSR_MHPMEVENT31 34 1 +CSR_MSCRATCH 3813 1 +CSR_MEPC 25 1 +CSR_MCAUSE 25 1 +CSR_MTVAL 39 1 +CSR_MIP 25 1 +CSR_PMPCFG0 29 1 +CSR_PMPCFG1 28 1 +CSR_PMPCFG2 35 1 +CSR_PMPCFG3 21 1 +CSR_PMPCFG4 23 1 +CSR_PMPCFG5 23 1 +CSR_PMPCFG6 40 1 +CSR_PMPCFG7 16 1 +CSR_PMPCFG8 23 1 +CSR_PMPCFG9 23 1 +CSR_PMPCFG10 30 1 +CSR_PMPCFG11 28 1 +CSR_PMPCFG12 22 1 +CSR_PMPCFG13 24 1 +CSR_PMPCFG14 22 1 +CSR_PMPCFG15 18 1 +CSR_PMPADDR0 30 1 +CSR_PMPADDR1 21 1 +CSR_PMPADDR2 28 1 +CSR_PMPADDR3 29 1 +CSR_PMPADDR4 24 1 +CSR_PMPADDR5 29 1 +CSR_PMPADDR6 31 1 +CSR_PMPADDR7 42 1 +CSR_PMPADDR8 29 1 +CSR_PMPADDR9 34 1 +CSR_PMPADDR10 39 1 +CSR_PMPADDR11 28 1 +CSR_PMPADDR12 28 1 +CSR_PMPADDR13 29 1 +CSR_PMPADDR14 25 1 +CSR_PMPADDR15 29 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 26 1 +CSR_PMPADDR18 23 1 +CSR_PMPADDR19 26 1 +CSR_PMPADDR20 28 1 +CSR_PMPADDR21 27 1 +CSR_PMPADDR22 33 1 +CSR_PMPADDR23 25 1 +CSR_PMPADDR24 27 1 +CSR_PMPADDR25 24 1 +CSR_PMPADDR26 27 1 +CSR_PMPADDR27 27 1 +CSR_PMPADDR28 33 1 +CSR_PMPADDR29 26 1 +CSR_PMPADDR30 29 1 +CSR_PMPADDR31 33 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 23 1 +CSR_PMPADDR34 25 1 +CSR_PMPADDR35 25 1 +CSR_PMPADDR36 23 1 +CSR_PMPADDR37 22 1 +CSR_PMPADDR38 23 1 +CSR_PMPADDR39 22 1 +CSR_PMPADDR40 30 1 +CSR_PMPADDR41 30 1 +CSR_PMPADDR42 28 1 +CSR_PMPADDR43 28 1 +CSR_PMPADDR44 24 1 +CSR_PMPADDR45 22 1 +CSR_PMPADDR46 22 1 +CSR_PMPADDR47 20 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 19 1 +CSR_PMPADDR50 28 1 +CSR_PMPADDR51 23 1 +CSR_PMPADDR52 17 1 +CSR_PMPADDR53 29 1 +CSR_PMPADDR54 32 1 +CSR_PMPADDR55 24 1 +CSR_PMPADDR56 18 1 +CSR_PMPADDR57 20 1 +CSR_PMPADDR58 30 1 +CSR_PMPADDR59 27 1 +CSR_PMPADDR60 22 1 +CSR_PMPADDR61 33 1 +CSR_PMPADDR62 26 1 +CSR_PMPADDR63 33 1 +CSR_MCYCLE 34 1 +CSR_MINSTRET 35 1 +CSR_MHPMCOUNTER3 20 1 +CSR_MHPMCOUNTER4 30 1 +CSR_MHPMCOUNTER5 31 1 +CSR_MHPMCOUNTER6 29 1 +CSR_MHPMCOUNTER7 32 1 +CSR_MHPMCOUNTER8 27 1 +CSR_MHPMCOUNTER9 29 1 +CSR_MHPMCOUNTER10 22 1 +CSR_MHPMCOUNTER11 21 1 +CSR_MHPMCOUNTER12 25 1 +CSR_MHPMCOUNTER13 25 1 +CSR_MHPMCOUNTER14 38 1 +CSR_MHPMCOUNTER15 39 1 +CSR_MHPMCOUNTER16 23 1 +CSR_MHPMCOUNTER17 23 1 +CSR_MHPMCOUNTER18 35 1 +CSR_MHPMCOUNTER19 32 1 +CSR_MHPMCOUNTER20 36 1 +CSR_MHPMCOUNTER21 38 1 +CSR_MHPMCOUNTER22 27 1 +CSR_MHPMCOUNTER23 32 1 +CSR_MHPMCOUNTER24 33 1 +CSR_MHPMCOUNTER25 30 1 +CSR_MHPMCOUNTER26 31 1 +CSR_MHPMCOUNTER27 33 1 +CSR_MHPMCOUNTER28 30 1 +CSR_MHPMCOUNTER29 35 1 +CSR_MHPMCOUNTER30 36 1 +CSR_MHPMCOUNTER31 27 1 +CSR_MCYCLEH 28 1 +CSR_MINSTRETH 36 1 +CSR_MHPMCOUNTER3H 30 1 +CSR_MHPMCOUNTER4H 29 1 +CSR_MHPMCOUNTER5H 30 1 +CSR_MHPMCOUNTER6H 37 1 +CSR_MHPMCOUNTER7H 25 1 +CSR_MHPMCOUNTER8H 30 1 +CSR_MHPMCOUNTER9H 31 1 +CSR_MHPMCOUNTER10H 30 1 +CSR_MHPMCOUNTER11H 31 1 +CSR_MHPMCOUNTER12H 28 1 +CSR_MHPMCOUNTER13H 23 1 +CSR_MHPMCOUNTER14H 28 1 +CSR_MHPMCOUNTER15H 25 1 +CSR_MHPMCOUNTER16H 34 1 +CSR_MHPMCOUNTER17H 26 1 +CSR_MHPMCOUNTER18H 28 1 +CSR_MHPMCOUNTER19H 22 1 +CSR_MHPMCOUNTER20H 26 1 +CSR_MHPMCOUNTER21H 25 1 +CSR_MHPMCOUNTER22H 30 1 +CSR_MHPMCOUNTER23H 33 1 +CSR_MHPMCOUNTER24H 35 1 +CSR_MHPMCOUNTER25H 29 1 +CSR_MHPMCOUNTER26H 28 1 +CSR_MHPMCOUNTER27H 27 1 +CSR_MHPMCOUNTER28H 28 1 +CSR_MHPMCOUNTER29H 33 1 +CSR_MHPMCOUNTER30H 18 1 +CSR_MHPMCOUNTER31H 33 1 +CSR_MVENDORID 18 1 +CSR_MARCHID 1 1 +CSR_MIMPID 1 1 +CSR_MHARTID 1 1 +CSR_MCONFIGPTR 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 223 1 +RD_01 6 1 +RD_02 2 1 +RD_03 2 1 +RD_04 1 1 +RD_05 3 1 +RD_06 4 1 +RD_07 3 1 +RD_08 3 1 +RD_09 3 1 +RD_0a 1 1 +RD_0b 7 1 +RD_0c 2 1 +RD_0d 1 1 +RD_0e 2 1 +RD_0f 3 1 +RD_10 4 1 +RD_11 1 1 +RD_12 5 1 +RD_13 4 1 +RD_14 4 1 +RD_15 5 1 +RD_16 2 1 +RD_17 5 1 +RD_18 1 1 +RD_19 2 1 +RD_1a 4 1 +RD_1b 5 1 +RD_1c 4 1 +RD_1d 3 1 +RD_1e 5 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrs_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 283 0 283 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1436187 1 +auto[1] 68 1 +auto[2] 76 1 +auto[3] 741 1 +auto[4] 69 1 +auto[5] 84 1 +auto[6] 86 1 +auto[7] 66 1 +auto[8] 80 1 +auto[9] 69 1 +auto[10] 63 1 +auto[11] 64 1 +auto[12] 73 1 +auto[13] 75 1 +auto[14] 66 1 +auto[15] 84 1 +auto[16] 78 1 +auto[17] 72 1 +auto[18] 81 1 +auto[19] 68 1 +auto[20] 73 1 +auto[21] 78 1 +auto[22] 64 1 +auto[23] 71 1 +auto[24] 82 1 +auto[25] 74 1 +auto[26] 94 1 +auto[27] 78 1 +auto[28] 82 1 +auto[29] 76 1 +auto[30] 83 1 +auto[31] 69 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 293 1 +auto[1] 240 1 +auto[2] 26295 1 +auto[3] 300 1 +auto[4] 24741 1 +auto[5] 30399 1 +auto[6] 65946 1 +auto[7] 34867 1 +auto[8] 41584 1 +auto[9] 33541 1 +auto[10] 57646 1 +auto[11] 38161 1 +auto[12] 31900 1 +auto[13] 38735 1 +auto[14] 62754 1 +auto[15] 43962 1 +auto[16] 25660 1 +auto[17] 37088 1 +auto[18] 25615 1 +auto[19] 57468 1 +auto[20] 97007 1 +auto[21] 110609 1 +auto[22] 55120 1 +auto[23] 37263 1 +auto[24] 26975 1 +auto[25] 74683 1 +auto[26] 61043 1 +auto[27] 24926 1 +auto[28] 16478 1 +auto[29] 172983 1 +auto[30] 59125 1 +auto[31] 25767 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 265359 1 +CSR_MISA 64 1 +CSR_MIE 102 1 +CSR_MTVEC 57 1 +CSR_MSTATUSH 71 1 +CSR_MCOUNTINHIBIT 35 1 +CSR_MHPMEVENT3 56 1 +CSR_MHPMEVENT4 63 1 +CSR_MHPMEVENT5 51 1 +CSR_MHPMEVENT6 54 1 +CSR_MHPMEVENT7 57 1 +CSR_MHPMEVENT8 57 1 +CSR_MHPMEVENT9 63 1 +CSR_MHPMEVENT10 57 1 +CSR_MHPMEVENT11 64 1 +CSR_MHPMEVENT12 59 1 +CSR_MHPMEVENT13 59 1 +CSR_MHPMEVENT14 58 1 +CSR_MHPMEVENT15 65 1 +CSR_MHPMEVENT16 64 1 +CSR_MHPMEVENT17 66 1 +CSR_MHPMEVENT18 60 1 +CSR_MHPMEVENT19 70 1 +CSR_MHPMEVENT20 51 1 +CSR_MHPMEVENT21 55 1 +CSR_MHPMEVENT22 67 1 +CSR_MHPMEVENT23 54 1 +CSR_MHPMEVENT24 55 1 +CSR_MHPMEVENT25 56 1 +CSR_MHPMEVENT26 57 1 +CSR_MHPMEVENT27 56 1 +CSR_MHPMEVENT28 61 1 +CSR_MHPMEVENT29 59 1 +CSR_MHPMEVENT30 61 1 +CSR_MHPMEVENT31 56 1 +CSR_MSCRATCH 3907 1 +CSR_MEPC 482682 1 +CSR_MCAUSE 470539 1 +CSR_MTVAL 51 1 +CSR_MIP 205351 1 +CSR_PMPCFG0 71 1 +CSR_PMPCFG1 74 1 +CSR_PMPCFG2 79 1 +CSR_PMPCFG3 78 1 +CSR_PMPCFG4 47 1 +CSR_PMPCFG5 44 1 +CSR_PMPCFG6 36 1 +CSR_PMPCFG7 49 1 +CSR_PMPCFG8 33 1 +CSR_PMPCFG9 47 1 +CSR_PMPCFG10 38 1 +CSR_PMPCFG11 36 1 +CSR_PMPCFG12 42 1 +CSR_PMPCFG13 37 1 +CSR_PMPCFG14 39 1 +CSR_PMPCFG15 50 1 +CSR_PMPADDR0 59 1 +CSR_PMPADDR1 57 1 +CSR_PMPADDR2 72 1 +CSR_PMPADDR3 49 1 +CSR_PMPADDR4 58 1 +CSR_PMPADDR5 51 1 +CSR_PMPADDR6 58 1 +CSR_PMPADDR7 62 1 +CSR_PMPADDR8 67 1 +CSR_PMPADDR9 61 1 +CSR_PMPADDR10 52 1 +CSR_PMPADDR11 62 1 +CSR_PMPADDR12 54 1 +CSR_PMPADDR13 55 1 +CSR_PMPADDR14 64 1 +CSR_PMPADDR15 70 1 +CSR_PMPADDR16 3 1 +CSR_PMPADDR17 26 1 +CSR_PMPADDR18 36 1 +CSR_PMPADDR19 30 1 +CSR_PMPADDR20 30 1 +CSR_PMPADDR21 42 1 +CSR_PMPADDR22 26 1 +CSR_PMPADDR23 28 1 +CSR_PMPADDR24 29 1 +CSR_PMPADDR25 21 1 +CSR_PMPADDR26 24 1 +CSR_PMPADDR27 24 1 +CSR_PMPADDR28 36 1 +CSR_PMPADDR29 22 1 +CSR_PMPADDR30 25 1 +CSR_PMPADDR31 27 1 +CSR_PMPADDR32 3 1 +CSR_PMPADDR33 24 1 +CSR_PMPADDR34 24 1 +CSR_PMPADDR35 30 1 +CSR_PMPADDR36 26 1 +CSR_PMPADDR37 34 1 +CSR_PMPADDR38 31 1 +CSR_PMPADDR39 27 1 +CSR_PMPADDR40 21 1 +CSR_PMPADDR41 21 1 +CSR_PMPADDR42 32 1 +CSR_PMPADDR43 30 1 +CSR_PMPADDR44 24 1 +CSR_PMPADDR45 31 1 +CSR_PMPADDR46 25 1 +CSR_PMPADDR47 21 1 +CSR_PMPADDR48 3 1 +CSR_PMPADDR49 17 1 +CSR_PMPADDR50 23 1 +CSR_PMPADDR51 27 1 +CSR_PMPADDR52 28 1 +CSR_PMPADDR53 25 1 +CSR_PMPADDR54 22 1 +CSR_PMPADDR55 25 1 +CSR_PMPADDR56 33 1 +CSR_PMPADDR57 24 1 +CSR_PMPADDR58 30 1 +CSR_PMPADDR59 30 1 +CSR_PMPADDR60 33 1 +CSR_PMPADDR61 39 1 +CSR_PMPADDR62 24 1 +CSR_PMPADDR63 26 1 +CSR_MCYCLE 75 1 +CSR_MINSTRET 62 1 +CSR_MHPMCOUNTER3 68 1 +CSR_MHPMCOUNTER4 61 1 +CSR_MHPMCOUNTER5 65 1 +CSR_MHPMCOUNTER6 64 1 +CSR_MHPMCOUNTER7 57 1 +CSR_MHPMCOUNTER8 68 1 +CSR_MHPMCOUNTER9 78 1 +CSR_MHPMCOUNTER10 63 1 +CSR_MHPMCOUNTER11 67 1 +CSR_MHPMCOUNTER12 58 1 +CSR_MHPMCOUNTER13 62 1 +CSR_MHPMCOUNTER14 58 1 +CSR_MHPMCOUNTER15 64 1 +CSR_MHPMCOUNTER16 61 1 +CSR_MHPMCOUNTER17 66 1 +CSR_MHPMCOUNTER18 64 1 +CSR_MHPMCOUNTER19 58 1 +CSR_MHPMCOUNTER20 62 1 +CSR_MHPMCOUNTER21 53 1 +CSR_MHPMCOUNTER22 56 1 +CSR_MHPMCOUNTER23 61 1 +CSR_MHPMCOUNTER24 69 1 +CSR_MHPMCOUNTER25 55 1 +CSR_MHPMCOUNTER26 63 1 +CSR_MHPMCOUNTER27 65 1 +CSR_MHPMCOUNTER28 55 1 +CSR_MHPMCOUNTER29 59 1 +CSR_MHPMCOUNTER30 53 1 +CSR_MHPMCOUNTER31 60 1 +CSR_MCYCLEH 65 1 +CSR_MINSTRETH 59 1 +CSR_MHPMCOUNTER3H 59 1 +CSR_MHPMCOUNTER4H 61 1 +CSR_MHPMCOUNTER5H 52 1 +CSR_MHPMCOUNTER6H 66 1 +CSR_MHPMCOUNTER7H 64 1 +CSR_MHPMCOUNTER8H 77 1 +CSR_MHPMCOUNTER9H 63 1 +CSR_MHPMCOUNTER10H 58 1 +CSR_MHPMCOUNTER11H 51 1 +CSR_MHPMCOUNTER12H 60 1 +CSR_MHPMCOUNTER13H 61 1 +CSR_MHPMCOUNTER14H 56 1 +CSR_MHPMCOUNTER15H 61 1 +CSR_MHPMCOUNTER16H 68 1 +CSR_MHPMCOUNTER17H 56 1 +CSR_MHPMCOUNTER18H 65 1 +CSR_MHPMCOUNTER19H 59 1 +CSR_MHPMCOUNTER20H 57 1 +CSR_MHPMCOUNTER21H 61 1 +CSR_MHPMCOUNTER22H 59 1 +CSR_MHPMCOUNTER23H 54 1 +CSR_MHPMCOUNTER24H 62 1 +CSR_MHPMCOUNTER25H 55 1 +CSR_MHPMCOUNTER26H 63 1 +CSR_MHPMCOUNTER27H 56 1 +CSR_MHPMCOUNTER28H 57 1 +CSR_MHPMCOUNTER29H 57 1 +CSR_MHPMCOUNTER30H 69 1 +CSR_MHPMCOUNTER31H 64 1 +CSR_MVENDORID 28 1 +CSR_MARCHID 7 1 +CSR_MIMPID 7 1 +CSR_MHARTID 2364 1 +CSR_MCONFIGPTR 3 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 192 1 +RD_01 1 1 +RD_02 3 1 +RD_03 2 1 +RD_04 3 1 +RD_05 4 1 +RD_06 3 1 +RD_07 4 1 +RD_08 4 1 +RD_09 1 1 +RD_0a 3 1 +RD_0b 3 1 +RD_0c 5 1 +RD_0d 4 1 +RD_0e 4 1 +RD_0f 5 1 +RD_10 4 1 +RD_11 2 1 +RD_12 5 1 +RD_13 6 1 +RD_14 2 1 +RD_15 5 1 +RD_16 2 1 +RD_17 2 1 +RD_18 4 1 +RD_19 5 1 +RD_1a 4 1 +RD_1b 4 1 +RD_1c 4 1 +RD_1d 1 1 +RD_1e 5 1 +RD_1f 4 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 278 0 278 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 278 0 278 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 660 1 +auto[1] 264 1 +auto[2] 3372 1 +auto[3] 2384 1 +auto[4] 2626 1 +auto[5] 2362 1 +auto[6] 3409 1 +auto[7] 2574 1 +auto[8] 3097 1 +auto[9] 2946 1 +auto[10] 3365 1 +auto[11] 5099 1 +auto[12] 2529 1 +auto[13] 1603 1 +auto[14] 2896 1 +auto[15] 2640 1 +auto[16] 2888 1 +auto[17] 2117 1 +auto[18] 2616 1 +auto[19] 2739 1 +auto[20] 4255 1 +auto[21] 2900 1 +auto[22] 2246 1 +auto[23] 2616 1 +auto[24] 2142 1 +auto[25] 2752 1 +auto[26] 2403 1 +auto[27] 3144 1 +auto[28] 2821 1 +auto[29] 2540 1 +auto[30] 15055 1 +auto[31] 2329 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 89209 1 +auto[1] 304 1 +auto[2] 247 1 +auto[3] 277 1 +auto[4] 277 1 +auto[5] 254 1 +auto[6] 270 1 +auto[7] 270 1 +auto[8] 229 1 +auto[9] 275 1 +auto[10] 270 1 +auto[11] 244 1 +auto[12] 269 1 +auto[13] 268 1 +auto[14] 288 1 +auto[15] 264 1 +auto[16] 271 1 +auto[17] 275 1 +auto[18] 272 1 +auto[19] 245 1 +auto[20] 250 1 +auto[21] 250 1 +auto[22] 297 1 +auto[23] 258 1 +auto[24] 248 1 +auto[25] 266 1 +auto[26] 269 1 +auto[27] 266 1 +auto[28] 233 1 +auto[29] 275 1 +auto[30] 249 1 +auto[31] 250 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 182 0 182 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +CSR_MVENDORID 0 Excluded +CSR_MARCHID 0 Excluded +CSR_MIMPID 0 Excluded +CSR_MHARTID 0 Excluded +CSR_MCONFIGPTR 0 Excluded +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 2870 1 +CSR_MISA 2427 1 +CSR_MIE 2471 1 +CSR_MTVEC 2402 1 +CSR_MSTATUSH 92 1 +CSR_MCOUNTINHIBIT 80 1 +CSR_MHPMEVENT3 60 1 +CSR_MHPMEVENT4 39 1 +CSR_MHPMEVENT5 50 1 +CSR_MHPMEVENT6 48 1 +CSR_MHPMEVENT7 58 1 +CSR_MHPMEVENT8 45 1 +CSR_MHPMEVENT9 62 1 +CSR_MHPMEVENT10 52 1 +CSR_MHPMEVENT11 48 1 +CSR_MHPMEVENT12 43 1 +CSR_MHPMEVENT13 52 1 +CSR_MHPMEVENT14 45 1 +CSR_MHPMEVENT15 53 1 +CSR_MHPMEVENT16 55 1 +CSR_MHPMEVENT17 60 1 +CSR_MHPMEVENT18 48 1 +CSR_MHPMEVENT19 52 1 +CSR_MHPMEVENT20 49 1 +CSR_MHPMEVENT21 49 1 +CSR_MHPMEVENT22 59 1 +CSR_MHPMEVENT23 51 1 +CSR_MHPMEVENT24 51 1 +CSR_MHPMEVENT25 55 1 +CSR_MHPMEVENT26 50 1 +CSR_MHPMEVENT27 59 1 +CSR_MHPMEVENT28 54 1 +CSR_MHPMEVENT29 47 1 +CSR_MHPMEVENT30 53 1 +CSR_MHPMEVENT31 54 1 +CSR_MSCRATCH 4136 1 +CSR_MEPC 74564 1 +CSR_MCAUSE 86 1 +CSR_MTVAL 96 1 +CSR_MIP 135 1 +CSR_PMPCFG0 99 1 +CSR_PMPCFG1 96 1 +CSR_PMPCFG2 88 1 +CSR_PMPCFG3 79 1 +CSR_PMPCFG4 52 1 +CSR_PMPCFG5 54 1 +CSR_PMPCFG6 51 1 +CSR_PMPCFG7 60 1 +CSR_PMPCFG8 70 1 +CSR_PMPCFG9 61 1 +CSR_PMPCFG10 48 1 +CSR_PMPCFG11 57 1 +CSR_PMPCFG12 52 1 +CSR_PMPCFG13 68 1 +CSR_PMPCFG14 60 1 +CSR_PMPCFG15 71 1 +CSR_PMPADDR0 45 1 +CSR_PMPADDR1 47 1 +CSR_PMPADDR2 46 1 +CSR_PMPADDR3 45 1 +CSR_PMPADDR4 45 1 +CSR_PMPADDR5 53 1 +CSR_PMPADDR6 45 1 +CSR_PMPADDR7 44 1 +CSR_PMPADDR8 43 1 +CSR_PMPADDR9 48 1 +CSR_PMPADDR10 44 1 +CSR_PMPADDR11 42 1 +CSR_PMPADDR12 44 1 +CSR_PMPADDR13 42 1 +CSR_PMPADDR14 41 1 +CSR_PMPADDR15 43 1 +CSR_PMPADDR16 17 1 +CSR_PMPADDR17 24 1 +CSR_PMPADDR18 25 1 +CSR_PMPADDR19 25 1 +CSR_PMPADDR20 32 1 +CSR_PMPADDR21 23 1 +CSR_PMPADDR22 33 1 +CSR_PMPADDR23 28 1 +CSR_PMPADDR24 33 1 +CSR_PMPADDR25 32 1 +CSR_PMPADDR26 28 1 +CSR_PMPADDR27 35 1 +CSR_PMPADDR28 25 1 +CSR_PMPADDR29 26 1 +CSR_PMPADDR30 30 1 +CSR_PMPADDR31 31 1 +CSR_PMPADDR32 17 1 +CSR_PMPADDR33 30 1 +CSR_PMPADDR34 29 1 +CSR_PMPADDR35 23 1 +CSR_PMPADDR36 31 1 +CSR_PMPADDR37 30 1 +CSR_PMPADDR38 26 1 +CSR_PMPADDR39 29 1 +CSR_PMPADDR40 37 1 +CSR_PMPADDR41 26 1 +CSR_PMPADDR42 28 1 +CSR_PMPADDR43 27 1 +CSR_PMPADDR44 32 1 +CSR_PMPADDR45 22 1 +CSR_PMPADDR46 22 1 +CSR_PMPADDR47 34 1 +CSR_PMPADDR48 17 1 +CSR_PMPADDR49 25 1 +CSR_PMPADDR50 31 1 +CSR_PMPADDR51 34 1 +CSR_PMPADDR52 30 1 +CSR_PMPADDR53 26 1 +CSR_PMPADDR54 34 1 +CSR_PMPADDR55 27 1 +CSR_PMPADDR56 31 1 +CSR_PMPADDR57 32 1 +CSR_PMPADDR58 27 1 +CSR_PMPADDR59 23 1 +CSR_PMPADDR60 28 1 +CSR_PMPADDR61 33 1 +CSR_PMPADDR62 35 1 +CSR_PMPADDR63 31 1 +CSR_MCYCLE 88 1 +CSR_MINSTRET 86 1 +CSR_MHPMCOUNTER3 47 1 +CSR_MHPMCOUNTER4 50 1 +CSR_MHPMCOUNTER5 50 1 +CSR_MHPMCOUNTER6 45 1 +CSR_MHPMCOUNTER7 58 1 +CSR_MHPMCOUNTER8 51 1 +CSR_MHPMCOUNTER9 55 1 +CSR_MHPMCOUNTER10 46 1 +CSR_MHPMCOUNTER11 61 1 +CSR_MHPMCOUNTER12 51 1 +CSR_MHPMCOUNTER13 53 1 +CSR_MHPMCOUNTER14 49 1 +CSR_MHPMCOUNTER15 49 1 +CSR_MHPMCOUNTER16 59 1 +CSR_MHPMCOUNTER17 46 1 +CSR_MHPMCOUNTER18 53 1 +CSR_MHPMCOUNTER19 55 1 +CSR_MHPMCOUNTER20 49 1 +CSR_MHPMCOUNTER21 54 1 +CSR_MHPMCOUNTER22 50 1 +CSR_MHPMCOUNTER23 51 1 +CSR_MHPMCOUNTER24 52 1 +CSR_MHPMCOUNTER25 59 1 +CSR_MHPMCOUNTER26 52 1 +CSR_MHPMCOUNTER27 50 1 +CSR_MHPMCOUNTER28 59 1 +CSR_MHPMCOUNTER29 58 1 +CSR_MHPMCOUNTER30 51 1 +CSR_MHPMCOUNTER31 53 1 +CSR_MCYCLEH 91 1 +CSR_MINSTRETH 85 1 +CSR_MHPMCOUNTER3H 47 1 +CSR_MHPMCOUNTER4H 49 1 +CSR_MHPMCOUNTER5H 56 1 +CSR_MHPMCOUNTER6H 55 1 +CSR_MHPMCOUNTER7H 51 1 +CSR_MHPMCOUNTER8H 48 1 +CSR_MHPMCOUNTER9H 55 1 +CSR_MHPMCOUNTER10H 51 1 +CSR_MHPMCOUNTER11H 53 1 +CSR_MHPMCOUNTER12H 50 1 +CSR_MHPMCOUNTER13H 51 1 +CSR_MHPMCOUNTER14H 53 1 +CSR_MHPMCOUNTER15H 45 1 +CSR_MHPMCOUNTER16H 56 1 +CSR_MHPMCOUNTER17H 62 1 +CSR_MHPMCOUNTER18H 56 1 +CSR_MHPMCOUNTER19H 51 1 +CSR_MHPMCOUNTER20H 51 1 +CSR_MHPMCOUNTER21H 56 1 +CSR_MHPMCOUNTER22H 45 1 +CSR_MHPMCOUNTER23H 62 1 +CSR_MHPMCOUNTER24H 47 1 +CSR_MHPMCOUNTER25H 57 1 +CSR_MHPMCOUNTER26H 41 1 +CSR_MHPMCOUNTER27H 55 1 +CSR_MHPMCOUNTER28H 58 1 +CSR_MHPMCOUNTER29H 47 1 +CSR_MHPMCOUNTER30H 56 1 +CSR_MHPMCOUNTER31H 46 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 399 1 +RD_01 14 1 +RD_02 7 1 +RD_03 9 1 +RD_04 9 1 +RD_05 13 1 +RD_06 15 1 +RD_07 7 1 +RD_08 9 1 +RD_09 12 1 +RD_0a 10 1 +RD_0b 12 1 +RD_0c 9 1 +RD_0d 8 1 +RD_0e 7 1 +RD_0f 8 1 +RD_10 11 1 +RD_11 9 1 +RD_12 10 1 +RD_13 7 1 +RD_14 8 1 +RD_15 11 1 +RD_16 14 1 +RD_17 13 1 +RD_18 7 1 +RD_19 8 1 +RD_1a 7 1 +RD_1b 8 1 +RD_1c 12 1 +RD_1d 8 1 +RD_1e 9 1 +RD_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_cb_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_cb_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_srai_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_srli_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cb_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 106 0 106 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cb_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_srai_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_srai_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 106 0 106 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_srai_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3641 1 +auto_NON_ZERO 7450 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 670 1 +SHAMT_1 419 1 +SHAMT_2 370 1 +SHAMT_3 360 1 +SHAMT_4 333 1 +SHAMT_5 333 1 +SHAMT_6 346 1 +SHAMT_7 312 1 +SHAMT_8 361 1 +SHAMT_9 331 1 +SHAMT_10 316 1 +SHAMT_11 310 1 +SHAMT_12 309 1 +SHAMT_13 324 1 +SHAMT_14 330 1 +SHAMT_15 355 1 +SHAMT_16 314 1 +SHAMT_17 368 1 +SHAMT_18 351 1 +SHAMT_19 306 1 +SHAMT_20 326 1 +SHAMT_21 306 1 +SHAMT_22 330 1 +SHAMT_23 341 1 +SHAMT_24 334 1 +SHAMT_25 334 1 +SHAMT_26 319 1 +SHAMT_27 341 1 +SHAMT_28 338 1 +SHAMT_29 358 1 +SHAMT_30 312 1 +SHAMT_31 334 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1441 1 +auto[1] 1354 1 +auto[2] 1346 1 +auto[3] 1402 1 +auto[4] 1418 1 +auto[5] 1400 1 +auto[6] 1430 1 +auto[7] 1300 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2993 1 +BIT30_1 2395 1 +BIT29_1 2426 1 +BIT28_1 2422 1 +BIT27_1 2368 1 +BIT26_1 2383 1 +BIT25_1 2339 1 +BIT24_1 2348 1 +BIT23_1 2318 1 +BIT22_1 2350 1 +BIT21_1 2326 1 +BIT20_1 2333 1 +BIT19_1 2330 1 +BIT18_1 2372 1 +BIT17_1 2310 1 +BIT16_1 2477 1 +BIT15_1 2775 1 +BIT14_1 2731 1 +BIT13_1 2763 1 +BIT12_1 2767 1 +BIT11_1 2825 1 +BIT10_1 2913 1 +BIT9_1 2830 1 +BIT8_1 2672 1 +BIT7_1 3127 1 +BIT6_1 3046 1 +BIT5_1 3112 1 +BIT4_1 3425 1 +BIT3_1 3506 1 +BIT2_1 3357 1 +BIT1_1 3076 1 +BIT0_1 3355 1 +BIT31_0 8098 1 +BIT30_0 8696 1 +BIT29_0 8665 1 +BIT28_0 8669 1 +BIT27_0 8723 1 +BIT26_0 8708 1 +BIT25_0 8752 1 +BIT24_0 8743 1 +BIT23_0 8773 1 +BIT22_0 8741 1 +BIT21_0 8765 1 +BIT20_0 8758 1 +BIT19_0 8761 1 +BIT18_0 8719 1 +BIT17_0 8781 1 +BIT16_0 8614 1 +BIT15_0 8316 1 +BIT14_0 8360 1 +BIT13_0 8328 1 +BIT12_0 8324 1 +BIT11_0 8266 1 +BIT10_0 8178 1 +BIT9_0 8261 1 +BIT8_0 8419 1 +BIT7_0 7964 1 +BIT6_0 8045 1 +BIT5_0 7979 1 +BIT4_0 7666 1 +BIT3_0 7585 1 +BIT2_0 7734 1 +BIT1_0 8015 1 +BIT0_0 7736 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_srli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_srli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 106 0 106 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_srli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3641 1 +auto_NON_ZERO 72509 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 670 1 +SHAMT_1 427 1 +SHAMT_2 420 1 +SHAMT_3 404 1 +SHAMT_4 329 1 +SHAMT_5 307 1 +SHAMT_6 304 1 +SHAMT_7 356 1 +SHAMT_8 302 1 +SHAMT_9 357 1 +SHAMT_10 333 1 +SHAMT_11 322 1 +SHAMT_12 334 1 +SHAMT_13 316 1 +SHAMT_14 327 1 +SHAMT_15 307 1 +SHAMT_16 329 1 +SHAMT_17 304 1 +SHAMT_18 301 1 +SHAMT_19 352 1 +SHAMT_20 306 1 +SHAMT_21 342 1 +SHAMT_22 349 1 +SHAMT_23 333 1 +SHAMT_24 319 1 +SHAMT_25 316 1 +SHAMT_26 302 1 +SHAMT_27 352 1 +SHAMT_28 341 1 +SHAMT_29 346 1 +SHAMT_30 327 1 +SHAMT_31 65416 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 9452 1 +auto[1] 8027 1 +auto[2] 12273 1 +auto[3] 8746 1 +auto[4] 7544 1 +auto[5] 8269 1 +auto[6] 12144 1 +auto[7] 9695 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 51561 1 +BIT30_1 2326 1 +BIT29_1 2327 1 +BIT28_1 2358 1 +BIT27_1 2297 1 +BIT26_1 2295 1 +BIT25_1 2278 1 +BIT24_1 2257 1 +BIT23_1 2250 1 +BIT22_1 2282 1 +BIT21_1 2217 1 +BIT20_1 2281 1 +BIT19_1 2270 1 +BIT18_1 2274 1 +BIT17_1 2226 1 +BIT16_1 2386 1 +BIT15_1 2698 1 +BIT14_1 2686 1 +BIT13_1 2729 1 +BIT12_1 2751 1 +BIT11_1 2813 1 +BIT10_1 2791 1 +BIT9_1 2781 1 +BIT8_1 2692 1 +BIT7_1 3093 1 +BIT6_1 2993 1 +BIT5_1 3091 1 +BIT4_1 3470 1 +BIT3_1 34874 1 +BIT2_1 26278 1 +BIT1_1 64991 1 +BIT0_1 54615 1 +BIT31_0 24589 1 +BIT30_0 73824 1 +BIT29_0 73823 1 +BIT28_0 73792 1 +BIT27_0 73853 1 +BIT26_0 73855 1 +BIT25_0 73872 1 +BIT24_0 73893 1 +BIT23_0 73900 1 +BIT22_0 73868 1 +BIT21_0 73933 1 +BIT20_0 73869 1 +BIT19_0 73880 1 +BIT18_0 73876 1 +BIT17_0 73924 1 +BIT16_0 73764 1 +BIT15_0 73452 1 +BIT14_0 73464 1 +BIT13_0 73421 1 +BIT12_0 73399 1 +BIT11_0 73337 1 +BIT10_0 73359 1 +BIT9_0 73369 1 +BIT8_0 73458 1 +BIT7_0 73057 1 +BIT6_0 73157 1 +BIT5_0 73059 1 +BIT4_0 72680 1 +BIT3_0 41276 1 +BIT2_0 49872 1 +BIT1_0 11159 1 +BIT0_0 21535 1 + + +Group : uvma_isacov_pkg::cg_zcb_sb + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sb +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sb_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sb + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 154 0 154 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sb + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sb_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sb_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 154 0 154 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sb_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 183 1 +auto_NON_ZERO 534 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 317 1 +auto_NON_ZERO 400 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 339 1 +auto_NON_ZERO 378 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 76 1 +auto[1] 78 1 +auto[2] 59 1 +auto[3] 59 1 +auto[4] 61 1 +auto[5] 86 1 +auto[6] 238 1 +auto[7] 60 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 72 1 +auto[1] 72 1 +auto[2] 64 1 +auto[3] 67 1 +auto[4] 64 1 +auto[5] 59 1 +auto[6] 74 1 +auto[7] 245 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 226 1 +BIT30_1 67 1 +BIT29_1 67 1 +BIT28_1 70 1 +BIT27_1 65 1 +BIT26_1 64 1 +BIT25_1 72 1 +BIT24_1 69 1 +BIT23_1 70 1 +BIT22_1 66 1 +BIT21_1 71 1 +BIT20_1 68 1 +BIT19_1 70 1 +BIT18_1 60 1 +BIT17_1 71 1 +BIT16_1 107 1 +BIT15_1 168 1 +BIT14_1 132 1 +BIT13_1 178 1 +BIT12_1 147 1 +BIT11_1 156 1 +BIT10_1 162 1 +BIT9_1 140 1 +BIT8_1 125 1 +BIT7_1 159 1 +BIT6_1 166 1 +BIT5_1 170 1 +BIT4_1 194 1 +BIT3_1 220 1 +BIT2_1 206 1 +BIT1_1 158 1 +BIT0_1 183 1 +BIT31_0 491 1 +BIT30_0 650 1 +BIT29_0 650 1 +BIT28_0 647 1 +BIT27_0 652 1 +BIT26_0 653 1 +BIT25_0 645 1 +BIT24_0 648 1 +BIT23_0 647 1 +BIT22_0 651 1 +BIT21_0 646 1 +BIT20_0 649 1 +BIT19_0 647 1 +BIT18_0 657 1 +BIT17_0 646 1 +BIT16_0 610 1 +BIT15_0 549 1 +BIT14_0 585 1 +BIT13_0 539 1 +BIT12_0 570 1 +BIT11_0 561 1 +BIT10_0 555 1 +BIT9_0 577 1 +BIT8_0 592 1 +BIT7_0 558 1 +BIT6_0 551 1 +BIT5_0 547 1 +BIT4_0 523 1 +BIT3_0 497 1 +BIT2_0 511 1 +BIT1_0 559 1 +BIT0_0 534 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 534 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 207 1 +BIT15_1 389 1 +BIT14_1 214 1 +BIT13_1 360 1 +BIT12_1 299 1 +BIT11_1 263 1 +BIT10_1 244 1 +BIT9_1 244 1 +BIT8_1 306 1 +BIT7_1 264 1 +BIT6_1 268 1 +BIT5_1 264 1 +BIT4_1 271 1 +BIT3_1 271 1 +BIT2_1 259 1 +BIT1_1 269 1 +BIT0_1 344 1 +BIT31_0 183 1 +BIT30_0 716 1 +BIT29_0 716 1 +BIT28_0 716 1 +BIT27_0 716 1 +BIT26_0 716 1 +BIT25_0 716 1 +BIT24_0 716 1 +BIT23_0 716 1 +BIT22_0 716 1 +BIT21_0 716 1 +BIT20_0 716 1 +BIT19_0 716 1 +BIT18_0 716 1 +BIT17_0 716 1 +BIT16_0 510 1 +BIT15_0 328 1 +BIT14_0 503 1 +BIT13_0 357 1 +BIT12_0 418 1 +BIT11_0 454 1 +BIT10_0 473 1 +BIT9_0 473 1 +BIT8_0 411 1 +BIT7_0 453 1 +BIT6_0 449 1 +BIT5_0 453 1 +BIT4_0 446 1 +BIT3_0 446 1 +BIT2_0 458 1 +BIT1_0 448 1 +BIT0_0 373 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT1_1 191 1 +BIT0_1 227 1 +BIT1_0 526 1 +BIT0_0 490 1 + + +Group : uvma_isacov_pkg::cg_zcb_lbu + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_lbu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_lbu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_lbu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 114 0 114 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_lbu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_lbu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lbu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_lbu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 114 0 114 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_lbu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 4808 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 325 1 +auto_NON_ZERO 4484 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4410 1 +auto_NON_ZERO 399 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 683 1 +auto[1] 343 1 +auto[2] 667 1 +auto[3] 383 1 +auto[4] 608 1 +auto[5] 213 1 +auto[6] 896 1 +auto[7] 1016 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 824 1 +auto[1] 278 1 +auto[2] 679 1 +auto[3] 641 1 +auto[4] 895 1 +auto[5] 395 1 +auto[6] 768 1 +auto[7] 329 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4808 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 235 1 +BIT15_1 404 1 +BIT14_1 230 1 +BIT13_1 344 1 +BIT12_1 836 1 +BIT11_1 1404 1 +BIT10_1 2167 1 +BIT9_1 2341 1 +BIT8_1 2453 1 +BIT7_1 2346 1 +BIT6_1 2422 1 +BIT5_1 2411 1 +BIT4_1 2434 1 +BIT3_1 2360 1 +BIT2_1 2425 1 +BIT1_1 2389 1 +BIT0_1 355 1 +BIT31_0 1 1 +BIT30_0 4808 1 +BIT29_0 4808 1 +BIT28_0 4808 1 +BIT27_0 4808 1 +BIT26_0 4808 1 +BIT25_0 4808 1 +BIT24_0 4808 1 +BIT23_0 4808 1 +BIT22_0 4808 1 +BIT21_0 4808 1 +BIT20_0 4808 1 +BIT19_0 4808 1 +BIT18_0 4808 1 +BIT17_0 4808 1 +BIT16_0 4574 1 +BIT15_0 4405 1 +BIT14_0 4579 1 +BIT13_0 4465 1 +BIT12_0 3973 1 +BIT11_0 3405 1 +BIT10_0 2642 1 +BIT9_0 2468 1 +BIT8_0 2356 1 +BIT7_0 2463 1 +BIT6_0 2387 1 +BIT5_0 2398 1 +BIT4_0 2375 1 +BIT3_0 2449 1 +BIT2_0 2384 1 +BIT1_0 2420 1 +BIT0_0 4454 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 1629 1 +BIT6_1 1832 1 +BIT5_1 2707 1 +BIT4_1 2337 1 +BIT3_1 983 1 +BIT2_1 588 1 +BIT1_1 4001 1 +BIT0_1 3658 1 +BIT7_0 3180 1 +BIT6_0 2977 1 +BIT5_0 2102 1 +BIT4_0 2472 1 +BIT3_0 3826 1 +BIT2_0 4221 1 +BIT1_0 808 1 +BIT0_0 1151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT1_1 209 1 +BIT0_1 230 1 +BIT1_0 4600 1 +BIT0_0 4579 1 + + +Group : uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lb_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 256 0 256 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lb_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lb_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 256 0 256 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lb_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lb_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 2319 1 +auto[2] 26698 1 +auto[3] 2387 1 +auto[4] 2373 1 +auto[5] 2231 1 +auto[6] 2186 1 +auto[7] 2274 1 +auto[8] 2260 1 +auto[9] 2081 1 +auto[10] 2068 1 +auto[11] 2129 1 +auto[12] 2144 1 +auto[13] 2234 1 +auto[14] 2246 1 +auto[15] 2100 1 +auto[16] 2234 1 +auto[17] 2330 1 +auto[18] 2065 1 +auto[19] 2282 1 +auto[20] 2205 1 +auto[21] 2454 1 +auto[22] 2263 1 +auto[23] 2089 1 +auto[24] 2372 1 +auto[25] 2117 1 +auto[26] 2160 1 +auto[27] 2150 1 +auto[28] 2323 1 +auto[29] 2197 1 +auto[30] 2078 1 +auto[31] 2051 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 3286 1 +auto[1] 3248 1 +auto[2] 1909 1 +auto[3] 3283 1 +auto[4] 2932 1 +auto[5] 2818 1 +auto[6] 3014 1 +auto[7] 3003 1 +auto[8] 2891 1 +auto[9] 2735 1 +auto[10] 2930 1 +auto[11] 2910 1 +auto[12] 2901 1 +auto[13] 2915 1 +auto[14] 3136 1 +auto[15] 2854 1 +auto[16] 2802 1 +auto[17] 2897 1 +auto[18] 2996 1 +auto[19] 2884 1 +auto[20] 2817 1 +auto[21] 2915 1 +auto[22] 2890 1 +auto[23] 2868 1 +auto[24] 2895 1 +auto[25] 3014 1 +auto[26] 2997 1 +auto[27] 2918 1 +auto[28] 2773 1 +auto[29] 2908 1 +auto[30] 2865 1 +auto[31] 2900 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 4 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 8 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 183 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6 1 +auto_NON_ZERO 93098 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 922 1 +auto_POSITIVE 45789 1 +auto_NEGATIVE 46393 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 31400 1 +auto_POSITIVE 31237 1 +auto_NEGATIVE 30467 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 93097 1 +BIT30_1 182 1 +BIT29_1 183 1 +BIT28_1 183 1 +BIT27_1 183 1 +BIT26_1 183 1 +BIT25_1 183 1 +BIT24_1 183 1 +BIT23_1 183 1 +BIT22_1 183 1 +BIT21_1 183 1 +BIT20_1 183 1 +BIT19_1 183 1 +BIT18_1 183 1 +BIT17_1 183 1 +BIT16_1 35328 1 +BIT15_1 69898 1 +BIT14_1 33716 1 +BIT13_1 59283 1 +BIT12_1 52771 1 +BIT11_1 46630 1 +BIT10_1 47093 1 +BIT9_1 46891 1 +BIT8_1 46336 1 +BIT7_1 46385 1 +BIT6_1 46241 1 +BIT5_1 46733 1 +BIT4_1 46433 1 +BIT3_1 47162 1 +BIT2_1 46614 1 +BIT1_1 46217 1 +BIT0_1 46755 1 +BIT31_0 7 1 +BIT30_0 92922 1 +BIT29_0 92921 1 +BIT28_0 92921 1 +BIT27_0 92921 1 +BIT26_0 92921 1 +BIT25_0 92921 1 +BIT24_0 92921 1 +BIT23_0 92921 1 +BIT22_0 92921 1 +BIT21_0 92921 1 +BIT20_0 92921 1 +BIT19_0 92921 1 +BIT18_0 92921 1 +BIT17_0 92921 1 +BIT16_0 57776 1 +BIT15_0 23206 1 +BIT14_0 59388 1 +BIT13_0 33821 1 +BIT12_0 40333 1 +BIT11_0 46474 1 +BIT10_0 46011 1 +BIT9_0 46213 1 +BIT8_0 46768 1 +BIT7_0 46719 1 +BIT6_0 46863 1 +BIT5_0 46371 1 +BIT4_0 46671 1 +BIT3_0 45942 1 +BIT2_0 46490 1 +BIT1_0 46887 1 +BIT0_0 46349 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 46393 1 +BIT10_1 46579 1 +BIT9_1 46363 1 +BIT8_1 46568 1 +BIT7_1 46398 1 +BIT6_1 46649 1 +BIT5_1 46558 1 +BIT4_1 46872 1 +BIT3_1 45764 1 +BIT2_1 46303 1 +BIT1_1 46603 1 +BIT0_1 46349 1 +BIT11_0 46711 1 +BIT10_0 46525 1 +BIT9_0 46741 1 +BIT8_0 46536 1 +BIT7_0 46706 1 +BIT6_0 46455 1 +BIT5_0 46546 1 +BIT4_0 46232 1 +BIT3_0 47340 1 +BIT2_0 46801 1 +BIT1_0 46501 1 +BIT0_0 46755 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 30467 1 +BIT30_1 30467 1 +BIT29_1 30467 1 +BIT28_1 30467 1 +BIT27_1 30467 1 +BIT26_1 30467 1 +BIT25_1 30467 1 +BIT24_1 30467 1 +BIT23_1 30467 1 +BIT22_1 30467 1 +BIT21_1 30467 1 +BIT20_1 30467 1 +BIT19_1 30467 1 +BIT18_1 30467 1 +BIT17_1 30467 1 +BIT16_1 30467 1 +BIT15_1 30467 1 +BIT14_1 30467 1 +BIT13_1 30467 1 +BIT12_1 30467 1 +BIT11_1 30467 1 +BIT10_1 30467 1 +BIT9_1 30467 1 +BIT8_1 30467 1 +BIT7_1 30467 1 +BIT6_1 30001 1 +BIT5_1 30322 1 +BIT4_1 31342 1 +BIT3_1 31585 1 +BIT2_1 31375 1 +BIT1_1 29924 1 +BIT0_1 25203 1 +BIT31_0 62637 1 +BIT30_0 62637 1 +BIT29_0 62637 1 +BIT28_0 62637 1 +BIT27_0 62637 1 +BIT26_0 62637 1 +BIT25_0 62637 1 +BIT24_0 62637 1 +BIT23_0 62637 1 +BIT22_0 62637 1 +BIT21_0 62637 1 +BIT20_0 62637 1 +BIT19_0 62637 1 +BIT18_0 62637 1 +BIT17_0 62637 1 +BIT16_0 62637 1 +BIT15_0 62637 1 +BIT14_0 62637 1 +BIT13_0 62637 1 +BIT12_0 62637 1 +BIT11_0 62637 1 +BIT10_0 62637 1 +BIT9_0 62637 1 +BIT8_0 62637 1 +BIT7_0 62637 1 +BIT6_0 63103 1 +BIT5_0 62782 1 +BIT4_0 61762 1 +BIT3_0 61519 1 +BIT2_0 61729 1 +BIT1_0 63180 1 +BIT0_0 67901 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 2 1 +auto_ZERO auto_POSITIVE 3 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 920 1 +auto_NON_ZERO auto_POSITIVE 45786 1 +auto_NON_ZERO auto_NEGATIVE 46392 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 256 0 256 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 737 1 +auto[2] 8431 1 +auto[3] 761 1 +auto[4] 751 1 +auto[5] 700 1 +auto[6] 707 1 +auto[7] 691 1 +auto[8] 723 1 +auto[9] 647 1 +auto[10] 645 1 +auto[11] 706 1 +auto[12] 706 1 +auto[13] 663 1 +auto[14] 675 1 +auto[15] 720 1 +auto[16] 711 1 +auto[17] 727 1 +auto[18] 622 1 +auto[19] 681 1 +auto[20] 726 1 +auto[21] 765 1 +auto[22] 730 1 +auto[23] 671 1 +auto[24] 794 1 +auto[25] 657 1 +auto[26] 693 1 +auto[27] 654 1 +auto[28] 721 1 +auto[29] 664 1 +auto[30] 614 1 +auto[31] 708 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1059 1 +auto[1] 920 1 +auto[2] 610 1 +auto[3] 999 1 +auto[4] 967 1 +auto[5] 896 1 +auto[6] 968 1 +auto[7] 874 1 +auto[8] 935 1 +auto[9] 880 1 +auto[10] 934 1 +auto[11] 911 1 +auto[12] 940 1 +auto[13] 901 1 +auto[14] 917 1 +auto[15] 899 1 +auto[16] 910 1 +auto[17] 956 1 +auto[18] 912 1 +auto[19] 899 1 +auto[20] 975 1 +auto[21] 908 1 +auto[22] 938 1 +auto[23] 865 1 +auto[24] 971 1 +auto[25] 936 1 +auto[26] 901 1 +auto[27] 971 1 +auto[28] 892 1 +auto[29] 967 1 +auto[30] 918 1 +auto[31] 876 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 4 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4 1 +auto_NON_ZERO 29401 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 232 1 +auto_POSITIVE 14293 1 +auto_NEGATIVE 14880 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9434 1 +auto_POSITIVE 10278 1 +auto_NEGATIVE 9693 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 29401 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 10997 1 +BIT15_1 22058 1 +BIT14_1 10746 1 +BIT13_1 18824 1 +BIT12_1 16567 1 +BIT11_1 14559 1 +BIT10_1 14646 1 +BIT9_1 14706 1 +BIT8_1 14509 1 +BIT7_1 14699 1 +BIT6_1 14534 1 +BIT5_1 14922 1 +BIT4_1 14747 1 +BIT3_1 14689 1 +BIT2_1 14785 1 +BIT1_1 14840 1 +BIT0_1 14831 1 +BIT31_0 4 1 +BIT30_0 29404 1 +BIT29_0 29404 1 +BIT28_0 29404 1 +BIT27_0 29404 1 +BIT26_0 29404 1 +BIT25_0 29404 1 +BIT24_0 29404 1 +BIT23_0 29404 1 +BIT22_0 29404 1 +BIT21_0 29404 1 +BIT20_0 29404 1 +BIT19_0 29404 1 +BIT18_0 29404 1 +BIT17_0 29404 1 +BIT16_0 18408 1 +BIT15_0 7347 1 +BIT14_0 18659 1 +BIT13_0 10581 1 +BIT12_0 12838 1 +BIT11_0 14846 1 +BIT10_0 14759 1 +BIT9_0 14699 1 +BIT8_0 14896 1 +BIT7_0 14706 1 +BIT6_0 14871 1 +BIT5_0 14483 1 +BIT4_0 14658 1 +BIT3_0 14716 1 +BIT2_0 14620 1 +BIT1_0 14565 1 +BIT0_0 14574 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 14880 1 +BIT10_1 14891 1 +BIT9_1 14831 1 +BIT8_1 14901 1 +BIT7_1 14913 1 +BIT6_1 14870 1 +BIT5_1 14700 1 +BIT4_1 14993 1 +BIT3_1 14535 1 +BIT2_1 14595 1 +BIT1_1 14690 1 +BIT0_1 14831 1 +BIT11_0 14525 1 +BIT10_0 14514 1 +BIT9_0 14574 1 +BIT8_0 14504 1 +BIT7_0 14492 1 +BIT6_0 14535 1 +BIT5_0 14705 1 +BIT4_0 14412 1 +BIT3_0 14870 1 +BIT2_0 14810 1 +BIT1_0 14715 1 +BIT0_0 14574 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 9693 1 +BIT30_1 9693 1 +BIT29_1 9693 1 +BIT28_1 9693 1 +BIT27_1 9693 1 +BIT26_1 9693 1 +BIT25_1 9693 1 +BIT24_1 9693 1 +BIT23_1 9693 1 +BIT22_1 9693 1 +BIT21_1 9693 1 +BIT20_1 9693 1 +BIT19_1 9693 1 +BIT18_1 9693 1 +BIT17_1 9693 1 +BIT16_1 9693 1 +BIT15_1 9693 1 +BIT14_1 9536 1 +BIT13_1 9700 1 +BIT12_1 9522 1 +BIT11_1 9590 1 +BIT10_1 9620 1 +BIT9_1 8917 1 +BIT8_1 5272 1 +BIT7_1 9647 1 +BIT6_1 9550 1 +BIT5_1 9615 1 +BIT4_1 9997 1 +BIT3_1 9931 1 +BIT2_1 9910 1 +BIT1_1 9006 1 +BIT0_1 13747 1 +BIT31_0 19712 1 +BIT30_0 19712 1 +BIT29_0 19712 1 +BIT28_0 19712 1 +BIT27_0 19712 1 +BIT26_0 19712 1 +BIT25_0 19712 1 +BIT24_0 19712 1 +BIT23_0 19712 1 +BIT22_0 19712 1 +BIT21_0 19712 1 +BIT20_0 19712 1 +BIT19_0 19712 1 +BIT18_0 19712 1 +BIT17_0 19712 1 +BIT16_0 19712 1 +BIT15_0 19712 1 +BIT14_0 19869 1 +BIT13_0 19705 1 +BIT12_0 19883 1 +BIT11_0 19815 1 +BIT10_0 19785 1 +BIT9_0 20488 1 +BIT8_0 24133 1 +BIT7_0 19758 1 +BIT6_0 19855 1 +BIT5_0 19790 1 +BIT4_0 19408 1 +BIT3_0 19474 1 +BIT2_0 19495 1 +BIT1_0 20399 1 +BIT0_0 15658 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 2 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 230 1 +auto_NON_ZERO auto_POSITIVE 14292 1 +auto_NON_ZERO auto_NEGATIVE 14879 1 + + +Group : uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lw_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 325 1 +auto[2] 3230 1 +auto[3] 338 1 +auto[4] 41768 1 +auto[5] 35434 1 +auto[6] 22129 1 +auto[7] 32511 1 +auto[8] 26875 1 +auto[9] 23895 1 +auto[10] 15579 1 +auto[11] 12569 1 +auto[12] 17414 1 +auto[13] 42488 1 +auto[14] 26039 1 +auto[15] 39383 1 +auto[16] 32136 1 +auto[17] 38151 1 +auto[18] 23869 1 +auto[19] 59437 1 +auto[20] 66899 1 +auto[21] 36436 1 +auto[22] 19390 1 +auto[23] 44461 1 +auto[24] 132343 1 +auto[25] 28616 1 +auto[26] 39257 1 +auto[27] 24254 1 +auto[28] 42431 1 +auto[29] 24206 1 +auto[30] 27318 1 +auto[31] 21767 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 440 1 +auto[1] 728 1 +auto[2] 34151 1 +auto[3] 423 1 +auto[4] 30121 1 +auto[5] 23898 1 +auto[6] 48499 1 +auto[7] 27978 1 +auto[8] 30549 1 +auto[9] 30400 1 +auto[10] 22756 1 +auto[11] 24247 1 +auto[12] 20359 1 +auto[13] 25657 1 +auto[14] 24557 1 +auto[15] 23846 1 +auto[16] 30327 1 +auto[17] 32213 1 +auto[18] 57552 1 +auto[19] 32814 1 +auto[20] 49878 1 +auto[21] 42077 1 +auto[22] 28514 1 +auto[23] 36094 1 +auto[24] 65385 1 +auto[25] 43483 1 +auto[26] 32577 1 +auto[27] 29828 1 +auto[28] 34330 1 +auto[29] 47779 1 +auto[30] 30909 1 +auto[31] 38583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 4 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4 1 +auto_NON_ZERO 1000948 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 241567 1 +auto_POSITIVE 750051 1 +auto_NEGATIVE 9334 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 274503 1 +auto_NON_ZERO 726449 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1000948 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 2891 1 +BIT16_1 5054 1 +BIT15_1 993563 1 +BIT14_1 8522 1 +BIT13_1 569293 1 +BIT12_1 433945 1 +BIT11_1 994618 1 +BIT10_1 994918 1 +BIT9_1 9286 1 +BIT8_1 10322 1 +BIT7_1 248611 1 +BIT6_1 9886 1 +BIT5_1 9942 1 +BIT4_1 990953 1 +BIT3_1 991035 1 +BIT2_1 7018 1 +BIT1_1 6253 1 +BIT0_1 6450 1 +BIT31_0 4 1 +BIT30_0 1000951 1 +BIT29_0 1000951 1 +BIT28_0 1000951 1 +BIT27_0 1000951 1 +BIT26_0 1000951 1 +BIT25_0 1000951 1 +BIT24_0 1000951 1 +BIT23_0 1000951 1 +BIT22_0 1000951 1 +BIT21_0 1000951 1 +BIT20_0 1000951 1 +BIT19_0 1000951 1 +BIT18_0 1000951 1 +BIT17_0 998061 1 +BIT16_0 995898 1 +BIT15_0 7389 1 +BIT14_0 992430 1 +BIT13_0 431659 1 +BIT12_0 567007 1 +BIT11_0 6334 1 +BIT10_0 6034 1 +BIT9_0 991666 1 +BIT8_0 990630 1 +BIT7_0 752341 1 +BIT6_0 991066 1 +BIT5_0 991010 1 +BIT4_0 9999 1 +BIT3_0 9917 1 +BIT2_0 993934 1 +BIT1_0 994699 1 +BIT0_0 994502 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 9334 1 +BIT10_1 9326 1 +BIT9_1 9349 1 +BIT8_1 9347 1 +BIT7_1 9263 1 +BIT6_1 9187 1 +BIT5_1 9198 1 +BIT4_1 7079 1 +BIT3_1 506537 1 +BIT2_1 502591 1 +BIT1_1 6369 1 +BIT0_1 6450 1 +BIT11_0 991618 1 +BIT10_0 991626 1 +BIT9_0 991603 1 +BIT8_0 991605 1 +BIT7_0 991689 1 +BIT6_0 991765 1 +BIT5_0 991754 1 +BIT4_0 993873 1 +BIT3_0 494415 1 +BIT2_0 498361 1 +BIT1_0 994583 1 +BIT0_0 994502 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 449212 1 +BIT30_1 158625 1 +BIT29_1 156370 1 +BIT28_1 155522 1 +BIT27_1 153654 1 +BIT26_1 146159 1 +BIT25_1 144629 1 +BIT24_1 148600 1 +BIT23_1 153939 1 +BIT22_1 151759 1 +BIT21_1 147083 1 +BIT20_1 149850 1 +BIT19_1 143320 1 +BIT18_1 155093 1 +BIT17_1 151587 1 +BIT16_1 159629 1 +BIT15_1 184173 1 +BIT14_1 415190 1 +BIT13_1 312452 1 +BIT12_1 275392 1 +BIT11_1 412896 1 +BIT10_1 427035 1 +BIT9_1 354454 1 +BIT8_1 207298 1 +BIT7_1 227847 1 +BIT6_1 219950 1 +BIT5_1 211212 1 +BIT4_1 404858 1 +BIT3_1 407426 1 +BIT2_1 406535 1 +BIT1_1 185138 1 +BIT0_1 230111 1 +BIT31_0 551740 1 +BIT30_0 842327 1 +BIT29_0 844582 1 +BIT28_0 845430 1 +BIT27_0 847298 1 +BIT26_0 854793 1 +BIT25_0 856323 1 +BIT24_0 852352 1 +BIT23_0 847013 1 +BIT22_0 849193 1 +BIT21_0 853869 1 +BIT20_0 851102 1 +BIT19_0 857632 1 +BIT18_0 845859 1 +BIT17_0 849365 1 +BIT16_0 841323 1 +BIT15_0 816779 1 +BIT14_0 585762 1 +BIT13_0 688500 1 +BIT12_0 725560 1 +BIT11_0 588056 1 +BIT10_0 573917 1 +BIT9_0 646498 1 +BIT8_0 793654 1 +BIT7_0 773105 1 +BIT6_0 781002 1 +BIT5_0 789740 1 +BIT4_0 596094 1 +BIT3_0 593526 1 +BIT2_0 594417 1 +BIT1_0 815814 1 +BIT0_0 770841 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 2 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 241565 1 +auto_NON_ZERO auto_POSITIVE 750050 1 +auto_NON_ZERO auto_NEGATIVE 9333 1 + + +Group : uvma_isacov_pkg::cg_utype + +=============================================================================== +Group : uvma_isacov_pkg::cg_utype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_auipc_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lui_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_utype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 116 0 116 100.00 + + +Variables for Group uvma_isacov_pkg::cg_utype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_immu_value 2 0 2 100.00 100 1 1 0 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_immu_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_auipc_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_utype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_auipc_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 116 0 116 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_auipc_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_immu_value 2 0 2 100.00 100 1 1 0 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_immu_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2174 1 +auto[1] 1419 1 +auto[2] 14783 1 +auto[3] 1370 1 +auto[4] 5420 1 +auto[5] 5425 1 +auto[6] 3013 1 +auto[7] 7353 1 +auto[8] 13293 1 +auto[9] 5374 1 +auto[10] 8871 1 +auto[11] 8314 1 +auto[12] 4591 1 +auto[13] 7903 1 +auto[14] 6100 1 +auto[15] 2349 1 +auto[16] 8524 1 +auto[17] 7269 1 +auto[18] 40637 1 +auto[19] 1639 1 +auto[20] 12549 1 +auto[21] 5869 1 +auto[22] 5025 1 +auto[23] 10901 1 +auto[24] 9864 1 +auto[25] 7179 1 +auto[26] 5153 1 +auto[27] 7829 1 +auto[28] 11502 1 +auto[29] 6570 1 +auto[30] 8709 1 +auto[31] 18045 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 265015 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_immu_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3527 1 +auto_NON_ZERO 261489 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 254648 1 +BIT30_1 10351 1 +BIT29_1 10434 1 +BIT28_1 10520 1 +BIT27_1 10459 1 +BIT26_1 10260 1 +BIT25_1 10374 1 +BIT24_1 10574 1 +BIT23_1 10214 1 +BIT22_1 10427 1 +BIT21_1 10475 1 +BIT20_1 10466 1 +BIT19_1 10418 1 +BIT18_1 10401 1 +BIT17_1 10282 1 +BIT16_1 20435 1 +BIT15_1 240241 1 +BIT14_1 22686 1 +BIT13_1 152064 1 +BIT12_1 173232 1 +BIT31_0 10368 1 +BIT30_0 254665 1 +BIT29_0 254582 1 +BIT28_0 254496 1 +BIT27_0 254557 1 +BIT26_0 254756 1 +BIT25_0 254642 1 +BIT24_0 254442 1 +BIT23_0 254802 1 +BIT22_0 254589 1 +BIT21_0 254541 1 +BIT20_0 254550 1 +BIT19_0 254598 1 +BIT18_0 254615 1 +BIT17_0 254734 1 +BIT16_0 244581 1 +BIT15_0 24775 1 +BIT14_0 242330 1 +BIT13_0 112952 1 +BIT12_0 91784 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_immu_toggle + + +Bins + +NAME COUNT AT LEAST +BIT19_1 10384 1 +BIT18_1 10367 1 +BIT17_1 10450 1 +BIT16_1 10536 1 +BIT15_1 10475 1 +BIT14_1 10276 1 +BIT13_1 10390 1 +BIT12_1 10590 1 +BIT11_1 10228 1 +BIT10_1 10444 1 +BIT9_1 10489 1 +BIT8_1 10484 1 +BIT7_1 10427 1 +BIT6_1 10405 1 +BIT5_1 10314 1 +BIT4_1 20318 1 +BIT3_1 240282 1 +BIT2_1 21494 1 +BIT1_1 152534 1 +BIT0_1 118617 1 +BIT19_0 254632 1 +BIT18_0 254649 1 +BIT17_0 254566 1 +BIT16_0 254480 1 +BIT15_0 254541 1 +BIT14_0 254740 1 +BIT13_0 254626 1 +BIT12_0 254426 1 +BIT11_0 254788 1 +BIT10_0 254572 1 +BIT9_0 254527 1 +BIT8_0 254532 1 +BIT7_0 254589 1 +BIT6_0 254611 1 +BIT5_0 254702 1 +BIT4_0 244698 1 +BIT3_0 24734 1 +BIT2_0 243522 1 +BIT1_0 112482 1 +BIT0_0 146399 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lui_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_utype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lui_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 116 0 116 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lui_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_immu_value 2 0 2 100.00 100 1 1 0 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_immu_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 3662 1 +auto[1] 1987 1 +auto[2] 23299 1 +auto[3] 7069 1 +auto[4] 10409 1 +auto[5] 7974 1 +auto[6] 30452 1 +auto[7] 12386 1 +auto[8] 18224 1 +auto[9] 15140 1 +auto[10] 13441 1 +auto[11] 15519 1 +auto[12] 12151 1 +auto[13] 17951 1 +auto[14] 15350 1 +auto[15] 6391 1 +auto[16] 16432 1 +auto[17] 13616 1 +auto[18] 44085 1 +auto[19] 6868 1 +auto[20] 19760 1 +auto[21] 10298 1 +auto[22] 12313 1 +auto[23] 15065 1 +auto[24] 16780 1 +auto[25] 19639 1 +auto[26] 10070 1 +auto[27] 16926 1 +auto[28] 16880 1 +auto[29] 11152 1 +auto[30] 12694 1 +auto[31] 27072 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 481054 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_immu_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 481054 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 458094 1 +BIT30_1 35849 1 +BIT29_1 33683 1 +BIT28_1 33339 1 +BIT27_1 26947 1 +BIT26_1 27212 1 +BIT25_1 27038 1 +BIT24_1 26911 1 +BIT23_1 29871 1 +BIT22_1 27068 1 +BIT21_1 27096 1 +BIT20_1 26789 1 +BIT19_1 27067 1 +BIT18_1 27262 1 +BIT17_1 26864 1 +BIT16_1 27136 1 +BIT15_1 27049 1 +BIT14_1 26997 1 +BIT13_1 27219 1 +BIT12_1 29469 1 +BIT31_0 22961 1 +BIT30_0 445206 1 +BIT29_0 447372 1 +BIT28_0 447716 1 +BIT27_0 454108 1 +BIT26_0 453843 1 +BIT25_0 454017 1 +BIT24_0 454144 1 +BIT23_0 451184 1 +BIT22_0 453987 1 +BIT21_0 453959 1 +BIT20_0 454266 1 +BIT19_0 453988 1 +BIT18_0 453793 1 +BIT17_0 454191 1 +BIT16_0 453919 1 +BIT15_0 454006 1 +BIT14_0 454058 1 +BIT13_0 453836 1 +BIT12_0 451586 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_immu_toggle + + +Bins + +NAME COUNT AT LEAST +BIT19_1 458094 1 +BIT18_1 35849 1 +BIT17_1 33683 1 +BIT16_1 33339 1 +BIT15_1 26947 1 +BIT14_1 27212 1 +BIT13_1 27038 1 +BIT12_1 26911 1 +BIT11_1 29871 1 +BIT10_1 27068 1 +BIT9_1 27096 1 +BIT8_1 26789 1 +BIT7_1 27067 1 +BIT6_1 27262 1 +BIT5_1 26864 1 +BIT4_1 27136 1 +BIT3_1 27049 1 +BIT2_1 26997 1 +BIT1_1 27219 1 +BIT0_1 29469 1 +BIT19_0 22961 1 +BIT18_0 445206 1 +BIT17_0 447372 1 +BIT16_0 447716 1 +BIT15_0 454108 1 +BIT14_0 453843 1 +BIT13_0 454017 1 +BIT12_0 454144 1 +BIT11_0 451184 1 +BIT10_0 453987 1 +BIT9_0 453959 1 +BIT8_0 454266 1 +BIT7_0 453988 1 +BIT6_0 453793 1 +BIT5_0 454191 1 +BIT4_0 453919 1 +BIT3_0 454006 1 +BIT2_0 454058 1 +BIT1_0 453836 1 +BIT0_0 451586 1 + + +Group : uvma_isacov_pkg::cg_zb_itype_ext + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_itype_ext +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bexti_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_itype_ext + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_itype_ext + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shift 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bexti_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_ext + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shift 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2083 1 +auto[1] 497 1 +auto[2] 524 1 +auto[3] 497 1 +auto[4] 463 1 +auto[5] 498 1 +auto[6] 496 1 +auto[7] 485 1 +auto[8] 505 1 +auto[9] 485 1 +auto[10] 524 1 +auto[11] 470 1 +auto[12] 505 1 +auto[13] 488 1 +auto[14] 504 1 +auto[15] 531 1 +auto[16] 480 1 +auto[17] 487 1 +auto[18] 528 1 +auto[19] 487 1 +auto[20] 499 1 +auto[21] 511 1 +auto[22] 454 1 +auto[23] 505 1 +auto[24] 540 1 +auto[25] 471 1 +auto[26] 508 1 +auto[27] 537 1 +auto[28] 489 1 +auto[29] 538 1 +auto[30] 563 1 +auto[31] 508 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2111 1 +auto[1] 480 1 +auto[2] 442 1 +auto[3] 474 1 +auto[4] 489 1 +auto[5] 513 1 +auto[6] 493 1 +auto[7] 502 1 +auto[8] 476 1 +auto[9] 520 1 +auto[10] 475 1 +auto[11] 495 1 +auto[12] 502 1 +auto[13] 533 1 +auto[14] 513 1 +auto[15] 541 1 +auto[16] 516 1 +auto[17] 479 1 +auto[18] 440 1 +auto[19] 527 1 +auto[20] 473 1 +auto[21] 538 1 +auto[22] 533 1 +auto[23] 512 1 +auto[24] 539 1 +auto[25] 523 1 +auto[26] 476 1 +auto[27] 501 1 +auto[28] 493 1 +auto[29] 518 1 +auto[30] 516 1 +auto[31] 517 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1601 1 +RD_01 14 1 +RD_02 8 1 +RD_03 13 1 +RD_04 12 1 +RD_05 15 1 +RD_06 10 1 +RD_07 19 1 +RD_08 16 1 +RD_09 16 1 +RD_0a 15 1 +RD_0b 14 1 +RD_0c 14 1 +RD_0d 12 1 +RD_0e 16 1 +RD_0f 23 1 +RD_10 16 1 +RD_11 20 1 +RD_12 16 1 +RD_13 15 1 +RD_14 14 1 +RD_15 24 1 +RD_16 21 1 +RD_17 11 1 +RD_18 14 1 +RD_19 15 1 +RD_1a 11 1 +RD_1b 15 1 +RD_1c 11 1 +RD_1d 16 1 +RD_1e 20 1 +RD_1f 15 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6881 1 +auto_NON_ZERO 10779 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shift + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shift + + +Bins + +NAME COUNT AT LEAST +SHIFT_00 566 1 +SHIFT_01 550 1 +SHIFT_02 575 1 +SHIFT_03 538 1 +SHIFT_04 584 1 +SHIFT_05 502 1 +SHIFT_06 553 1 +SHIFT_07 564 1 +SHIFT_08 561 1 +SHIFT_09 599 1 +SHIFT_0a 555 1 +SHIFT_0b 547 1 +SHIFT_0c 583 1 +SHIFT_0d 559 1 +SHIFT_0e 568 1 +SHIFT_0f 551 1 +SHIFT_10 553 1 +SHIFT_11 544 1 +SHIFT_12 533 1 +SHIFT_13 559 1 +SHIFT_14 549 1 +SHIFT_15 500 1 +SHIFT_16 558 1 +SHIFT_17 494 1 +SHIFT_18 534 1 +SHIFT_19 548 1 +SHIFT_1a 500 1 +SHIFT_1b 531 1 +SHIFT_1c 573 1 +SHIFT_1d 617 1 +SHIFT_1e 575 1 +SHIFT_1f 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +ONE 3745 1 +ZERO 13915 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4974 1 +BIT30_1 3166 1 +BIT29_1 3139 1 +BIT28_1 3171 1 +BIT27_1 3079 1 +BIT26_1 3075 1 +BIT25_1 3044 1 +BIT24_1 3039 1 +BIT23_1 3007 1 +BIT22_1 3003 1 +BIT21_1 3000 1 +BIT20_1 3042 1 +BIT19_1 3081 1 +BIT18_1 3017 1 +BIT17_1 3069 1 +BIT16_1 3271 1 +BIT15_1 3974 1 +BIT14_1 3922 1 +BIT13_1 4133 1 +BIT12_1 3961 1 +BIT11_1 4451 1 +BIT10_1 4382 1 +BIT9_1 3986 1 +BIT8_1 3367 1 +BIT7_1 4308 1 +BIT6_1 3688 1 +BIT5_1 3810 1 +BIT4_1 5050 1 +BIT3_1 5132 1 +BIT2_1 4992 1 +BIT1_1 3926 1 +BIT0_1 4511 1 +BIT31_0 12686 1 +BIT30_0 14494 1 +BIT29_0 14521 1 +BIT28_0 14489 1 +BIT27_0 14581 1 +BIT26_0 14585 1 +BIT25_0 14616 1 +BIT24_0 14621 1 +BIT23_0 14653 1 +BIT22_0 14657 1 +BIT21_0 14660 1 +BIT20_0 14618 1 +BIT19_0 14579 1 +BIT18_0 14643 1 +BIT17_0 14591 1 +BIT16_0 14389 1 +BIT15_0 13686 1 +BIT14_0 13738 1 +BIT13_0 13527 1 +BIT12_0 13699 1 +BIT11_0 13209 1 +BIT10_0 13278 1 +BIT9_0 13674 1 +BIT8_0 14293 1 +BIT7_0 13352 1 +BIT6_0 13972 1 +BIT5_0 13850 1 +BIT4_0 12610 1 +BIT3_0 12528 1 +BIT2_0 12668 1 +BIT1_0 13734 1 +BIT0_0 13149 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_css + +=============================================================================== +Group : uvma_isacov_pkg::cg_css +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_swsp_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_css + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 112 0 112 100.00 + + +Variables for Group uvma_isacov_pkg::cg_css + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_swsp_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_css + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_swsp_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 112 0 112 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_swsp_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 30 1 +auto[1] 128 1 +auto[2] 39 1 +auto[3] 36 1 +auto[4] 307 1 +auto[5] 162 1 +auto[6] 697 1 +auto[7] 125 1 +auto[8] 520 1 +auto[9] 626 1 +auto[10] 269 1 +auto[11] 295 1 +auto[12] 774 1 +auto[13] 293 1 +auto[14] 1314 1 +auto[15] 1467 1 +auto[16] 198 1 +auto[17] 463 1 +auto[18] 740 1 +auto[19] 338 1 +auto[20] 1055 1 +auto[21] 136 1 +auto[22] 631 1 +auto[23] 255 1 +auto[24] 34 1 +auto[25] 935 1 +auto[26] 819 1 +auto[27] 333 1 +auto[28] 366 1 +auto[29] 899 1 +auto[30] 733 1 +auto[31] 142 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2755 1 +auto_NON_ZERO 12404 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4994 1 +auto_NON_ZERO 10165 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8103 1 +BIT30_1 2135 1 +BIT29_1 2130 1 +BIT28_1 2257 1 +BIT27_1 2017 1 +BIT26_1 1973 1 +BIT25_1 1787 1 +BIT24_1 2033 1 +BIT23_1 1911 1 +BIT22_1 1961 1 +BIT21_1 2206 1 +BIT20_1 1820 1 +BIT19_1 2108 1 +BIT18_1 1954 1 +BIT17_1 2017 1 +BIT16_1 2032 1 +BIT15_1 3036 1 +BIT14_1 7332 1 +BIT13_1 4847 1 +BIT12_1 6170 1 +BIT11_1 7587 1 +BIT10_1 7516 1 +BIT9_1 6708 1 +BIT8_1 3349 1 +BIT7_1 4103 1 +BIT6_1 3473 1 +BIT5_1 3511 1 +BIT4_1 7692 1 +BIT3_1 8442 1 +BIT2_1 7505 1 +BIT1_1 3203 1 +BIT0_1 3535 1 +BIT31_0 7056 1 +BIT30_0 13024 1 +BIT29_0 13029 1 +BIT28_0 12902 1 +BIT27_0 13142 1 +BIT26_0 13186 1 +BIT25_0 13372 1 +BIT24_0 13126 1 +BIT23_0 13248 1 +BIT22_0 13198 1 +BIT21_0 12953 1 +BIT20_0 13339 1 +BIT19_0 13051 1 +BIT18_0 13205 1 +BIT17_0 13142 1 +BIT16_0 13127 1 +BIT15_0 12123 1 +BIT14_0 7827 1 +BIT13_0 10312 1 +BIT12_0 8989 1 +BIT11_0 7572 1 +BIT10_0 7643 1 +BIT9_0 8451 1 +BIT8_0 11810 1 +BIT7_0 11056 1 +BIT6_0 11686 1 +BIT5_0 11648 1 +BIT4_0 7467 1 +BIT3_0 6717 1 +BIT2_0 7654 1 +BIT1_0 11956 1 +BIT0_0 11624 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 121 1 +BIT4_1 154 1 +BIT3_1 494 1 +BIT2_1 639 1 +BIT1_1 6588 1 +BIT0_1 6574 1 +BIT5_0 15038 1 +BIT4_0 15005 1 +BIT3_0 14665 1 +BIT2_0 14520 1 +BIT1_0 8571 1 +BIT0_0 8585 1 + + +Group : uvma_isacov_pkg::cg_executed_type + +=============================================================================== +Group : uvma_isacov_pkg::cg_executed_type +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +7 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_ebreak_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_nop_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_ebreak_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_ecall_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_fence_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_mret_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_wfi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_executed_type + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvma_isacov_pkg::cg_executed_type + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_ebreak_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_ebreak_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_ebreak_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 4674 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_nop_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_nop_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_nop_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 63120 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_ebreak_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_ebreak_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_ebreak_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 5057 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_ecall_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_ecall_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_ecall_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 1483 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_fence_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_fence_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_fence_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 11209 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_mret_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_mret_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_mret_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 279895 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_wfi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_wfi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_wfi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 3292 1 + + +Group : uvma_isacov_pkg::cg_itype_load_lhu + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load_lhu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lhu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load_lhu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 223 0 223 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load_lhu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lhu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lhu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 223 0 223 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 3 1 +auto[1] 750 1 +auto[2] 8386 1 +auto[3] 709 1 +auto[4] 755 1 +auto[5] 726 1 +auto[6] 683 1 +auto[7] 635 1 +auto[8] 686 1 +auto[9] 641 1 +auto[10] 610 1 +auto[11] 649 1 +auto[12] 634 1 +auto[13] 682 1 +auto[14] 661 1 +auto[15] 741 1 +auto[16] 762 1 +auto[17] 759 1 +auto[18] 679 1 +auto[19] 712 1 +auto[20] 702 1 +auto[21] 805 1 +auto[22] 688 1 +auto[23] 669 1 +auto[24] 753 1 +auto[25] 611 1 +auto[26] 692 1 +auto[27] 687 1 +auto[28] 724 1 +auto[29] 733 1 +auto[30] 656 1 +auto[31] 662 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1032 1 +auto[1] 1081 1 +auto[2] 640 1 +auto[3] 1047 1 +auto[4] 877 1 +auto[5] 889 1 +auto[6] 908 1 +auto[7] 948 1 +auto[8] 949 1 +auto[9] 860 1 +auto[10] 884 1 +auto[11] 901 1 +auto[12] 943 1 +auto[13] 911 1 +auto[14] 847 1 +auto[15] 835 1 +auto[16] 848 1 +auto[17] 966 1 +auto[18] 935 1 +auto[19] 888 1 +auto[20] 897 1 +auto[21] 963 1 +auto[22] 897 1 +auto[23] 919 1 +auto[24] 878 1 +auto[25] 954 1 +auto[26] 932 1 +auto[27] 930 1 +auto[28] 917 1 +auto[29] 956 1 +auto[30] 911 1 +auto[31] 902 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 3 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3 1 +auto_NON_ZERO 29242 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 231 1 +auto_POSITIVE 14364 1 +auto_NEGATIVE 14650 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9371 1 +auto_NON_ZERO 19874 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 29242 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 11136 1 +BIT15_1 21821 1 +BIT14_1 10701 1 +BIT13_1 18523 1 +BIT12_1 16412 1 +BIT11_1 14506 1 +BIT10_1 14775 1 +BIT9_1 14702 1 +BIT8_1 14431 1 +BIT7_1 14494 1 +BIT6_1 14677 1 +BIT5_1 14562 1 +BIT4_1 14567 1 +BIT3_1 14570 1 +BIT2_1 14604 1 +BIT1_1 14534 1 +BIT0_1 14673 1 +BIT31_0 3 1 +BIT30_0 29244 1 +BIT29_0 29244 1 +BIT28_0 29244 1 +BIT27_0 29244 1 +BIT26_0 29244 1 +BIT25_0 29244 1 +BIT24_0 29244 1 +BIT23_0 29244 1 +BIT22_0 29244 1 +BIT21_0 29244 1 +BIT20_0 29244 1 +BIT19_0 29244 1 +BIT18_0 29244 1 +BIT17_0 29244 1 +BIT16_0 18109 1 +BIT15_0 7424 1 +BIT14_0 18544 1 +BIT13_0 10722 1 +BIT12_0 12833 1 +BIT11_0 14739 1 +BIT10_0 14470 1 +BIT9_0 14543 1 +BIT8_0 14814 1 +BIT7_0 14751 1 +BIT6_0 14568 1 +BIT5_0 14683 1 +BIT4_0 14678 1 +BIT3_0 14675 1 +BIT2_0 14641 1 +BIT1_0 14711 1 +BIT0_0 14572 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 14650 1 +BIT10_1 14685 1 +BIT9_1 14674 1 +BIT8_1 14706 1 +BIT7_1 14803 1 +BIT6_1 14757 1 +BIT5_1 14622 1 +BIT4_1 14939 1 +BIT3_1 14479 1 +BIT2_1 14605 1 +BIT1_1 14686 1 +BIT0_1 14673 1 +BIT11_0 14595 1 +BIT10_0 14560 1 +BIT9_0 14571 1 +BIT8_0 14539 1 +BIT7_0 14442 1 +BIT6_0 14488 1 +BIT5_0 14623 1 +BIT4_0 14306 1 +BIT3_0 14766 1 +BIT2_0 14640 1 +BIT1_0 14559 1 +BIT0_0 14572 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 9516 1 +BIT14_1 9361 1 +BIT13_1 9684 1 +BIT12_1 9597 1 +BIT11_1 9587 1 +BIT10_1 9562 1 +BIT9_1 8795 1 +BIT8_1 5117 1 +BIT7_1 9553 1 +BIT6_1 9456 1 +BIT5_1 9619 1 +BIT4_1 9789 1 +BIT3_1 9976 1 +BIT2_1 9836 1 +BIT1_1 8892 1 +BIT0_1 13580 1 +BIT15_0 19729 1 +BIT14_0 19884 1 +BIT13_0 19561 1 +BIT12_0 19648 1 +BIT11_0 19658 1 +BIT10_0 19683 1 +BIT9_0 20450 1 +BIT8_0 24128 1 +BIT7_0 19692 1 +BIT6_0 19789 1 +BIT5_0 19626 1 +BIT4_0 19456 1 +BIT3_0 19269 1 +BIT2_0 19409 1 +BIT1_0 20353 1 +BIT0_0 15665 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 1 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 230 1 +auto_NON_ZERO auto_POSITIVE 14363 1 +auto_NON_ZERO auto_NEGATIVE 14649 1 + + +Group : uvma_isacov_pkg::cg_cr_mv + +=============================================================================== +Group : uvma_isacov_pkg::cg_cr_mv +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_mv_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cr_mv + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 225 0 225 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_cr_mv + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_mv_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_mv + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_mv_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 225 0 225 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_mv_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_mv_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rdrs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 413 1 +auto[2] 346 1 +auto[3] 449 1 +auto[4] 408 1 +auto[5] 377 1 +auto[6] 388 1 +auto[7] 387 1 +auto[8] 379 1 +auto[9] 394 1 +auto[10] 399 1 +auto[11] 389 1 +auto[12] 372 1 +auto[13] 387 1 +auto[14] 379 1 +auto[15] 346 1 +auto[16] 339 1 +auto[17] 397 1 +auto[18] 398 1 +auto[19] 370 1 +auto[20] 365 1 +auto[21] 348 1 +auto[22] 337 1 +auto[23] 381 1 +auto[24] 388 1 +auto[25] 349 1 +auto[26] 337 1 +auto[27] 378 1 +auto[28] 342 1 +auto[29] 357 1 +auto[30] 356 1 +auto[31] 384 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS2_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 566 1 +auto[2] 453 1 +auto[3] 398 1 +auto[4] 433 1 +auto[5] 435 1 +auto[6] 534 1 +auto[7] 457 1 +auto[8] 384 1 +auto[9] 437 1 +auto[10] 398 1 +auto[11] 447 1 +auto[12] 386 1 +auto[13] 419 1 +auto[14] 377 1 +auto[15] 448 1 +auto[16] 400 1 +auto[17] 388 1 +auto[18] 383 1 +auto[19] 410 1 +auto[20] 409 1 +auto[21] 391 1 +auto[22] 458 1 +auto[23] 464 1 +auto[24] 402 1 +auto[25] 402 1 +auto[26] 341 1 +auto[27] 385 1 +auto[28] 408 1 +auto[29] 390 1 +auto[30] 369 1 +auto[31] 408 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3473 1 +auto_NON_ZERO 9507 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3473 1 +auto_NON_ZERO 9507 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 31 0 31 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_01 30 1 +RD_02 13 1 +RD_03 24 1 +RD_04 8 1 +RD_05 22 1 +RD_06 22 1 +RD_07 22 1 +RD_08 13 1 +RD_09 8 1 +RD_0a 13 1 +RD_0b 15 1 +RD_0c 14 1 +RD_0d 22 1 +RD_0e 16 1 +RD_0f 12 1 +RD_10 14 1 +RD_11 9 1 +RD_12 14 1 +RD_13 19 1 +RD_14 15 1 +RD_15 16 1 +RD_16 14 1 +RD_17 13 1 +RD_18 14 1 +RD_19 13 1 +RD_1a 8 1 +RD_1b 19 1 +RD_1c 14 1 +RD_1d 9 1 +RD_1e 15 1 +RD_1f 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4892 1 +BIT30_1 2471 1 +BIT29_1 2489 1 +BIT28_1 2501 1 +BIT27_1 2382 1 +BIT26_1 2373 1 +BIT25_1 2337 1 +BIT24_1 2380 1 +BIT23_1 2309 1 +BIT22_1 2325 1 +BIT21_1 2357 1 +BIT20_1 2333 1 +BIT19_1 2346 1 +BIT18_1 2398 1 +BIT17_1 2374 1 +BIT16_1 2524 1 +BIT15_1 3298 1 +BIT14_1 3158 1 +BIT13_1 3442 1 +BIT12_1 3291 1 +BIT11_1 3961 1 +BIT10_1 4053 1 +BIT9_1 3567 1 +BIT8_1 3130 1 +BIT7_1 3896 1 +BIT6_1 3483 1 +BIT5_1 3520 1 +BIT4_1 4605 1 +BIT3_1 4644 1 +BIT2_1 4533 1 +BIT1_1 3568 1 +BIT0_1 3483 1 +BIT31_0 8088 1 +BIT30_0 10509 1 +BIT29_0 10491 1 +BIT28_0 10479 1 +BIT27_0 10598 1 +BIT26_0 10607 1 +BIT25_0 10643 1 +BIT24_0 10600 1 +BIT23_0 10671 1 +BIT22_0 10655 1 +BIT21_0 10623 1 +BIT20_0 10647 1 +BIT19_0 10634 1 +BIT18_0 10582 1 +BIT17_0 10606 1 +BIT16_0 10456 1 +BIT15_0 9682 1 +BIT14_0 9822 1 +BIT13_0 9538 1 +BIT12_0 9689 1 +BIT11_0 9019 1 +BIT10_0 8927 1 +BIT9_0 9413 1 +BIT8_0 9850 1 +BIT7_0 9084 1 +BIT6_0 9497 1 +BIT5_0 9460 1 +BIT4_0 8375 1 +BIT3_0 8336 1 +BIT2_0 8447 1 +BIT1_0 9412 1 +BIT0_0 9497 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4892 1 +BIT30_1 2471 1 +BIT29_1 2489 1 +BIT28_1 2501 1 +BIT27_1 2382 1 +BIT26_1 2373 1 +BIT25_1 2337 1 +BIT24_1 2380 1 +BIT23_1 2309 1 +BIT22_1 2325 1 +BIT21_1 2357 1 +BIT20_1 2333 1 +BIT19_1 2346 1 +BIT18_1 2398 1 +BIT17_1 2374 1 +BIT16_1 2524 1 +BIT15_1 3298 1 +BIT14_1 3158 1 +BIT13_1 3442 1 +BIT12_1 3291 1 +BIT11_1 3961 1 +BIT10_1 4053 1 +BIT9_1 3567 1 +BIT8_1 3130 1 +BIT7_1 3896 1 +BIT6_1 3483 1 +BIT5_1 3520 1 +BIT4_1 4605 1 +BIT3_1 4644 1 +BIT2_1 4533 1 +BIT1_1 3568 1 +BIT0_1 3483 1 +BIT31_0 8088 1 +BIT30_0 10509 1 +BIT29_0 10491 1 +BIT28_0 10479 1 +BIT27_0 10598 1 +BIT26_0 10607 1 +BIT25_0 10643 1 +BIT24_0 10600 1 +BIT23_0 10671 1 +BIT22_0 10655 1 +BIT21_0 10623 1 +BIT20_0 10647 1 +BIT19_0 10634 1 +BIT18_0 10582 1 +BIT17_0 10606 1 +BIT16_0 10456 1 +BIT15_0 9682 1 +BIT14_0 9822 1 +BIT13_0 9538 1 +BIT12_0 9689 1 +BIT11_0 9019 1 +BIT10_0 8927 1 +BIT9_0 9413 1 +BIT8_0 9850 1 +BIT7_0 9084 1 +BIT6_0 9497 1 +BIT5_0 9460 1 +BIT4_0 8375 1 +BIT3_0 8336 1 +BIT2_0 8447 1 +BIT1_0 9412 1 +BIT0_0 9497 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rdrs1_rs2 + + +Samples crossed: cp_c_rdrs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rdrs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_cj + +=============================================================================== +Group : uvma_isacov_pkg::cg_cj +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_j_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_jal_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cj + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 25 0 25 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cj + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_imm_toggle 22 0 22 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_j_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cj + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_j_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 25 0 25 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_j_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_imm_toggle 22 0 22 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2332 1 +auto_POSITIVE 36801 1 +auto_NEGATIVE 28607 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 22 0 22 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT10_1 28607 1 +BIT9_1 28607 1 +BIT8_1 28607 1 +BIT7_1 28607 1 +BIT6_1 28608 1 +BIT5_1 28846 1 +BIT4_1 29512 1 +BIT3_1 30561 1 +BIT2_1 30701 1 +BIT1_1 30134 1 +BIT0_1 36939 1 +BIT10_0 39133 1 +BIT9_0 39133 1 +BIT8_0 39133 1 +BIT7_0 39133 1 +BIT6_0 39132 1 +BIT5_0 38894 1 +BIT4_0 38228 1 +BIT3_0 37179 1 +BIT2_0 37039 1 +BIT1_0 37606 1 +BIT0_0 30801 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_jal_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cj + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_jal_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 25 0 25 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_jal_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_imm_toggle 22 0 22 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 30831 1 +auto_NEGATIVE 28328 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 22 0 22 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT10_1 28328 1 +BIT9_1 28326 1 +BIT8_1 28288 1 +BIT7_1 28287 1 +BIT6_1 28308 1 +BIT5_1 28517 1 +BIT4_1 29712 1 +BIT3_1 30134 1 +BIT2_1 30049 1 +BIT1_1 30000 1 +BIT0_1 30920 1 +BIT10_0 30832 1 +BIT9_0 30834 1 +BIT8_0 30872 1 +BIT7_0 30873 1 +BIT6_0 30852 1 +BIT5_0 30643 1 +BIT4_0 29448 1 +BIT3_0 29026 1 +BIT2_0 29111 1 +BIT1_0 29160 1 +BIT0_0 28240 1 + + +Group : uvma_isacov_pkg::cg_zb_rstype + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_orc_b_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_rev8_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_sext_b_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_sext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_orc_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2136 1 +auto[1] 497 1 +auto[2] 489 1 +auto[3] 543 1 +auto[4] 511 1 +auto[5] 518 1 +auto[6] 505 1 +auto[7] 524 1 +auto[8] 506 1 +auto[9] 496 1 +auto[10] 531 1 +auto[11] 459 1 +auto[12] 494 1 +auto[13] 522 1 +auto[14] 477 1 +auto[15] 549 1 +auto[16] 500 1 +auto[17] 495 1 +auto[18] 499 1 +auto[19] 454 1 +auto[20] 476 1 +auto[21] 544 1 +auto[22] 476 1 +auto[23] 516 1 +auto[24] 506 1 +auto[25] 511 1 +auto[26] 483 1 +auto[27] 473 1 +auto[28] 548 1 +auto[29] 498 1 +auto[30] 535 1 +auto[31] 494 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2168 1 +auto[1] 553 1 +auto[2] 462 1 +auto[3] 528 1 +auto[4] 476 1 +auto[5] 482 1 +auto[6] 503 1 +auto[7] 485 1 +auto[8] 470 1 +auto[9] 506 1 +auto[10] 513 1 +auto[11] 571 1 +auto[12] 520 1 +auto[13] 468 1 +auto[14] 510 1 +auto[15] 474 1 +auto[16] 528 1 +auto[17] 478 1 +auto[18] 505 1 +auto[19] 507 1 +auto[20] 488 1 +auto[21] 455 1 +auto[22] 539 1 +auto[23] 474 1 +auto[24] 525 1 +auto[25] 534 1 +auto[26] 522 1 +auto[27] 494 1 +auto[28] 453 1 +auto[29] 502 1 +auto[30] 532 1 +auto[31] 540 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1633 1 +RD_01 14 1 +RD_02 13 1 +RD_03 22 1 +RD_04 13 1 +RD_05 16 1 +RD_06 19 1 +RD_07 7 1 +RD_08 16 1 +RD_09 13 1 +RD_0a 18 1 +RD_0b 13 1 +RD_0c 10 1 +RD_0d 12 1 +RD_0e 18 1 +RD_0f 16 1 +RD_10 17 1 +RD_11 14 1 +RD_12 21 1 +RD_13 18 1 +RD_14 19 1 +RD_15 16 1 +RD_16 14 1 +RD_17 22 1 +RD_18 18 1 +RD_19 20 1 +RD_1a 21 1 +RD_1b 23 1 +RD_1c 17 1 +RD_1d 14 1 +RD_1e 17 1 +RD_1f 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6863 1 +auto_NON_ZERO 10902 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6863 1 +auto_NON_ZERO 10902 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5048 1 +BIT30_1 3164 1 +BIT29_1 3134 1 +BIT28_1 3148 1 +BIT27_1 3095 1 +BIT26_1 3046 1 +BIT25_1 3038 1 +BIT24_1 3042 1 +BIT23_1 3017 1 +BIT22_1 3013 1 +BIT21_1 3011 1 +BIT20_1 3049 1 +BIT19_1 3057 1 +BIT18_1 3046 1 +BIT17_1 3021 1 +BIT16_1 3218 1 +BIT15_1 4018 1 +BIT14_1 3951 1 +BIT13_1 4139 1 +BIT12_1 3988 1 +BIT11_1 4463 1 +BIT10_1 4480 1 +BIT9_1 3924 1 +BIT8_1 3341 1 +BIT7_1 4306 1 +BIT6_1 3750 1 +BIT5_1 3993 1 +BIT4_1 5015 1 +BIT3_1 5164 1 +BIT2_1 5020 1 +BIT1_1 3937 1 +BIT0_1 4576 1 +BIT31_0 12717 1 +BIT30_0 14601 1 +BIT29_0 14631 1 +BIT28_0 14617 1 +BIT27_0 14670 1 +BIT26_0 14719 1 +BIT25_0 14727 1 +BIT24_0 14723 1 +BIT23_0 14748 1 +BIT22_0 14752 1 +BIT21_0 14754 1 +BIT20_0 14716 1 +BIT19_0 14708 1 +BIT18_0 14719 1 +BIT17_0 14744 1 +BIT16_0 14547 1 +BIT15_0 13747 1 +BIT14_0 13814 1 +BIT13_0 13626 1 +BIT12_0 13777 1 +BIT11_0 13302 1 +BIT10_0 13285 1 +BIT9_0 13841 1 +BIT8_0 14424 1 +BIT7_0 13459 1 +BIT6_0 14015 1 +BIT5_0 13772 1 +BIT4_0 12750 1 +BIT3_0 12601 1 +BIT2_0 12745 1 +BIT1_0 13828 1 +BIT0_0 13189 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6353 1 +BIT30_1 6353 1 +BIT29_1 6353 1 +BIT28_1 6353 1 +BIT27_1 6353 1 +BIT26_1 6353 1 +BIT25_1 6353 1 +BIT24_1 6353 1 +BIT23_1 4557 1 +BIT22_1 4557 1 +BIT21_1 4557 1 +BIT20_1 4557 1 +BIT19_1 4557 1 +BIT18_1 4557 1 +BIT17_1 4557 1 +BIT16_1 4557 1 +BIT15_1 7058 1 +BIT14_1 7058 1 +BIT13_1 7058 1 +BIT12_1 7058 1 +BIT11_1 7058 1 +BIT10_1 7058 1 +BIT9_1 7058 1 +BIT8_1 7058 1 +BIT7_1 9622 1 +BIT6_1 9622 1 +BIT5_1 9622 1 +BIT4_1 9622 1 +BIT3_1 9622 1 +BIT2_1 9622 1 +BIT1_1 9622 1 +BIT0_1 9622 1 +BIT31_0 11412 1 +BIT30_0 11412 1 +BIT29_0 11412 1 +BIT28_0 11412 1 +BIT27_0 11412 1 +BIT26_0 11412 1 +BIT25_0 11412 1 +BIT24_0 11412 1 +BIT23_0 13208 1 +BIT22_0 13208 1 +BIT21_0 13208 1 +BIT20_0 13208 1 +BIT19_0 13208 1 +BIT18_0 13208 1 +BIT17_0 13208 1 +BIT16_0 13208 1 +BIT15_0 10707 1 +BIT14_0 10707 1 +BIT13_0 10707 1 +BIT12_0 10707 1 +BIT11_0 10707 1 +BIT10_0 10707 1 +BIT9_0 10707 1 +BIT8_0 10707 1 +BIT7_0 8143 1 +BIT6_0 8143 1 +BIT5_0 8143 1 +BIT4_0 8143 1 +BIT3_0 8143 1 +BIT2_0 8143 1 +BIT1_0 8143 1 +BIT0_0 8143 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_rev8_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2093 1 +auto[1] 494 1 +auto[2] 532 1 +auto[3] 503 1 +auto[4] 525 1 +auto[5] 476 1 +auto[6] 471 1 +auto[7] 504 1 +auto[8] 530 1 +auto[9] 484 1 +auto[10] 480 1 +auto[11] 521 1 +auto[12] 504 1 +auto[13] 487 1 +auto[14] 508 1 +auto[15] 495 1 +auto[16] 481 1 +auto[17] 493 1 +auto[18] 502 1 +auto[19] 477 1 +auto[20] 449 1 +auto[21] 487 1 +auto[22] 500 1 +auto[23] 487 1 +auto[24] 488 1 +auto[25] 467 1 +auto[26] 494 1 +auto[27] 478 1 +auto[28] 506 1 +auto[29] 511 1 +auto[30] 450 1 +auto[31] 510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2108 1 +auto[1] 543 1 +auto[2] 445 1 +auto[3] 529 1 +auto[4] 487 1 +auto[5] 511 1 +auto[6] 486 1 +auto[7] 498 1 +auto[8] 442 1 +auto[9] 464 1 +auto[10] 506 1 +auto[11] 487 1 +auto[12] 467 1 +auto[13] 491 1 +auto[14] 498 1 +auto[15] 468 1 +auto[16] 475 1 +auto[17] 484 1 +auto[18] 508 1 +auto[19] 487 1 +auto[20] 472 1 +auto[21] 520 1 +auto[22] 493 1 +auto[23] 512 1 +auto[24] 517 1 +auto[25] 506 1 +auto[26] 522 1 +auto[27] 532 1 +auto[28] 481 1 +auto[29] 489 1 +auto[30] 464 1 +auto[31] 495 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1601 1 +RD_01 7 1 +RD_02 18 1 +RD_03 16 1 +RD_04 19 1 +RD_05 23 1 +RD_06 11 1 +RD_07 16 1 +RD_08 14 1 +RD_09 10 1 +RD_0a 19 1 +RD_0b 10 1 +RD_0c 22 1 +RD_0d 10 1 +RD_0e 19 1 +RD_0f 23 1 +RD_10 17 1 +RD_11 15 1 +RD_12 21 1 +RD_13 13 1 +RD_14 16 1 +RD_15 18 1 +RD_16 16 1 +RD_17 16 1 +RD_18 17 1 +RD_19 14 1 +RD_1a 21 1 +RD_1b 17 1 +RD_1c 14 1 +RD_1d 19 1 +RD_1e 15 1 +RD_1f 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6709 1 +auto_NON_ZERO 10678 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6709 1 +auto_NON_ZERO 10678 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4836 1 +BIT30_1 3106 1 +BIT29_1 3101 1 +BIT28_1 3110 1 +BIT27_1 2969 1 +BIT26_1 3046 1 +BIT25_1 2967 1 +BIT24_1 3002 1 +BIT23_1 2944 1 +BIT22_1 2957 1 +BIT21_1 2963 1 +BIT20_1 2917 1 +BIT19_1 2967 1 +BIT18_1 2967 1 +BIT17_1 2936 1 +BIT16_1 3161 1 +BIT15_1 3951 1 +BIT14_1 3827 1 +BIT13_1 4083 1 +BIT12_1 3857 1 +BIT11_1 4306 1 +BIT10_1 4344 1 +BIT9_1 3838 1 +BIT8_1 3294 1 +BIT7_1 4170 1 +BIT6_1 3593 1 +BIT5_1 3833 1 +BIT4_1 4813 1 +BIT3_1 4981 1 +BIT2_1 4943 1 +BIT1_1 3909 1 +BIT0_1 4308 1 +BIT31_0 12551 1 +BIT30_0 14281 1 +BIT29_0 14286 1 +BIT28_0 14277 1 +BIT27_0 14418 1 +BIT26_0 14341 1 +BIT25_0 14420 1 +BIT24_0 14385 1 +BIT23_0 14443 1 +BIT22_0 14430 1 +BIT21_0 14424 1 +BIT20_0 14470 1 +BIT19_0 14420 1 +BIT18_0 14420 1 +BIT17_0 14451 1 +BIT16_0 14226 1 +BIT15_0 13436 1 +BIT14_0 13560 1 +BIT13_0 13304 1 +BIT12_0 13530 1 +BIT11_0 13081 1 +BIT10_0 13043 1 +BIT9_0 13549 1 +BIT8_0 14093 1 +BIT7_0 13217 1 +BIT6_0 13794 1 +BIT5_0 13554 1 +BIT4_0 12574 1 +BIT3_0 12406 1 +BIT2_0 12444 1 +BIT1_0 13478 1 +BIT0_0 13079 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4170 1 +BIT30_1 3593 1 +BIT29_1 3833 1 +BIT28_1 4813 1 +BIT27_1 4981 1 +BIT26_1 4943 1 +BIT25_1 3909 1 +BIT24_1 4308 1 +BIT23_1 3951 1 +BIT22_1 3827 1 +BIT21_1 4083 1 +BIT20_1 3857 1 +BIT19_1 4306 1 +BIT18_1 4344 1 +BIT17_1 3838 1 +BIT16_1 3294 1 +BIT15_1 2944 1 +BIT14_1 2957 1 +BIT13_1 2963 1 +BIT12_1 2917 1 +BIT11_1 2967 1 +BIT10_1 2967 1 +BIT9_1 2936 1 +BIT8_1 3161 1 +BIT7_1 4836 1 +BIT6_1 3106 1 +BIT5_1 3101 1 +BIT4_1 3110 1 +BIT3_1 2969 1 +BIT2_1 3046 1 +BIT1_1 2967 1 +BIT0_1 3002 1 +BIT31_0 13217 1 +BIT30_0 13794 1 +BIT29_0 13554 1 +BIT28_0 12574 1 +BIT27_0 12406 1 +BIT26_0 12444 1 +BIT25_0 13478 1 +BIT24_0 13079 1 +BIT23_0 13436 1 +BIT22_0 13560 1 +BIT21_0 13304 1 +BIT20_0 13530 1 +BIT19_0 13081 1 +BIT18_0 13043 1 +BIT17_0 13549 1 +BIT16_0 14093 1 +BIT15_0 14443 1 +BIT14_0 14430 1 +BIT13_0 14424 1 +BIT12_0 14470 1 +BIT11_0 14420 1 +BIT10_0 14420 1 +BIT9_0 14451 1 +BIT8_0 14226 1 +BIT7_0 12551 1 +BIT6_0 14281 1 +BIT5_0 14286 1 +BIT4_0 14277 1 +BIT3_0 14418 1 +BIT2_0 14341 1 +BIT1_0 14420 1 +BIT0_0 14385 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_sext_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2057 1 +auto[1] 485 1 +auto[2] 518 1 +auto[3] 504 1 +auto[4] 504 1 +auto[5] 500 1 +auto[6] 513 1 +auto[7] 529 1 +auto[8] 511 1 +auto[9] 529 1 +auto[10] 498 1 +auto[11] 484 1 +auto[12] 467 1 +auto[13] 504 1 +auto[14] 466 1 +auto[15] 476 1 +auto[16] 485 1 +auto[17] 533 1 +auto[18] 488 1 +auto[19] 509 1 +auto[20] 473 1 +auto[21] 500 1 +auto[22] 531 1 +auto[23] 494 1 +auto[24] 480 1 +auto[25] 440 1 +auto[26] 516 1 +auto[27] 536 1 +auto[28] 475 1 +auto[29] 529 1 +auto[30] 487 1 +auto[31] 480 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2094 1 +auto[1] 541 1 +auto[2] 462 1 +auto[3] 557 1 +auto[4] 486 1 +auto[5] 533 1 +auto[6] 500 1 +auto[7] 523 1 +auto[8] 466 1 +auto[9] 481 1 +auto[10] 486 1 +auto[11] 479 1 +auto[12] 491 1 +auto[13] 467 1 +auto[14] 485 1 +auto[15] 502 1 +auto[16] 497 1 +auto[17] 479 1 +auto[18] 462 1 +auto[19] 478 1 +auto[20] 522 1 +auto[21] 514 1 +auto[22] 530 1 +auto[23] 517 1 +auto[24] 482 1 +auto[25] 494 1 +auto[26] 537 1 +auto[27] 489 1 +auto[28] 504 1 +auto[29] 480 1 +auto[30] 464 1 +auto[31] 499 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1581 1 +RD_01 14 1 +RD_02 9 1 +RD_03 19 1 +RD_04 10 1 +RD_05 15 1 +RD_06 18 1 +RD_07 19 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 10 1 +RD_11 15 1 +RD_12 11 1 +RD_13 13 1 +RD_14 13 1 +RD_15 18 1 +RD_16 16 1 +RD_17 18 1 +RD_18 16 1 +RD_19 8 1 +RD_1a 22 1 +RD_1b 24 1 +RD_1c 17 1 +RD_1d 22 1 +RD_1e 10 1 +RD_1f 15 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6752 1 +auto_NON_ZERO 10749 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8020 1 +auto_NON_ZERO 9481 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4989 1 +BIT30_1 3218 1 +BIT29_1 3260 1 +BIT28_1 3232 1 +BIT27_1 3118 1 +BIT26_1 3162 1 +BIT25_1 3113 1 +BIT24_1 3093 1 +BIT23_1 3085 1 +BIT22_1 3063 1 +BIT21_1 3021 1 +BIT20_1 3103 1 +BIT19_1 3111 1 +BIT18_1 3112 1 +BIT17_1 3101 1 +BIT16_1 3274 1 +BIT15_1 3983 1 +BIT14_1 3953 1 +BIT13_1 4173 1 +BIT12_1 4024 1 +BIT11_1 4455 1 +BIT10_1 4480 1 +BIT9_1 4030 1 +BIT8_1 3491 1 +BIT7_1 4207 1 +BIT6_1 3754 1 +BIT5_1 4014 1 +BIT4_1 4995 1 +BIT3_1 5095 1 +BIT2_1 4995 1 +BIT1_1 3990 1 +BIT0_1 4455 1 +BIT31_0 12512 1 +BIT30_0 14283 1 +BIT29_0 14241 1 +BIT28_0 14269 1 +BIT27_0 14383 1 +BIT26_0 14339 1 +BIT25_0 14388 1 +BIT24_0 14408 1 +BIT23_0 14416 1 +BIT22_0 14438 1 +BIT21_0 14480 1 +BIT20_0 14398 1 +BIT19_0 14390 1 +BIT18_0 14389 1 +BIT17_0 14400 1 +BIT16_0 14227 1 +BIT15_0 13518 1 +BIT14_0 13548 1 +BIT13_0 13328 1 +BIT12_0 13477 1 +BIT11_0 13046 1 +BIT10_0 13021 1 +BIT9_0 13471 1 +BIT8_0 14010 1 +BIT7_0 13294 1 +BIT6_0 13747 1 +BIT5_0 13487 1 +BIT4_0 12506 1 +BIT3_0 12406 1 +BIT2_0 12506 1 +BIT1_0 13511 1 +BIT0_0 13046 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4207 1 +BIT30_1 4207 1 +BIT29_1 4207 1 +BIT28_1 4207 1 +BIT27_1 4207 1 +BIT26_1 4207 1 +BIT25_1 4207 1 +BIT24_1 4207 1 +BIT23_1 4207 1 +BIT22_1 4207 1 +BIT21_1 4207 1 +BIT20_1 4207 1 +BIT19_1 4207 1 +BIT18_1 4207 1 +BIT17_1 4207 1 +BIT16_1 4207 1 +BIT15_1 4207 1 +BIT14_1 4207 1 +BIT13_1 4207 1 +BIT12_1 4207 1 +BIT11_1 4207 1 +BIT10_1 4207 1 +BIT9_1 4207 1 +BIT8_1 4207 1 +BIT7_1 4207 1 +BIT6_1 3754 1 +BIT5_1 4014 1 +BIT4_1 4995 1 +BIT3_1 5095 1 +BIT2_1 4995 1 +BIT1_1 3990 1 +BIT0_1 4455 1 +BIT31_0 13294 1 +BIT30_0 13294 1 +BIT29_0 13294 1 +BIT28_0 13294 1 +BIT27_0 13294 1 +BIT26_0 13294 1 +BIT25_0 13294 1 +BIT24_0 13294 1 +BIT23_0 13294 1 +BIT22_0 13294 1 +BIT21_0 13294 1 +BIT20_0 13294 1 +BIT19_0 13294 1 +BIT18_0 13294 1 +BIT17_0 13294 1 +BIT16_0 13294 1 +BIT15_0 13294 1 +BIT14_0 13294 1 +BIT13_0 13294 1 +BIT12_0 13294 1 +BIT11_0 13294 1 +BIT10_0 13294 1 +BIT9_0 13294 1 +BIT8_0 13294 1 +BIT7_0 13294 1 +BIT6_0 13747 1 +BIT5_0 13487 1 +BIT4_0 12506 1 +BIT3_0 12406 1 +BIT2_0 12506 1 +BIT1_0 13511 1 +BIT0_0 13046 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_sext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2039 1 +auto[1] 516 1 +auto[2] 478 1 +auto[3] 507 1 +auto[4] 492 1 +auto[5] 525 1 +auto[6] 520 1 +auto[7] 490 1 +auto[8] 492 1 +auto[9] 446 1 +auto[10] 483 1 +auto[11] 488 1 +auto[12] 455 1 +auto[13] 499 1 +auto[14] 474 1 +auto[15] 481 1 +auto[16] 496 1 +auto[17] 505 1 +auto[18] 479 1 +auto[19] 521 1 +auto[20] 488 1 +auto[21] 464 1 +auto[22] 513 1 +auto[23] 509 1 +auto[24] 492 1 +auto[25] 530 1 +auto[26] 527 1 +auto[27] 458 1 +auto[28] 482 1 +auto[29] 468 1 +auto[30] 508 1 +auto[31] 510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2091 1 +auto[1] 508 1 +auto[2] 455 1 +auto[3] 568 1 +auto[4] 478 1 +auto[5] 458 1 +auto[6] 512 1 +auto[7] 514 1 +auto[8] 485 1 +auto[9] 471 1 +auto[10] 472 1 +auto[11] 466 1 +auto[12] 473 1 +auto[13] 474 1 +auto[14] 439 1 +auto[15] 471 1 +auto[16] 478 1 +auto[17] 504 1 +auto[18] 499 1 +auto[19] 493 1 +auto[20] 524 1 +auto[21] 485 1 +auto[22] 518 1 +auto[23] 490 1 +auto[24] 476 1 +auto[25] 483 1 +auto[26] 522 1 +auto[27] 512 1 +auto[28] 515 1 +auto[29] 520 1 +auto[30] 540 1 +auto[31] 441 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1571 1 +RD_01 18 1 +RD_02 9 1 +RD_03 21 1 +RD_04 18 1 +RD_05 17 1 +RD_06 24 1 +RD_07 13 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 21 1 +RD_11 17 1 +RD_12 14 1 +RD_13 12 1 +RD_14 21 1 +RD_15 15 1 +RD_16 15 1 +RD_17 21 1 +RD_18 11 1 +RD_19 14 1 +RD_1a 13 1 +RD_1b 15 1 +RD_1c 20 1 +RD_1d 18 1 +RD_1e 17 1 +RD_1f 11 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6677 1 +auto_NON_ZERO 10658 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7316 1 +auto_NON_ZERO 10019 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4933 1 +BIT30_1 3185 1 +BIT29_1 3173 1 +BIT28_1 3198 1 +BIT27_1 3116 1 +BIT26_1 3130 1 +BIT25_1 3076 1 +BIT24_1 3053 1 +BIT23_1 3068 1 +BIT22_1 3064 1 +BIT21_1 3062 1 +BIT20_1 3059 1 +BIT19_1 3072 1 +BIT18_1 3064 1 +BIT17_1 3029 1 +BIT16_1 3243 1 +BIT15_1 4031 1 +BIT14_1 3952 1 +BIT13_1 4105 1 +BIT12_1 4003 1 +BIT11_1 4372 1 +BIT10_1 4440 1 +BIT9_1 3920 1 +BIT8_1 3384 1 +BIT7_1 4238 1 +BIT6_1 3668 1 +BIT5_1 3879 1 +BIT4_1 4977 1 +BIT3_1 5046 1 +BIT2_1 5055 1 +BIT1_1 3895 1 +BIT0_1 4392 1 +BIT31_0 12402 1 +BIT30_0 14150 1 +BIT29_0 14162 1 +BIT28_0 14137 1 +BIT27_0 14219 1 +BIT26_0 14205 1 +BIT25_0 14259 1 +BIT24_0 14282 1 +BIT23_0 14267 1 +BIT22_0 14271 1 +BIT21_0 14273 1 +BIT20_0 14276 1 +BIT19_0 14263 1 +BIT18_0 14271 1 +BIT17_0 14306 1 +BIT16_0 14092 1 +BIT15_0 13304 1 +BIT14_0 13383 1 +BIT13_0 13230 1 +BIT12_0 13332 1 +BIT11_0 12963 1 +BIT10_0 12895 1 +BIT9_0 13415 1 +BIT8_0 13951 1 +BIT7_0 13097 1 +BIT6_0 13667 1 +BIT5_0 13456 1 +BIT4_0 12358 1 +BIT3_0 12289 1 +BIT2_0 12280 1 +BIT1_0 13440 1 +BIT0_0 12943 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4031 1 +BIT30_1 4031 1 +BIT29_1 4031 1 +BIT28_1 4031 1 +BIT27_1 4031 1 +BIT26_1 4031 1 +BIT25_1 4031 1 +BIT24_1 4031 1 +BIT23_1 4031 1 +BIT22_1 4031 1 +BIT21_1 4031 1 +BIT20_1 4031 1 +BIT19_1 4031 1 +BIT18_1 4031 1 +BIT17_1 4031 1 +BIT16_1 4031 1 +BIT15_1 4031 1 +BIT14_1 3952 1 +BIT13_1 4105 1 +BIT12_1 4003 1 +BIT11_1 4372 1 +BIT10_1 4440 1 +BIT9_1 3920 1 +BIT8_1 3384 1 +BIT7_1 4238 1 +BIT6_1 3668 1 +BIT5_1 3879 1 +BIT4_1 4977 1 +BIT3_1 5046 1 +BIT2_1 5055 1 +BIT1_1 3895 1 +BIT0_1 4392 1 +BIT31_0 13304 1 +BIT30_0 13304 1 +BIT29_0 13304 1 +BIT28_0 13304 1 +BIT27_0 13304 1 +BIT26_0 13304 1 +BIT25_0 13304 1 +BIT24_0 13304 1 +BIT23_0 13304 1 +BIT22_0 13304 1 +BIT21_0 13304 1 +BIT20_0 13304 1 +BIT19_0 13304 1 +BIT18_0 13304 1 +BIT17_0 13304 1 +BIT16_0 13304 1 +BIT15_0 13304 1 +BIT14_0 13383 1 +BIT13_0 13230 1 +BIT12_0 13332 1 +BIT11_0 12963 1 +BIT10_0 12895 1 +BIT9_0 13415 1 +BIT8_0 13951 1 +BIT7_0 13097 1 +BIT6_0 13667 1 +BIT5_0 13456 1 +BIT4_0 12358 1 +BIT3_0 12289 1 +BIT2_0 12280 1 +BIT1_0 13440 1 +BIT0_0 12943 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_zcb_mul + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_mul +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_mul_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_mul + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 150 0 150 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_mul + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rs2 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_mul_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_mul + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_mul_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 150 0 150 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_mul_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rs2 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1338 1 +auto[1] 1300 1 +auto[2] 1302 1 +auto[3] 1294 1 +auto[4] 1394 1 +auto[5] 1365 1 +auto[6] 1441 1 +auto[7] 1426 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1363 1 +auto[1] 1384 1 +auto[2] 1346 1 +auto[3] 1339 1 +auto[4] 1411 1 +auto[5] 1370 1 +auto[6] 1293 1 +auto[7] 1354 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3686 1 +auto_POSITIVE 4348 1 +auto_NEGATIVE 2826 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3355 1 +auto_POSITIVE 4052 1 +auto_NEGATIVE 3453 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2826 1 +BIT30_1 2251 1 +BIT29_1 2217 1 +BIT28_1 2250 1 +BIT27_1 2214 1 +BIT26_1 2201 1 +BIT25_1 2190 1 +BIT24_1 2187 1 +BIT23_1 2208 1 +BIT22_1 2199 1 +BIT21_1 2195 1 +BIT20_1 2169 1 +BIT19_1 2185 1 +BIT18_1 2220 1 +BIT17_1 2198 1 +BIT16_1 2324 1 +BIT15_1 2641 1 +BIT14_1 2634 1 +BIT13_1 2736 1 +BIT12_1 2667 1 +BIT11_1 2682 1 +BIT10_1 2643 1 +BIT9_1 2715 1 +BIT8_1 2604 1 +BIT7_1 3028 1 +BIT6_1 2897 1 +BIT5_1 2986 1 +BIT4_1 3312 1 +BIT3_1 3307 1 +BIT2_1 3259 1 +BIT1_1 2962 1 +BIT0_1 3340 1 +BIT31_0 8034 1 +BIT30_0 8609 1 +BIT29_0 8643 1 +BIT28_0 8610 1 +BIT27_0 8646 1 +BIT26_0 8659 1 +BIT25_0 8670 1 +BIT24_0 8673 1 +BIT23_0 8652 1 +BIT22_0 8661 1 +BIT21_0 8665 1 +BIT20_0 8691 1 +BIT19_0 8675 1 +BIT18_0 8640 1 +BIT17_0 8662 1 +BIT16_0 8536 1 +BIT15_0 8219 1 +BIT14_0 8226 1 +BIT13_0 8124 1 +BIT12_0 8193 1 +BIT11_0 8178 1 +BIT10_0 8217 1 +BIT9_0 8145 1 +BIT8_0 8256 1 +BIT7_0 7832 1 +BIT6_0 7963 1 +BIT5_0 7874 1 +BIT4_0 7548 1 +BIT3_0 7553 1 +BIT2_0 7601 1 +BIT1_0 7898 1 +BIT0_0 7520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3453 1 +BIT30_1 2132 1 +BIT29_1 2143 1 +BIT28_1 2143 1 +BIT27_1 2066 1 +BIT26_1 2083 1 +BIT25_1 2059 1 +BIT24_1 2049 1 +BIT23_1 2092 1 +BIT22_1 2080 1 +BIT21_1 2055 1 +BIT20_1 2100 1 +BIT19_1 2087 1 +BIT18_1 2076 1 +BIT17_1 2081 1 +BIT16_1 2241 1 +BIT15_1 2824 1 +BIT14_1 2789 1 +BIT13_1 3030 1 +BIT12_1 2803 1 +BIT11_1 3206 1 +BIT10_1 3164 1 +BIT9_1 2850 1 +BIT8_1 2412 1 +BIT7_1 3176 1 +BIT6_1 2794 1 +BIT5_1 2806 1 +BIT4_1 3731 1 +BIT3_1 3699 1 +BIT2_1 3747 1 +BIT1_1 2809 1 +BIT0_1 3092 1 +BIT31_0 7407 1 +BIT30_0 8728 1 +BIT29_0 8717 1 +BIT28_0 8717 1 +BIT27_0 8794 1 +BIT26_0 8777 1 +BIT25_0 8801 1 +BIT24_0 8811 1 +BIT23_0 8768 1 +BIT22_0 8780 1 +BIT21_0 8805 1 +BIT20_0 8760 1 +BIT19_0 8773 1 +BIT18_0 8784 1 +BIT17_0 8779 1 +BIT16_0 8619 1 +BIT15_0 8036 1 +BIT14_0 8071 1 +BIT13_0 7830 1 +BIT12_0 8057 1 +BIT11_0 7654 1 +BIT10_0 7696 1 +BIT9_0 8010 1 +BIT8_0 8448 1 +BIT7_0 7684 1 +BIT6_0 8066 1 +BIT5_0 8054 1 +BIT4_0 7129 1 +BIT3_0 7161 1 +BIT2_0 7113 1 +BIT1_0 8051 1 +BIT0_0 7768 1 + + +Group : uvma_isacov_pkg::cg_zb_rstype_ext + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype_ext +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bext_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype_ext + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 326 0 326 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype_ext + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_index 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bext_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_ext + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 326 0 326 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_index 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 553 1 +auto[1] 538 1 +auto[2] 510 1 +auto[3] 533 1 +auto[4] 566 1 +auto[5] 528 1 +auto[6] 540 1 +auto[7] 527 1 +auto[8] 547 1 +auto[9] 554 1 +auto[10] 545 1 +auto[11] 550 1 +auto[12] 574 1 +auto[13] 541 1 +auto[14] 575 1 +auto[15] 523 1 +auto[16] 560 1 +auto[17] 540 1 +auto[18] 505 1 +auto[19] 498 1 +auto[20] 566 1 +auto[21] 550 1 +auto[22] 538 1 +auto[23] 564 1 +auto[24] 558 1 +auto[25] 561 1 +auto[26] 581 1 +auto[27] 585 1 +auto[28] 586 1 +auto[29] 555 1 +auto[30] 554 1 +auto[31] 539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 577 1 +auto[1] 579 1 +auto[2] 552 1 +auto[3] 560 1 +auto[4] 586 1 +auto[5] 521 1 +auto[6] 500 1 +auto[7] 535 1 +auto[8] 517 1 +auto[9] 545 1 +auto[10] 540 1 +auto[11] 562 1 +auto[12] 539 1 +auto[13] 502 1 +auto[14] 538 1 +auto[15] 533 1 +auto[16] 540 1 +auto[17] 548 1 +auto[18] 588 1 +auto[19] 515 1 +auto[20] 562 1 +auto[21] 525 1 +auto[22] 551 1 +auto[23] 532 1 +auto[24] 550 1 +auto[25] 551 1 +auto[26] 570 1 +auto[27] 586 1 +auto[28] 564 1 +auto[29] 560 1 +auto[30] 538 1 +auto[31] 578 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 576 1 +auto[1] 582 1 +auto[2] 483 1 +auto[3] 582 1 +auto[4] 569 1 +auto[5] 552 1 +auto[6] 536 1 +auto[7] 541 1 +auto[8] 510 1 +auto[9] 520 1 +auto[10] 546 1 +auto[11] 540 1 +auto[12] 581 1 +auto[13] 559 1 +auto[14] 565 1 +auto[15] 496 1 +auto[16] 523 1 +auto[17] 553 1 +auto[18] 582 1 +auto[19] 517 1 +auto[20] 526 1 +auto[21] 537 1 +auto[22] 564 1 +auto[23] 529 1 +auto[24] 566 1 +auto[25] 520 1 +auto[26] 606 1 +auto[27] 584 1 +auto[28] 569 1 +auto[29] 539 1 +auto[30] 528 1 +auto[31] 563 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 74 1 +RD_01 59 1 +RD_02 56 1 +RD_03 65 1 +RD_04 67 1 +RD_05 69 1 +RD_06 54 1 +RD_07 55 1 +RD_08 54 1 +RD_09 71 1 +RD_0a 72 1 +RD_0b 73 1 +RD_0c 64 1 +RD_0d 63 1 +RD_0e 68 1 +RD_0f 57 1 +RD_10 74 1 +RD_11 69 1 +RD_12 65 1 +RD_13 52 1 +RD_14 69 1 +RD_15 59 1 +RD_16 77 1 +RD_17 63 1 +RD_18 68 1 +RD_19 58 1 +RD_1a 75 1 +RD_1b 72 1 +RD_1c 65 1 +RD_1d 71 1 +RD_1e 66 1 +RD_1f 75 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 73 1 +RD_01 60 1 +RD_02 54 1 +RD_03 65 1 +RD_04 82 1 +RD_05 63 1 +RD_06 61 1 +RD_07 67 1 +RD_08 52 1 +RD_09 63 1 +RD_0a 71 1 +RD_0b 72 1 +RD_0c 74 1 +RD_0d 64 1 +RD_0e 70 1 +RD_0f 64 1 +RD_10 65 1 +RD_11 63 1 +RD_12 58 1 +RD_13 56 1 +RD_14 65 1 +RD_15 54 1 +RD_16 75 1 +RD_17 62 1 +RD_18 73 1 +RD_19 56 1 +RD_1a 82 1 +RD_1b 75 1 +RD_1c 64 1 +RD_1d 60 1 +RD_1e 66 1 +RD_1f 78 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6085 1 +auto_NON_ZERO 11459 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6120 1 +auto_NON_ZERO 11424 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_index + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_index + + +Bins + +NAME COUNT AT LEAST +INDEX_00 577 1 +INDEX_01 579 1 +INDEX_02 552 1 +INDEX_03 560 1 +INDEX_04 586 1 +INDEX_05 521 1 +INDEX_06 500 1 +INDEX_07 535 1 +INDEX_08 517 1 +INDEX_09 545 1 +INDEX_0a 540 1 +INDEX_0b 562 1 +INDEX_0c 539 1 +INDEX_0d 502 1 +INDEX_0e 538 1 +INDEX_0f 533 1 +INDEX_10 540 1 +INDEX_11 548 1 +INDEX_12 588 1 +INDEX_13 515 1 +INDEX_14 562 1 +INDEX_15 525 1 +INDEX_16 551 1 +INDEX_17 532 1 +INDEX_18 550 1 +INDEX_19 551 1 +INDEX_1a 570 1 +INDEX_1b 586 1 +INDEX_1c 564 1 +INDEX_1d 560 1 +INDEX_1e 538 1 +INDEX_1f 578 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +ONE 4297 1 +ZERO 13247 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5391 1 +BIT30_1 3581 1 +BIT29_1 3560 1 +BIT28_1 3560 1 +BIT27_1 3413 1 +BIT26_1 3439 1 +BIT25_1 3352 1 +BIT24_1 3396 1 +BIT23_1 3375 1 +BIT22_1 3398 1 +BIT21_1 3401 1 +BIT20_1 3393 1 +BIT19_1 3392 1 +BIT18_1 3460 1 +BIT17_1 3364 1 +BIT16_1 3654 1 +BIT15_1 4305 1 +BIT14_1 4255 1 +BIT13_1 4467 1 +BIT12_1 4296 1 +BIT11_1 4797 1 +BIT10_1 4860 1 +BIT9_1 4283 1 +BIT8_1 3731 1 +BIT7_1 4627 1 +BIT6_1 4112 1 +BIT5_1 4309 1 +BIT4_1 5468 1 +BIT3_1 5413 1 +BIT2_1 5460 1 +BIT1_1 4402 1 +BIT0_1 4983 1 +BIT31_0 12153 1 +BIT30_0 13963 1 +BIT29_0 13984 1 +BIT28_0 13984 1 +BIT27_0 14131 1 +BIT26_0 14105 1 +BIT25_0 14192 1 +BIT24_0 14148 1 +BIT23_0 14169 1 +BIT22_0 14146 1 +BIT21_0 14143 1 +BIT20_0 14151 1 +BIT19_0 14152 1 +BIT18_0 14084 1 +BIT17_0 14180 1 +BIT16_0 13890 1 +BIT15_0 13239 1 +BIT14_0 13289 1 +BIT13_0 13077 1 +BIT12_0 13248 1 +BIT11_0 12747 1 +BIT10_0 12684 1 +BIT9_0 13261 1 +BIT8_0 13813 1 +BIT7_0 12917 1 +BIT6_0 13432 1 +BIT5_0 13235 1 +BIT4_0 12076 1 +BIT3_0 12131 1 +BIT2_0 12084 1 +BIT1_0 13142 1 +BIT0_0 12561 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5270 1 +BIT30_1 3431 1 +BIT29_1 3418 1 +BIT28_1 3458 1 +BIT27_1 3330 1 +BIT26_1 3321 1 +BIT25_1 3250 1 +BIT24_1 3276 1 +BIT23_1 3300 1 +BIT22_1 3341 1 +BIT21_1 3263 1 +BIT20_1 3270 1 +BIT19_1 3254 1 +BIT18_1 3305 1 +BIT17_1 3271 1 +BIT16_1 3546 1 +BIT15_1 4283 1 +BIT14_1 4113 1 +BIT13_1 4375 1 +BIT12_1 4234 1 +BIT11_1 4691 1 +BIT10_1 4701 1 +BIT9_1 4133 1 +BIT8_1 3697 1 +BIT7_1 4516 1 +BIT6_1 4033 1 +BIT5_1 4179 1 +BIT4_1 5236 1 +BIT3_1 5398 1 +BIT2_1 5370 1 +BIT1_1 4244 1 +BIT0_1 4909 1 +BIT31_0 12274 1 +BIT30_0 14113 1 +BIT29_0 14126 1 +BIT28_0 14086 1 +BIT27_0 14214 1 +BIT26_0 14223 1 +BIT25_0 14294 1 +BIT24_0 14268 1 +BIT23_0 14244 1 +BIT22_0 14203 1 +BIT21_0 14281 1 +BIT20_0 14274 1 +BIT19_0 14290 1 +BIT18_0 14239 1 +BIT17_0 14273 1 +BIT16_0 13998 1 +BIT15_0 13261 1 +BIT14_0 13431 1 +BIT13_0 13169 1 +BIT12_0 13310 1 +BIT11_0 12853 1 +BIT10_0 12843 1 +BIT9_0 13411 1 +BIT8_0 13847 1 +BIT7_0 13028 1 +BIT6_0 13511 1 +BIT5_0 13365 1 +BIT4_0 12308 1 +BIT3_0 12146 1 +BIT2_0 12174 1 +BIT1_0 13300 1 +BIT0_0 12635 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2958 1 +auto_ZERO auto_NON_ZERO 3127 1 +auto_NON_ZERO auto_ZERO 3162 1 +auto_NON_ZERO auto_NON_ZERO 8297 1 + + +Group : uvma_isacov_pkg::cg_div_special_results + +=============================================================================== +Group : uvma_isacov_pkg::cg_div_special_results +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_divu_results_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_remu_results_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_div_special_results + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvma_isacov_pkg::cg_div_special_results + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_divu_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_divu_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_divu_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7425 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_remu_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_remu_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_remu_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7422 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +Group : uvma_isacov_pkg::cg_div_special_results + +=============================================================================== +Group : uvma_isacov_pkg::cg_div_special_results +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_div_results_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_rem_results_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_div_special_results + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group uvma_isacov_pkg::cg_div_special_results + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_div_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_div_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_div_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7317 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_arithmetic_overflow + + +Bins + +NAME COUNT AT LEAST +OFLOW 10 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_rem_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_rem_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_rem_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7115 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_arithmetic_overflow + + +Bins + +NAME COUNT AT LEAST +OFLOW 5 1 + + +Group : uvma_isacov_pkg::cg_itype_load_lbu + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load_lbu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lbu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load_lbu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 207 0 207 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load_lbu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lbu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lbu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 207 0 207 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 3 1 +auto[1] 2180 1 +auto[2] 29411 1 +auto[3] 2242 1 +auto[4] 4372 1 +auto[5] 3869 1 +auto[6] 4729 1 +auto[7] 4074 1 +auto[8] 4035 1 +auto[9] 4017 1 +auto[10] 3984 1 +auto[11] 3950 1 +auto[12] 3322 1 +auto[13] 2914 1 +auto[14] 3617 1 +auto[15] 3098 1 +auto[16] 4448 1 +auto[17] 3833 1 +auto[18] 4084 1 +auto[19] 4198 1 +auto[20] 5767 1 +auto[21] 4516 1 +auto[22] 3635 1 +auto[23] 3844 1 +auto[24] 3762 1 +auto[25] 4200 1 +auto[26] 3966 1 +auto[27] 4621 1 +auto[28] 4383 1 +auto[29] 4018 1 +auto[30] 4591 1 +auto[31] 3635 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 3407 1 +auto[1] 3116 1 +auto[2] 3690 1 +auto[3] 3186 1 +auto[4] 5379 1 +auto[5] 5833 1 +auto[6] 4996 1 +auto[7] 4658 1 +auto[8] 4409 1 +auto[9] 4504 1 +auto[10] 4469 1 +auto[11] 4779 1 +auto[12] 4576 1 +auto[13] 4129 1 +auto[14] 4409 1 +auto[15] 3871 1 +auto[16] 4720 1 +auto[17] 4945 1 +auto[18] 4911 1 +auto[19] 5028 1 +auto[20] 4706 1 +auto[21] 5510 1 +auto[22] 4384 1 +auto[23] 4173 1 +auto[24] 4940 1 +auto[25] 4950 1 +auto[26] 5104 1 +auto[27] 4665 1 +auto[28] 4851 1 +auto[29] 4725 1 +auto[30] 5449 1 +auto[31] 4846 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 3 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3 1 +auto_NON_ZERO 147315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 56509 1 +auto_POSITIVE 45027 1 +auto_NEGATIVE 45782 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 32403 1 +auto_NON_ZERO 114915 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 147315 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 18 1 +BIT16_1 34583 1 +BIT15_1 68729 1 +BIT14_1 33203 1 +BIT13_1 58477 1 +BIT12_1 59002 1 +BIT11_1 60620 1 +BIT10_1 70972 1 +BIT9_1 72939 1 +BIT8_1 74313 1 +BIT7_1 73130 1 +BIT6_1 73348 1 +BIT5_1 73743 1 +BIT4_1 73442 1 +BIT3_1 74111 1 +BIT2_1 73656 1 +BIT1_1 73555 1 +BIT0_1 46151 1 +BIT31_0 3 1 +BIT30_0 147317 1 +BIT29_0 147317 1 +BIT28_0 147317 1 +BIT27_0 147317 1 +BIT26_0 147317 1 +BIT25_0 147317 1 +BIT24_0 147317 1 +BIT23_0 147317 1 +BIT22_0 147317 1 +BIT21_0 147317 1 +BIT20_0 147317 1 +BIT19_0 147317 1 +BIT18_0 147317 1 +BIT17_0 147300 1 +BIT16_0 112735 1 +BIT15_0 78589 1 +BIT14_0 114115 1 +BIT13_0 88841 1 +BIT12_0 88316 1 +BIT11_0 86698 1 +BIT10_0 76346 1 +BIT9_0 74379 1 +BIT8_0 73005 1 +BIT7_0 74188 1 +BIT6_0 73970 1 +BIT5_0 73575 1 +BIT4_0 73876 1 +BIT3_0 73207 1 +BIT2_0 73662 1 +BIT1_0 73763 1 +BIT0_0 101167 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 45782 1 +BIT10_1 45967 1 +BIT9_1 45928 1 +BIT8_1 46029 1 +BIT7_1 45885 1 +BIT6_1 46032 1 +BIT5_1 45764 1 +BIT4_1 46253 1 +BIT3_1 45442 1 +BIT2_1 45727 1 +BIT1_1 45683 1 +BIT0_1 45557 1 +BIT11_0 101536 1 +BIT10_0 101351 1 +BIT9_0 101390 1 +BIT8_0 101289 1 +BIT7_0 101433 1 +BIT6_0 101286 1 +BIT5_0 101554 1 +BIT4_0 101065 1 +BIT3_0 101876 1 +BIT2_0 101591 1 +BIT1_0 101635 1 +BIT0_0 101761 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 49177 1 +BIT6_1 51187 1 +BIT5_1 62918 1 +BIT4_1 58244 1 +BIT3_1 39997 1 +BIT2_1 35648 1 +BIT1_1 80056 1 +BIT0_1 70649 1 +BIT7_0 98141 1 +BIT6_0 96131 1 +BIT5_0 84400 1 +BIT4_0 89074 1 +BIT3_0 107321 1 +BIT2_0 111670 1 +BIT1_0 67262 1 +BIT0_0 76669 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 1 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 56508 1 +auto_NON_ZERO auto_POSITIVE 45026 1 +auto_NON_ZERO auto_NEGATIVE 45781 1 + + +Group : uvma_isacov_pkg::cg_ci_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_slli_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 131 0 131 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_slli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_slli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 131 0 131 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_slli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5004 1 +auto_NON_ZERO 8898 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5777 1 +auto_NON_ZERO 8125 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 1856 1 +SHAMT_1 468 1 +SHAMT_2 473 1 +SHAMT_3 455 1 +SHAMT_4 358 1 +SHAMT_5 395 1 +SHAMT_6 369 1 +SHAMT_7 383 1 +SHAMT_8 386 1 +SHAMT_9 388 1 +SHAMT_10 348 1 +SHAMT_11 356 1 +SHAMT_12 358 1 +SHAMT_13 374 1 +SHAMT_14 418 1 +SHAMT_15 413 1 +SHAMT_16 417 1 +SHAMT_17 415 1 +SHAMT_18 344 1 +SHAMT_19 361 1 +SHAMT_20 374 1 +SHAMT_21 388 1 +SHAMT_22 413 1 +SHAMT_23 332 1 +SHAMT_24 373 1 +SHAMT_25 419 1 +SHAMT_26 364 1 +SHAMT_27 391 1 +SHAMT_28 344 1 +SHAMT_29 392 1 +SHAMT_30 374 1 +SHAMT_31 403 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rd + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 417 1 +auto[2] 396 1 +auto[3] 478 1 +auto[4] 414 1 +auto[5] 417 1 +auto[6] 432 1 +auto[7] 389 1 +auto[8] 421 1 +auto[9] 427 1 +auto[10] 412 1 +auto[11] 404 1 +auto[12] 405 1 +auto[13] 398 1 +auto[14] 404 1 +auto[15] 396 1 +auto[16] 361 1 +auto[17] 419 1 +auto[18] 442 1 +auto[19] 406 1 +auto[20] 382 1 +auto[21] 415 1 +auto[22] 395 1 +auto[23] 408 1 +auto[24] 420 1 +auto[25] 413 1 +auto[26] 397 1 +auto[27] 424 1 +auto[28] 405 1 +auto[29] 409 1 +auto[30] 390 1 +auto[31] 450 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3315 1 +BIT30_1 2919 1 +BIT29_1 2808 1 +BIT28_1 2755 1 +BIT27_1 2753 1 +BIT26_1 2583 1 +BIT25_1 2535 1 +BIT24_1 2481 1 +BIT23_1 2353 1 +BIT22_1 2295 1 +BIT21_1 2256 1 +BIT20_1 2179 1 +BIT19_1 2073 1 +BIT18_1 2009 1 +BIT17_1 1961 1 +BIT16_1 1931 1 +BIT15_1 1951 1 +BIT14_1 1808 1 +BIT13_1 1727 1 +BIT12_1 1684 1 +BIT11_1 1687 1 +BIT10_1 1560 1 +BIT9_1 1428 1 +BIT8_1 1326 1 +BIT7_1 1300 1 +BIT6_1 1102 1 +BIT5_1 1051 1 +BIT4_1 1135 1 +BIT3_1 996 1 +BIT2_1 897 1 +BIT1_1 625 1 +BIT0_1 507 1 +BIT31_0 10587 1 +BIT30_0 10983 1 +BIT29_0 11094 1 +BIT28_0 11147 1 +BIT27_0 11149 1 +BIT26_0 11319 1 +BIT25_0 11367 1 +BIT24_0 11421 1 +BIT23_0 11549 1 +BIT22_0 11607 1 +BIT21_0 11646 1 +BIT20_0 11723 1 +BIT19_0 11829 1 +BIT18_0 11893 1 +BIT17_0 11941 1 +BIT16_0 11971 1 +BIT15_0 11951 1 +BIT14_0 12094 1 +BIT13_0 12175 1 +BIT12_0 12218 1 +BIT11_0 12215 1 +BIT10_0 12342 1 +BIT9_0 12474 1 +BIT8_0 12576 1 +BIT7_0 12602 1 +BIT6_0 12800 1 +BIT5_0 12851 1 +BIT4_0 12767 1 +BIT3_0 12906 1 +BIT2_0 13005 1 +BIT1_0 13277 1 +BIT0_0 13395 1 + + +Group : uvma_isacov_pkg::cg_ci_lui + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci_lui +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_lui_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci_lui + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 82 0 82 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci_lui + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 30 0 30 100.00 100 1 1 32 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_lui_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_lui + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_lui_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 82 0 82 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_lui_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 30 0 30 100.00 100 1 1 32 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 30 0 30 100.00 + + +Automatically Generated Bins for cp_rd + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_TWO 0 Excluded +RD_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded +[auto[2]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 396 1 +auto[3] 423 1 +auto[4] 419 1 +auto[5] 401 1 +auto[6] 429 1 +auto[7] 441 1 +auto[8] 422 1 +auto[9] 417 1 +auto[10] 431 1 +auto[11] 381 1 +auto[12] 438 1 +auto[13] 447 1 +auto[14] 425 1 +auto[15] 419 1 +auto[16] 415 1 +auto[17] 436 1 +auto[18] 406 1 +auto[19] 444 1 +auto[20] 396 1 +auto[21] 454 1 +auto[22] 404 1 +auto[23] 453 1 +auto[24] 422 1 +auto[25] 433 1 +auto[26] 416 1 +auto[27] 436 1 +auto[28] 461 1 +auto[29] 399 1 +auto[30] 469 1 +auto[31] 395 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 669 1 +BIT30_1 669 1 +BIT29_1 669 1 +BIT28_1 669 1 +BIT27_1 669 1 +BIT26_1 669 1 +BIT25_1 669 1 +BIT24_1 669 1 +BIT23_1 669 1 +BIT22_1 669 1 +BIT21_1 669 1 +BIT20_1 669 1 +BIT19_1 669 1 +BIT18_1 669 1 +BIT17_1 669 1 +BIT16_1 5935 1 +BIT15_1 5791 1 +BIT14_1 5948 1 +BIT13_1 8262 1 +BIT12_1 6274 1 +BIT31_0 13336 1 +BIT30_0 13336 1 +BIT29_0 13336 1 +BIT28_0 13336 1 +BIT27_0 13336 1 +BIT26_0 13336 1 +BIT25_0 13336 1 +BIT24_0 13336 1 +BIT23_0 13336 1 +BIT22_0 13336 1 +BIT21_0 13336 1 +BIT20_0 13336 1 +BIT19_0 13336 1 +BIT18_0 13336 1 +BIT17_0 13336 1 +BIT16_0 8070 1 +BIT15_0 8214 1 +BIT14_0 8057 1 +BIT13_0 5743 1 +BIT12_0 7731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 669 1 +BIT4_1 5935 1 +BIT3_1 5791 1 +BIT2_1 5948 1 +BIT1_1 8262 1 +BIT0_1 6274 1 +BIT5_0 13336 1 +BIT4_0 8070 1 +BIT3_0 8214 1 +BIT2_0 8057 1 +BIT1_0 5743 1 +BIT0_0 7731 1 + + +Group : uvma_isacov_pkg::cg_ci(withChksum=332521270) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci(withChksum=332521270) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_lwsp_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci(withChksum=332521270) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 111 0 111 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci(withChksum=332521270) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 0 0 0 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_lwsp_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=332521270) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_lwsp_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 111 0 111 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_lwsp_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 0 0 0 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 0 0 0 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_ZERO 0 Excluded +auto_NON_ZERO 0 Excluded +auto_POSITIVE 0 Illegal +auto_NEGATIVE 0 Illegal +NEG_OFF 0 Illegal +POS_OFF 0 Illegal +OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Illegal +auto_NEGATIVE 0 Illegal +NEG_OFF 0 Illegal +POS_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4988 1 +auto_NON_ZERO 10178 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Illegal +auto_NEGATIVE 0 Illegal +NEG_OFF 0 Illegal +POS_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2722 1 +auto_NON_ZERO 12444 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Illegal +[auto[0]] 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 114 1 +auto[2] 1 1 +auto[3] 51 1 +auto[4] 295 1 +auto[5] 156 1 +auto[6] 712 1 +auto[7] 121 1 +auto[8] 510 1 +auto[9] 627 1 +auto[10] 279 1 +auto[11] 280 1 +auto[12] 783 1 +auto[13] 308 1 +auto[14] 1310 1 +auto[15] 1476 1 +auto[16] 189 1 +auto[17] 469 1 +auto[18] 741 1 +auto[19] 334 1 +auto[20] 1060 1 +auto[21] 154 1 +auto[22] 637 1 +auto[23] 252 1 +auto[24] 47 1 +auto[25] 959 1 +auto[26] 816 1 +auto[27] 343 1 +auto[28] 372 1 +auto[29] 903 1 +auto[30] 731 1 +auto[31] 136 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8117 1 +BIT30_1 2315 1 +BIT29_1 2276 1 +BIT28_1 2459 1 +BIT27_1 2207 1 +BIT26_1 2158 1 +BIT25_1 1809 1 +BIT24_1 2053 1 +BIT23_1 2086 1 +BIT22_1 2157 1 +BIT21_1 2372 1 +BIT20_1 2013 1 +BIT19_1 2309 1 +BIT18_1 2152 1 +BIT17_1 2047 1 +BIT16_1 2366 1 +BIT15_1 3097 1 +BIT14_1 7418 1 +BIT13_1 4919 1 +BIT12_1 6292 1 +BIT11_1 7649 1 +BIT10_1 7567 1 +BIT9_1 6951 1 +BIT8_1 3290 1 +BIT7_1 4127 1 +BIT6_1 3547 1 +BIT5_1 3579 1 +BIT4_1 7717 1 +BIT3_1 8458 1 +BIT2_1 7493 1 +BIT1_1 3503 1 +BIT0_1 3776 1 +BIT31_0 7049 1 +BIT30_0 12851 1 +BIT29_0 12890 1 +BIT28_0 12707 1 +BIT27_0 12959 1 +BIT26_0 13008 1 +BIT25_0 13357 1 +BIT24_0 13113 1 +BIT23_0 13080 1 +BIT22_0 13009 1 +BIT21_0 12794 1 +BIT20_0 13153 1 +BIT19_0 12857 1 +BIT18_0 13014 1 +BIT17_0 13119 1 +BIT16_0 12800 1 +BIT15_0 12069 1 +BIT14_0 7748 1 +BIT13_0 10247 1 +BIT12_0 8874 1 +BIT11_0 7517 1 +BIT10_0 7599 1 +BIT9_0 8215 1 +BIT8_0 11876 1 +BIT7_0 11039 1 +BIT6_0 11619 1 +BIT5_0 11587 1 +BIT4_0 7449 1 +BIT3_0 6708 1 +BIT2_0 7673 1 +BIT1_0 11663 1 +BIT0_0 11390 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 467 1 +BIT4_1 590 1 +BIT3_1 6604 1 +BIT2_1 6593 1 +BIT1_1 112 1 +BIT0_1 194 1 +BIT5_0 14699 1 +BIT4_0 14576 1 +BIT3_0 8562 1 +BIT2_0 8573 1 +BIT1_0 15054 1 +BIT0_0 14972 1 + + +Group : uvma_isacov_pkg::cg_ci(withChksum=3641590055) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci(withChksum=3641590055) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_addi16sp_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 85 0 85 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 1 0 1 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_addi16sp_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_addi16sp_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 85 0 85 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_addi16sp_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 1 0 1 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1846 1 +auto_POSITIVE 3416 1 +auto_NEGATIVE 9134 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_ZERO 0 Excluded +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal +ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_POSITIVE 7130 1 +auto_NEGATIVE 7266 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 23 1 +auto_POSITIVE 4389 1 +auto_NEGATIVE 9984 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 1 0 1 100.00 + + +Automatically Generated Bins for cp_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +NON_X2 0 Illegal +RD_NOT_ZERO 0 Illegal +[auto[0] - auto[1]] -- Illegal (2 bins) +[auto[3] - auto[31]] -- Illegal (29 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[2] 14396 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 9984 1 +BIT30_1 2934 1 +BIT29_1 2936 1 +BIT28_1 2968 1 +BIT27_1 2937 1 +BIT26_1 2879 1 +BIT25_1 2880 1 +BIT24_1 2839 1 +BIT23_1 2916 1 +BIT22_1 2900 1 +BIT21_1 2914 1 +BIT20_1 2900 1 +BIT19_1 2937 1 +BIT18_1 2931 1 +BIT17_1 3024 1 +BIT16_1 3216 1 +BIT15_1 9526 1 +BIT14_1 3448 1 +BIT13_1 6360 1 +BIT12_1 6744 1 +BIT11_1 9596 1 +BIT10_1 9602 1 +BIT9_1 3951 1 +BIT8_1 5193 1 +BIT7_1 8127 1 +BIT6_1 5165 1 +BIT5_1 5129 1 +BIT4_1 10652 1 +BIT3_1 8588 1 +BIT2_1 2703 1 +BIT1_1 2416 1 +BIT0_1 2634 1 +BIT31_0 4412 1 +BIT30_0 11462 1 +BIT29_0 11460 1 +BIT28_0 11428 1 +BIT27_0 11459 1 +BIT26_0 11517 1 +BIT25_0 11516 1 +BIT24_0 11557 1 +BIT23_0 11480 1 +BIT22_0 11496 1 +BIT21_0 11482 1 +BIT20_0 11496 1 +BIT19_0 11459 1 +BIT18_0 11465 1 +BIT17_0 11372 1 +BIT16_0 11180 1 +BIT15_0 4870 1 +BIT14_0 10948 1 +BIT13_0 8036 1 +BIT12_0 7652 1 +BIT11_0 4800 1 +BIT10_0 4794 1 +BIT9_0 10445 1 +BIT8_0 9203 1 +BIT7_0 6269 1 +BIT6_0 9231 1 +BIT5_0 9267 1 +BIT4_0 3744 1 +BIT3_0 5808 1 +BIT2_0 11693 1 +BIT1_0 11980 1 +BIT0_0 11762 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 7266 1 +BIT4_1 9313 1 +BIT3_1 12211 1 +BIT2_1 6319 1 +BIT1_1 6500 1 +BIT0_1 6537 1 +BIT5_0 7130 1 +BIT4_0 5083 1 +BIT3_0 2185 1 +BIT2_0 8077 1 +BIT1_0 7896 1 +BIT0_0 7859 1 + + +Group : uvma_isacov_pkg::cg_ci(withChksum=430551851) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci(withChksum=430551851) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_addi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci(withChksum=430551851) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 115 0 115 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci(withChksum=430551851) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_addi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=430551851) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_addi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 115 0 115 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_addi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 208735 1 +auto_POSITIVE 4987 1 +auto_NEGATIVE 1065668 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_ZERO 0 Excluded +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal +ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_POSITIVE 1006615 1 +auto_NEGATIVE 271525 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 602 1 +auto_POSITIVE 211894 1 +auto_NEGATIVE 1066894 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Illegal +[auto[0]] 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 424 1 +auto[2] 39104 1 +auto[3] 452 1 +auto[4] 24029 1 +auto[5] 38986 1 +auto[6] 60552 1 +auto[7] 36200 1 +auto[8] 39032 1 +auto[9] 38189 1 +auto[10] 32902 1 +auto[11] 34867 1 +auto[12] 28162 1 +auto[13] 49982 1 +auto[14] 39165 1 +auto[15] 40140 1 +auto[16] 49335 1 +auto[17] 36835 1 +auto[18] 55578 1 +auto[19] 52935 1 +auto[20] 107832 1 +auto[21] 45208 1 +auto[22] 33484 1 +auto[23] 37274 1 +auto[24] 40327 1 +auto[25] 42702 1 +auto[26] 39566 1 +auto[27] 36348 1 +auto[28] 39067 1 +auto[29] 57325 1 +auto[30] 49462 1 +auto[31] 53926 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1066894 1 +BIT30_1 4250 1 +BIT29_1 4253 1 +BIT28_1 4274 1 +BIT27_1 4132 1 +BIT26_1 4127 1 +BIT25_1 4099 1 +BIT24_1 4028 1 +BIT23_1 4051 1 +BIT22_1 4115 1 +BIT21_1 4089 1 +BIT20_1 4103 1 +BIT19_1 4119 1 +BIT18_1 4122 1 +BIT17_1 4189 1 +BIT16_1 8216 1 +BIT15_1 539967 1 +BIT14_1 12264 1 +BIT13_1 305608 1 +BIT12_1 261674 1 +BIT11_1 571268 1 +BIT10_1 590405 1 +BIT9_1 63279 1 +BIT8_1 67619 1 +BIT7_1 594804 1 +BIT6_1 65297 1 +BIT5_1 65973 1 +BIT4_1 597959 1 +BIT3_1 803346 1 +BIT2_1 537825 1 +BIT1_1 550951 1 +BIT0_1 548817 1 +BIT31_0 212496 1 +BIT30_0 1275140 1 +BIT29_0 1275137 1 +BIT28_0 1275116 1 +BIT27_0 1275258 1 +BIT26_0 1275263 1 +BIT25_0 1275291 1 +BIT24_0 1275362 1 +BIT23_0 1275339 1 +BIT22_0 1275275 1 +BIT21_0 1275301 1 +BIT20_0 1275287 1 +BIT19_0 1275271 1 +BIT18_0 1275268 1 +BIT17_0 1275201 1 +BIT16_0 1271174 1 +BIT15_0 739423 1 +BIT14_0 1267126 1 +BIT13_0 973782 1 +BIT12_0 1017716 1 +BIT11_0 708122 1 +BIT10_0 688985 1 +BIT9_0 1216111 1 +BIT8_0 1211771 1 +BIT7_0 684586 1 +BIT6_0 1214093 1 +BIT5_0 1213417 1 +BIT4_0 681431 1 +BIT3_0 476044 1 +BIT2_0 741565 1 +BIT1_0 728439 1 +BIT0_0 730573 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 271525 1 +BIT4_1 274069 1 +BIT3_1 479476 1 +BIT2_1 756522 1 +BIT1_1 600028 1 +BIT0_1 550289 1 +BIT5_0 1007865 1 +BIT4_0 1005321 1 +BIT3_0 799914 1 +BIT2_0 522868 1 +BIT1_0 679362 1 +BIT0_0 729101 1 + + +Group : uvma_isacov_pkg::cg_cb + +=============================================================================== +Group : uvma_isacov_pkg::cg_cb +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_beqz_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_bnez_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cb + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 93 0 93 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cb + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_beqz_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_beqz_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 93 0 93 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_beqz_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1685 1 +auto_NON_ZERO 3153 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 4833 1 +auto_NEGATIVE 4 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 577 1 +auto[1] 597 1 +auto[2] 598 1 +auto[3] 599 1 +auto[4] 653 1 +auto[5] 619 1 +auto[6] 590 1 +auto[7] 605 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1240 1 +BIT30_1 1043 1 +BIT29_1 1055 1 +BIT28_1 1052 1 +BIT27_1 1038 1 +BIT26_1 1031 1 +BIT25_1 1037 1 +BIT24_1 1056 1 +BIT23_1 1030 1 +BIT22_1 1023 1 +BIT21_1 1039 1 +BIT20_1 1044 1 +BIT19_1 1031 1 +BIT18_1 1052 1 +BIT17_1 1016 1 +BIT16_1 1107 1 +BIT15_1 1225 1 +BIT14_1 1203 1 +BIT13_1 1251 1 +BIT12_1 1191 1 +BIT11_1 1248 1 +BIT10_1 1263 1 +BIT9_1 1208 1 +BIT8_1 1193 1 +BIT7_1 1369 1 +BIT6_1 1312 1 +BIT5_1 1309 1 +BIT4_1 1497 1 +BIT3_1 1413 1 +BIT2_1 1425 1 +BIT1_1 1279 1 +BIT0_1 1437 1 +BIT31_0 3598 1 +BIT30_0 3795 1 +BIT29_0 3783 1 +BIT28_0 3786 1 +BIT27_0 3800 1 +BIT26_0 3807 1 +BIT25_0 3801 1 +BIT24_0 3782 1 +BIT23_0 3808 1 +BIT22_0 3815 1 +BIT21_0 3799 1 +BIT20_0 3794 1 +BIT19_0 3807 1 +BIT18_0 3786 1 +BIT17_0 3822 1 +BIT16_0 3731 1 +BIT15_0 3613 1 +BIT14_0 3635 1 +BIT13_0 3587 1 +BIT12_0 3647 1 +BIT11_0 3590 1 +BIT10_0 3575 1 +BIT9_0 3630 1 +BIT8_0 3645 1 +BIT7_0 3469 1 +BIT6_0 3526 1 +BIT5_0 3529 1 +BIT4_0 3341 1 +BIT3_0 3425 1 +BIT2_0 3413 1 +BIT1_0 3559 1 +BIT0_0 3401 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 4 1 +BIT6_1 110 1 +BIT5_1 608 1 +BIT4_1 2142 1 +BIT3_1 2187 1 +BIT2_1 2226 1 +BIT1_1 2317 1 +BIT0_1 2659 1 +BIT7_0 4834 1 +BIT6_0 4728 1 +BIT5_0 4230 1 +BIT4_0 2696 1 +BIT3_0 2651 1 +BIT2_0 2612 1 +BIT1_0 2521 1 +BIT0_0 2179 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_bnez_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_bnez_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 93 0 93 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_bnez_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 18332 1 +auto_NON_ZERO 51683 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 69949 1 +auto_NEGATIVE 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 8656 1 +auto[1] 7279 1 +auto[2] 11438 1 +auto[3] 8026 1 +auto[4] 6762 1 +auto[5] 7507 1 +auto[6] 11302 1 +auto[7] 9045 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1203 1 +BIT30_1 986 1 +BIT29_1 983 1 +BIT28_1 1013 1 +BIT27_1 987 1 +BIT26_1 997 1 +BIT25_1 1001 1 +BIT24_1 998 1 +BIT23_1 1006 1 +BIT22_1 994 1 +BIT21_1 1020 1 +BIT20_1 1004 1 +BIT19_1 1003 1 +BIT18_1 1029 1 +BIT17_1 1011 1 +BIT16_1 1060 1 +BIT15_1 1135 1 +BIT14_1 1172 1 +BIT13_1 1200 1 +BIT12_1 1164 1 +BIT11_1 1206 1 +BIT10_1 1241 1 +BIT9_1 1207 1 +BIT8_1 1159 1 +BIT7_1 1308 1 +BIT6_1 1251 1 +BIT5_1 1257 1 +BIT4_1 1453 1 +BIT3_1 1389 1 +BIT2_1 1398 1 +BIT1_1 1264 1 +BIT0_1 50058 1 +BIT31_0 68812 1 +BIT30_0 69029 1 +BIT29_0 69032 1 +BIT28_0 69002 1 +BIT27_0 69028 1 +BIT26_0 69018 1 +BIT25_0 69014 1 +BIT24_0 69017 1 +BIT23_0 69009 1 +BIT22_0 69021 1 +BIT21_0 68995 1 +BIT20_0 69011 1 +BIT19_0 69012 1 +BIT18_0 68986 1 +BIT17_0 69004 1 +BIT16_0 68955 1 +BIT15_0 68880 1 +BIT14_0 68843 1 +BIT13_0 68815 1 +BIT12_0 68851 1 +BIT11_0 68809 1 +BIT10_0 68774 1 +BIT9_0 68808 1 +BIT8_0 68856 1 +BIT7_0 68707 1 +BIT6_0 68764 1 +BIT5_0 68758 1 +BIT4_0 68562 1 +BIT3_0 68626 1 +BIT2_0 68617 1 +BIT1_0 68751 1 +BIT0_0 19957 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 65 1 +BIT6_1 159 1 +BIT5_1 659 1 +BIT4_1 67125 1 +BIT3_1 67220 1 +BIT2_1 63797 1 +BIT1_1 56761 1 +BIT0_1 40809 1 +BIT7_0 69950 1 +BIT6_0 69856 1 +BIT5_0 69356 1 +BIT4_0 2890 1 +BIT3_0 2795 1 +BIT2_0 6218 1 +BIT1_0 13254 1 +BIT0_0 29206 1 + + +Group : uvma_isacov_pkg::cg_itype(withChksum=2320478138) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype(withChksum=2320478138) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_addi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 257 0 257 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_addi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_addi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 257 0 257 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_addi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_addi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4154 1 +auto[1] 2419 1 +auto[2] 8914 1 +auto[3] 7221 1 +auto[4] 27443 1 +auto[5] 17492 1 +auto[6] 11522 1 +auto[7] 18598 1 +auto[8] 21030 1 +auto[9] 19166 1 +auto[10] 13858 1 +auto[11] 11068 1 +auto[12] 13265 1 +auto[13] 27928 1 +auto[14] 19461 1 +auto[15] 29921 1 +auto[16] 14826 1 +auto[17] 22365 1 +auto[18] 16315 1 +auto[19] 30390 1 +auto[20] 24159 1 +auto[21] 21692 1 +auto[22] 11701 1 +auto[23] 27030 1 +auto[24] 84902 1 +auto[25] 18291 1 +auto[26] 22414 1 +auto[27] 14003 1 +auto[28] 24628 1 +auto[29] 13094 1 +auto[30] 15475 1 +auto[31] 9557 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 4098 1 +auto[1] 2353 1 +auto[2] 8955 1 +auto[3] 7380 1 +auto[4] 27486 1 +auto[5] 17580 1 +auto[6] 11521 1 +auto[7] 18452 1 +auto[8] 21065 1 +auto[9] 19168 1 +auto[10] 13840 1 +auto[11] 10667 1 +auto[12] 13950 1 +auto[13] 27876 1 +auto[14] 19446 1 +auto[15] 29881 1 +auto[16] 14735 1 +auto[17] 22326 1 +auto[18] 16203 1 +auto[19] 30380 1 +auto[20] 24123 1 +auto[21] 21714 1 +auto[22] 11436 1 +auto[23] 27061 1 +auto[24] 84873 1 +auto[25] 18334 1 +auto[26] 22400 1 +auto[27] 14077 1 +auto[28] 24678 1 +auto[29] 13233 1 +auto[30] 15508 1 +auto[31] 9503 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 3508 1 +RD_01 1674 1 +RD_02 8343 1 +RD_03 6687 1 +RD_04 26845 1 +RD_05 16922 1 +RD_06 10836 1 +RD_07 17869 1 +RD_08 20461 1 +RD_09 18575 1 +RD_0a 13218 1 +RD_0b 10029 1 +RD_0c 12712 1 +RD_0d 27338 1 +RD_0e 18877 1 +RD_0f 29346 1 +RD_10 14226 1 +RD_11 21756 1 +RD_12 15651 1 +RD_13 29789 1 +RD_14 23578 1 +RD_15 21115 1 +RD_16 10878 1 +RD_17 26462 1 +RD_18 84289 1 +RD_19 17750 1 +RD_1a 21794 1 +RD_1b 13442 1 +RD_1c 24062 1 +RD_1d 12512 1 +RD_1e 14935 1 +RD_1f 8996 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9786 1 +auto_POSITIVE 21229 1 +auto_NEGATIVE 593287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 507 1 +auto_POSITIVE 311725 1 +auto_NEGATIVE 312070 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 495 1 +auto_POSITIVE 25421 1 +auto_NEGATIVE 598386 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 593287 1 +BIT30_1 28152 1 +BIT29_1 25899 1 +BIT28_1 25898 1 +BIT27_1 19394 1 +BIT26_1 19484 1 +BIT25_1 19393 1 +BIT24_1 19444 1 +BIT23_1 21788 1 +BIT22_1 19423 1 +BIT21_1 19370 1 +BIT20_1 19445 1 +BIT19_1 19368 1 +BIT18_1 19583 1 +BIT17_1 19588 1 +BIT16_1 29716 1 +BIT15_1 567455 1 +BIT14_1 34910 1 +BIT13_1 337960 1 +BIT12_1 276535 1 +BIT11_1 541023 1 +BIT10_1 544210 1 +BIT9_1 18883 1 +BIT8_1 19940 1 +BIT7_1 285406 1 +BIT6_1 22777 1 +BIT5_1 26281 1 +BIT4_1 551953 1 +BIT3_1 550019 1 +BIT2_1 22987 1 +BIT1_1 20540 1 +BIT0_1 5280 1 +BIT31_0 31015 1 +BIT30_0 596150 1 +BIT29_0 598403 1 +BIT28_0 598404 1 +BIT27_0 604908 1 +BIT26_0 604818 1 +BIT25_0 604909 1 +BIT24_0 604858 1 +BIT23_0 602514 1 +BIT22_0 604879 1 +BIT21_0 604932 1 +BIT20_0 604857 1 +BIT19_0 604934 1 +BIT18_0 604719 1 +BIT17_0 604714 1 +BIT16_0 594586 1 +BIT15_0 56847 1 +BIT14_0 589392 1 +BIT13_0 286342 1 +BIT12_0 347767 1 +BIT11_0 83279 1 +BIT10_0 80092 1 +BIT9_0 605419 1 +BIT8_0 604362 1 +BIT7_0 338896 1 +BIT6_0 601525 1 +BIT5_0 598021 1 +BIT4_0 72349 1 +BIT3_0 74283 1 +BIT2_0 601315 1 +BIT1_0 603762 1 +BIT0_0 619022 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 312070 1 +BIT10_1 309265 1 +BIT9_1 305140 1 +BIT8_1 309662 1 +BIT7_1 570603 1 +BIT6_1 44216 1 +BIT5_1 47482 1 +BIT4_1 44003 1 +BIT3_1 43743 1 +BIT2_1 48562 1 +BIT1_1 44570 1 +BIT0_1 41329 1 +BIT11_0 312232 1 +BIT10_0 315037 1 +BIT9_0 319162 1 +BIT8_0 314640 1 +BIT7_0 53699 1 +BIT6_0 580086 1 +BIT5_0 576820 1 +BIT4_0 580299 1 +BIT3_0 580559 1 +BIT2_0 575740 1 +BIT1_0 579732 1 +BIT0_0 582973 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 598386 1 +BIT30_1 33559 1 +BIT29_1 31305 1 +BIT28_1 31328 1 +BIT27_1 24858 1 +BIT26_1 24965 1 +BIT25_1 24891 1 +BIT24_1 24941 1 +BIT23_1 27347 1 +BIT22_1 25013 1 +BIT21_1 24961 1 +BIT20_1 25050 1 +BIT19_1 25009 1 +BIT18_1 25221 1 +BIT17_1 25228 1 +BIT16_1 35283 1 +BIT15_1 573081 1 +BIT14_1 40077 1 +BIT13_1 339825 1 +BIT12_1 283483 1 +BIT11_1 575510 1 +BIT10_1 573367 1 +BIT9_1 44740 1 +BIT8_1 45470 1 +BIT7_1 307818 1 +BIT6_1 45218 1 +BIT5_1 43186 1 +BIT4_1 575019 1 +BIT3_1 572937 1 +BIT2_1 50389 1 +BIT1_1 43827 1 +BIT0_1 41391 1 +BIT31_0 25916 1 +BIT30_0 590743 1 +BIT29_0 592997 1 +BIT28_0 592974 1 +BIT27_0 599444 1 +BIT26_0 599337 1 +BIT25_0 599411 1 +BIT24_0 599361 1 +BIT23_0 596955 1 +BIT22_0 599289 1 +BIT21_0 599341 1 +BIT20_0 599252 1 +BIT19_0 599293 1 +BIT18_0 599081 1 +BIT17_0 599074 1 +BIT16_0 589019 1 +BIT15_0 51221 1 +BIT14_0 584225 1 +BIT13_0 284477 1 +BIT12_0 340819 1 +BIT11_0 48792 1 +BIT10_0 50935 1 +BIT9_0 579562 1 +BIT8_0 578832 1 +BIT7_0 316484 1 +BIT6_0 579084 1 +BIT5_0 581116 1 +BIT4_0 49283 1 +BIT3_0 51365 1 +BIT2_0 573913 1 +BIT1_0 580475 1 +BIT0_0 582911 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 492 1 +auto_ZERO auto_POSITIVE 5063 1 +auto_ZERO auto_NEGATIVE 4231 1 +auto_POSITIVE auto_ZERO 1 1 +auto_POSITIVE auto_POSITIVE 10664 1 +auto_POSITIVE auto_NEGATIVE 10564 1 +auto_NEGATIVE auto_ZERO 14 1 +auto_NEGATIVE auto_POSITIVE 295998 1 +auto_NEGATIVE auto_NEGATIVE 297275 1 + + +Group : uvma_isacov_pkg::cg_zcb_zexth + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_zexth +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_zext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_zexth + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 42 0 42 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_zexth + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_zext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zexth + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_zext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 42 0 42 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_zext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1258 1 +auto[1] 1311 1 +auto[2] 1301 1 +auto[3] 1388 1 +auto[4] 1307 1 +auto[5] 1316 1 +auto[6] 1369 1 +auto[7] 1315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3514 1 +auto_NON_ZERO 7051 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 2623 1 +BIT14_1 2634 1 +BIT13_1 2741 1 +BIT12_1 2713 1 +BIT11_1 2642 1 +BIT10_1 2690 1 +BIT9_1 2726 1 +BIT8_1 2622 1 +BIT7_1 2922 1 +BIT6_1 2937 1 +BIT5_1 2998 1 +BIT4_1 3236 1 +BIT3_1 3246 1 +BIT2_1 3326 1 +BIT1_1 3021 1 +BIT0_1 3165 1 +BIT15_0 7942 1 +BIT14_0 7931 1 +BIT13_0 7824 1 +BIT12_0 7852 1 +BIT11_0 7923 1 +BIT10_0 7875 1 +BIT9_0 7839 1 +BIT8_0 7943 1 +BIT7_0 7643 1 +BIT6_0 7628 1 +BIT5_0 7567 1 +BIT4_0 7329 1 +BIT3_0 7319 1 +BIT2_0 7239 1 +BIT1_0 7544 1 +BIT0_0 7400 1 + + +Group : uvma_isacov_pkg::cg_zcb_zextb + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_zextb +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_zext_b_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_zextb + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_zextb + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_zext_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zextb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_zext_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_zext_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1298 1 +auto[1] 1404 1 +auto[2] 1265 1 +auto[3] 1264 1 +auto[4] 1226 1 +auto[5] 1343 1 +auto[6] 1387 1 +auto[7] 1291 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3376 1 +auto_NON_ZERO 7102 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 3031 1 +BIT6_1 2941 1 +BIT5_1 2983 1 +BIT4_1 3410 1 +BIT3_1 3240 1 +BIT2_1 3286 1 +BIT1_1 2926 1 +BIT0_1 3309 1 +BIT7_0 7447 1 +BIT6_0 7537 1 +BIT5_0 7495 1 +BIT4_0 7068 1 +BIT3_0 7238 1 +BIT2_0 7192 1 +BIT1_0 7552 1 +BIT0_0 7169 1 + + +Group : uvma_isacov_pkg::cg_rtype_clmulh + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_clmulh +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbc_clmulh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_clmulh + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 356 0 356 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_clmulh + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 62 0 62 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbc_clmulh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_clmulh + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 356 0 356 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 62 0 62 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 570 1 +auto[1] 548 1 +auto[2] 555 1 +auto[3] 534 1 +auto[4] 538 1 +auto[5] 536 1 +auto[6] 546 1 +auto[7] 513 1 +auto[8] 535 1 +auto[9] 585 1 +auto[10] 557 1 +auto[11] 552 1 +auto[12] 531 1 +auto[13] 553 1 +auto[14] 520 1 +auto[15] 577 1 +auto[16] 580 1 +auto[17] 555 1 +auto[18] 546 1 +auto[19] 530 1 +auto[20] 577 1 +auto[21] 604 1 +auto[22] 552 1 +auto[23] 521 1 +auto[24] 496 1 +auto[25] 552 1 +auto[26] 554 1 +auto[27] 610 1 +auto[28] 519 1 +auto[29] 546 1 +auto[30] 581 1 +auto[31] 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 525 1 +auto[1] 573 1 +auto[2] 548 1 +auto[3] 562 1 +auto[4] 543 1 +auto[5] 565 1 +auto[6] 545 1 +auto[7] 496 1 +auto[8] 564 1 +auto[9] 555 1 +auto[10] 546 1 +auto[11] 645 1 +auto[12] 533 1 +auto[13] 563 1 +auto[14] 574 1 +auto[15] 550 1 +auto[16] 566 1 +auto[17] 524 1 +auto[18] 539 1 +auto[19] 549 1 +auto[20] 516 1 +auto[21] 578 1 +auto[22] 532 1 +auto[23] 570 1 +auto[24] 538 1 +auto[25] 548 1 +auto[26] 609 1 +auto[27] 516 1 +auto[28] 548 1 +auto[29] 500 1 +auto[30] 547 1 +auto[31] 543 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 624 1 +auto[1] 576 1 +auto[2] 503 1 +auto[3] 600 1 +auto[4] 514 1 +auto[5] 574 1 +auto[6] 542 1 +auto[7] 510 1 +auto[8] 518 1 +auto[9] 544 1 +auto[10] 529 1 +auto[11] 546 1 +auto[12] 508 1 +auto[13] 571 1 +auto[14] 556 1 +auto[15] 575 1 +auto[16] 543 1 +auto[17] 506 1 +auto[18] 544 1 +auto[19] 531 1 +auto[20] 567 1 +auto[21] 520 1 +auto[22] 544 1 +auto[23] 542 1 +auto[24] 555 1 +auto[25] 525 1 +auto[26] 575 1 +auto[27] 565 1 +auto[28] 643 1 +auto[29] 546 1 +auto[30] 531 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 68 1 +RD_02 73 1 +RD_03 65 1 +RD_04 54 1 +RD_05 63 1 +RD_06 56 1 +RD_07 43 1 +RD_08 61 1 +RD_09 49 1 +RD_0a 57 1 +RD_0b 71 1 +RD_0c 52 1 +RD_0d 73 1 +RD_0e 69 1 +RD_0f 72 1 +RD_10 69 1 +RD_11 60 1 +RD_12 57 1 +RD_13 63 1 +RD_14 76 1 +RD_15 64 1 +RD_16 66 1 +RD_17 71 1 +RD_18 57 1 +RD_19 58 1 +RD_1a 66 1 +RD_1b 59 1 +RD_1c 64 1 +RD_1d 69 1 +RD_1e 67 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 72 1 +RD_02 61 1 +RD_03 63 1 +RD_04 56 1 +RD_05 69 1 +RD_06 61 1 +RD_07 47 1 +RD_08 61 1 +RD_09 59 1 +RD_0a 66 1 +RD_0b 73 1 +RD_0c 57 1 +RD_0d 68 1 +RD_0e 66 1 +RD_0f 69 1 +RD_10 68 1 +RD_11 52 1 +RD_12 67 1 +RD_13 77 1 +RD_14 74 1 +RD_15 69 1 +RD_16 65 1 +RD_17 58 1 +RD_18 59 1 +RD_19 61 1 +RD_1a 64 1 +RD_1b 63 1 +RD_1c 74 1 +RD_1d 66 1 +RD_1e 66 1 +RD_1f 61 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6183 1 +auto_NON_ZERO 11427 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6004 1 +auto_NON_ZERO 11606 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11624 1 +auto_NON_ZERO 5986 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5213 1 +BIT30_1 3418 1 +BIT29_1 3376 1 +BIT28_1 3402 1 +BIT27_1 3265 1 +BIT26_1 3256 1 +BIT25_1 3244 1 +BIT24_1 3267 1 +BIT23_1 3241 1 +BIT22_1 3189 1 +BIT21_1 3216 1 +BIT20_1 3244 1 +BIT19_1 3224 1 +BIT18_1 3205 1 +BIT17_1 3241 1 +BIT16_1 3452 1 +BIT15_1 4177 1 +BIT14_1 4097 1 +BIT13_1 4344 1 +BIT12_1 4187 1 +BIT11_1 4620 1 +BIT10_1 4623 1 +BIT9_1 4156 1 +BIT8_1 3657 1 +BIT7_1 4427 1 +BIT6_1 4078 1 +BIT5_1 4067 1 +BIT4_1 5334 1 +BIT3_1 5300 1 +BIT2_1 5320 1 +BIT1_1 4231 1 +BIT0_1 4889 1 +BIT31_0 12397 1 +BIT30_0 14192 1 +BIT29_0 14234 1 +BIT28_0 14208 1 +BIT27_0 14345 1 +BIT26_0 14354 1 +BIT25_0 14366 1 +BIT24_0 14343 1 +BIT23_0 14369 1 +BIT22_0 14421 1 +BIT21_0 14394 1 +BIT20_0 14366 1 +BIT19_0 14386 1 +BIT18_0 14405 1 +BIT17_0 14369 1 +BIT16_0 14158 1 +BIT15_0 13433 1 +BIT14_0 13513 1 +BIT13_0 13266 1 +BIT12_0 13423 1 +BIT11_0 12990 1 +BIT10_0 12987 1 +BIT9_0 13454 1 +BIT8_0 13953 1 +BIT7_0 13183 1 +BIT6_0 13532 1 +BIT5_0 13543 1 +BIT4_0 12276 1 +BIT3_0 12310 1 +BIT2_0 12290 1 +BIT1_0 13379 1 +BIT0_0 12721 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5406 1 +BIT30_1 3628 1 +BIT29_1 3570 1 +BIT28_1 3652 1 +BIT27_1 3493 1 +BIT26_1 3463 1 +BIT25_1 3468 1 +BIT24_1 3451 1 +BIT23_1 3439 1 +BIT22_1 3478 1 +BIT21_1 3435 1 +BIT20_1 3436 1 +BIT19_1 3452 1 +BIT18_1 3463 1 +BIT17_1 3469 1 +BIT16_1 3711 1 +BIT15_1 4419 1 +BIT14_1 4264 1 +BIT13_1 4541 1 +BIT12_1 4386 1 +BIT11_1 4806 1 +BIT10_1 4803 1 +BIT9_1 4333 1 +BIT8_1 3756 1 +BIT7_1 4601 1 +BIT6_1 4182 1 +BIT5_1 4322 1 +BIT4_1 5364 1 +BIT3_1 5465 1 +BIT2_1 5454 1 +BIT1_1 4339 1 +BIT0_1 4871 1 +BIT31_0 12204 1 +BIT30_0 13982 1 +BIT29_0 14040 1 +BIT28_0 13958 1 +BIT27_0 14117 1 +BIT26_0 14147 1 +BIT25_0 14142 1 +BIT24_0 14159 1 +BIT23_0 14171 1 +BIT22_0 14132 1 +BIT21_0 14175 1 +BIT20_0 14174 1 +BIT19_0 14158 1 +BIT18_0 14147 1 +BIT17_0 14141 1 +BIT16_0 13899 1 +BIT15_0 13191 1 +BIT14_0 13346 1 +BIT13_0 13069 1 +BIT12_0 13224 1 +BIT11_0 12804 1 +BIT10_0 12807 1 +BIT9_0 13277 1 +BIT8_0 13854 1 +BIT7_0 13009 1 +BIT6_0 13428 1 +BIT5_0 13288 1 +BIT4_0 12246 1 +BIT3_0 12145 1 +BIT2_0 12156 1 +BIT1_0 13271 1 +BIT0_0 12739 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 62 0 62 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT30_1 2108 1 +BIT29_1 1096 1 +BIT28_1 1847 1 +BIT27_1 1111 1 +BIT26_1 1829 1 +BIT25_1 1170 1 +BIT24_1 1884 1 +BIT23_1 1214 1 +BIT22_1 1835 1 +BIT21_1 1267 1 +BIT20_1 1863 1 +BIT19_1 1242 1 +BIT18_1 1895 1 +BIT17_1 1305 1 +BIT16_1 1902 1 +BIT15_1 1428 1 +BIT14_1 2010 1 +BIT13_1 1468 1 +BIT12_1 2084 1 +BIT11_1 1634 1 +BIT10_1 2049 1 +BIT9_1 1756 1 +BIT8_1 2308 1 +BIT7_1 1773 1 +BIT6_1 2427 1 +BIT5_1 2035 1 +BIT4_1 2608 1 +BIT3_1 2448 1 +BIT2_1 2910 1 +BIT1_1 2595 1 +BIT0_1 3016 1 +BIT30_0 15502 1 +BIT29_0 16514 1 +BIT28_0 15763 1 +BIT27_0 16499 1 +BIT26_0 15781 1 +BIT25_0 16440 1 +BIT24_0 15726 1 +BIT23_0 16396 1 +BIT22_0 15775 1 +BIT21_0 16343 1 +BIT20_0 15747 1 +BIT19_0 16368 1 +BIT18_0 15715 1 +BIT17_0 16305 1 +BIT16_0 15708 1 +BIT15_0 16182 1 +BIT14_0 15600 1 +BIT13_0 16142 1 +BIT12_0 15526 1 +BIT11_0 15976 1 +BIT10_0 15561 1 +BIT9_0 15854 1 +BIT8_0 15302 1 +BIT7_0 15837 1 +BIT6_0 15183 1 +BIT5_0 15575 1 +BIT4_0 15002 1 +BIT3_0 15162 1 +BIT2_0 14700 1 +BIT1_0 15015 1 +BIT0_0 14594 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2909 1 +auto_ZERO auto_NON_ZERO 3274 1 +auto_NON_ZERO auto_ZERO 3095 1 +auto_NON_ZERO auto_NON_ZERO 8332 1 + + +Group : uvma_isacov_pkg::cg_stype + +=============================================================================== +Group : uvma_isacov_pkg::cg_stype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sb_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sh_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_stype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_stype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sb_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sb_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sb_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sb_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 2291 1 +auto[2] 26523 1 +auto[3] 2401 1 +auto[4] 2347 1 +auto[5] 2200 1 +auto[6] 2236 1 +auto[7] 2275 1 +auto[8] 2199 1 +auto[9] 2051 1 +auto[10] 1909 1 +auto[11] 2016 1 +auto[12] 2158 1 +auto[13] 2110 1 +auto[14] 2057 1 +auto[15] 2103 1 +auto[16] 2307 1 +auto[17] 2325 1 +auto[18] 2166 1 +auto[19] 2282 1 +auto[20] 2193 1 +auto[21] 2341 1 +auto[22] 2148 1 +auto[23] 2000 1 +auto[24] 2282 1 +auto[25] 2081 1 +auto[26] 2194 1 +auto[27] 2113 1 +auto[28] 2302 1 +auto[29] 2192 1 +auto[30] 1996 1 +auto[31] 2140 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2835 1 +auto[1] 2832 1 +auto[2] 2796 1 +auto[3] 2829 1 +auto[4] 2805 1 +auto[5] 2913 1 +auto[6] 2881 1 +auto[7] 2831 1 +auto[8] 2855 1 +auto[9] 2833 1 +auto[10] 2850 1 +auto[11] 2941 1 +auto[12] 2827 1 +auto[13] 2862 1 +auto[14] 2969 1 +auto[15] 2801 1 +auto[16] 2852 1 +auto[17] 2933 1 +auto[18] 2823 1 +auto[19] 2853 1 +auto[20] 2943 1 +auto[21] 2798 1 +auto[22] 2856 1 +auto[23] 2903 1 +auto[24] 2857 1 +auto[25] 2858 1 +auto[26] 2987 1 +auto[27] 2956 1 +auto[28] 2908 1 +auto[29] 2927 1 +auto[30] 2906 1 +auto[31] 2919 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imms_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 751 1 +auto_POSITIVE 45231 1 +auto_NEGATIVE 45957 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 91935 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 34858 1 +BIT15_1 68986 1 +BIT14_1 33735 1 +BIT13_1 58451 1 +BIT12_1 51659 1 +BIT11_1 46008 1 +BIT10_1 46032 1 +BIT9_1 46045 1 +BIT8_1 45497 1 +BIT7_1 46093 1 +BIT6_1 45660 1 +BIT5_1 46065 1 +BIT4_1 45884 1 +BIT3_1 46434 1 +BIT2_1 46308 1 +BIT1_1 45712 1 +BIT0_1 46278 1 +BIT31_0 4 1 +BIT30_0 91938 1 +BIT29_0 91938 1 +BIT28_0 91938 1 +BIT27_0 91938 1 +BIT26_0 91938 1 +BIT25_0 91938 1 +BIT24_0 91938 1 +BIT23_0 91938 1 +BIT22_0 91938 1 +BIT21_0 91938 1 +BIT20_0 91938 1 +BIT19_0 91938 1 +BIT18_0 91938 1 +BIT17_0 91938 1 +BIT16_0 57081 1 +BIT15_0 22953 1 +BIT14_0 58204 1 +BIT13_0 33488 1 +BIT12_0 40280 1 +BIT11_0 45931 1 +BIT10_0 45907 1 +BIT9_0 45894 1 +BIT8_0 46442 1 +BIT7_0 45846 1 +BIT6_0 46279 1 +BIT5_0 45874 1 +BIT4_0 46055 1 +BIT3_0 45505 1 +BIT2_0 45631 1 +BIT1_0 46227 1 +BIT0_0 45661 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 31903 1 +BIT30_1 14979 1 +BIT29_1 14809 1 +BIT28_1 14923 1 +BIT27_1 14191 1 +BIT26_1 14221 1 +BIT25_1 13882 1 +BIT24_1 13870 1 +BIT23_1 14041 1 +BIT22_1 14021 1 +BIT21_1 13923 1 +BIT20_1 14149 1 +BIT19_1 14174 1 +BIT18_1 14225 1 +BIT17_1 13909 1 +BIT16_1 17224 1 +BIT15_1 24554 1 +BIT14_1 21681 1 +BIT13_1 26986 1 +BIT12_1 22060 1 +BIT11_1 26121 1 +BIT10_1 26353 1 +BIT9_1 23729 1 +BIT8_1 18782 1 +BIT7_1 28613 1 +BIT6_1 25180 1 +BIT5_1 25680 1 +BIT4_1 32919 1 +BIT3_1 33927 1 +BIT2_1 33867 1 +BIT1_1 26381 1 +BIT0_1 26623 1 +BIT31_0 60036 1 +BIT30_0 76960 1 +BIT29_0 77130 1 +BIT28_0 77016 1 +BIT27_0 77748 1 +BIT26_0 77718 1 +BIT25_0 78057 1 +BIT24_0 78069 1 +BIT23_0 77898 1 +BIT22_0 77918 1 +BIT21_0 78016 1 +BIT20_0 77790 1 +BIT19_0 77765 1 +BIT18_0 77714 1 +BIT17_0 78030 1 +BIT16_0 74715 1 +BIT15_0 67385 1 +BIT14_0 70258 1 +BIT13_0 64953 1 +BIT12_0 69879 1 +BIT11_0 65818 1 +BIT10_0 65586 1 +BIT9_0 68210 1 +BIT8_0 73157 1 +BIT7_0 63326 1 +BIT6_0 66759 1 +BIT5_0 66259 1 +BIT4_0 59020 1 +BIT3_0 58012 1 +BIT2_0 58072 1 +BIT1_0 65558 1 +BIT0_0 65316 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imms_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 45957 1 +BIT10_1 46129 1 +BIT9_1 45989 1 +BIT8_1 46167 1 +BIT7_1 46066 1 +BIT6_1 46300 1 +BIT5_1 46233 1 +BIT4_1 46640 1 +BIT3_1 45361 1 +BIT2_1 46167 1 +BIT1_1 45954 1 +BIT0_1 45360 1 +BIT11_0 45982 1 +BIT10_0 45810 1 +BIT9_0 45950 1 +BIT8_0 45772 1 +BIT7_0 45873 1 +BIT6_0 45639 1 +BIT5_0 45706 1 +BIT4_0 45299 1 +BIT3_0 46578 1 +BIT2_0 45772 1 +BIT1_0 45985 1 +BIT0_0 46579 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 691 1 +auto[2] 8363 1 +auto[3] 742 1 +auto[4] 788 1 +auto[5] 687 1 +auto[6] 714 1 +auto[7] 698 1 +auto[8] 730 1 +auto[9] 632 1 +auto[10] 626 1 +auto[11] 633 1 +auto[12] 715 1 +auto[13] 626 1 +auto[14] 669 1 +auto[15] 715 1 +auto[16] 763 1 +auto[17] 720 1 +auto[18] 667 1 +auto[19] 717 1 +auto[20] 684 1 +auto[21] 807 1 +auto[22] 710 1 +auto[23] 643 1 +auto[24] 716 1 +auto[25] 694 1 +auto[26] 637 1 +auto[27] 687 1 +auto[28] 694 1 +auto[29] 657 1 +auto[30] 666 1 +auto[31] 688 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 905 1 +auto[1] 932 1 +auto[2] 918 1 +auto[3] 932 1 +auto[4] 918 1 +auto[5] 900 1 +auto[6] 864 1 +auto[7] 858 1 +auto[8] 922 1 +auto[9] 885 1 +auto[10] 891 1 +auto[11] 920 1 +auto[12] 924 1 +auto[13] 909 1 +auto[14] 952 1 +auto[15] 935 1 +auto[16] 872 1 +auto[17] 943 1 +auto[18] 882 1 +auto[19] 940 1 +auto[20] 890 1 +auto[21] 854 1 +auto[22] 907 1 +auto[23] 948 1 +auto[24] 901 1 +auto[25] 876 1 +auto[26] 967 1 +auto[27] 920 1 +auto[28] 938 1 +auto[29] 888 1 +auto[30] 924 1 +auto[31] 965 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imms_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 211 1 +auto_POSITIVE 14410 1 +auto_NEGATIVE 14559 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 29179 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 11120 1 +BIT15_1 21802 1 +BIT14_1 10603 1 +BIT13_1 18589 1 +BIT12_1 16402 1 +BIT11_1 14556 1 +BIT10_1 14669 1 +BIT9_1 14632 1 +BIT8_1 14454 1 +BIT7_1 14479 1 +BIT6_1 14498 1 +BIT5_1 14653 1 +BIT4_1 14466 1 +BIT3_1 14788 1 +BIT2_1 14588 1 +BIT1_1 14703 1 +BIT0_1 14598 1 +BIT31_0 1 1 +BIT30_0 29179 1 +BIT29_0 29179 1 +BIT28_0 29179 1 +BIT27_0 29179 1 +BIT26_0 29179 1 +BIT25_0 29179 1 +BIT24_0 29179 1 +BIT23_0 29179 1 +BIT22_0 29179 1 +BIT21_0 29179 1 +BIT20_0 29179 1 +BIT19_0 29179 1 +BIT18_0 29179 1 +BIT17_0 29179 1 +BIT16_0 18060 1 +BIT15_0 7378 1 +BIT14_0 18577 1 +BIT13_0 10591 1 +BIT12_0 12778 1 +BIT11_0 14624 1 +BIT10_0 14511 1 +BIT9_0 14548 1 +BIT8_0 14726 1 +BIT7_0 14701 1 +BIT6_0 14682 1 +BIT5_0 14527 1 +BIT4_0 14714 1 +BIT3_0 14392 1 +BIT2_0 14592 1 +BIT1_0 14477 1 +BIT0_0 14582 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 10162 1 +BIT30_1 4692 1 +BIT29_1 4735 1 +BIT28_1 4713 1 +BIT27_1 4447 1 +BIT26_1 4478 1 +BIT25_1 4404 1 +BIT24_1 4358 1 +BIT23_1 4430 1 +BIT22_1 4383 1 +BIT21_1 4428 1 +BIT20_1 4490 1 +BIT19_1 4484 1 +BIT18_1 4476 1 +BIT17_1 4423 1 +BIT16_1 5613 1 +BIT15_1 7875 1 +BIT14_1 6930 1 +BIT13_1 8625 1 +BIT12_1 7018 1 +BIT11_1 8298 1 +BIT10_1 8387 1 +BIT9_1 7568 1 +BIT8_1 5899 1 +BIT7_1 9039 1 +BIT6_1 7903 1 +BIT5_1 8238 1 +BIT4_1 10459 1 +BIT3_1 10841 1 +BIT2_1 10617 1 +BIT1_1 8405 1 +BIT0_1 8597 1 +BIT31_0 19018 1 +BIT30_0 24488 1 +BIT29_0 24445 1 +BIT28_0 24467 1 +BIT27_0 24733 1 +BIT26_0 24702 1 +BIT25_0 24776 1 +BIT24_0 24822 1 +BIT23_0 24750 1 +BIT22_0 24797 1 +BIT21_0 24752 1 +BIT20_0 24690 1 +BIT19_0 24696 1 +BIT18_0 24704 1 +BIT17_0 24757 1 +BIT16_0 23567 1 +BIT15_0 21305 1 +BIT14_0 22250 1 +BIT13_0 20555 1 +BIT12_0 22162 1 +BIT11_0 20882 1 +BIT10_0 20793 1 +BIT9_0 21612 1 +BIT8_0 23281 1 +BIT7_0 20141 1 +BIT6_0 21277 1 +BIT5_0 20942 1 +BIT4_0 18721 1 +BIT3_0 18339 1 +BIT2_0 18563 1 +BIT1_0 20775 1 +BIT0_0 20583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imms_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 14559 1 +BIT10_1 14712 1 +BIT9_1 14564 1 +BIT8_1 14664 1 +BIT7_1 14643 1 +BIT6_1 14764 1 +BIT5_1 14515 1 +BIT4_1 14880 1 +BIT3_1 14470 1 +BIT2_1 14409 1 +BIT1_1 14696 1 +BIT0_1 14598 1 +BIT11_0 14621 1 +BIT10_0 14468 1 +BIT9_0 14616 1 +BIT8_0 14516 1 +BIT7_0 14537 1 +BIT6_0 14416 1 +BIT5_0 14665 1 +BIT4_0 14300 1 +BIT3_0 14710 1 +BIT2_0 14771 1 +BIT1_0 14484 1 +BIT0_0 14582 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sw_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 298 1 +auto[2] 10284 1 +auto[3] 339 1 +auto[4] 45547 1 +auto[5] 39276 1 +auto[6] 23520 1 +auto[7] 38167 1 +auto[8] 36397 1 +auto[9] 27699 1 +auto[10] 22786 1 +auto[11] 19304 1 +auto[12] 20474 1 +auto[13] 48790 1 +auto[14] 30609 1 +auto[15] 40104 1 +auto[16] 39070 1 +auto[17] 43763 1 +auto[18] 63012 1 +auto[19] 59439 1 +auto[20] 77889 1 +auto[21] 40770 1 +auto[22] 22718 1 +auto[23] 53784 1 +auto[24] 140603 1 +auto[25] 34252 1 +auto[26] 42808 1 +auto[27] 30505 1 +auto[28] 52287 1 +auto[29] 29203 1 +auto[30] 34402 1 +auto[31] 38271 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 408 1 +auto[1] 716 1 +auto[2] 37323 1 +auto[3] 2715 1 +auto[4] 33168 1 +auto[5] 27652 1 +auto[6] 58199 1 +auto[7] 32824 1 +auto[8] 36190 1 +auto[9] 34855 1 +auto[10] 30054 1 +auto[11] 28438 1 +auto[12] 24682 1 +auto[13] 31563 1 +auto[14] 32994 1 +auto[15] 30102 1 +auto[16] 33432 1 +auto[17] 37634 1 +auto[18] 60761 1 +auto[19] 41334 1 +auto[20] 64205 1 +auto[21] 59350 1 +auto[22] 36868 1 +auto[23] 41311 1 +auto[24] 69078 1 +auto[25] 54817 1 +auto[26] 41840 1 +auto[27] 32700 1 +auto[28] 35964 1 +auto[29] 75664 1 +auto[30] 37452 1 +auto[31] 42078 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imms_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 241582 1 +auto_POSITIVE 829413 1 +auto_NEGATIVE 135376 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1206370 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 800 1 +BIT16_1 4857 1 +BIT15_1 1200911 1 +BIT14_1 6810 1 +BIT13_1 688368 1 +BIT12_1 576048 1 +BIT11_1 1143542 1 +BIT10_1 1070267 1 +BIT9_1 186826 1 +BIT8_1 108586 1 +BIT7_1 455049 1 +BIT6_1 78798 1 +BIT5_1 12797 1 +BIT4_1 1107080 1 +BIT3_1 1062882 1 +BIT2_1 144782 1 +BIT1_1 98958 1 +BIT0_1 6329 1 +BIT31_0 1 1 +BIT30_0 1206370 1 +BIT29_0 1206370 1 +BIT28_0 1206370 1 +BIT27_0 1206370 1 +BIT26_0 1206370 1 +BIT25_0 1206370 1 +BIT24_0 1206370 1 +BIT23_0 1206370 1 +BIT22_0 1206370 1 +BIT21_0 1206370 1 +BIT20_0 1206370 1 +BIT19_0 1206370 1 +BIT18_0 1206370 1 +BIT17_0 1205571 1 +BIT16_0 1201514 1 +BIT15_0 5460 1 +BIT14_0 1199561 1 +BIT13_0 518003 1 +BIT12_0 630323 1 +BIT11_0 62829 1 +BIT10_0 136104 1 +BIT9_0 1019545 1 +BIT8_0 1097785 1 +BIT7_0 751322 1 +BIT6_0 1127573 1 +BIT5_0 1193574 1 +BIT4_0 99291 1 +BIT3_0 143489 1 +BIT2_0 1061589 1 +BIT1_0 1107413 1 +BIT0_0 1200042 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 448396 1 +BIT30_1 156581 1 +BIT29_1 154494 1 +BIT28_1 153495 1 +BIT27_1 151575 1 +BIT26_1 144098 1 +BIT25_1 144366 1 +BIT24_1 148458 1 +BIT23_1 151865 1 +BIT22_1 149601 1 +BIT21_1 145044 1 +BIT20_1 147736 1 +BIT19_1 141280 1 +BIT18_1 153042 1 +BIT17_1 151394 1 +BIT16_1 155951 1 +BIT15_1 183325 1 +BIT14_1 413225 1 +BIT13_1 311173 1 +BIT12_1 273972 1 +BIT11_1 412422 1 +BIT10_1 426537 1 +BIT9_1 351878 1 +BIT8_1 207768 1 +BIT7_1 227356 1 +BIT6_1 217856 1 +BIT5_1 209869 1 +BIT4_1 404261 1 +BIT3_1 407563 1 +BIT2_1 406135 1 +BIT1_1 256891 1 +BIT0_1 361693 1 +BIT31_0 757975 1 +BIT30_0 1049790 1 +BIT29_0 1051877 1 +BIT28_0 1052876 1 +BIT27_0 1054796 1 +BIT26_0 1062273 1 +BIT25_0 1062005 1 +BIT24_0 1057913 1 +BIT23_0 1054506 1 +BIT22_0 1056770 1 +BIT21_0 1061327 1 +BIT20_0 1058635 1 +BIT19_0 1065091 1 +BIT18_0 1053329 1 +BIT17_0 1054977 1 +BIT16_0 1050420 1 +BIT15_0 1023046 1 +BIT14_0 793146 1 +BIT13_0 895198 1 +BIT12_0 932399 1 +BIT11_0 793949 1 +BIT10_0 779834 1 +BIT9_0 854493 1 +BIT8_0 998603 1 +BIT7_0 979015 1 +BIT6_0 988515 1 +BIT5_0 996502 1 +BIT4_0 802110 1 +BIT3_0 798808 1 +BIT2_0 800236 1 +BIT1_0 949480 1 +BIT0_0 844678 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imms_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 135376 1 +BIT10_1 86985 1 +BIT9_1 119201 1 +BIT8_1 107605 1 +BIT7_1 146226 1 +BIT6_1 76030 1 +BIT5_1 206267 1 +BIT4_1 114382 1 +BIT3_1 623782 1 +BIT2_1 627494 1 +BIT1_1 99099 1 +BIT0_1 6329 1 +BIT11_0 1070995 1 +BIT10_0 1119386 1 +BIT9_0 1087170 1 +BIT8_0 1098766 1 +BIT7_0 1060145 1 +BIT6_0 1130341 1 +BIT5_0 1000104 1 +BIT4_0 1091989 1 +BIT3_0 582589 1 +BIT2_0 578877 1 +BIT1_0 1107272 1 +BIT0_0 1200042 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_zb_rstype_count + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype_count +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_clz_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_cpop_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_ctz_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype_count + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype_count + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_clz_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2183 1 +auto[1] 505 1 +auto[2] 522 1 +auto[3] 471 1 +auto[4] 480 1 +auto[5] 472 1 +auto[6] 485 1 +auto[7] 477 1 +auto[8] 489 1 +auto[9] 497 1 +auto[10] 481 1 +auto[11] 515 1 +auto[12] 494 1 +auto[13] 462 1 +auto[14] 544 1 +auto[15] 457 1 +auto[16] 519 1 +auto[17] 497 1 +auto[18] 521 1 +auto[19] 521 1 +auto[20] 520 1 +auto[21] 522 1 +auto[22] 505 1 +auto[23] 478 1 +auto[24] 525 1 +auto[25] 555 1 +auto[26] 491 1 +auto[27] 459 1 +auto[28] 488 1 +auto[29] 478 1 +auto[30] 520 1 +auto[31] 495 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2228 1 +auto[1] 494 1 +auto[2] 421 1 +auto[3] 552 1 +auto[4] 513 1 +auto[5] 504 1 +auto[6] 508 1 +auto[7] 504 1 +auto[8] 491 1 +auto[9] 457 1 +auto[10] 532 1 +auto[11] 550 1 +auto[12] 477 1 +auto[13] 512 1 +auto[14] 536 1 +auto[15] 469 1 +auto[16] 491 1 +auto[17] 496 1 +auto[18] 453 1 +auto[19] 489 1 +auto[20] 471 1 +auto[21] 482 1 +auto[22] 495 1 +auto[23] 537 1 +auto[24] 485 1 +auto[25] 551 1 +auto[26] 521 1 +auto[27] 457 1 +auto[28] 486 1 +auto[29] 438 1 +auto[30] 496 1 +auto[31] 532 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1694 1 +RD_01 13 1 +RD_02 10 1 +RD_03 11 1 +RD_04 13 1 +RD_05 16 1 +RD_06 20 1 +RD_07 21 1 +RD_08 13 1 +RD_09 16 1 +RD_0a 12 1 +RD_0b 14 1 +RD_0c 12 1 +RD_0d 15 1 +RD_0e 14 1 +RD_0f 7 1 +RD_10 13 1 +RD_11 20 1 +RD_12 17 1 +RD_13 18 1 +RD_14 15 1 +RD_15 17 1 +RD_16 10 1 +RD_17 23 1 +RD_18 14 1 +RD_19 16 1 +RD_1a 15 1 +RD_1b 21 1 +RD_1c 14 1 +RD_1d 20 1 +RD_1e 16 1 +RD_1f 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6981 1 +auto_NON_ZERO 10647 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4897 1 +auto_NON_ZERO 12731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4897 1 +BIT30_1 3133 1 +BIT29_1 3147 1 +BIT28_1 3110 1 +BIT27_1 3044 1 +BIT26_1 3021 1 +BIT25_1 3009 1 +BIT24_1 2970 1 +BIT23_1 3005 1 +BIT22_1 3009 1 +BIT21_1 3017 1 +BIT20_1 3029 1 +BIT19_1 3033 1 +BIT18_1 3096 1 +BIT17_1 3050 1 +BIT16_1 3245 1 +BIT15_1 3966 1 +BIT14_1 3895 1 +BIT13_1 4032 1 +BIT12_1 3900 1 +BIT11_1 4322 1 +BIT10_1 4395 1 +BIT9_1 3945 1 +BIT8_1 3418 1 +BIT7_1 4196 1 +BIT6_1 3626 1 +BIT5_1 3888 1 +BIT4_1 4950 1 +BIT3_1 4968 1 +BIT2_1 4930 1 +BIT1_1 3909 1 +BIT0_1 4372 1 +BIT31_0 12731 1 +BIT30_0 14495 1 +BIT29_0 14481 1 +BIT28_0 14518 1 +BIT27_0 14584 1 +BIT26_0 14607 1 +BIT25_0 14619 1 +BIT24_0 14658 1 +BIT23_0 14623 1 +BIT22_0 14619 1 +BIT21_0 14611 1 +BIT20_0 14599 1 +BIT19_0 14595 1 +BIT18_0 14532 1 +BIT17_0 14578 1 +BIT16_0 14383 1 +BIT15_0 13662 1 +BIT14_0 13733 1 +BIT13_0 13596 1 +BIT12_0 13728 1 +BIT11_0 13306 1 +BIT10_0 13233 1 +BIT9_0 13683 1 +BIT8_0 14210 1 +BIT7_0 13432 1 +BIT6_0 14002 1 +BIT5_0 13740 1 +BIT4_0 12678 1 +BIT3_0 12660 1 +BIT2_0 12698 1 +BIT1_0 13719 1 +BIT0_0 13256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 6981 1 +BIT4_1 3923 1 +BIT3_1 3221 1 +BIT2_1 2626 1 +BIT1_1 2978 1 +BIT0_1 3431 1 +BIT5_0 10647 1 +BIT4_0 13705 1 +BIT3_0 14407 1 +BIT2_0 15002 1 +BIT1_0 14650 1 +BIT0_0 14197 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_cpop_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2107 1 +auto[1] 543 1 +auto[2] 478 1 +auto[3] 495 1 +auto[4] 560 1 +auto[5] 526 1 +auto[6] 530 1 +auto[7] 496 1 +auto[8] 492 1 +auto[9] 553 1 +auto[10] 451 1 +auto[11] 497 1 +auto[12] 510 1 +auto[13] 566 1 +auto[14] 457 1 +auto[15] 507 1 +auto[16] 499 1 +auto[17] 499 1 +auto[18] 516 1 +auto[19] 483 1 +auto[20] 509 1 +auto[21] 501 1 +auto[22] 479 1 +auto[23] 513 1 +auto[24] 483 1 +auto[25] 529 1 +auto[26] 480 1 +auto[27] 506 1 +auto[28] 511 1 +auto[29] 490 1 +auto[30] 479 1 +auto[31] 522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2135 1 +auto[1] 549 1 +auto[2] 486 1 +auto[3] 521 1 +auto[4] 490 1 +auto[5] 506 1 +auto[6] 511 1 +auto[7] 503 1 +auto[8] 494 1 +auto[9] 486 1 +auto[10] 509 1 +auto[11] 479 1 +auto[12] 525 1 +auto[13] 489 1 +auto[14] 469 1 +auto[15] 512 1 +auto[16] 501 1 +auto[17] 519 1 +auto[18] 528 1 +auto[19] 477 1 +auto[20] 563 1 +auto[21] 505 1 +auto[22] 511 1 +auto[23] 515 1 +auto[24] 488 1 +auto[25] 514 1 +auto[26] 465 1 +auto[27] 549 1 +auto[28] 470 1 +auto[29] 509 1 +auto[30] 492 1 +auto[31] 497 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1607 1 +RD_01 23 1 +RD_02 23 1 +RD_03 13 1 +RD_04 13 1 +RD_05 19 1 +RD_06 22 1 +RD_07 9 1 +RD_08 11 1 +RD_09 26 1 +RD_0a 8 1 +RD_0b 21 1 +RD_0c 22 1 +RD_0d 15 1 +RD_0e 10 1 +RD_0f 14 1 +RD_10 12 1 +RD_11 20 1 +RD_12 20 1 +RD_13 22 1 +RD_14 21 1 +RD_15 19 1 +RD_16 17 1 +RD_17 18 1 +RD_18 8 1 +RD_19 25 1 +RD_1a 17 1 +RD_1b 13 1 +RD_1c 17 1 +RD_1d 13 1 +RD_1e 12 1 +RD_1f 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6889 1 +auto_NON_ZERO 10878 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6889 1 +auto_NON_ZERO 10878 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4939 1 +BIT30_1 3167 1 +BIT29_1 3163 1 +BIT28_1 3121 1 +BIT27_1 3068 1 +BIT26_1 3067 1 +BIT25_1 2987 1 +BIT24_1 3049 1 +BIT23_1 3044 1 +BIT22_1 3057 1 +BIT21_1 3035 1 +BIT20_1 3041 1 +BIT19_1 3049 1 +BIT18_1 3019 1 +BIT17_1 3051 1 +BIT16_1 3221 1 +BIT15_1 3981 1 +BIT14_1 3820 1 +BIT13_1 4074 1 +BIT12_1 3963 1 +BIT11_1 4358 1 +BIT10_1 4432 1 +BIT9_1 3929 1 +BIT8_1 3363 1 +BIT7_1 4268 1 +BIT6_1 3711 1 +BIT5_1 4017 1 +BIT4_1 5037 1 +BIT3_1 5129 1 +BIT2_1 5080 1 +BIT1_1 4020 1 +BIT0_1 4468 1 +BIT31_0 12828 1 +BIT30_0 14600 1 +BIT29_0 14604 1 +BIT28_0 14646 1 +BIT27_0 14699 1 +BIT26_0 14700 1 +BIT25_0 14780 1 +BIT24_0 14718 1 +BIT23_0 14723 1 +BIT22_0 14710 1 +BIT21_0 14732 1 +BIT20_0 14726 1 +BIT19_0 14718 1 +BIT18_0 14748 1 +BIT17_0 14716 1 +BIT16_0 14546 1 +BIT15_0 13786 1 +BIT14_0 13947 1 +BIT13_0 13693 1 +BIT12_0 13804 1 +BIT11_0 13409 1 +BIT10_0 13335 1 +BIT9_0 13838 1 +BIT8_0 14404 1 +BIT7_0 13499 1 +BIT6_0 14056 1 +BIT5_0 13750 1 +BIT4_0 12730 1 +BIT3_0 12638 1 +BIT2_0 12687 1 +BIT1_0 13747 1 +BIT0_0 13299 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 580 1 +BIT4_1 2370 1 +BIT3_1 4170 1 +BIT2_1 3523 1 +BIT1_1 4233 1 +BIT0_1 6330 1 +BIT5_0 17187 1 +BIT4_0 15397 1 +BIT3_0 13597 1 +BIT2_0 14244 1 +BIT1_0 13534 1 +BIT0_0 11437 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_ctz_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2031 1 +auto[1] 509 1 +auto[2] 546 1 +auto[3] 499 1 +auto[4] 492 1 +auto[5] 545 1 +auto[6] 514 1 +auto[7] 510 1 +auto[8] 485 1 +auto[9] 509 1 +auto[10] 509 1 +auto[11] 501 1 +auto[12] 445 1 +auto[13] 502 1 +auto[14] 456 1 +auto[15] 477 1 +auto[16] 501 1 +auto[17] 485 1 +auto[18] 490 1 +auto[19] 488 1 +auto[20] 549 1 +auto[21] 505 1 +auto[22] 497 1 +auto[23] 534 1 +auto[24] 471 1 +auto[25] 522 1 +auto[26] 487 1 +auto[27] 478 1 +auto[28] 499 1 +auto[29] 469 1 +auto[30] 511 1 +auto[31] 478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2082 1 +auto[1] 526 1 +auto[2] 491 1 +auto[3] 546 1 +auto[4] 454 1 +auto[5] 424 1 +auto[6] 516 1 +auto[7] 511 1 +auto[8] 500 1 +auto[9] 511 1 +auto[10] 516 1 +auto[11] 455 1 +auto[12] 516 1 +auto[13] 477 1 +auto[14] 535 1 +auto[15] 520 1 +auto[16] 504 1 +auto[17] 521 1 +auto[18] 460 1 +auto[19] 482 1 +auto[20] 478 1 +auto[21] 488 1 +auto[22] 470 1 +auto[23] 456 1 +auto[24] 492 1 +auto[25] 537 1 +auto[26] 509 1 +auto[27] 523 1 +auto[28] 472 1 +auto[29] 536 1 +auto[30] 490 1 +auto[31] 496 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1551 1 +RD_01 25 1 +RD_02 14 1 +RD_03 15 1 +RD_04 17 1 +RD_05 13 1 +RD_06 14 1 +RD_07 17 1 +RD_08 14 1 +RD_09 14 1 +RD_0a 15 1 +RD_0b 9 1 +RD_0c 17 1 +RD_0d 14 1 +RD_0e 18 1 +RD_0f 8 1 +RD_10 15 1 +RD_11 22 1 +RD_12 12 1 +RD_13 8 1 +RD_14 22 1 +RD_15 13 1 +RD_16 13 1 +RD_17 12 1 +RD_18 17 1 +RD_19 23 1 +RD_1a 19 1 +RD_1b 20 1 +RD_1c 15 1 +RD_1d 18 1 +RD_1e 18 1 +RD_1f 13 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6663 1 +auto_NON_ZERO 10831 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4405 1 +auto_NON_ZERO 13089 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4904 1 +BIT30_1 3137 1 +BIT29_1 3184 1 +BIT28_1 3175 1 +BIT27_1 3116 1 +BIT26_1 3038 1 +BIT25_1 3053 1 +BIT24_1 3078 1 +BIT23_1 3039 1 +BIT22_1 3044 1 +BIT21_1 3008 1 +BIT20_1 3023 1 +BIT19_1 3039 1 +BIT18_1 3054 1 +BIT17_1 3034 1 +BIT16_1 3251 1 +BIT15_1 4015 1 +BIT14_1 3867 1 +BIT13_1 4080 1 +BIT12_1 3994 1 +BIT11_1 4403 1 +BIT10_1 4404 1 +BIT9_1 3858 1 +BIT8_1 3387 1 +BIT7_1 4253 1 +BIT6_1 3739 1 +BIT5_1 3953 1 +BIT4_1 5011 1 +BIT3_1 5028 1 +BIT2_1 5003 1 +BIT1_1 3897 1 +BIT0_1 4405 1 +BIT31_0 12590 1 +BIT30_0 14357 1 +BIT29_0 14310 1 +BIT28_0 14319 1 +BIT27_0 14378 1 +BIT26_0 14456 1 +BIT25_0 14441 1 +BIT24_0 14416 1 +BIT23_0 14455 1 +BIT22_0 14450 1 +BIT21_0 14486 1 +BIT20_0 14471 1 +BIT19_0 14455 1 +BIT18_0 14440 1 +BIT17_0 14460 1 +BIT16_0 14243 1 +BIT15_0 13479 1 +BIT14_0 13627 1 +BIT13_0 13414 1 +BIT12_0 13500 1 +BIT11_0 13091 1 +BIT10_0 13090 1 +BIT9_0 13636 1 +BIT8_0 14107 1 +BIT7_0 13241 1 +BIT6_0 13755 1 +BIT5_0 13541 1 +BIT4_0 12483 1 +BIT3_0 12466 1 +BIT2_0 12491 1 +BIT1_0 13597 1 +BIT0_0 13089 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 6663 1 +BIT4_1 640 1 +BIT3_1 1080 1 +BIT2_1 1766 1 +BIT1_1 3298 1 +BIT0_1 3323 1 +BIT5_0 10831 1 +BIT4_0 16854 1 +BIT3_0 16414 1 +BIT2_0 15728 1 +BIT1_0 14196 1 +BIT0_0 14171 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_itype_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_slli_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_srai_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_srli_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_slli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_slli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_slli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_slli_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2098 1 +auto[1] 569 1 +auto[2] 611 1 +auto[3] 598 1 +auto[4] 585 1 +auto[5] 576 1 +auto[6] 572 1 +auto[7] 566 1 +auto[8] 539 1 +auto[9] 502 1 +auto[10] 592 1 +auto[11] 551 1 +auto[12] 597 1 +auto[13] 593 1 +auto[14] 564 1 +auto[15] 736 1 +auto[16] 620 1 +auto[17] 555 1 +auto[18] 536 1 +auto[19] 521 1 +auto[20] 711 1 +auto[21] 561 1 +auto[22] 583 1 +auto[23] 585 1 +auto[24] 617 1 +auto[25] 548 1 +auto[26] 641 1 +auto[27] 546 1 +auto[28] 565 1 +auto[29] 614 1 +auto[30] 593 1 +auto[31] 545 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2161 1 +auto[1] 618 1 +auto[2] 533 1 +auto[3] 634 1 +auto[4] 599 1 +auto[5] 575 1 +auto[6] 604 1 +auto[7] 619 1 +auto[8] 558 1 +auto[9] 538 1 +auto[10] 595 1 +auto[11] 577 1 +auto[12] 709 1 +auto[13] 578 1 +auto[14] 588 1 +auto[15] 479 1 +auto[16] 526 1 +auto[17] 514 1 +auto[18] 563 1 +auto[19] 576 1 +auto[20] 605 1 +auto[21] 556 1 +auto[22] 543 1 +auto[23] 579 1 +auto[24] 552 1 +auto[25] 651 1 +auto[26] 586 1 +auto[27] 611 1 +auto[28] 586 1 +auto[29] 614 1 +auto[30] 573 1 +auto[31] 590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1572 1 +RD_01 2 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 2 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 2 1 +RD_0c 3 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 2 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7536 1 +auto_NON_ZERO 12654 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_immi_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 647 1 +SHAMT_01 612 1 +SHAMT_02 698 1 +SHAMT_03 615 1 +SHAMT_04 665 1 +SHAMT_05 690 1 +SHAMT_06 584 1 +SHAMT_07 586 1 +SHAMT_08 628 1 +SHAMT_09 621 1 +SHAMT_0a 615 1 +SHAMT_0b 589 1 +SHAMT_0c 659 1 +SHAMT_0d 598 1 +SHAMT_0e 615 1 +SHAMT_0f 631 1 +SHAMT_10 660 1 +SHAMT_11 640 1 +SHAMT_12 760 1 +SHAMT_13 640 1 +SHAMT_14 667 1 +SHAMT_15 615 1 +SHAMT_16 616 1 +SHAMT_17 623 1 +SHAMT_18 613 1 +SHAMT_19 636 1 +SHAMT_1a 550 1 +SHAMT_1b 687 1 +SHAMT_1c 598 1 +SHAMT_1d 594 1 +SHAMT_1e 606 1 +SHAMT_1f 632 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8937 1 +auto_NON_ZERO 11253 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6012 1 +BIT30_1 3710 1 +BIT29_1 3721 1 +BIT28_1 3704 1 +BIT27_1 3636 1 +BIT26_1 3677 1 +BIT25_1 3614 1 +BIT24_1 3529 1 +BIT23_1 3681 1 +BIT22_1 3705 1 +BIT21_1 3679 1 +BIT20_1 3646 1 +BIT19_1 3760 1 +BIT18_1 3645 1 +BIT17_1 3541 1 +BIT16_1 3736 1 +BIT15_1 4875 1 +BIT14_1 4669 1 +BIT13_1 5059 1 +BIT12_1 4722 1 +BIT11_1 5176 1 +BIT10_1 5186 1 +BIT9_1 4427 1 +BIT8_1 3930 1 +BIT7_1 4992 1 +BIT6_1 4237 1 +BIT5_1 4320 1 +BIT4_1 5933 1 +BIT3_1 5868 1 +BIT2_1 5736 1 +BIT1_1 4352 1 +BIT0_1 5013 1 +BIT31_0 14178 1 +BIT30_0 16480 1 +BIT29_0 16469 1 +BIT28_0 16486 1 +BIT27_0 16554 1 +BIT26_0 16513 1 +BIT25_0 16576 1 +BIT24_0 16661 1 +BIT23_0 16509 1 +BIT22_0 16485 1 +BIT21_0 16511 1 +BIT20_0 16544 1 +BIT19_0 16430 1 +BIT18_0 16545 1 +BIT17_0 16649 1 +BIT16_0 16454 1 +BIT15_0 15315 1 +BIT14_0 15521 1 +BIT13_0 15131 1 +BIT12_0 15468 1 +BIT11_0 15014 1 +BIT10_0 15004 1 +BIT9_0 15763 1 +BIT8_0 16260 1 +BIT7_0 15198 1 +BIT6_0 15953 1 +BIT5_0 15870 1 +BIT4_0 14257 1 +BIT3_0 14322 1 +BIT2_0 14454 1 +BIT1_0 15838 1 +BIT0_0 15177 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4393 1 +BIT30_1 4224 1 +BIT29_1 3969 1 +BIT28_1 3880 1 +BIT27_1 3945 1 +BIT26_1 3668 1 +BIT25_1 3536 1 +BIT24_1 3476 1 +BIT23_1 3346 1 +BIT22_1 3170 1 +BIT21_1 3031 1 +BIT20_1 3045 1 +BIT19_1 2872 1 +BIT18_1 2970 1 +BIT17_1 2684 1 +BIT16_1 2606 1 +BIT15_1 2478 1 +BIT14_1 2284 1 +BIT13_1 2051 1 +BIT12_1 2024 1 +BIT11_1 1822 1 +BIT10_1 1702 1 +BIT9_1 1522 1 +BIT8_1 1469 1 +BIT7_1 1248 1 +BIT6_1 1165 1 +BIT5_1 998 1 +BIT4_1 859 1 +BIT3_1 630 1 +BIT2_1 490 1 +BIT1_1 302 1 +BIT0_1 153 1 +BIT31_0 15797 1 +BIT30_0 15966 1 +BIT29_0 16221 1 +BIT28_0 16310 1 +BIT27_0 16245 1 +BIT26_0 16522 1 +BIT25_0 16654 1 +BIT24_0 16714 1 +BIT23_0 16844 1 +BIT22_0 17020 1 +BIT21_0 17159 1 +BIT20_0 17145 1 +BIT19_0 17318 1 +BIT18_0 17220 1 +BIT17_0 17506 1 +BIT16_0 17584 1 +BIT15_0 17712 1 +BIT14_0 17906 1 +BIT13_0 18139 1 +BIT12_0 18166 1 +BIT11_0 18368 1 +BIT10_0 18488 1 +BIT9_0 18668 1 +BIT8_0 18721 1 +BIT7_0 18942 1 +BIT6_0 19025 1 +BIT5_0 19192 1 +BIT4_0 19331 1 +BIT3_0 19560 1 +BIT2_0 19700 1 +BIT1_0 19888 1 +BIT0_0 20037 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO SHAMT_00 257 1 +auto_ZERO SHAMT_01 243 1 +auto_ZERO SHAMT_02 247 1 +auto_ZERO SHAMT_03 231 1 +auto_ZERO SHAMT_04 248 1 +auto_ZERO SHAMT_05 251 1 +auto_ZERO SHAMT_06 233 1 +auto_ZERO SHAMT_07 220 1 +auto_ZERO SHAMT_08 238 1 +auto_ZERO SHAMT_09 258 1 +auto_ZERO SHAMT_0a 222 1 +auto_ZERO SHAMT_0b 235 1 +auto_ZERO SHAMT_0c 228 1 +auto_ZERO SHAMT_0d 222 1 +auto_ZERO SHAMT_0e 233 1 +auto_ZERO SHAMT_0f 226 1 +auto_ZERO SHAMT_10 251 1 +auto_ZERO SHAMT_11 249 1 +auto_ZERO SHAMT_12 223 1 +auto_ZERO SHAMT_13 232 1 +auto_ZERO SHAMT_14 249 1 +auto_ZERO SHAMT_15 233 1 +auto_ZERO SHAMT_16 243 1 +auto_ZERO SHAMT_17 219 1 +auto_ZERO SHAMT_18 234 1 +auto_ZERO SHAMT_19 234 1 +auto_ZERO SHAMT_1a 209 1 +auto_ZERO SHAMT_1b 271 1 +auto_ZERO SHAMT_1c 248 1 +auto_ZERO SHAMT_1d 226 1 +auto_ZERO SHAMT_1e 206 1 +auto_ZERO SHAMT_1f 217 1 +auto_NON_ZERO SHAMT_00 390 1 +auto_NON_ZERO SHAMT_01 369 1 +auto_NON_ZERO SHAMT_02 451 1 +auto_NON_ZERO SHAMT_03 384 1 +auto_NON_ZERO SHAMT_04 417 1 +auto_NON_ZERO SHAMT_05 439 1 +auto_NON_ZERO SHAMT_06 351 1 +auto_NON_ZERO SHAMT_07 366 1 +auto_NON_ZERO SHAMT_08 390 1 +auto_NON_ZERO SHAMT_09 363 1 +auto_NON_ZERO SHAMT_0a 393 1 +auto_NON_ZERO SHAMT_0b 354 1 +auto_NON_ZERO SHAMT_0c 431 1 +auto_NON_ZERO SHAMT_0d 376 1 +auto_NON_ZERO SHAMT_0e 382 1 +auto_NON_ZERO SHAMT_0f 405 1 +auto_NON_ZERO SHAMT_10 409 1 +auto_NON_ZERO SHAMT_11 391 1 +auto_NON_ZERO SHAMT_12 537 1 +auto_NON_ZERO SHAMT_13 408 1 +auto_NON_ZERO SHAMT_14 418 1 +auto_NON_ZERO SHAMT_15 382 1 +auto_NON_ZERO SHAMT_16 373 1 +auto_NON_ZERO SHAMT_17 404 1 +auto_NON_ZERO SHAMT_18 379 1 +auto_NON_ZERO SHAMT_19 402 1 +auto_NON_ZERO SHAMT_1a 341 1 +auto_NON_ZERO SHAMT_1b 416 1 +auto_NON_ZERO SHAMT_1c 350 1 +auto_NON_ZERO SHAMT_1d 368 1 +auto_NON_ZERO SHAMT_1e 400 1 +auto_NON_ZERO SHAMT_1f 415 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_srai_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_srai_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_srai_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_srai_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2213 1 +auto[1] 686 1 +auto[2] 520 1 +auto[3] 587 1 +auto[4] 558 1 +auto[5] 589 1 +auto[6] 602 1 +auto[7] 586 1 +auto[8] 595 1 +auto[9] 514 1 +auto[10] 577 1 +auto[11] 539 1 +auto[12] 550 1 +auto[13] 556 1 +auto[14] 637 1 +auto[15] 558 1 +auto[16] 550 1 +auto[17] 602 1 +auto[18] 600 1 +auto[19] 583 1 +auto[20] 597 1 +auto[21] 560 1 +auto[22] 553 1 +auto[23] 575 1 +auto[24] 654 1 +auto[25] 634 1 +auto[26] 609 1 +auto[27] 597 1 +auto[28] 624 1 +auto[29] 654 1 +auto[30] 574 1 +auto[31] 559 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2174 1 +auto[1] 640 1 +auto[2] 510 1 +auto[3] 627 1 +auto[4] 582 1 +auto[5] 550 1 +auto[6] 647 1 +auto[7] 609 1 +auto[8] 590 1 +auto[9] 564 1 +auto[10] 536 1 +auto[11] 555 1 +auto[12] 580 1 +auto[13] 668 1 +auto[14] 541 1 +auto[15] 515 1 +auto[16] 601 1 +auto[17] 584 1 +auto[18] 588 1 +auto[19] 541 1 +auto[20] 569 1 +auto[21] 566 1 +auto[22] 574 1 +auto[23] 576 1 +auto[24] 536 1 +auto[25] 643 1 +auto[26] 574 1 +auto[27] 775 1 +auto[28] 584 1 +auto[29] 671 1 +auto[30] 602 1 +auto[31] 520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1569 1 +RD_01 25 1 +RD_02 21 1 +RD_03 17 1 +RD_04 14 1 +RD_05 15 1 +RD_06 20 1 +RD_07 20 1 +RD_08 1 1 +RD_09 2 1 +RD_0a 4 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 2 1 +RD_0f 1 1 +RD_10 13 1 +RD_11 26 1 +RD_12 15 1 +RD_13 17 1 +RD_14 21 1 +RD_15 11 1 +RD_16 21 1 +RD_17 21 1 +RD_18 24 1 +RD_19 21 1 +RD_1a 21 1 +RD_1b 16 1 +RD_1c 23 1 +RD_1d 19 1 +RD_1e 20 1 +RD_1f 13 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7762 1 +auto_NON_ZERO 12630 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_immi_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 694 1 +SHAMT_01 644 1 +SHAMT_02 611 1 +SHAMT_03 609 1 +SHAMT_04 756 1 +SHAMT_05 648 1 +SHAMT_06 621 1 +SHAMT_07 631 1 +SHAMT_08 578 1 +SHAMT_09 738 1 +SHAMT_0a 627 1 +SHAMT_0b 637 1 +SHAMT_0c 571 1 +SHAMT_0d 610 1 +SHAMT_0e 635 1 +SHAMT_0f 725 1 +SHAMT_10 610 1 +SHAMT_11 645 1 +SHAMT_12 729 1 +SHAMT_13 659 1 +SHAMT_14 584 1 +SHAMT_15 651 1 +SHAMT_16 567 1 +SHAMT_17 622 1 +SHAMT_18 605 1 +SHAMT_19 609 1 +SHAMT_1a 598 1 +SHAMT_1b 618 1 +SHAMT_1c 632 1 +SHAMT_1d 594 1 +SHAMT_1e 651 1 +SHAMT_1f 683 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11611 1 +auto_NON_ZERO 8781 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5957 1 +BIT30_1 3792 1 +BIT29_1 3751 1 +BIT28_1 3790 1 +BIT27_1 3692 1 +BIT26_1 3796 1 +BIT25_1 3674 1 +BIT24_1 3657 1 +BIT23_1 3679 1 +BIT22_1 3695 1 +BIT21_1 3683 1 +BIT20_1 3738 1 +BIT19_1 3703 1 +BIT18_1 3834 1 +BIT17_1 3682 1 +BIT16_1 3881 1 +BIT15_1 4811 1 +BIT14_1 4606 1 +BIT13_1 4867 1 +BIT12_1 4781 1 +BIT11_1 5111 1 +BIT10_1 5190 1 +BIT9_1 4576 1 +BIT8_1 4113 1 +BIT7_1 4954 1 +BIT6_1 4449 1 +BIT5_1 4486 1 +BIT4_1 5825 1 +BIT3_1 5883 1 +BIT2_1 5859 1 +BIT1_1 4545 1 +BIT0_1 5276 1 +BIT31_0 14435 1 +BIT30_0 16600 1 +BIT29_0 16641 1 +BIT28_0 16602 1 +BIT27_0 16700 1 +BIT26_0 16596 1 +BIT25_0 16718 1 +BIT24_0 16735 1 +BIT23_0 16713 1 +BIT22_0 16697 1 +BIT21_0 16709 1 +BIT20_0 16654 1 +BIT19_0 16689 1 +BIT18_0 16558 1 +BIT17_0 16710 1 +BIT16_0 16511 1 +BIT15_0 15581 1 +BIT14_0 15786 1 +BIT13_0 15525 1 +BIT12_0 15611 1 +BIT11_0 15281 1 +BIT10_0 15202 1 +BIT9_0 15816 1 +BIT8_0 16279 1 +BIT7_0 15438 1 +BIT6_0 15943 1 +BIT5_0 15906 1 +BIT4_0 14567 1 +BIT3_0 14509 1 +BIT2_0 14533 1 +BIT1_0 15847 1 +BIT0_0 15116 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5957 1 +BIT30_1 5892 1 +BIT29_1 5853 1 +BIT28_1 5759 1 +BIT27_1 5696 1 +BIT26_1 5621 1 +BIT25_1 5514 1 +BIT24_1 5488 1 +BIT23_1 5398 1 +BIT22_1 5318 1 +BIT21_1 5235 1 +BIT20_1 5165 1 +BIT19_1 5099 1 +BIT18_1 5028 1 +BIT17_1 4950 1 +BIT16_1 4870 1 +BIT15_1 4779 1 +BIT14_1 4758 1 +BIT13_1 4761 1 +BIT12_1 4769 1 +BIT11_1 4711 1 +BIT10_1 4585 1 +BIT9_1 4661 1 +BIT8_1 4566 1 +BIT7_1 4621 1 +BIT6_1 4598 1 +BIT5_1 4620 1 +BIT4_1 4523 1 +BIT3_1 4513 1 +BIT2_1 4366 1 +BIT1_1 4404 1 +BIT0_1 4582 1 +BIT31_0 14435 1 +BIT30_0 14500 1 +BIT29_0 14539 1 +BIT28_0 14633 1 +BIT27_0 14696 1 +BIT26_0 14771 1 +BIT25_0 14878 1 +BIT24_0 14904 1 +BIT23_0 14994 1 +BIT22_0 15074 1 +BIT21_0 15157 1 +BIT20_0 15227 1 +BIT19_0 15293 1 +BIT18_0 15364 1 +BIT17_0 15442 1 +BIT16_0 15522 1 +BIT15_0 15613 1 +BIT14_0 15634 1 +BIT13_0 15631 1 +BIT12_0 15623 1 +BIT11_0 15681 1 +BIT10_0 15807 1 +BIT9_0 15731 1 +BIT8_0 15826 1 +BIT7_0 15771 1 +BIT6_0 15794 1 +BIT5_0 15772 1 +BIT4_0 15869 1 +BIT3_0 15879 1 +BIT2_0 16026 1 +BIT1_0 15988 1 +BIT0_0 15810 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO SHAMT_00 285 1 +auto_ZERO SHAMT_01 235 1 +auto_ZERO SHAMT_02 225 1 +auto_ZERO SHAMT_03 238 1 +auto_ZERO SHAMT_04 292 1 +auto_ZERO SHAMT_05 237 1 +auto_ZERO SHAMT_06 247 1 +auto_ZERO SHAMT_07 226 1 +auto_ZERO SHAMT_08 222 1 +auto_ZERO SHAMT_09 314 1 +auto_ZERO SHAMT_0a 243 1 +auto_ZERO SHAMT_0b 218 1 +auto_ZERO SHAMT_0c 220 1 +auto_ZERO SHAMT_0d 223 1 +auto_ZERO SHAMT_0e 212 1 +auto_ZERO SHAMT_0f 240 1 +auto_ZERO SHAMT_10 214 1 +auto_ZERO SHAMT_11 256 1 +auto_ZERO SHAMT_12 237 1 +auto_ZERO SHAMT_13 252 1 +auto_ZERO SHAMT_14 227 1 +auto_ZERO SHAMT_15 240 1 +auto_ZERO SHAMT_16 212 1 +auto_ZERO SHAMT_17 242 1 +auto_ZERO SHAMT_18 255 1 +auto_ZERO SHAMT_19 246 1 +auto_ZERO SHAMT_1a 252 1 +auto_ZERO SHAMT_1b 219 1 +auto_ZERO SHAMT_1c 257 1 +auto_ZERO SHAMT_1d 229 1 +auto_ZERO SHAMT_1e 268 1 +auto_ZERO SHAMT_1f 279 1 +auto_NON_ZERO SHAMT_00 409 1 +auto_NON_ZERO SHAMT_01 409 1 +auto_NON_ZERO SHAMT_02 386 1 +auto_NON_ZERO SHAMT_03 371 1 +auto_NON_ZERO SHAMT_04 464 1 +auto_NON_ZERO SHAMT_05 411 1 +auto_NON_ZERO SHAMT_06 374 1 +auto_NON_ZERO SHAMT_07 405 1 +auto_NON_ZERO SHAMT_08 356 1 +auto_NON_ZERO SHAMT_09 424 1 +auto_NON_ZERO SHAMT_0a 384 1 +auto_NON_ZERO SHAMT_0b 419 1 +auto_NON_ZERO SHAMT_0c 351 1 +auto_NON_ZERO SHAMT_0d 387 1 +auto_NON_ZERO SHAMT_0e 423 1 +auto_NON_ZERO SHAMT_0f 485 1 +auto_NON_ZERO SHAMT_10 396 1 +auto_NON_ZERO SHAMT_11 389 1 +auto_NON_ZERO SHAMT_12 492 1 +auto_NON_ZERO SHAMT_13 407 1 +auto_NON_ZERO SHAMT_14 357 1 +auto_NON_ZERO SHAMT_15 411 1 +auto_NON_ZERO SHAMT_16 355 1 +auto_NON_ZERO SHAMT_17 380 1 +auto_NON_ZERO SHAMT_18 350 1 +auto_NON_ZERO SHAMT_19 363 1 +auto_NON_ZERO SHAMT_1a 346 1 +auto_NON_ZERO SHAMT_1b 399 1 +auto_NON_ZERO SHAMT_1c 375 1 +auto_NON_ZERO SHAMT_1d 365 1 +auto_NON_ZERO SHAMT_1e 383 1 +auto_NON_ZERO SHAMT_1f 404 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_srli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_srli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_srli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_srli_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2312 1 +auto[1] 599 1 +auto[2] 6292 1 +auto[3] 566 1 +auto[4] 5621 1 +auto[5] 6038 1 +auto[6] 12995 1 +auto[7] 7289 1 +auto[8] 565 1 +auto[9] 606 1 +auto[10] 542 1 +auto[11] 577 1 +auto[12] 575 1 +auto[13] 568 1 +auto[14] 571 1 +auto[15] 570 1 +auto[16] 5944 1 +auto[17] 7499 1 +auto[18] 5781 1 +auto[19] 11206 1 +auto[20] 18479 1 +auto[21] 20108 1 +auto[22] 10493 1 +auto[23] 7660 1 +auto[24] 5829 1 +auto[25] 14012 1 +auto[26] 11569 1 +auto[27] 5902 1 +auto[28] 4319 1 +auto[29] 30335 1 +auto[30] 9755 1 +auto[31] 5728 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2276 1 +auto[1] 687 1 +auto[2] 6214 1 +auto[3] 642 1 +auto[4] 5654 1 +auto[5] 5959 1 +auto[6] 12845 1 +auto[7] 7343 1 +auto[8] 699 1 +auto[9] 665 1 +auto[10] 580 1 +auto[11] 570 1 +auto[12] 592 1 +auto[13] 586 1 +auto[14] 519 1 +auto[15] 601 1 +auto[16] 5968 1 +auto[17] 7527 1 +auto[18] 5817 1 +auto[19] 11119 1 +auto[20] 18483 1 +auto[21] 20044 1 +auto[22] 10536 1 +auto[23] 7651 1 +auto[24] 5768 1 +auto[25] 14000 1 +auto[26] 11617 1 +auto[27] 5904 1 +auto[28] 4352 1 +auto[29] 30373 1 +auto[30] 9724 1 +auto[31] 5590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1687 1 +RD_01 20 1 +RD_02 5692 1 +RD_03 12 1 +RD_04 5106 1 +RD_05 5393 1 +RD_06 12273 1 +RD_07 6735 1 +RD_08 1 1 +RD_09 3 1 +RD_0a 1 1 +RD_0b 2 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 5402 1 +RD_11 6913 1 +RD_12 5219 1 +RD_13 10567 1 +RD_14 17933 1 +RD_15 19516 1 +RD_16 9929 1 +RD_17 7114 1 +RD_18 5207 1 +RD_19 13470 1 +RD_1a 10998 1 +RD_1b 5354 1 +RD_1c 3791 1 +RD_1d 29733 1 +RD_1e 9140 1 +RD_1f 5033 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8073 1 +auto_NON_ZERO 212832 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_immi_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 678 1 +SHAMT_01 620 1 +SHAMT_02 674 1 +SHAMT_03 672 1 +SHAMT_04 605 1 +SHAMT_05 597 1 +SHAMT_06 647 1 +SHAMT_07 629 1 +SHAMT_08 673 1 +SHAMT_09 768 1 +SHAMT_0a 686 1 +SHAMT_0b 622 1 +SHAMT_0c 593 1 +SHAMT_0d 623 1 +SHAMT_0e 571 1 +SHAMT_0f 655 1 +SHAMT_10 639 1 +SHAMT_11 707 1 +SHAMT_12 622 1 +SHAMT_13 566 1 +SHAMT_14 635 1 +SHAMT_15 702 1 +SHAMT_16 603 1 +SHAMT_17 621 1 +SHAMT_18 599 1 +SHAMT_19 683 1 +SHAMT_1a 836 1 +SHAMT_1b 662 1 +SHAMT_1c 625 1 +SHAMT_1d 652 1 +SHAMT_1e 631 1 +SHAMT_1f 200809 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 55465 1 +auto_NON_ZERO 165440 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 162701 1 +BIT30_1 3870 1 +BIT29_1 3787 1 +BIT28_1 3883 1 +BIT27_1 3817 1 +BIT26_1 3612 1 +BIT25_1 3563 1 +BIT24_1 3673 1 +BIT23_1 3561 1 +BIT22_1 3549 1 +BIT21_1 3670 1 +BIT20_1 3584 1 +BIT19_1 3614 1 +BIT18_1 3620 1 +BIT17_1 3551 1 +BIT16_1 3909 1 +BIT15_1 4885 1 +BIT14_1 4755 1 +BIT13_1 5081 1 +BIT12_1 4678 1 +BIT11_1 5339 1 +BIT10_1 5479 1 +BIT9_1 4629 1 +BIT8_1 4003 1 +BIT7_1 4990 1 +BIT6_1 4334 1 +BIT5_1 4461 1 +BIT4_1 5938 1 +BIT3_1 107054 1 +BIT2_1 75150 1 +BIT1_1 197455 1 +BIT0_1 170193 1 +BIT31_0 58204 1 +BIT30_0 217035 1 +BIT29_0 217118 1 +BIT28_0 217022 1 +BIT27_0 217088 1 +BIT26_0 217293 1 +BIT25_0 217342 1 +BIT24_0 217232 1 +BIT23_0 217344 1 +BIT22_0 217356 1 +BIT21_0 217235 1 +BIT20_0 217321 1 +BIT19_0 217291 1 +BIT18_0 217285 1 +BIT17_0 217354 1 +BIT16_0 216996 1 +BIT15_0 216020 1 +BIT14_0 216150 1 +BIT13_0 215824 1 +BIT12_0 216227 1 +BIT11_0 215566 1 +BIT10_0 215426 1 +BIT9_0 216276 1 +BIT8_0 216902 1 +BIT7_0 215915 1 +BIT6_0 216571 1 +BIT5_0 216444 1 +BIT4_0 214967 1 +BIT3_0 113851 1 +BIT2_0 145755 1 +BIT1_0 23450 1 +BIT0_0 50712 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 184 1 +BIT30_1 302 1 +BIT29_1 394 1 +BIT28_1 518 1 +BIT27_1 628 1 +BIT26_1 736 1 +BIT25_1 883 1 +BIT24_1 977 1 +BIT23_1 1117 1 +BIT22_1 1311 1 +BIT21_1 1384 1 +BIT20_1 1452 1 +BIT19_1 1571 1 +BIT18_1 1700 1 +BIT17_1 1715 1 +BIT16_1 1799 1 +BIT15_1 2076 1 +BIT14_1 2124 1 +BIT13_1 2339 1 +BIT12_1 2476 1 +BIT11_1 2540 1 +BIT10_1 2784 1 +BIT9_1 2946 1 +BIT8_1 2972 1 +BIT7_1 3290 1 +BIT6_1 3508 1 +BIT5_1 3535 1 +BIT4_1 3805 1 +BIT3_1 3973 1 +BIT2_1 4059 1 +BIT1_1 4200 1 +BIT0_1 161063 1 +BIT31_0 220721 1 +BIT30_0 220603 1 +BIT29_0 220511 1 +BIT28_0 220387 1 +BIT27_0 220277 1 +BIT26_0 220169 1 +BIT25_0 220022 1 +BIT24_0 219928 1 +BIT23_0 219788 1 +BIT22_0 219594 1 +BIT21_0 219521 1 +BIT20_0 219453 1 +BIT19_0 219334 1 +BIT18_0 219205 1 +BIT17_0 219190 1 +BIT16_0 219106 1 +BIT15_0 218829 1 +BIT14_0 218781 1 +BIT13_0 218566 1 +BIT12_0 218429 1 +BIT11_0 218365 1 +BIT10_0 218121 1 +BIT9_0 217959 1 +BIT8_0 217933 1 +BIT7_0 217615 1 +BIT6_0 217397 1 +BIT5_0 217370 1 +BIT4_0 217100 1 +BIT3_0 216932 1 +BIT2_0 216846 1 +BIT1_0 216705 1 +BIT0_0 59842 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO SHAMT_00 272 1 +auto_ZERO SHAMT_01 231 1 +auto_ZERO SHAMT_02 283 1 +auto_ZERO SHAMT_03 261 1 +auto_ZERO SHAMT_04 247 1 +auto_ZERO SHAMT_05 241 1 +auto_ZERO SHAMT_06 241 1 +auto_ZERO SHAMT_07 231 1 +auto_ZERO SHAMT_08 261 1 +auto_ZERO SHAMT_09 278 1 +auto_ZERO SHAMT_0a 251 1 +auto_ZERO SHAMT_0b 235 1 +auto_ZERO SHAMT_0c 214 1 +auto_ZERO SHAMT_0d 244 1 +auto_ZERO SHAMT_0e 218 1 +auto_ZERO SHAMT_0f 270 1 +auto_ZERO SHAMT_10 250 1 +auto_ZERO SHAMT_11 265 1 +auto_ZERO SHAMT_12 221 1 +auto_ZERO SHAMT_13 228 1 +auto_ZERO SHAMT_14 252 1 +auto_ZERO SHAMT_15 252 1 +auto_ZERO SHAMT_16 222 1 +auto_ZERO SHAMT_17 238 1 +auto_ZERO SHAMT_18 234 1 +auto_ZERO SHAMT_19 234 1 +auto_ZERO SHAMT_1a 419 1 +auto_ZERO SHAMT_1b 255 1 +auto_ZERO SHAMT_1c 263 1 +auto_ZERO SHAMT_1d 252 1 +auto_ZERO SHAMT_1e 237 1 +auto_ZERO SHAMT_1f 273 1 +auto_NON_ZERO SHAMT_00 406 1 +auto_NON_ZERO SHAMT_01 389 1 +auto_NON_ZERO SHAMT_02 391 1 +auto_NON_ZERO SHAMT_03 411 1 +auto_NON_ZERO SHAMT_04 358 1 +auto_NON_ZERO SHAMT_05 356 1 +auto_NON_ZERO SHAMT_06 406 1 +auto_NON_ZERO SHAMT_07 398 1 +auto_NON_ZERO SHAMT_08 412 1 +auto_NON_ZERO SHAMT_09 490 1 +auto_NON_ZERO SHAMT_0a 435 1 +auto_NON_ZERO SHAMT_0b 387 1 +auto_NON_ZERO SHAMT_0c 379 1 +auto_NON_ZERO SHAMT_0d 379 1 +auto_NON_ZERO SHAMT_0e 353 1 +auto_NON_ZERO SHAMT_0f 385 1 +auto_NON_ZERO SHAMT_10 389 1 +auto_NON_ZERO SHAMT_11 442 1 +auto_NON_ZERO SHAMT_12 401 1 +auto_NON_ZERO SHAMT_13 338 1 +auto_NON_ZERO SHAMT_14 383 1 +auto_NON_ZERO SHAMT_15 450 1 +auto_NON_ZERO SHAMT_16 381 1 +auto_NON_ZERO SHAMT_17 383 1 +auto_NON_ZERO SHAMT_18 365 1 +auto_NON_ZERO SHAMT_19 449 1 +auto_NON_ZERO SHAMT_1a 417 1 +auto_NON_ZERO SHAMT_1b 407 1 +auto_NON_ZERO SHAMT_1c 362 1 +auto_NON_ZERO SHAMT_1d 400 1 +auto_NON_ZERO SHAMT_1e 394 1 +auto_NON_ZERO SHAMT_1f 200536 1 + + +Group : uvma_isacov_pkg::cg_ci_li + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci_li +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_li_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci_li + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 0 107 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci_li + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_li_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_li + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_li_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 0 107 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_li_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rd + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 1399 1 +auto[2] 6447 1 +auto[3] 5776 1 +auto[4] 5959 1 +auto[5] 6778 1 +auto[6] 14847 1 +auto[7] 7043 1 +auto[8] 8716 1 +auto[9] 8155 1 +auto[10] 11710 1 +auto[11] 9302 1 +auto[12] 7913 1 +auto[13] 9196 1 +auto[14] 11577 1 +auto[15] 9766 1 +auto[16] 6754 1 +auto[17] 8507 1 +auto[18] 6286 1 +auto[19] 11205 1 +auto[20] 17844 1 +auto[21] 20479 1 +auto[22] 11926 1 +auto[23] 8673 1 +auto[24] 7086 1 +auto[25] 15664 1 +auto[26] 12597 1 +auto[27] 6283 1 +auto[28] 5530 1 +auto[29] 31531 1 +auto[30] 10051 1 +auto[31] 7540 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6981 1 +BIT30_1 6981 1 +BIT29_1 6981 1 +BIT28_1 6981 1 +BIT27_1 6981 1 +BIT26_1 6981 1 +BIT25_1 6981 1 +BIT24_1 6981 1 +BIT23_1 6981 1 +BIT22_1 6981 1 +BIT21_1 6981 1 +BIT20_1 6981 1 +BIT19_1 6981 1 +BIT18_1 6981 1 +BIT17_1 6981 1 +BIT16_1 6981 1 +BIT15_1 6981 1 +BIT14_1 6981 1 +BIT13_1 6981 1 +BIT12_1 6981 1 +BIT11_1 6981 1 +BIT10_1 6981 1 +BIT9_1 6981 1 +BIT8_1 6981 1 +BIT7_1 6981 1 +BIT6_1 6981 1 +BIT5_1 6981 1 +BIT4_1 9570 1 +BIT3_1 16913 1 +BIT2_1 16786 1 +BIT1_1 76740 1 +BIT0_1 79167 1 +BIT31_0 306885 1 +BIT30_0 306885 1 +BIT29_0 306885 1 +BIT28_0 306885 1 +BIT27_0 306885 1 +BIT26_0 306885 1 +BIT25_0 306885 1 +BIT24_0 306885 1 +BIT23_0 306885 1 +BIT22_0 306885 1 +BIT21_0 306885 1 +BIT20_0 306885 1 +BIT19_0 306885 1 +BIT18_0 306885 1 +BIT17_0 306885 1 +BIT16_0 306885 1 +BIT15_0 306885 1 +BIT14_0 306885 1 +BIT13_0 306885 1 +BIT12_0 306885 1 +BIT11_0 306885 1 +BIT10_0 306885 1 +BIT9_0 306885 1 +BIT8_0 306885 1 +BIT7_0 306885 1 +BIT6_0 306885 1 +BIT5_0 306885 1 +BIT4_0 304296 1 +BIT3_0 296953 1 +BIT2_0 297080 1 +BIT1_0 237126 1 +BIT0_0 234699 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 6981 1 +BIT4_1 9570 1 +BIT3_1 16913 1 +BIT2_1 16786 1 +BIT1_1 76740 1 +BIT0_1 79167 1 +BIT5_0 306885 1 +BIT4_0 304296 1 +BIT3_0 296953 1 +BIT2_0 297080 1 +BIT1_0 237126 1 +BIT0_0 234699 1 + + +Group : uvma_isacov_pkg::cg_btype + +=============================================================================== +Group : uvma_isacov_pkg::cg_btype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +6 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_beq_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bge_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bgeu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_blt_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bltu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bne_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_btype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_btype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_beq_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_beq_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_beq_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_beq_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 254 1 +auto[1] 212 1 +auto[2] 4249 1 +auto[3] 208 1 +auto[4] 4342 1 +auto[5] 7640 1 +auto[6] 13361 1 +auto[7] 6746 1 +auto[8] 7896 1 +auto[9] 6215 1 +auto[10] 11488 1 +auto[11] 7315 1 +auto[12] 6209 1 +auto[13] 8242 1 +auto[14] 11613 1 +auto[15] 8718 1 +auto[16] 4471 1 +auto[17] 7464 1 +auto[18] 4627 1 +auto[19] 11781 1 +auto[20] 19629 1 +auto[21] 23849 1 +auto[22] 11717 1 +auto[23] 7330 1 +auto[24] 5297 1 +auto[25] 15586 1 +auto[26] 12805 1 +auto[27] 4112 1 +auto[28] 2375 1 +auto[29] 38301 1 +auto[30] 9129 1 +auto[31] 4918 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 147 1 +auto[1] 252 1 +auto[2] 12302 1 +auto[3] 214 1 +auto[4] 5639 1 +auto[5] 4767 1 +auto[6] 13833 1 +auto[7] 7593 1 +auto[8] 13546 1 +auto[9] 7469 1 +auto[10] 8893 1 +auto[11] 9408 1 +auto[12] 5751 1 +auto[13] 9996 1 +auto[14] 7926 1 +auto[15] 2209 1 +auto[16] 9740 1 +auto[17] 8031 1 +auto[18] 40415 1 +auto[19] 1990 1 +auto[20] 13614 1 +auto[21] 5926 1 +auto[22] 6077 1 +auto[23] 10882 1 +auto[24] 10762 1 +auto[25] 10292 1 +auto[26] 5353 1 +auto[27] 9532 1 +auto[28] 11912 1 +auto[29] 6704 1 +auto[30] 7075 1 +auto[31] 19849 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 288081 1 +auto_NEGATIVE 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 208605 1 +NOT_TAKEN 79494 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 281326 1 +BIT30_1 1194 1 +BIT29_1 1180 1 +BIT28_1 1211 1 +BIT27_1 1173 1 +BIT26_1 1168 1 +BIT25_1 1143 1 +BIT24_1 1147 1 +BIT23_1 1126 1 +BIT22_1 1145 1 +BIT21_1 1132 1 +BIT20_1 1144 1 +BIT19_1 1170 1 +BIT18_1 1141 1 +BIT17_1 1145 1 +BIT16_1 1244 1 +BIT15_1 1524 1 +BIT14_1 1606 1 +BIT13_1 1766 1 +BIT12_1 1444 1 +BIT11_1 1843 1 +BIT10_1 1966 1 +BIT9_1 1578 1 +BIT8_1 1402 1 +BIT7_1 1723 1 +BIT6_1 1387 1 +BIT5_1 1562 1 +BIT4_1 2109 1 +BIT3_1 133379 1 +BIT2_1 149887 1 +BIT1_1 280726 1 +BIT0_1 280811 1 +BIT31_0 6773 1 +BIT30_0 286905 1 +BIT29_0 286919 1 +BIT28_0 286888 1 +BIT27_0 286926 1 +BIT26_0 286931 1 +BIT25_0 286956 1 +BIT24_0 286952 1 +BIT23_0 286973 1 +BIT22_0 286954 1 +BIT21_0 286967 1 +BIT20_0 286955 1 +BIT19_0 286929 1 +BIT18_0 286958 1 +BIT17_0 286954 1 +BIT16_0 286855 1 +BIT15_0 286575 1 +BIT14_0 286493 1 +BIT13_0 286333 1 +BIT12_0 286655 1 +BIT11_0 286256 1 +BIT10_0 286133 1 +BIT9_0 286521 1 +BIT8_0 286697 1 +BIT7_0 286376 1 +BIT6_0 286712 1 +BIT5_0 286537 1 +BIT4_0 285990 1 +BIT3_0 154720 1 +BIT2_0 138212 1 +BIT1_0 7373 1 +BIT0_0 7288 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 281382 1 +BIT30_1 1375 1 +BIT29_1 1215 1 +BIT28_1 1180 1 +BIT27_1 1174 1 +BIT26_1 1164 1 +BIT25_1 1169 1 +BIT24_1 1146 1 +BIT23_1 1114 1 +BIT22_1 1153 1 +BIT21_1 1133 1 +BIT20_1 1163 1 +BIT19_1 1162 1 +BIT18_1 1165 1 +BIT17_1 1165 1 +BIT16_1 1277 1 +BIT15_1 1513 1 +BIT14_1 1531 1 +BIT13_1 1722 1 +BIT12_1 1476 1 +BIT11_1 1855 1 +BIT10_1 1918 1 +BIT9_1 1617 1 +BIT8_1 1475 1 +BIT7_1 1813 1 +BIT6_1 1517 1 +BIT5_1 1585 1 +BIT4_1 2092 1 +BIT3_1 207327 1 +BIT2_1 75971 1 +BIT1_1 280779 1 +BIT0_1 280903 1 +BIT31_0 6717 1 +BIT30_0 286724 1 +BIT29_0 286884 1 +BIT28_0 286919 1 +BIT27_0 286925 1 +BIT26_0 286935 1 +BIT25_0 286930 1 +BIT24_0 286953 1 +BIT23_0 286985 1 +BIT22_0 286946 1 +BIT21_0 286966 1 +BIT20_0 286936 1 +BIT19_0 286937 1 +BIT18_0 286934 1 +BIT17_0 286934 1 +BIT16_0 286822 1 +BIT15_0 286586 1 +BIT14_0 286568 1 +BIT13_0 286377 1 +BIT12_0 286623 1 +BIT11_0 286244 1 +BIT10_0 286181 1 +BIT9_0 286482 1 +BIT8_0 286624 1 +BIT7_0 286286 1 +BIT6_0 286582 1 +BIT5_0 286514 1 +BIT4_0 286007 1 +BIT3_0 80772 1 +BIT2_0 212128 1 +BIT1_0 7320 1 +BIT0_0 7196 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 17 1 +BIT10_1 17 1 +BIT9_1 17 1 +BIT8_1 17 1 +BIT7_1 42 1 +BIT6_1 138 1 +BIT5_1 1013 1 +BIT4_1 76643 1 +BIT3_1 77019 1 +BIT2_1 281533 1 +BIT1_1 140910 1 +BIT0_1 168778 1 +BIT11_0 288082 1 +BIT10_0 288082 1 +BIT9_0 288082 1 +BIT8_0 288082 1 +BIT7_0 288057 1 +BIT6_0 287961 1 +BIT5_0 287086 1 +BIT4_0 211456 1 +BIT3_0 211080 1 +BIT2_0 6566 1 +BIT1_0 147189 1 +BIT0_0 119321 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bge_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bge_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bge_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bge_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 190 1 +auto[1] 192 1 +auto[2] 196 1 +auto[3] 195 1 +auto[4] 191 1 +auto[5] 166 1 +auto[6] 249 1 +auto[7] 224 1 +auto[8] 175 1 +auto[9] 188 1 +auto[10] 213 1 +auto[11] 183 1 +auto[12] 206 1 +auto[13] 189 1 +auto[14] 201 1 +auto[15] 291 1 +auto[16] 230 1 +auto[17] 331 1 +auto[18] 195 1 +auto[19] 200 1 +auto[20] 183 1 +auto[21] 190 1 +auto[22] 189 1 +auto[23] 208 1 +auto[24] 184 1 +auto[25] 211 1 +auto[26] 212 1 +auto[27] 184 1 +auto[28] 258 1 +auto[29] 227 1 +auto[30] 210 1 +auto[31] 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 200 1 +auto[1] 208 1 +auto[2] 186 1 +auto[3] 214 1 +auto[4] 215 1 +auto[5] 180 1 +auto[6] 193 1 +auto[7] 200 1 +auto[8] 295 1 +auto[9] 196 1 +auto[10] 209 1 +auto[11] 210 1 +auto[12] 335 1 +auto[13] 170 1 +auto[14] 218 1 +auto[15] 211 1 +auto[16] 180 1 +auto[17] 195 1 +auto[18] 170 1 +auto[19] 169 1 +auto[20] 238 1 +auto[21] 165 1 +auto[22] 223 1 +auto[23] 191 1 +auto[24] 194 1 +auto[25] 247 1 +auto[26] 194 1 +auto[27] 211 1 +auto[28] 175 1 +auto[29] 186 1 +auto[30] 203 1 +auto[31] 287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 6660 1 +auto_NEGATIVE 7 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 3910 1 +NOT_TAKEN 2758 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2123 1 +BIT30_1 1285 1 +BIT29_1 1174 1 +BIT28_1 1233 1 +BIT27_1 1195 1 +BIT26_1 1145 1 +BIT25_1 1190 1 +BIT24_1 1227 1 +BIT23_1 1129 1 +BIT22_1 1151 1 +BIT21_1 1150 1 +BIT20_1 1147 1 +BIT19_1 1207 1 +BIT18_1 1161 1 +BIT17_1 1192 1 +BIT16_1 1228 1 +BIT15_1 1574 1 +BIT14_1 1573 1 +BIT13_1 1753 1 +BIT12_1 1439 1 +BIT11_1 1869 1 +BIT10_1 1814 1 +BIT9_1 1564 1 +BIT8_1 1429 1 +BIT7_1 1796 1 +BIT6_1 1463 1 +BIT5_1 1502 1 +BIT4_1 2038 1 +BIT3_1 2008 1 +BIT2_1 2025 1 +BIT1_1 1434 1 +BIT0_1 1820 1 +BIT31_0 4545 1 +BIT30_0 5383 1 +BIT29_0 5494 1 +BIT28_0 5435 1 +BIT27_0 5473 1 +BIT26_0 5523 1 +BIT25_0 5478 1 +BIT24_0 5441 1 +BIT23_0 5539 1 +BIT22_0 5517 1 +BIT21_0 5518 1 +BIT20_0 5521 1 +BIT19_0 5461 1 +BIT18_0 5507 1 +BIT17_0 5476 1 +BIT16_0 5440 1 +BIT15_0 5094 1 +BIT14_0 5095 1 +BIT13_0 4915 1 +BIT12_0 5229 1 +BIT11_0 4799 1 +BIT10_0 4854 1 +BIT9_0 5104 1 +BIT8_0 5239 1 +BIT7_0 4872 1 +BIT6_0 5205 1 +BIT5_0 5166 1 +BIT4_0 4630 1 +BIT3_0 4660 1 +BIT2_0 4643 1 +BIT1_0 5234 1 +BIT0_0 4848 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2220 1 +BIT30_1 1340 1 +BIT29_1 1364 1 +BIT28_1 1379 1 +BIT27_1 1336 1 +BIT26_1 1237 1 +BIT25_1 1240 1 +BIT24_1 1318 1 +BIT23_1 1196 1 +BIT22_1 1187 1 +BIT21_1 1310 1 +BIT20_1 1205 1 +BIT19_1 1213 1 +BIT18_1 1224 1 +BIT17_1 1200 1 +BIT16_1 1372 1 +BIT15_1 1728 1 +BIT14_1 1693 1 +BIT13_1 1909 1 +BIT12_1 1634 1 +BIT11_1 2026 1 +BIT10_1 1952 1 +BIT9_1 1636 1 +BIT8_1 1397 1 +BIT7_1 1751 1 +BIT6_1 1460 1 +BIT5_1 1573 1 +BIT4_1 2256 1 +BIT3_1 2088 1 +BIT2_1 2205 1 +BIT1_1 1636 1 +BIT0_1 1847 1 +BIT31_0 4448 1 +BIT30_0 5328 1 +BIT29_0 5304 1 +BIT28_0 5289 1 +BIT27_0 5332 1 +BIT26_0 5431 1 +BIT25_0 5428 1 +BIT24_0 5350 1 +BIT23_0 5472 1 +BIT22_0 5481 1 +BIT21_0 5358 1 +BIT20_0 5463 1 +BIT19_0 5455 1 +BIT18_0 5444 1 +BIT17_0 5468 1 +BIT16_0 5296 1 +BIT15_0 4940 1 +BIT14_0 4975 1 +BIT13_0 4759 1 +BIT12_0 5034 1 +BIT11_0 4642 1 +BIT10_0 4716 1 +BIT9_0 5032 1 +BIT8_0 5271 1 +BIT7_0 4917 1 +BIT6_0 5208 1 +BIT5_0 5095 1 +BIT4_0 4412 1 +BIT3_0 4580 1 +BIT2_0 4463 1 +BIT1_0 5032 1 +BIT0_0 4821 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 7 1 +BIT10_1 7 1 +BIT9_1 7 1 +BIT8_1 7 1 +BIT7_1 18 1 +BIT6_1 137 1 +BIT5_1 1048 1 +BIT4_1 2708 1 +BIT3_1 2868 1 +BIT2_1 3287 1 +BIT1_1 3478 1 +BIT0_1 2488 1 +BIT11_0 6661 1 +BIT10_0 6661 1 +BIT9_0 6661 1 +BIT8_0 6661 1 +BIT7_0 6650 1 +BIT6_0 6531 1 +BIT5_0 5620 1 +BIT4_0 3960 1 +BIT3_0 3800 1 +BIT2_0 3381 1 +BIT1_0 3190 1 +BIT0_0 4180 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bgeu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 197 1 +auto[1] 176 1 +auto[2] 191 1 +auto[3] 258 1 +auto[4] 186 1 +auto[5] 189 1 +auto[6] 184 1 +auto[7] 197 1 +auto[8] 185 1 +auto[9] 190 1 +auto[10] 754 1 +auto[11] 188 1 +auto[12] 209 1 +auto[13] 214 1 +auto[14] 192 1 +auto[15] 200 1 +auto[16] 173 1 +auto[17] 205 1 +auto[18] 180 1 +auto[19] 172 1 +auto[20] 282 1 +auto[21] 197 1 +auto[22] 202 1 +auto[23] 211 1 +auto[24] 200 1 +auto[25] 227 1 +auto[26] 214 1 +auto[27] 179 1 +auto[28] 259 1 +auto[29] 189 1 +auto[30] 172 1 +auto[31] 173 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 217 1 +auto[1] 246 1 +auto[2] 204 1 +auto[3] 181 1 +auto[4] 175 1 +auto[5] 190 1 +auto[6] 195 1 +auto[7] 180 1 +auto[8] 180 1 +auto[9] 180 1 +auto[10] 216 1 +auto[11] 787 1 +auto[12] 188 1 +auto[13] 203 1 +auto[14] 194 1 +auto[15] 256 1 +auto[16] 167 1 +auto[17] 161 1 +auto[18] 227 1 +auto[19] 180 1 +auto[20] 208 1 +auto[21] 179 1 +auto[22] 191 1 +auto[23] 188 1 +auto[24] 227 1 +auto[25] 227 1 +auto[26] 202 1 +auto[27] 210 1 +auto[28] 202 1 +auto[29] 167 1 +auto[30] 214 1 +auto[31] 203 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 17 1 +auto_POSITIVE 6919 1 +auto_NEGATIVE 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 3835 1 +NOT_TAKEN 3110 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2802 1 +BIT30_1 1360 1 +BIT29_1 1355 1 +BIT28_1 1342 1 +BIT27_1 1300 1 +BIT26_1 1380 1 +BIT25_1 1324 1 +BIT24_1 1308 1 +BIT23_1 1285 1 +BIT22_1 1314 1 +BIT21_1 1349 1 +BIT20_1 1320 1 +BIT19_1 1320 1 +BIT18_1 1328 1 +BIT17_1 1341 1 +BIT16_1 1432 1 +BIT15_1 1849 1 +BIT14_1 2067 1 +BIT13_1 2445 1 +BIT12_1 1794 1 +BIT11_1 2080 1 +BIT10_1 2089 1 +BIT9_1 1740 1 +BIT8_1 1542 1 +BIT7_1 2161 1 +BIT6_1 1845 1 +BIT5_1 1808 1 +BIT4_1 2487 1 +BIT3_1 2494 1 +BIT2_1 2454 1 +BIT1_1 1642 1 +BIT0_1 1771 1 +BIT31_0 4143 1 +BIT30_0 5585 1 +BIT29_0 5590 1 +BIT28_0 5603 1 +BIT27_0 5645 1 +BIT26_0 5565 1 +BIT25_0 5621 1 +BIT24_0 5637 1 +BIT23_0 5660 1 +BIT22_0 5631 1 +BIT21_0 5596 1 +BIT20_0 5625 1 +BIT19_0 5625 1 +BIT18_0 5617 1 +BIT17_0 5604 1 +BIT16_0 5513 1 +BIT15_0 5096 1 +BIT14_0 4878 1 +BIT13_0 4500 1 +BIT12_0 5151 1 +BIT11_0 4865 1 +BIT10_0 4856 1 +BIT9_0 5205 1 +BIT8_0 5403 1 +BIT7_0 4784 1 +BIT6_0 5100 1 +BIT5_0 5137 1 +BIT4_0 4458 1 +BIT3_0 4451 1 +BIT2_0 4491 1 +BIT1_0 5303 1 +BIT0_0 5174 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2665 1 +BIT30_1 1176 1 +BIT29_1 1168 1 +BIT28_1 1196 1 +BIT27_1 1207 1 +BIT26_1 1218 1 +BIT25_1 1164 1 +BIT24_1 1190 1 +BIT23_1 1161 1 +BIT22_1 1207 1 +BIT21_1 1247 1 +BIT20_1 1245 1 +BIT19_1 1261 1 +BIT18_1 1261 1 +BIT17_1 1182 1 +BIT16_1 1287 1 +BIT15_1 1672 1 +BIT14_1 1997 1 +BIT13_1 2211 1 +BIT12_1 1713 1 +BIT11_1 1842 1 +BIT10_1 1863 1 +BIT9_1 1622 1 +BIT8_1 1420 1 +BIT7_1 2303 1 +BIT6_1 1510 1 +BIT5_1 2072 1 +BIT4_1 2730 1 +BIT3_1 2080 1 +BIT2_1 2688 1 +BIT1_1 1593 1 +BIT0_1 1689 1 +BIT31_0 4280 1 +BIT30_0 5769 1 +BIT29_0 5777 1 +BIT28_0 5749 1 +BIT27_0 5738 1 +BIT26_0 5727 1 +BIT25_0 5781 1 +BIT24_0 5755 1 +BIT23_0 5784 1 +BIT22_0 5738 1 +BIT21_0 5698 1 +BIT20_0 5700 1 +BIT19_0 5684 1 +BIT18_0 5684 1 +BIT17_0 5763 1 +BIT16_0 5658 1 +BIT15_0 5273 1 +BIT14_0 4948 1 +BIT13_0 4734 1 +BIT12_0 5232 1 +BIT11_0 5103 1 +BIT10_0 5082 1 +BIT9_0 5323 1 +BIT8_0 5525 1 +BIT7_0 4642 1 +BIT6_0 5435 1 +BIT5_0 4873 1 +BIT4_0 4215 1 +BIT3_0 4865 1 +BIT2_0 4257 1 +BIT1_0 5352 1 +BIT0_0 5256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 9 1 +BIT10_1 9 1 +BIT9_1 9 1 +BIT8_1 9 1 +BIT7_1 23 1 +BIT6_1 136 1 +BIT5_1 1045 1 +BIT4_1 2663 1 +BIT3_1 3368 1 +BIT2_1 3693 1 +BIT1_1 3235 1 +BIT0_1 2971 1 +BIT11_0 6936 1 +BIT10_0 6936 1 +BIT9_0 6936 1 +BIT8_0 6936 1 +BIT7_0 6922 1 +BIT6_0 6809 1 +BIT5_0 5900 1 +BIT4_0 4282 1 +BIT3_0 3577 1 +BIT2_0 3252 1 +BIT1_0 3710 1 +BIT0_0 3974 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_blt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_blt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_blt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_blt_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 190 1 +auto[1] 214 1 +auto[2] 175 1 +auto[3] 228 1 +auto[4] 179 1 +auto[5] 206 1 +auto[6] 199 1 +auto[7] 200 1 +auto[8] 201 1 +auto[9] 193 1 +auto[10] 204 1 +auto[11] 249 1 +auto[12] 221 1 +auto[13] 211 1 +auto[14] 298 1 +auto[15] 179 1 +auto[16] 188 1 +auto[17] 183 1 +auto[18] 177 1 +auto[19] 202 1 +auto[20] 193 1 +auto[21] 208 1 +auto[22] 184 1 +auto[23] 219 1 +auto[24] 202 1 +auto[25] 189 1 +auto[26] 224 1 +auto[27] 184 1 +auto[28] 210 1 +auto[29] 172 1 +auto[30] 171 1 +auto[31] 206 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 309 1 +auto[1] 200 1 +auto[2] 193 1 +auto[3] 240 1 +auto[4] 174 1 +auto[5] 186 1 +auto[6] 201 1 +auto[7] 172 1 +auto[8] 185 1 +auto[9] 286 1 +auto[10] 205 1 +auto[11] 189 1 +auto[12] 181 1 +auto[13] 196 1 +auto[14] 168 1 +auto[15] 179 1 +auto[16] 212 1 +auto[17] 205 1 +auto[18] 204 1 +auto[19] 192 1 +auto[20] 198 1 +auto[21] 220 1 +auto[22] 192 1 +auto[23] 171 1 +auto[24] 220 1 +auto[25] 202 1 +auto[26] 190 1 +auto[27] 188 1 +auto[28] 176 1 +auto[29] 210 1 +auto[30] 221 1 +auto[31] 194 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 6415 1 +auto_NEGATIVE 43 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 2838 1 +NOT_TAKEN 3621 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2090 1 +BIT30_1 1267 1 +BIT29_1 1219 1 +BIT28_1 1265 1 +BIT27_1 1231 1 +BIT26_1 1228 1 +BIT25_1 1222 1 +BIT24_1 1195 1 +BIT23_1 1207 1 +BIT22_1 1202 1 +BIT21_1 1230 1 +BIT20_1 1269 1 +BIT19_1 1219 1 +BIT18_1 1271 1 +BIT17_1 1221 1 +BIT16_1 1327 1 +BIT15_1 1642 1 +BIT14_1 1593 1 +BIT13_1 1753 1 +BIT12_1 1529 1 +BIT11_1 1864 1 +BIT10_1 1847 1 +BIT9_1 1677 1 +BIT8_1 1454 1 +BIT7_1 1834 1 +BIT6_1 1491 1 +BIT5_1 1460 1 +BIT4_1 2097 1 +BIT3_1 1982 1 +BIT2_1 1992 1 +BIT1_1 1512 1 +BIT0_1 1703 1 +BIT31_0 4369 1 +BIT30_0 5192 1 +BIT29_0 5240 1 +BIT28_0 5194 1 +BIT27_0 5228 1 +BIT26_0 5231 1 +BIT25_0 5237 1 +BIT24_0 5264 1 +BIT23_0 5252 1 +BIT22_0 5257 1 +BIT21_0 5229 1 +BIT20_0 5190 1 +BIT19_0 5240 1 +BIT18_0 5188 1 +BIT17_0 5238 1 +BIT16_0 5132 1 +BIT15_0 4817 1 +BIT14_0 4866 1 +BIT13_0 4706 1 +BIT12_0 4930 1 +BIT11_0 4595 1 +BIT10_0 4612 1 +BIT9_0 4782 1 +BIT8_0 5005 1 +BIT7_0 4625 1 +BIT6_0 4968 1 +BIT5_0 4999 1 +BIT4_0 4362 1 +BIT3_0 4477 1 +BIT2_0 4467 1 +BIT1_0 4947 1 +BIT0_0 4756 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1976 1 +BIT30_1 1183 1 +BIT29_1 1178 1 +BIT28_1 1195 1 +BIT27_1 1153 1 +BIT26_1 1185 1 +BIT25_1 1185 1 +BIT24_1 1172 1 +BIT23_1 1136 1 +BIT22_1 1129 1 +BIT21_1 1092 1 +BIT20_1 1247 1 +BIT19_1 1192 1 +BIT18_1 1224 1 +BIT17_1 1189 1 +BIT16_1 1201 1 +BIT15_1 1630 1 +BIT14_1 1451 1 +BIT13_1 1769 1 +BIT12_1 1477 1 +BIT11_1 1841 1 +BIT10_1 1830 1 +BIT9_1 1602 1 +BIT8_1 1380 1 +BIT7_1 1705 1 +BIT6_1 1373 1 +BIT5_1 1473 1 +BIT4_1 2082 1 +BIT3_1 2060 1 +BIT2_1 2021 1 +BIT1_1 1440 1 +BIT0_1 1756 1 +BIT31_0 4483 1 +BIT30_0 5276 1 +BIT29_0 5281 1 +BIT28_0 5264 1 +BIT27_0 5306 1 +BIT26_0 5274 1 +BIT25_0 5274 1 +BIT24_0 5287 1 +BIT23_0 5323 1 +BIT22_0 5330 1 +BIT21_0 5367 1 +BIT20_0 5212 1 +BIT19_0 5267 1 +BIT18_0 5235 1 +BIT17_0 5270 1 +BIT16_0 5258 1 +BIT15_0 4829 1 +BIT14_0 5008 1 +BIT13_0 4690 1 +BIT12_0 4982 1 +BIT11_0 4618 1 +BIT10_0 4629 1 +BIT9_0 4857 1 +BIT8_0 5079 1 +BIT7_0 4754 1 +BIT6_0 5086 1 +BIT5_0 4986 1 +BIT4_0 4377 1 +BIT3_0 4399 1 +BIT2_0 4438 1 +BIT1_0 5019 1 +BIT0_0 4703 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 43 1 +BIT10_1 43 1 +BIT9_1 43 1 +BIT8_1 43 1 +BIT7_1 56 1 +BIT6_1 169 1 +BIT5_1 1062 1 +BIT4_1 2838 1 +BIT3_1 2976 1 +BIT2_1 3246 1 +BIT1_1 3287 1 +BIT0_1 2479 1 +BIT11_0 6416 1 +BIT10_0 6416 1 +BIT9_0 6416 1 +BIT8_0 6416 1 +BIT7_0 6403 1 +BIT6_0 6290 1 +BIT5_0 5397 1 +BIT4_0 3621 1 +BIT3_0 3483 1 +BIT2_0 3213 1 +BIT1_0 3172 1 +BIT0_0 3980 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bltu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 204 1 +auto[1] 234 1 +auto[2] 269 1 +auto[3] 161 1 +auto[4] 178 1 +auto[5] 195 1 +auto[6] 237 1 +auto[7] 201 1 +auto[8] 180 1 +auto[9] 326 1 +auto[10] 202 1 +auto[11] 173 1 +auto[12] 178 1 +auto[13] 191 1 +auto[14] 204 1 +auto[15] 184 1 +auto[16] 241 1 +auto[17] 233 1 +auto[18] 200 1 +auto[19] 157 1 +auto[20] 206 1 +auto[21] 216 1 +auto[22] 198 1 +auto[23] 285 1 +auto[24] 215 1 +auto[25] 239 1 +auto[26] 181 1 +auto[27] 186 1 +auto[28] 206 1 +auto[29] 214 1 +auto[30] 203 1 +auto[31] 189 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 180 1 +auto[1] 244 1 +auto[2] 306 1 +auto[3] 205 1 +auto[4] 199 1 +auto[5] 229 1 +auto[6] 180 1 +auto[7] 183 1 +auto[8] 196 1 +auto[9] 202 1 +auto[10] 215 1 +auto[11] 198 1 +auto[12] 231 1 +auto[13] 165 1 +auto[14] 200 1 +auto[15] 211 1 +auto[16] 205 1 +auto[17] 163 1 +auto[18] 338 1 +auto[19] 195 1 +auto[20] 229 1 +auto[21] 188 1 +auto[22] 211 1 +auto[23] 177 1 +auto[24] 198 1 +auto[25] 204 1 +auto[26] 178 1 +auto[27] 213 1 +auto[28] 219 1 +auto[29] 195 1 +auto[30] 191 1 +auto[31] 238 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 6637 1 +auto_NEGATIVE 48 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 2782 1 +NOT_TAKEN 3904 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2172 1 +BIT30_1 1223 1 +BIT29_1 1197 1 +BIT28_1 1212 1 +BIT27_1 1170 1 +BIT26_1 1205 1 +BIT25_1 1171 1 +BIT24_1 1151 1 +BIT23_1 1105 1 +BIT22_1 1144 1 +BIT21_1 1179 1 +BIT20_1 1174 1 +BIT19_1 1154 1 +BIT18_1 1183 1 +BIT17_1 1148 1 +BIT16_1 1246 1 +BIT15_1 1575 1 +BIT14_1 1590 1 +BIT13_1 1749 1 +BIT12_1 1458 1 +BIT11_1 1872 1 +BIT10_1 1925 1 +BIT9_1 1638 1 +BIT8_1 1460 1 +BIT7_1 1796 1 +BIT6_1 1465 1 +BIT5_1 1582 1 +BIT4_1 2164 1 +BIT3_1 2094 1 +BIT2_1 2156 1 +BIT1_1 1660 1 +BIT0_1 1724 1 +BIT31_0 4514 1 +BIT30_0 5463 1 +BIT29_0 5489 1 +BIT28_0 5474 1 +BIT27_0 5516 1 +BIT26_0 5481 1 +BIT25_0 5515 1 +BIT24_0 5535 1 +BIT23_0 5581 1 +BIT22_0 5542 1 +BIT21_0 5507 1 +BIT20_0 5512 1 +BIT19_0 5532 1 +BIT18_0 5503 1 +BIT17_0 5538 1 +BIT16_0 5440 1 +BIT15_0 5111 1 +BIT14_0 5096 1 +BIT13_0 4937 1 +BIT12_0 5228 1 +BIT11_0 4814 1 +BIT10_0 4761 1 +BIT9_0 5048 1 +BIT8_0 5226 1 +BIT7_0 4890 1 +BIT6_0 5221 1 +BIT5_0 5104 1 +BIT4_0 4522 1 +BIT3_0 4592 1 +BIT2_0 4530 1 +BIT1_0 5026 1 +BIT0_0 4962 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2086 1 +BIT30_1 1213 1 +BIT29_1 1218 1 +BIT28_1 1247 1 +BIT27_1 1218 1 +BIT26_1 1186 1 +BIT25_1 1141 1 +BIT24_1 1207 1 +BIT23_1 1143 1 +BIT22_1 1182 1 +BIT21_1 1236 1 +BIT20_1 1174 1 +BIT19_1 1196 1 +BIT18_1 1204 1 +BIT17_1 1092 1 +BIT16_1 1246 1 +BIT15_1 1617 1 +BIT14_1 1533 1 +BIT13_1 1776 1 +BIT12_1 1481 1 +BIT11_1 1854 1 +BIT10_1 1887 1 +BIT9_1 1597 1 +BIT8_1 1393 1 +BIT7_1 1726 1 +BIT6_1 1512 1 +BIT5_1 1527 1 +BIT4_1 2160 1 +BIT3_1 2062 1 +BIT2_1 2197 1 +BIT1_1 1642 1 +BIT0_1 1733 1 +BIT31_0 4600 1 +BIT30_0 5473 1 +BIT29_0 5468 1 +BIT28_0 5439 1 +BIT27_0 5468 1 +BIT26_0 5500 1 +BIT25_0 5545 1 +BIT24_0 5479 1 +BIT23_0 5543 1 +BIT22_0 5504 1 +BIT21_0 5450 1 +BIT20_0 5512 1 +BIT19_0 5490 1 +BIT18_0 5482 1 +BIT17_0 5594 1 +BIT16_0 5440 1 +BIT15_0 5069 1 +BIT14_0 5153 1 +BIT13_0 4910 1 +BIT12_0 5205 1 +BIT11_0 4832 1 +BIT10_0 4799 1 +BIT9_0 5089 1 +BIT8_0 5293 1 +BIT7_0 4960 1 +BIT6_0 5174 1 +BIT5_0 5159 1 +BIT4_0 4526 1 +BIT3_0 4624 1 +BIT2_0 4489 1 +BIT1_0 5044 1 +BIT0_0 4953 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 48 1 +BIT10_1 48 1 +BIT9_1 48 1 +BIT8_1 48 1 +BIT7_1 61 1 +BIT6_1 196 1 +BIT5_1 1087 1 +BIT4_1 2927 1 +BIT3_1 2940 1 +BIT2_1 3508 1 +BIT1_1 3547 1 +BIT0_1 2692 1 +BIT11_0 6638 1 +BIT10_0 6638 1 +BIT9_0 6638 1 +BIT8_0 6638 1 +BIT7_0 6625 1 +BIT6_0 6490 1 +BIT5_0 5599 1 +BIT4_0 3759 1 +BIT3_0 3746 1 +BIT2_0 3178 1 +BIT1_0 3139 1 +BIT0_0 3994 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bne_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bne_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bne_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bne_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 176 1 +auto[1] 153 1 +auto[2] 7673 1 +auto[3] 228 1 +auto[4] 7814 1 +auto[5] 8587 1 +auto[6] 14530 1 +auto[7] 8625 1 +auto[8] 2543 1 +auto[9] 2117 1 +auto[10] 2400 1 +auto[11] 2817 1 +auto[12] 2855 1 +auto[13] 1904 1 +auto[14] 2476 1 +auto[15] 1608 1 +auto[16] 7431 1 +auto[17] 9147 1 +auto[18] 7466 1 +auto[19] 12857 1 +auto[20] 20000 1 +auto[21] 22373 1 +auto[22] 11646 1 +auto[23] 8644 1 +auto[24] 7479 1 +auto[25] 15638 1 +auto[26] 13387 1 +auto[27] 7151 1 +auto[28] 5976 1 +auto[29] 31722 1 +auto[30] 12009 1 +auto[31] 7167 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 200297 1 +auto[1] 206 1 +auto[2] 2303 1 +auto[3] 215 1 +auto[4] 1868 1 +auto[5] 1936 1 +auto[6] 1701 1 +auto[7] 1140 1 +auto[8] 1886 1 +auto[9] 2633 1 +auto[10] 2319 1 +auto[11] 2908 1 +auto[12] 2384 1 +auto[13] 2067 1 +auto[14] 2023 1 +auto[15] 2386 1 +auto[16] 2601 1 +auto[17] 2179 1 +auto[18] 1952 1 +auto[19] 1589 1 +auto[20] 2479 1 +auto[21] 2124 1 +auto[22] 2370 1 +auto[23] 2341 1 +auto[24] 2257 1 +auto[25] 3200 1 +auto[26] 2201 1 +auto[27] 2330 1 +auto[28] 2780 1 +auto[29] 2539 1 +auto[30] 2438 1 +auto[31] 2947 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 266594 1 +auto_NEGATIVE 4 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 174704 1 +NOT_TAKEN 91895 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2074 1 +BIT30_1 1250 1 +BIT29_1 1251 1 +BIT28_1 1272 1 +BIT27_1 1241 1 +BIT26_1 1264 1 +BIT25_1 1221 1 +BIT24_1 1212 1 +BIT23_1 1237 1 +BIT22_1 1257 1 +BIT21_1 1256 1 +BIT20_1 1203 1 +BIT19_1 1242 1 +BIT18_1 1212 1 +BIT17_1 1212 1 +BIT16_1 1282 1 +BIT15_1 1632 1 +BIT14_1 1629 1 +BIT13_1 1871 1 +BIT12_1 1503 1 +BIT11_1 1949 1 +BIT10_1 1899 1 +BIT9_1 1578 1 +BIT8_1 1429 1 +BIT7_1 1774 1 +BIT6_1 1433 1 +BIT5_1 1521 1 +BIT4_1 2087 1 +BIT3_1 2094 1 +BIT2_1 2052 1 +BIT1_1 56304 1 +BIT0_1 207621 1 +BIT31_0 264525 1 +BIT30_0 265349 1 +BIT29_0 265348 1 +BIT28_0 265327 1 +BIT27_0 265358 1 +BIT26_0 265335 1 +BIT25_0 265378 1 +BIT24_0 265387 1 +BIT23_0 265362 1 +BIT22_0 265342 1 +BIT21_0 265343 1 +BIT20_0 265396 1 +BIT19_0 265357 1 +BIT18_0 265387 1 +BIT17_0 265387 1 +BIT16_0 265317 1 +BIT15_0 264967 1 +BIT14_0 264970 1 +BIT13_0 264728 1 +BIT12_0 265096 1 +BIT11_0 264650 1 +BIT10_0 264700 1 +BIT9_0 265021 1 +BIT8_0 265170 1 +BIT7_0 264825 1 +BIT6_0 265166 1 +BIT5_0 265078 1 +BIT4_0 264512 1 +BIT3_0 264505 1 +BIT2_0 264547 1 +BIT1_0 210295 1 +BIT0_0 58978 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2093 1 +BIT30_1 1207 1 +BIT29_1 1144 1 +BIT28_1 1177 1 +BIT27_1 1186 1 +BIT26_1 1175 1 +BIT25_1 1150 1 +BIT24_1 1118 1 +BIT23_1 1133 1 +BIT22_1 1127 1 +BIT21_1 1145 1 +BIT20_1 1134 1 +BIT19_1 1151 1 +BIT18_1 1139 1 +BIT17_1 1165 1 +BIT16_1 1230 1 +BIT15_1 1636 1 +BIT14_1 1497 1 +BIT13_1 1777 1 +BIT12_1 1419 1 +BIT11_1 1853 1 +BIT10_1 1832 1 +BIT9_1 1517 1 +BIT8_1 1259 1 +BIT7_1 1764 1 +BIT6_1 1456 1 +BIT5_1 1467 1 +BIT4_1 2043 1 +BIT3_1 2077 1 +BIT2_1 2124 1 +BIT1_1 61398 1 +BIT0_1 61580 1 +BIT31_0 264506 1 +BIT30_0 265392 1 +BIT29_0 265455 1 +BIT28_0 265422 1 +BIT27_0 265413 1 +BIT26_0 265424 1 +BIT25_0 265449 1 +BIT24_0 265481 1 +BIT23_0 265466 1 +BIT22_0 265472 1 +BIT21_0 265454 1 +BIT20_0 265465 1 +BIT19_0 265448 1 +BIT18_0 265460 1 +BIT17_0 265434 1 +BIT16_0 265369 1 +BIT15_0 264963 1 +BIT14_0 265102 1 +BIT13_0 264822 1 +BIT12_0 265180 1 +BIT11_0 264746 1 +BIT10_0 264767 1 +BIT9_0 265082 1 +BIT8_0 265340 1 +BIT7_0 264835 1 +BIT6_0 265143 1 +BIT5_0 265132 1 +BIT4_0 264556 1 +BIT3_0 264522 1 +BIT2_0 264475 1 +BIT1_0 205201 1 +BIT0_0 205019 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 4 1 +BIT10_1 4 1 +BIT9_1 4 1 +BIT8_1 4 1 +BIT7_1 31 1 +BIT6_1 149 1 +BIT5_1 159121 1 +BIT4_1 44905 1 +BIT3_1 44998 1 +BIT2_1 44806 1 +BIT1_1 101196 1 +BIT0_1 100403 1 +BIT11_0 266595 1 +BIT10_0 266595 1 +BIT9_0 266595 1 +BIT8_0 266595 1 +BIT7_0 266568 1 +BIT6_0 266450 1 +BIT5_0 107478 1 +BIT4_0 221694 1 +BIT3_0 221601 1 +BIT2_0 221793 1 +BIT1_0 165403 1 +BIT0_0 166196 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_slti_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_slti_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_slti_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_slti_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_slti_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2137 1 +auto[1] 593 1 +auto[2] 614 1 +auto[3] 545 1 +auto[4] 622 1 +auto[5] 581 1 +auto[6] 595 1 +auto[7] 594 1 +auto[8] 602 1 +auto[9] 581 1 +auto[10] 593 1 +auto[11] 553 1 +auto[12] 609 1 +auto[13] 589 1 +auto[14] 595 1 +auto[15] 573 1 +auto[16] 582 1 +auto[17] 607 1 +auto[18] 649 1 +auto[19] 588 1 +auto[20] 545 1 +auto[21] 633 1 +auto[22] 596 1 +auto[23] 594 1 +auto[24] 654 1 +auto[25] 593 1 +auto[26] 499 1 +auto[27] 642 1 +auto[28] 562 1 +auto[29] 573 1 +auto[30] 589 1 +auto[31] 679 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2221 1 +auto[1] 607 1 +auto[2] 585 1 +auto[3] 618 1 +auto[4] 593 1 +auto[5] 580 1 +auto[6] 584 1 +auto[7] 577 1 +auto[8] 590 1 +auto[9] 578 1 +auto[10] 604 1 +auto[11] 536 1 +auto[12] 551 1 +auto[13] 685 1 +auto[14] 591 1 +auto[15] 690 1 +auto[16] 574 1 +auto[17] 550 1 +auto[18] 607 1 +auto[19] 569 1 +auto[20] 595 1 +auto[21] 591 1 +auto[22] 587 1 +auto[23] 567 1 +auto[24] 627 1 +auto[25] 643 1 +auto[26] 562 1 +auto[27] 587 1 +auto[28] 578 1 +auto[29] 599 1 +auto[30] 572 1 +auto[31] 563 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1574 1 +RD_01 19 1 +RD_02 17 1 +RD_03 14 1 +RD_04 14 1 +RD_05 24 1 +RD_06 20 1 +RD_07 21 1 +RD_08 17 1 +RD_09 21 1 +RD_0a 18 1 +RD_0b 15 1 +RD_0c 16 1 +RD_0d 18 1 +RD_0e 24 1 +RD_0f 13 1 +RD_10 23 1 +RD_11 20 1 +RD_12 16 1 +RD_13 22 1 +RD_14 14 1 +RD_15 22 1 +RD_16 23 1 +RD_17 20 1 +RD_18 22 1 +RD_19 17 1 +RD_1a 15 1 +RD_1b 16 1 +RD_1c 23 1 +RD_1d 19 1 +RD_1e 16 1 +RD_1f 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7628 1 +auto_POSITIVE 6821 1 +auto_NEGATIVE 6112 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 35 1 +auto_POSITIVE 10342 1 +auto_NEGATIVE 10184 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 9631 1 +SLT_1 10930 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6112 1 +BIT30_1 3840 1 +BIT29_1 3860 1 +BIT28_1 3844 1 +BIT27_1 3698 1 +BIT26_1 3593 1 +BIT25_1 3562 1 +BIT24_1 3718 1 +BIT23_1 3615 1 +BIT22_1 3615 1 +BIT21_1 3664 1 +BIT20_1 3564 1 +BIT19_1 3610 1 +BIT18_1 3651 1 +BIT17_1 3587 1 +BIT16_1 3900 1 +BIT15_1 4896 1 +BIT14_1 4746 1 +BIT13_1 5068 1 +BIT12_1 4788 1 +BIT11_1 5482 1 +BIT10_1 5540 1 +BIT9_1 4584 1 +BIT8_1 4053 1 +BIT7_1 5114 1 +BIT6_1 4366 1 +BIT5_1 4627 1 +BIT4_1 6064 1 +BIT3_1 6140 1 +BIT2_1 6151 1 +BIT1_1 4630 1 +BIT0_1 5335 1 +BIT31_0 14449 1 +BIT30_0 16721 1 +BIT29_0 16701 1 +BIT28_0 16717 1 +BIT27_0 16863 1 +BIT26_0 16968 1 +BIT25_0 16999 1 +BIT24_0 16843 1 +BIT23_0 16946 1 +BIT22_0 16946 1 +BIT21_0 16897 1 +BIT20_0 16997 1 +BIT19_0 16951 1 +BIT18_0 16910 1 +BIT17_0 16974 1 +BIT16_0 16661 1 +BIT15_0 15665 1 +BIT14_0 15815 1 +BIT13_0 15493 1 +BIT12_0 15773 1 +BIT11_0 15079 1 +BIT10_0 15021 1 +BIT9_0 15977 1 +BIT8_0 16508 1 +BIT7_0 15447 1 +BIT6_0 16195 1 +BIT5_0 15934 1 +BIT4_0 14497 1 +BIT3_0 14421 1 +BIT2_0 14410 1 +BIT1_0 15931 1 +BIT0_0 15226 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10184 1 +BIT10_1 10330 1 +BIT9_1 10219 1 +BIT8_1 10146 1 +BIT7_1 10273 1 +BIT6_1 10477 1 +BIT5_1 10066 1 +BIT4_1 10113 1 +BIT3_1 10258 1 +BIT2_1 10148 1 +BIT1_1 10422 1 +BIT0_1 10130 1 +BIT11_0 10377 1 +BIT10_0 10231 1 +BIT9_0 10342 1 +BIT8_0 10415 1 +BIT7_0 10288 1 +BIT6_0 10084 1 +BIT5_0 10495 1 +BIT4_0 10448 1 +BIT3_0 10303 1 +BIT2_0 10413 1 +BIT1_0 10139 1 +BIT0_0 10431 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 26 1 +auto_ZERO auto_POSITIVE 3809 1 +auto_ZERO auto_NEGATIVE 3793 1 +auto_POSITIVE auto_ZERO 2 1 +auto_POSITIVE auto_POSITIVE 3400 1 +auto_POSITIVE auto_NEGATIVE 3419 1 +auto_NEGATIVE auto_ZERO 7 1 +auto_NEGATIVE auto_POSITIVE 3133 1 +auto_NEGATIVE auto_NEGATIVE 2972 1 + + +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sltiu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 191 0 191 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sltiu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 191 0 191 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2324 1 +auto[1] 534 1 +auto[2] 573 1 +auto[3] 537 1 +auto[4] 617 1 +auto[5] 564 1 +auto[6] 571 1 +auto[7] 551 1 +auto[8] 544 1 +auto[9] 639 1 +auto[10] 582 1 +auto[11] 556 1 +auto[12] 589 1 +auto[13] 576 1 +auto[14] 616 1 +auto[15] 566 1 +auto[16] 630 1 +auto[17] 576 1 +auto[18] 592 1 +auto[19] 626 1 +auto[20] 672 1 +auto[21] 589 1 +auto[22] 706 1 +auto[23] 578 1 +auto[24] 586 1 +auto[25] 588 1 +auto[26] 637 1 +auto[27] 571 1 +auto[28] 575 1 +auto[29] 548 1 +auto[30] 629 1 +auto[31] 585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2265 1 +auto[1] 585 1 +auto[2] 578 1 +auto[3] 630 1 +auto[4] 565 1 +auto[5] 598 1 +auto[6] 699 1 +auto[7] 586 1 +auto[8] 591 1 +auto[9] 533 1 +auto[10] 590 1 +auto[11] 620 1 +auto[12] 683 1 +auto[13] 545 1 +auto[14] 589 1 +auto[15] 558 1 +auto[16] 598 1 +auto[17] 572 1 +auto[18] 616 1 +auto[19] 581 1 +auto[20] 582 1 +auto[21] 556 1 +auto[22] 580 1 +auto[23] 625 1 +auto[24] 556 1 +auto[25] 526 1 +auto[26] 551 1 +auto[27] 637 1 +auto[28] 598 1 +auto[29] 582 1 +auto[30] 677 1 +auto[31] 575 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1658 1 +RD_01 14 1 +RD_02 15 1 +RD_03 16 1 +RD_04 28 1 +RD_05 25 1 +RD_06 17 1 +RD_07 22 1 +RD_08 12 1 +RD_09 13 1 +RD_0a 23 1 +RD_0b 20 1 +RD_0c 25 1 +RD_0d 21 1 +RD_0e 9 1 +RD_0f 25 1 +RD_10 24 1 +RD_11 15 1 +RD_12 13 1 +RD_13 13 1 +RD_14 21 1 +RD_15 18 1 +RD_16 25 1 +RD_17 15 1 +RD_18 19 1 +RD_19 18 1 +RD_1a 18 1 +RD_1b 24 1 +RD_1c 16 1 +RD_1d 13 1 +RD_1e 20 1 +RD_1f 21 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7896 1 +auto_NON_ZERO 12731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 38 1 +auto_POSITIVE 10226 1 +auto_NEGATIVE 10363 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 5382 1 +SLT_1 15245 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6028 1 +BIT30_1 3731 1 +BIT29_1 3772 1 +BIT28_1 3718 1 +BIT27_1 3563 1 +BIT26_1 3638 1 +BIT25_1 3586 1 +BIT24_1 3536 1 +BIT23_1 3588 1 +BIT22_1 3544 1 +BIT21_1 3541 1 +BIT20_1 3471 1 +BIT19_1 3533 1 +BIT18_1 3534 1 +BIT17_1 3572 1 +BIT16_1 3776 1 +BIT15_1 4707 1 +BIT14_1 4633 1 +BIT13_1 4895 1 +BIT12_1 4578 1 +BIT11_1 5311 1 +BIT10_1 5366 1 +BIT9_1 4584 1 +BIT8_1 3910 1 +BIT7_1 5081 1 +BIT6_1 4309 1 +BIT5_1 4467 1 +BIT4_1 5914 1 +BIT3_1 5979 1 +BIT2_1 5901 1 +BIT1_1 4571 1 +BIT0_1 5202 1 +BIT31_0 14599 1 +BIT30_0 16896 1 +BIT29_0 16855 1 +BIT28_0 16909 1 +BIT27_0 17064 1 +BIT26_0 16989 1 +BIT25_0 17041 1 +BIT24_0 17091 1 +BIT23_0 17039 1 +BIT22_0 17083 1 +BIT21_0 17086 1 +BIT20_0 17156 1 +BIT19_0 17094 1 +BIT18_0 17093 1 +BIT17_0 17055 1 +BIT16_0 16851 1 +BIT15_0 15920 1 +BIT14_0 15994 1 +BIT13_0 15732 1 +BIT12_0 16049 1 +BIT11_0 15316 1 +BIT10_0 15261 1 +BIT9_0 16043 1 +BIT8_0 16717 1 +BIT7_0 15546 1 +BIT6_0 16318 1 +BIT5_0 16160 1 +BIT4_0 14713 1 +BIT3_0 14648 1 +BIT2_0 14726 1 +BIT1_0 16056 1 +BIT0_0 15425 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10363 1 +BIT10_1 10245 1 +BIT9_1 10311 1 +BIT8_1 10110 1 +BIT7_1 10224 1 +BIT6_1 10415 1 +BIT5_1 10498 1 +BIT4_1 10422 1 +BIT3_1 10322 1 +BIT2_1 10371 1 +BIT1_1 10228 1 +BIT0_1 10248 1 +BIT11_0 10264 1 +BIT10_0 10382 1 +BIT9_0 10316 1 +BIT8_0 10517 1 +BIT7_0 10403 1 +BIT6_0 10212 1 +BIT5_0 10129 1 +BIT4_0 10205 1 +BIT3_0 10305 1 +BIT2_0 10256 1 +BIT1_0 10399 1 +BIT0_0 10379 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 29 1 +auto_ZERO auto_POSITIVE 3913 1 +auto_ZERO auto_NEGATIVE 3954 1 +auto_NON_ZERO auto_ZERO 9 1 +auto_NON_ZERO auto_POSITIVE 6313 1 +auto_NON_ZERO auto_NEGATIVE 6409 1 + + +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sext_b_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 75 0 75 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sext_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sext_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 75 0 75 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sext_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1393 1 +auto[1] 1269 1 +auto[2] 1328 1 +auto[3] 1287 1 +auto[4] 1352 1 +auto[5] 1312 1 +auto[6] 1381 1 +auto[7] 1315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3387 1 +auto_POSITIVE 4334 1 +auto_NEGATIVE 2916 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2916 1 +BIT30_1 2379 1 +BIT29_1 2403 1 +BIT28_1 2394 1 +BIT27_1 2324 1 +BIT26_1 2328 1 +BIT25_1 2311 1 +BIT24_1 2322 1 +BIT23_1 2364 1 +BIT22_1 2344 1 +BIT21_1 2361 1 +BIT20_1 2352 1 +BIT19_1 2384 1 +BIT18_1 2335 1 +BIT17_1 2385 1 +BIT16_1 2403 1 +BIT15_1 2751 1 +BIT14_1 2678 1 +BIT13_1 2764 1 +BIT12_1 2728 1 +BIT11_1 2732 1 +BIT10_1 2779 1 +BIT9_1 2791 1 +BIT8_1 2645 1 +BIT7_1 3081 1 +BIT6_1 3026 1 +BIT5_1 3063 1 +BIT4_1 3351 1 +BIT3_1 3336 1 +BIT2_1 3281 1 +BIT1_1 2962 1 +BIT0_1 3298 1 +BIT31_0 7721 1 +BIT30_0 8258 1 +BIT29_0 8234 1 +BIT28_0 8243 1 +BIT27_0 8313 1 +BIT26_0 8309 1 +BIT25_0 8326 1 +BIT24_0 8315 1 +BIT23_0 8273 1 +BIT22_0 8293 1 +BIT21_0 8276 1 +BIT20_0 8285 1 +BIT19_0 8253 1 +BIT18_0 8302 1 +BIT17_0 8252 1 +BIT16_0 8234 1 +BIT15_0 7886 1 +BIT14_0 7959 1 +BIT13_0 7873 1 +BIT12_0 7909 1 +BIT11_0 7905 1 +BIT10_0 7858 1 +BIT9_0 7846 1 +BIT8_0 7992 1 +BIT7_0 7556 1 +BIT6_0 7611 1 +BIT5_0 7574 1 +BIT4_0 7286 1 +BIT3_0 7301 1 +BIT2_0 7356 1 +BIT1_0 7675 1 +BIT0_0 7339 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 75 0 75 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1311 1 +auto[1] 1259 1 +auto[2] 1294 1 +auto[3] 1355 1 +auto[4] 1352 1 +auto[5] 1309 1 +auto[6] 1336 1 +auto[7] 1414 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3595 1 +auto_POSITIVE 4305 1 +auto_NEGATIVE 2730 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2730 1 +BIT30_1 2249 1 +BIT29_1 2258 1 +BIT28_1 2276 1 +BIT27_1 2224 1 +BIT26_1 2227 1 +BIT25_1 2237 1 +BIT24_1 2200 1 +BIT23_1 2201 1 +BIT22_1 2187 1 +BIT21_1 2189 1 +BIT20_1 2227 1 +BIT19_1 2201 1 +BIT18_1 2263 1 +BIT17_1 2207 1 +BIT16_1 2387 1 +BIT15_1 2678 1 +BIT14_1 2570 1 +BIT13_1 2710 1 +BIT12_1 2738 1 +BIT11_1 2654 1 +BIT10_1 2698 1 +BIT9_1 2702 1 +BIT8_1 2601 1 +BIT7_1 2992 1 +BIT6_1 2930 1 +BIT5_1 3029 1 +BIT4_1 3291 1 +BIT3_1 3166 1 +BIT2_1 3256 1 +BIT1_1 2925 1 +BIT0_1 3198 1 +BIT31_0 7900 1 +BIT30_0 8381 1 +BIT29_0 8372 1 +BIT28_0 8354 1 +BIT27_0 8406 1 +BIT26_0 8403 1 +BIT25_0 8393 1 +BIT24_0 8430 1 +BIT23_0 8429 1 +BIT22_0 8443 1 +BIT21_0 8441 1 +BIT20_0 8403 1 +BIT19_0 8429 1 +BIT18_0 8367 1 +BIT17_0 8423 1 +BIT16_0 8243 1 +BIT15_0 7952 1 +BIT14_0 8060 1 +BIT13_0 7920 1 +BIT12_0 7892 1 +BIT11_0 7976 1 +BIT10_0 7932 1 +BIT9_0 7928 1 +BIT8_0 8029 1 +BIT7_0 7638 1 +BIT6_0 7700 1 +BIT5_0 7601 1 +BIT4_0 7339 1 +BIT3_0 7464 1 +BIT2_0 7374 1 +BIT1_0 7705 1 +BIT0_0 7432 1 + + +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_not_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 74 0 74 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_not_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_not_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 74 0 74 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_not_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1314 1 +auto[1] 1288 1 +auto[2] 1331 1 +auto[3] 1362 1 +auto[4] 1363 1 +auto[5] 1266 1 +auto[6] 1303 1 +auto[7] 1222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3418 1 +auto_NON_ZERO 7031 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2855 1 +BIT30_1 2304 1 +BIT29_1 2312 1 +BIT28_1 2345 1 +BIT27_1 2276 1 +BIT26_1 2283 1 +BIT25_1 2272 1 +BIT24_1 2276 1 +BIT23_1 2247 1 +BIT22_1 2285 1 +BIT21_1 2273 1 +BIT20_1 2277 1 +BIT19_1 2304 1 +BIT18_1 2302 1 +BIT17_1 2297 1 +BIT16_1 2435 1 +BIT15_1 2676 1 +BIT14_1 2698 1 +BIT13_1 2722 1 +BIT12_1 2713 1 +BIT11_1 2699 1 +BIT10_1 2724 1 +BIT9_1 2778 1 +BIT8_1 2615 1 +BIT7_1 2981 1 +BIT6_1 2906 1 +BIT5_1 2949 1 +BIT4_1 3207 1 +BIT3_1 3193 1 +BIT2_1 3239 1 +BIT1_1 2936 1 +BIT0_1 3243 1 +BIT31_0 7594 1 +BIT30_0 8145 1 +BIT29_0 8137 1 +BIT28_0 8104 1 +BIT27_0 8173 1 +BIT26_0 8166 1 +BIT25_0 8177 1 +BIT24_0 8173 1 +BIT23_0 8202 1 +BIT22_0 8164 1 +BIT21_0 8176 1 +BIT20_0 8172 1 +BIT19_0 8145 1 +BIT18_0 8147 1 +BIT17_0 8152 1 +BIT16_0 8014 1 +BIT15_0 7773 1 +BIT14_0 7751 1 +BIT13_0 7727 1 +BIT12_0 7736 1 +BIT11_0 7750 1 +BIT10_0 7725 1 +BIT9_0 7671 1 +BIT8_0 7834 1 +BIT7_0 7468 1 +BIT6_0 7543 1 +BIT5_0 7500 1 +BIT4_0 7242 1 +BIT3_0 7256 1 +BIT2_0 7210 1 +BIT1_0 7513 1 +BIT0_0 7206 1 + + +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 + + +Variables for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrwi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 290 1 +auto[1] 273 1 +auto[2] 245 1 +auto[3] 325 1 +auto[4] 242 1 +auto[5] 240 1 +auto[6] 273 1 +auto[7] 273 1 +auto[8] 264 1 +auto[9] 289 1 +auto[10] 271 1 +auto[11] 270 1 +auto[12] 268 1 +auto[13] 260 1 +auto[14] 961 1 +auto[15] 272 1 +auto[16] 268 1 +auto[17] 263 1 +auto[18] 236 1 +auto[19] 254 1 +auto[20] 255 1 +auto[21] 242 1 +auto[22] 240 1 +auto[23] 269 1 +auto[24] 263 1 +auto[25] 270 1 +auto[26] 282 1 +auto[27] 255 1 +auto[28] 262 1 +auto[29] 234 1 +auto[30] 268 1 +auto[31] 266 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 182 0 182 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +CSR_MVENDORID 0 Excluded +CSR_MARCHID 0 Excluded +CSR_MIMPID 0 Excluded +CSR_MHARTID 0 Excluded +CSR_MCONFIGPTR 0 Excluded +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 78 1 +CSR_MISA 67 1 +CSR_MIE 60 1 +CSR_MTVEC 5 1 +CSR_MSTATUSH 63 1 +CSR_MCOUNTINHIBIT 62 1 +CSR_MHPMEVENT3 31 1 +CSR_MHPMEVENT4 25 1 +CSR_MHPMEVENT5 32 1 +CSR_MHPMEVENT6 28 1 +CSR_MHPMEVENT7 29 1 +CSR_MHPMEVENT8 30 1 +CSR_MHPMEVENT9 22 1 +CSR_MHPMEVENT10 33 1 +CSR_MHPMEVENT11 33 1 +CSR_MHPMEVENT12 25 1 +CSR_MHPMEVENT13 26 1 +CSR_MHPMEVENT14 25 1 +CSR_MHPMEVENT15 31 1 +CSR_MHPMEVENT16 23 1 +CSR_MHPMEVENT17 26 1 +CSR_MHPMEVENT18 30 1 +CSR_MHPMEVENT19 24 1 +CSR_MHPMEVENT20 21 1 +CSR_MHPMEVENT21 32 1 +CSR_MHPMEVENT22 31 1 +CSR_MHPMEVENT23 30 1 +CSR_MHPMEVENT24 28 1 +CSR_MHPMEVENT25 28 1 +CSR_MHPMEVENT26 29 1 +CSR_MHPMEVENT27 28 1 +CSR_MHPMEVENT28 25 1 +CSR_MHPMEVENT29 29 1 +CSR_MHPMEVENT30 25 1 +CSR_MHPMEVENT31 35 1 +CSR_MSCRATCH 4186 1 +CSR_MEPC 46 1 +CSR_MCAUSE 69 1 +CSR_MTVAL 63 1 +CSR_MIP 61 1 +CSR_PMPCFG0 47 1 +CSR_PMPCFG1 48 1 +CSR_PMPCFG2 46 1 +CSR_PMPCFG3 55 1 +CSR_PMPCFG4 53 1 +CSR_PMPCFG5 40 1 +CSR_PMPCFG6 53 1 +CSR_PMPCFG7 49 1 +CSR_PMPCFG8 52 1 +CSR_PMPCFG9 46 1 +CSR_PMPCFG10 53 1 +CSR_PMPCFG11 49 1 +CSR_PMPCFG12 59 1 +CSR_PMPCFG13 40 1 +CSR_PMPCFG14 46 1 +CSR_PMPCFG15 45 1 +CSR_PMPADDR0 17 1 +CSR_PMPADDR1 12 1 +CSR_PMPADDR2 20 1 +CSR_PMPADDR3 12 1 +CSR_PMPADDR4 16 1 +CSR_PMPADDR5 19 1 +CSR_PMPADDR6 17 1 +CSR_PMPADDR7 12 1 +CSR_PMPADDR8 8 1 +CSR_PMPADDR9 20 1 +CSR_PMPADDR10 15 1 +CSR_PMPADDR11 18 1 +CSR_PMPADDR12 15 1 +CSR_PMPADDR13 18 1 +CSR_PMPADDR14 21 1 +CSR_PMPADDR15 15 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 13 1 +CSR_PMPADDR18 8 1 +CSR_PMPADDR19 10 1 +CSR_PMPADDR20 15 1 +CSR_PMPADDR21 10 1 +CSR_PMPADDR22 16 1 +CSR_PMPADDR23 12 1 +CSR_PMPADDR24 11 1 +CSR_PMPADDR25 17 1 +CSR_PMPADDR26 10 1 +CSR_PMPADDR27 13 1 +CSR_PMPADDR28 11 1 +CSR_PMPADDR29 13 1 +CSR_PMPADDR30 9 1 +CSR_PMPADDR31 10 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 11 1 +CSR_PMPADDR34 7 1 +CSR_PMPADDR35 15 1 +CSR_PMPADDR36 12 1 +CSR_PMPADDR37 14 1 +CSR_PMPADDR38 11 1 +CSR_PMPADDR39 15 1 +CSR_PMPADDR40 8 1 +CSR_PMPADDR41 14 1 +CSR_PMPADDR42 12 1 +CSR_PMPADDR43 16 1 +CSR_PMPADDR44 12 1 +CSR_PMPADDR45 12 1 +CSR_PMPADDR46 19 1 +CSR_PMPADDR47 16 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 14 1 +CSR_PMPADDR50 14 1 +CSR_PMPADDR51 23 1 +CSR_PMPADDR52 9 1 +CSR_PMPADDR53 19 1 +CSR_PMPADDR54 9 1 +CSR_PMPADDR55 17 1 +CSR_PMPADDR56 7 1 +CSR_PMPADDR57 11 1 +CSR_PMPADDR58 14 1 +CSR_PMPADDR59 7 1 +CSR_PMPADDR60 6 1 +CSR_PMPADDR61 12 1 +CSR_PMPADDR62 13 1 +CSR_PMPADDR63 12 1 +CSR_MCYCLE 53 1 +CSR_MINSTRET 72 1 +CSR_MHPMCOUNTER3 27 1 +CSR_MHPMCOUNTER4 29 1 +CSR_MHPMCOUNTER5 22 1 +CSR_MHPMCOUNTER6 28 1 +CSR_MHPMCOUNTER7 24 1 +CSR_MHPMCOUNTER8 30 1 +CSR_MHPMCOUNTER9 32 1 +CSR_MHPMCOUNTER10 30 1 +CSR_MHPMCOUNTER11 33 1 +CSR_MHPMCOUNTER12 31 1 +CSR_MHPMCOUNTER13 35 1 +CSR_MHPMCOUNTER14 32 1 +CSR_MHPMCOUNTER15 32 1 +CSR_MHPMCOUNTER16 24 1 +CSR_MHPMCOUNTER17 31 1 +CSR_MHPMCOUNTER18 35 1 +CSR_MHPMCOUNTER19 32 1 +CSR_MHPMCOUNTER20 25 1 +CSR_MHPMCOUNTER21 27 1 +CSR_MHPMCOUNTER22 24 1 +CSR_MHPMCOUNTER23 32 1 +CSR_MHPMCOUNTER24 25 1 +CSR_MHPMCOUNTER25 28 1 +CSR_MHPMCOUNTER26 33 1 +CSR_MHPMCOUNTER27 31 1 +CSR_MHPMCOUNTER28 33 1 +CSR_MHPMCOUNTER29 29 1 +CSR_MHPMCOUNTER30 27 1 +CSR_MHPMCOUNTER31 35 1 +CSR_MCYCLEH 58 1 +CSR_MINSTRETH 58 1 +CSR_MHPMCOUNTER3H 37 1 +CSR_MHPMCOUNTER4H 31 1 +CSR_MHPMCOUNTER5H 27 1 +CSR_MHPMCOUNTER6H 24 1 +CSR_MHPMCOUNTER7H 47 1 +CSR_MHPMCOUNTER8H 27 1 +CSR_MHPMCOUNTER9H 22 1 +CSR_MHPMCOUNTER10H 25 1 +CSR_MHPMCOUNTER11H 37 1 +CSR_MHPMCOUNTER12H 23 1 +CSR_MHPMCOUNTER13H 33 1 +CSR_MHPMCOUNTER14H 27 1 +CSR_MHPMCOUNTER15H 27 1 +CSR_MHPMCOUNTER16H 23 1 +CSR_MHPMCOUNTER17H 28 1 +CSR_MHPMCOUNTER18H 23 1 +CSR_MHPMCOUNTER19H 41 1 +CSR_MHPMCOUNTER20H 29 1 +CSR_MHPMCOUNTER21H 31 1 +CSR_MHPMCOUNTER22H 33 1 +CSR_MHPMCOUNTER23H 32 1 +CSR_MHPMCOUNTER24H 25 1 +CSR_MHPMCOUNTER25H 31 1 +CSR_MHPMCOUNTER26H 29 1 +CSR_MHPMCOUNTER27H 27 1 +CSR_MHPMCOUNTER28H 39 1 +CSR_MHPMCOUNTER29H 24 1 +CSR_MHPMCOUNTER30H 29 1 +CSR_MHPMCOUNTER31H 38 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_uimm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_uimm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 4633 1 +BIT3_1 4557 1 +BIT2_1 4587 1 +BIT1_1 4550 1 +BIT0_1 4653 1 +BIT4_0 4510 1 +BIT3_0 4586 1 +BIT2_0 4556 1 +BIT1_0 4593 1 +BIT0_0 4490 1 + + +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrci_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 229 0 229 100.00 + + +Variables for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrci_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrci_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 229 0 229 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrci_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 273 1 +auto[1] 273 1 +auto[2] 224 1 +auto[3] 259 1 +auto[4] 253 1 +auto[5] 249 1 +auto[6] 265 1 +auto[7] 288 1 +auto[8] 257 1 +auto[9] 254 1 +auto[10] 287 1 +auto[11] 299 1 +auto[12] 299 1 +auto[13] 260 1 +auto[14] 972 1 +auto[15] 258 1 +auto[16] 277 1 +auto[17] 253 1 +auto[18] 276 1 +auto[19] 270 1 +auto[20] 261 1 +auto[21] 246 1 +auto[22] 238 1 +auto[23] 245 1 +auto[24] 263 1 +auto[25] 276 1 +auto[26] 284 1 +auto[27] 257 1 +auto[28] 238 1 +auto[29] 278 1 +auto[30] 253 1 +auto[31] 270 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 24 1 +CSR_MISA 30 1 +CSR_MIE 28 1 +CSR_MTVEC 25 1 +CSR_MSTATUSH 24 1 +CSR_MCOUNTINHIBIT 31 1 +CSR_MHPMEVENT3 25 1 +CSR_MHPMEVENT4 30 1 +CSR_MHPMEVENT5 30 1 +CSR_MHPMEVENT6 38 1 +CSR_MHPMEVENT7 24 1 +CSR_MHPMEVENT8 32 1 +CSR_MHPMEVENT9 26 1 +CSR_MHPMEVENT10 33 1 +CSR_MHPMEVENT11 41 1 +CSR_MHPMEVENT12 35 1 +CSR_MHPMEVENT13 33 1 +CSR_MHPMEVENT14 26 1 +CSR_MHPMEVENT15 25 1 +CSR_MHPMEVENT16 35 1 +CSR_MHPMEVENT17 32 1 +CSR_MHPMEVENT18 31 1 +CSR_MHPMEVENT19 32 1 +CSR_MHPMEVENT20 26 1 +CSR_MHPMEVENT21 32 1 +CSR_MHPMEVENT22 33 1 +CSR_MHPMEVENT23 26 1 +CSR_MHPMEVENT24 29 1 +CSR_MHPMEVENT25 24 1 +CSR_MHPMEVENT26 38 1 +CSR_MHPMEVENT27 30 1 +CSR_MHPMEVENT28 29 1 +CSR_MHPMEVENT29 32 1 +CSR_MHPMEVENT30 30 1 +CSR_MHPMEVENT31 30 1 +CSR_MSCRATCH 3979 1 +CSR_MEPC 27 1 +CSR_MCAUSE 27 1 +CSR_MTVAL 33 1 +CSR_MIP 34 1 +CSR_PMPCFG0 22 1 +CSR_PMPCFG1 30 1 +CSR_PMPCFG2 25 1 +CSR_PMPCFG3 37 1 +CSR_PMPCFG4 27 1 +CSR_PMPCFG5 36 1 +CSR_PMPCFG6 27 1 +CSR_PMPCFG7 23 1 +CSR_PMPCFG8 33 1 +CSR_PMPCFG9 30 1 +CSR_PMPCFG10 32 1 +CSR_PMPCFG11 35 1 +CSR_PMPCFG12 22 1 +CSR_PMPCFG13 26 1 +CSR_PMPCFG14 29 1 +CSR_PMPCFG15 43 1 +CSR_PMPADDR0 29 1 +CSR_PMPADDR1 26 1 +CSR_PMPADDR2 24 1 +CSR_PMPADDR3 35 1 +CSR_PMPADDR4 25 1 +CSR_PMPADDR5 33 1 +CSR_PMPADDR6 31 1 +CSR_PMPADDR7 34 1 +CSR_PMPADDR8 25 1 +CSR_PMPADDR9 33 1 +CSR_PMPADDR10 28 1 +CSR_PMPADDR11 28 1 +CSR_PMPADDR12 32 1 +CSR_PMPADDR13 24 1 +CSR_PMPADDR14 22 1 +CSR_PMPADDR15 32 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 35 1 +CSR_PMPADDR18 26 1 +CSR_PMPADDR19 25 1 +CSR_PMPADDR20 20 1 +CSR_PMPADDR21 19 1 +CSR_PMPADDR22 26 1 +CSR_PMPADDR23 26 1 +CSR_PMPADDR24 28 1 +CSR_PMPADDR25 23 1 +CSR_PMPADDR26 34 1 +CSR_PMPADDR27 31 1 +CSR_PMPADDR28 29 1 +CSR_PMPADDR29 23 1 +CSR_PMPADDR30 27 1 +CSR_PMPADDR31 26 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 18 1 +CSR_PMPADDR34 24 1 +CSR_PMPADDR35 32 1 +CSR_PMPADDR36 22 1 +CSR_PMPADDR37 29 1 +CSR_PMPADDR38 29 1 +CSR_PMPADDR39 19 1 +CSR_PMPADDR40 22 1 +CSR_PMPADDR41 30 1 +CSR_PMPADDR42 30 1 +CSR_PMPADDR43 30 1 +CSR_PMPADDR44 31 1 +CSR_PMPADDR45 28 1 +CSR_PMPADDR46 25 1 +CSR_PMPADDR47 33 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 22 1 +CSR_PMPADDR50 37 1 +CSR_PMPADDR51 24 1 +CSR_PMPADDR52 28 1 +CSR_PMPADDR53 27 1 +CSR_PMPADDR54 27 1 +CSR_PMPADDR55 26 1 +CSR_PMPADDR56 22 1 +CSR_PMPADDR57 22 1 +CSR_PMPADDR58 12 1 +CSR_PMPADDR59 36 1 +CSR_PMPADDR60 25 1 +CSR_PMPADDR61 25 1 +CSR_PMPADDR62 21 1 +CSR_PMPADDR63 24 1 +CSR_MCYCLE 26 1 +CSR_MINSTRET 31 1 +CSR_MHPMCOUNTER3 28 1 +CSR_MHPMCOUNTER4 27 1 +CSR_MHPMCOUNTER5 31 1 +CSR_MHPMCOUNTER6 25 1 +CSR_MHPMCOUNTER7 30 1 +CSR_MHPMCOUNTER8 37 1 +CSR_MHPMCOUNTER9 36 1 +CSR_MHPMCOUNTER10 31 1 +CSR_MHPMCOUNTER11 29 1 +CSR_MHPMCOUNTER12 24 1 +CSR_MHPMCOUNTER13 27 1 +CSR_MHPMCOUNTER14 23 1 +CSR_MHPMCOUNTER15 29 1 +CSR_MHPMCOUNTER16 33 1 +CSR_MHPMCOUNTER17 28 1 +CSR_MHPMCOUNTER18 32 1 +CSR_MHPMCOUNTER19 34 1 +CSR_MHPMCOUNTER20 30 1 +CSR_MHPMCOUNTER21 29 1 +CSR_MHPMCOUNTER22 30 1 +CSR_MHPMCOUNTER23 34 1 +CSR_MHPMCOUNTER24 23 1 +CSR_MHPMCOUNTER25 32 1 +CSR_MHPMCOUNTER26 26 1 +CSR_MHPMCOUNTER27 33 1 +CSR_MHPMCOUNTER28 33 1 +CSR_MHPMCOUNTER29 31 1 +CSR_MHPMCOUNTER30 32 1 +CSR_MHPMCOUNTER31 32 1 +CSR_MCYCLEH 26 1 +CSR_MINSTRETH 25 1 +CSR_MHPMCOUNTER3H 34 1 +CSR_MHPMCOUNTER4H 32 1 +CSR_MHPMCOUNTER5H 26 1 +CSR_MHPMCOUNTER6H 29 1 +CSR_MHPMCOUNTER7H 31 1 +CSR_MHPMCOUNTER8H 48 1 +CSR_MHPMCOUNTER9H 24 1 +CSR_MHPMCOUNTER10H 34 1 +CSR_MHPMCOUNTER11H 29 1 +CSR_MHPMCOUNTER12H 35 1 +CSR_MHPMCOUNTER13H 35 1 +CSR_MHPMCOUNTER14H 24 1 +CSR_MHPMCOUNTER15H 31 1 +CSR_MHPMCOUNTER16H 27 1 +CSR_MHPMCOUNTER17H 28 1 +CSR_MHPMCOUNTER18H 28 1 +CSR_MHPMCOUNTER19H 20 1 +CSR_MHPMCOUNTER20H 24 1 +CSR_MHPMCOUNTER21H 28 1 +CSR_MHPMCOUNTER22H 26 1 +CSR_MHPMCOUNTER23H 41 1 +CSR_MHPMCOUNTER24H 25 1 +CSR_MHPMCOUNTER25H 29 1 +CSR_MHPMCOUNTER26H 38 1 +CSR_MHPMCOUNTER27H 22 1 +CSR_MHPMCOUNTER28H 26 1 +CSR_MHPMCOUNTER29H 34 1 +CSR_MHPMCOUNTER30H 32 1 +CSR_MHPMCOUNTER31H 35 1 +CSR_MVENDORID 26 1 +CSR_MARCHID 1 1 +CSR_MIMPID 1 1 +CSR_MHARTID 1 1 +CSR_MCONFIGPTR 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_uimm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_uimm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 1565 1 +BIT3_1 1565 1 +BIT2_1 1563 1 +BIT1_1 1554 1 +BIT0_1 1623 1 +BIT4_0 7590 1 +BIT3_0 7590 1 +BIT2_0 7592 1 +BIT1_0 7601 1 +BIT0_0 7532 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrsi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 229 0 229 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 295 1 +auto[1] 242 1 +auto[2] 226 1 +auto[3] 301 1 +auto[4] 236 1 +auto[5] 267 1 +auto[6] 274 1 +auto[7] 253 1 +auto[8] 255 1 +auto[9] 248 1 +auto[10] 228 1 +auto[11] 279 1 +auto[12] 252 1 +auto[13] 258 1 +auto[14] 972 1 +auto[15] 253 1 +auto[16] 242 1 +auto[17] 244 1 +auto[18] 254 1 +auto[19] 265 1 +auto[20] 228 1 +auto[21] 231 1 +auto[22] 242 1 +auto[23] 266 1 +auto[24] 259 1 +auto[25] 252 1 +auto[26] 276 1 +auto[27] 258 1 +auto[28] 290 1 +auto[29] 283 1 +auto[30] 249 1 +auto[31] 263 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 33 1 +CSR_MISA 26 1 +CSR_MIE 27 1 +CSR_MTVEC 26 1 +CSR_MSTATUSH 32 1 +CSR_MCOUNTINHIBIT 28 1 +CSR_MHPMEVENT3 30 1 +CSR_MHPMEVENT4 28 1 +CSR_MHPMEVENT5 32 1 +CSR_MHPMEVENT6 34 1 +CSR_MHPMEVENT7 36 1 +CSR_MHPMEVENT8 27 1 +CSR_MHPMEVENT9 28 1 +CSR_MHPMEVENT10 28 1 +CSR_MHPMEVENT11 28 1 +CSR_MHPMEVENT12 31 1 +CSR_MHPMEVENT13 29 1 +CSR_MHPMEVENT14 20 1 +CSR_MHPMEVENT15 26 1 +CSR_MHPMEVENT16 25 1 +CSR_MHPMEVENT17 28 1 +CSR_MHPMEVENT18 31 1 +CSR_MHPMEVENT19 22 1 +CSR_MHPMEVENT20 25 1 +CSR_MHPMEVENT21 24 1 +CSR_MHPMEVENT22 35 1 +CSR_MHPMEVENT23 26 1 +CSR_MHPMEVENT24 29 1 +CSR_MHPMEVENT25 34 1 +CSR_MHPMEVENT26 31 1 +CSR_MHPMEVENT27 33 1 +CSR_MHPMEVENT28 26 1 +CSR_MHPMEVENT29 26 1 +CSR_MHPMEVENT30 25 1 +CSR_MHPMEVENT31 48 1 +CSR_MSCRATCH 3903 1 +CSR_MEPC 24 1 +CSR_MCAUSE 29 1 +CSR_MTVAL 27 1 +CSR_MIP 31 1 +CSR_PMPCFG0 35 1 +CSR_PMPCFG1 33 1 +CSR_PMPCFG2 28 1 +CSR_PMPCFG3 31 1 +CSR_PMPCFG4 27 1 +CSR_PMPCFG5 29 1 +CSR_PMPCFG6 33 1 +CSR_PMPCFG7 31 1 +CSR_PMPCFG8 30 1 +CSR_PMPCFG9 34 1 +CSR_PMPCFG10 26 1 +CSR_PMPCFG11 36 1 +CSR_PMPCFG12 32 1 +CSR_PMPCFG13 27 1 +CSR_PMPCFG14 27 1 +CSR_PMPCFG15 37 1 +CSR_PMPADDR0 27 1 +CSR_PMPADDR1 28 1 +CSR_PMPADDR2 39 1 +CSR_PMPADDR3 29 1 +CSR_PMPADDR4 24 1 +CSR_PMPADDR5 26 1 +CSR_PMPADDR6 28 1 +CSR_PMPADDR7 26 1 +CSR_PMPADDR8 21 1 +CSR_PMPADDR9 37 1 +CSR_PMPADDR10 32 1 +CSR_PMPADDR11 31 1 +CSR_PMPADDR12 36 1 +CSR_PMPADDR13 36 1 +CSR_PMPADDR14 26 1 +CSR_PMPADDR15 31 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 26 1 +CSR_PMPADDR18 22 1 +CSR_PMPADDR19 23 1 +CSR_PMPADDR20 27 1 +CSR_PMPADDR21 18 1 +CSR_PMPADDR22 34 1 +CSR_PMPADDR23 23 1 +CSR_PMPADDR24 19 1 +CSR_PMPADDR25 24 1 +CSR_PMPADDR26 29 1 +CSR_PMPADDR27 30 1 +CSR_PMPADDR28 22 1 +CSR_PMPADDR29 20 1 +CSR_PMPADDR30 25 1 +CSR_PMPADDR31 20 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 32 1 +CSR_PMPADDR34 27 1 +CSR_PMPADDR35 25 1 +CSR_PMPADDR36 25 1 +CSR_PMPADDR37 20 1 +CSR_PMPADDR38 16 1 +CSR_PMPADDR39 24 1 +CSR_PMPADDR40 26 1 +CSR_PMPADDR41 23 1 +CSR_PMPADDR42 29 1 +CSR_PMPADDR43 15 1 +CSR_PMPADDR44 21 1 +CSR_PMPADDR45 28 1 +CSR_PMPADDR46 24 1 +CSR_PMPADDR47 27 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 27 1 +CSR_PMPADDR50 27 1 +CSR_PMPADDR51 37 1 +CSR_PMPADDR52 25 1 +CSR_PMPADDR53 28 1 +CSR_PMPADDR54 24 1 +CSR_PMPADDR55 21 1 +CSR_PMPADDR56 21 1 +CSR_PMPADDR57 23 1 +CSR_PMPADDR58 26 1 +CSR_PMPADDR59 26 1 +CSR_PMPADDR60 29 1 +CSR_PMPADDR61 25 1 +CSR_PMPADDR62 27 1 +CSR_PMPADDR63 25 1 +CSR_MCYCLE 33 1 +CSR_MINSTRET 24 1 +CSR_MHPMCOUNTER3 25 1 +CSR_MHPMCOUNTER4 23 1 +CSR_MHPMCOUNTER5 24 1 +CSR_MHPMCOUNTER6 19 1 +CSR_MHPMCOUNTER7 29 1 +CSR_MHPMCOUNTER8 34 1 +CSR_MHPMCOUNTER9 22 1 +CSR_MHPMCOUNTER10 28 1 +CSR_MHPMCOUNTER11 18 1 +CSR_MHPMCOUNTER12 26 1 +CSR_MHPMCOUNTER13 31 1 +CSR_MHPMCOUNTER14 23 1 +CSR_MHPMCOUNTER15 32 1 +CSR_MHPMCOUNTER16 32 1 +CSR_MHPMCOUNTER17 17 1 +CSR_MHPMCOUNTER18 31 1 +CSR_MHPMCOUNTER19 37 1 +CSR_MHPMCOUNTER20 31 1 +CSR_MHPMCOUNTER21 32 1 +CSR_MHPMCOUNTER22 34 1 +CSR_MHPMCOUNTER23 42 1 +CSR_MHPMCOUNTER24 26 1 +CSR_MHPMCOUNTER25 26 1 +CSR_MHPMCOUNTER26 30 1 +CSR_MHPMCOUNTER27 20 1 +CSR_MHPMCOUNTER28 21 1 +CSR_MHPMCOUNTER29 37 1 +CSR_MHPMCOUNTER30 22 1 +CSR_MHPMCOUNTER31 28 1 +CSR_MCYCLEH 35 1 +CSR_MINSTRETH 26 1 +CSR_MHPMCOUNTER3H 28 1 +CSR_MHPMCOUNTER4H 33 1 +CSR_MHPMCOUNTER5H 33 1 +CSR_MHPMCOUNTER6H 32 1 +CSR_MHPMCOUNTER7H 28 1 +CSR_MHPMCOUNTER8H 34 1 +CSR_MHPMCOUNTER9H 24 1 +CSR_MHPMCOUNTER10H 31 1 +CSR_MHPMCOUNTER11H 28 1 +CSR_MHPMCOUNTER12H 35 1 +CSR_MHPMCOUNTER13H 29 1 +CSR_MHPMCOUNTER14H 24 1 +CSR_MHPMCOUNTER15H 24 1 +CSR_MHPMCOUNTER16H 36 1 +CSR_MHPMCOUNTER17H 34 1 +CSR_MHPMCOUNTER18H 29 1 +CSR_MHPMCOUNTER19H 30 1 +CSR_MHPMCOUNTER20H 33 1 +CSR_MHPMCOUNTER21H 33 1 +CSR_MHPMCOUNTER22H 29 1 +CSR_MHPMCOUNTER23H 33 1 +CSR_MHPMCOUNTER24H 28 1 +CSR_MHPMCOUNTER25H 30 1 +CSR_MHPMCOUNTER26H 26 1 +CSR_MHPMCOUNTER27H 31 1 +CSR_MHPMCOUNTER28H 42 1 +CSR_MHPMCOUNTER29H 30 1 +CSR_MHPMCOUNTER30H 19 1 +CSR_MHPMCOUNTER31H 25 1 +CSR_MVENDORID 21 1 +CSR_MARCHID 1 1 +CSR_MIMPID 1 1 +CSR_MHARTID 1 1 +CSR_MCONFIGPTR 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_uimm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_uimm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 1593 1 +BIT3_1 1518 1 +BIT2_1 1516 1 +BIT1_1 1563 1 +BIT0_1 1555 1 +BIT4_0 7348 1 +BIT3_0 7423 1 +BIT2_0 7425 1 +BIT1_0 7378 1 +BIT0_0 7386 1 + + +Group : uvma_isacov_pkg::cg_cb_andi + +=============================================================================== +Group : uvma_isacov_pkg::cg_cb_andi +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_andi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cb_andi + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 121 0 121 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cb_andi + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_andi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_andi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_andi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 121 0 121 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_andi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3354 1 +auto_NON_ZERO 6908 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 147 1 +auto_POSITIVE 5057 1 +auto_NEGATIVE 5058 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 147 1 +SHAMT_1 157 1 +SHAMT_2 169 1 +SHAMT_3 200 1 +SHAMT_4 155 1 +SHAMT_5 163 1 +SHAMT_6 155 1 +SHAMT_7 171 1 +SHAMT_8 159 1 +SHAMT_9 165 1 +SHAMT_10 180 1 +SHAMT_11 170 1 +SHAMT_12 150 1 +SHAMT_13 188 1 +SHAMT_14 178 1 +SHAMT_15 157 1 +SHAMT_16 148 1 +SHAMT_17 155 1 +SHAMT_18 145 1 +SHAMT_19 197 1 +SHAMT_20 158 1 +SHAMT_21 142 1 +SHAMT_22 167 1 +SHAMT_23 150 1 +SHAMT_24 184 1 +SHAMT_25 168 1 +SHAMT_26 144 1 +SHAMT_27 133 1 +SHAMT_28 170 1 +SHAMT_29 144 1 +SHAMT_30 158 1 +SHAMT_31 177 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1333 1 +auto[1] 1214 1 +auto[2] 1328 1 +auto[3] 1222 1 +auto[4] 1272 1 +auto[5] 1335 1 +auto[6] 1302 1 +auto[7] 1256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2726 1 +BIT30_1 2169 1 +BIT29_1 2199 1 +BIT28_1 2239 1 +BIT27_1 2200 1 +BIT26_1 2150 1 +BIT25_1 2139 1 +BIT24_1 2177 1 +BIT23_1 2134 1 +BIT22_1 2147 1 +BIT21_1 2125 1 +BIT20_1 2117 1 +BIT19_1 2142 1 +BIT18_1 2187 1 +BIT17_1 2174 1 +BIT16_1 2336 1 +BIT15_1 2578 1 +BIT14_1 2546 1 +BIT13_1 2606 1 +BIT12_1 2572 1 +BIT11_1 2575 1 +BIT10_1 2621 1 +BIT9_1 2621 1 +BIT8_1 2539 1 +BIT7_1 2851 1 +BIT6_1 2841 1 +BIT5_1 2930 1 +BIT4_1 3270 1 +BIT3_1 3166 1 +BIT2_1 3196 1 +BIT1_1 2830 1 +BIT0_1 3134 1 +BIT31_0 7536 1 +BIT30_0 8093 1 +BIT29_0 8063 1 +BIT28_0 8023 1 +BIT27_0 8062 1 +BIT26_0 8112 1 +BIT25_0 8123 1 +BIT24_0 8085 1 +BIT23_0 8128 1 +BIT22_0 8115 1 +BIT21_0 8137 1 +BIT20_0 8145 1 +BIT19_0 8120 1 +BIT18_0 8075 1 +BIT17_0 8088 1 +BIT16_0 7926 1 +BIT15_0 7684 1 +BIT14_0 7716 1 +BIT13_0 7656 1 +BIT12_0 7690 1 +BIT11_0 7687 1 +BIT10_0 7641 1 +BIT9_0 7641 1 +BIT8_0 7723 1 +BIT7_0 7411 1 +BIT6_0 7421 1 +BIT5_0 7332 1 +BIT4_0 6992 1 +BIT3_0 7096 1 +BIT2_0 7066 1 +BIT1_0 7432 1 +BIT0_0 7128 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 5058 1 +BIT4_1 7598 1 +BIT3_1 7683 1 +BIT2_1 7641 1 +BIT1_1 7709 1 +BIT0_1 7695 1 +BIT5_0 5204 1 +BIT4_0 2664 1 +BIT3_0 2579 1 +BIT2_0 2621 1 +BIT1_0 2553 1 +BIT0_0 2567 1 + + +Group : uvma_isacov_pkg::cg_cr_add + +=============================================================================== +Group : uvma_isacov_pkg::cg_cr_add +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_add_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cr_add + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_cr_add + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_add + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rdrs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 426 1 +auto[2] 412 1 +auto[3] 501 1 +auto[4] 440 1 +auto[5] 383 1 +auto[6] 479 1 +auto[7] 409 1 +auto[8] 423 1 +auto[9] 386 1 +auto[10] 438 1 +auto[11] 960 1 +auto[12] 417 1 +auto[13] 416 1 +auto[14] 412 1 +auto[15] 431 1 +auto[16] 442 1 +auto[17] 460 1 +auto[18] 409 1 +auto[19] 448 1 +auto[20] 392 1 +auto[21] 406 1 +auto[22] 433 1 +auto[23] 397 1 +auto[24] 413 1 +auto[25] 430 1 +auto[26] 398 1 +auto[27] 452 1 +auto[28] 468 1 +auto[29] 443 1 +auto[30] 423 1 +auto[31] 419 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS2_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 465 1 +auto[2] 470 1 +auto[3] 430 1 +auto[4] 470 1 +auto[5] 476 1 +auto[6] 485 1 +auto[7] 459 1 +auto[8] 428 1 +auto[9] 470 1 +auto[10] 448 1 +auto[11] 512 1 +auto[12] 1019 1 +auto[13] 472 1 +auto[14] 539 1 +auto[15] 429 1 +auto[16] 482 1 +auto[17] 479 1 +auto[18] 441 1 +auto[19] 475 1 +auto[20] 479 1 +auto[21] 482 1 +auto[22] 511 1 +auto[23] 468 1 +auto[24] 470 1 +auto[25] 476 1 +auto[26] 447 1 +auto[27] 468 1 +auto[28] 445 1 +auto[29] 461 1 +auto[30] 452 1 +auto[31] 456 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5786 1 +auto_POSITIVE 4936 1 +auto_NEGATIVE 4342 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4639 1 +auto_POSITIVE 5656 1 +auto_NEGATIVE 4769 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2642 1 +auto_POSITIVE 6319 1 +auto_NEGATIVE 6103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 31 0 31 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_01 48 1 +RD_02 57 1 +RD_03 72 1 +RD_04 63 1 +RD_05 54 1 +RD_06 70 1 +RD_07 65 1 +RD_08 54 1 +RD_09 46 1 +RD_0a 48 1 +RD_0b 74 1 +RD_0c 62 1 +RD_0d 58 1 +RD_0e 73 1 +RD_0f 70 1 +RD_10 74 1 +RD_11 62 1 +RD_12 55 1 +RD_13 66 1 +RD_14 56 1 +RD_15 72 1 +RD_16 79 1 +RD_17 62 1 +RD_18 67 1 +RD_19 65 1 +RD_1a 62 1 +RD_1b 58 1 +RD_1c 58 1 +RD_1d 51 1 +RD_1e 44 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4342 1 +BIT30_1 2876 1 +BIT29_1 2909 1 +BIT28_1 2930 1 +BIT27_1 2778 1 +BIT26_1 2813 1 +BIT25_1 2778 1 +BIT24_1 2793 1 +BIT23_1 2779 1 +BIT22_1 2792 1 +BIT21_1 2764 1 +BIT20_1 2801 1 +BIT19_1 2773 1 +BIT18_1 2799 1 +BIT17_1 2826 1 +BIT16_1 3025 1 +BIT15_1 3293 1 +BIT14_1 3680 1 +BIT13_1 3740 1 +BIT12_1 3476 1 +BIT11_1 3272 1 +BIT10_1 3333 1 +BIT9_1 3297 1 +BIT8_1 3129 1 +BIT7_1 3555 1 +BIT6_1 3883 1 +BIT5_1 3497 1 +BIT4_1 3812 1 +BIT3_1 3876 1 +BIT2_1 3831 1 +BIT1_1 3640 1 +BIT0_1 3985 1 +BIT31_0 10722 1 +BIT30_0 12188 1 +BIT29_0 12155 1 +BIT28_0 12134 1 +BIT27_0 12286 1 +BIT26_0 12251 1 +BIT25_0 12286 1 +BIT24_0 12271 1 +BIT23_0 12285 1 +BIT22_0 12272 1 +BIT21_0 12300 1 +BIT20_0 12263 1 +BIT19_0 12291 1 +BIT18_0 12265 1 +BIT17_0 12238 1 +BIT16_0 12039 1 +BIT15_0 11771 1 +BIT14_0 11384 1 +BIT13_0 11324 1 +BIT12_0 11588 1 +BIT11_0 11792 1 +BIT10_0 11731 1 +BIT9_0 11767 1 +BIT8_0 11935 1 +BIT7_0 11509 1 +BIT6_0 11181 1 +BIT5_0 11567 1 +BIT4_0 11252 1 +BIT3_0 11188 1 +BIT2_0 11233 1 +BIT1_0 11424 1 +BIT0_0 11079 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4769 1 +BIT30_1 2928 1 +BIT29_1 2941 1 +BIT28_1 2934 1 +BIT27_1 2803 1 +BIT26_1 2774 1 +BIT25_1 2778 1 +BIT24_1 2777 1 +BIT23_1 2738 1 +BIT22_1 2757 1 +BIT21_1 2724 1 +BIT20_1 2736 1 +BIT19_1 2810 1 +BIT18_1 2778 1 +BIT17_1 2778 1 +BIT16_1 3014 1 +BIT15_1 3676 1 +BIT14_1 3662 1 +BIT13_1 3889 1 +BIT12_1 3611 1 +BIT11_1 4163 1 +BIT10_1 4234 1 +BIT9_1 3740 1 +BIT8_1 3194 1 +BIT7_1 4061 1 +BIT6_1 3983 1 +BIT5_1 4086 1 +BIT4_1 5234 1 +BIT3_1 4857 1 +BIT2_1 5324 1 +BIT1_1 3761 1 +BIT0_1 4201 1 +BIT31_0 10295 1 +BIT30_0 12136 1 +BIT29_0 12123 1 +BIT28_0 12130 1 +BIT27_0 12261 1 +BIT26_0 12290 1 +BIT25_0 12286 1 +BIT24_0 12287 1 +BIT23_0 12326 1 +BIT22_0 12307 1 +BIT21_0 12340 1 +BIT20_0 12328 1 +BIT19_0 12254 1 +BIT18_0 12286 1 +BIT17_0 12286 1 +BIT16_0 12050 1 +BIT15_0 11388 1 +BIT14_0 11402 1 +BIT13_0 11175 1 +BIT12_0 11453 1 +BIT11_0 10901 1 +BIT10_0 10830 1 +BIT9_0 11324 1 +BIT8_0 11870 1 +BIT7_0 11003 1 +BIT6_0 11081 1 +BIT5_0 10978 1 +BIT4_0 9830 1 +BIT3_0 10207 1 +BIT2_0 9740 1 +BIT1_0 11303 1 +BIT0_0 10863 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6103 1 +BIT30_1 3746 1 +BIT29_1 3792 1 +BIT28_1 3759 1 +BIT27_1 3599 1 +BIT26_1 3601 1 +BIT25_1 3591 1 +BIT24_1 3606 1 +BIT23_1 3551 1 +BIT22_1 3555 1 +BIT21_1 3553 1 +BIT20_1 3576 1 +BIT19_1 3624 1 +BIT18_1 3589 1 +BIT17_1 3673 1 +BIT16_1 4042 1 +BIT15_1 4693 1 +BIT14_1 5079 1 +BIT13_1 5324 1 +BIT12_1 4848 1 +BIT11_1 5109 1 +BIT10_1 5155 1 +BIT9_1 4743 1 +BIT8_1 4427 1 +BIT7_1 5595 1 +BIT6_1 4638 1 +BIT5_1 5448 1 +BIT4_1 6213 1 +BIT3_1 5862 1 +BIT2_1 6124 1 +BIT1_1 5277 1 +BIT0_1 4886 1 +BIT31_0 8961 1 +BIT30_0 11318 1 +BIT29_0 11272 1 +BIT28_0 11305 1 +BIT27_0 11465 1 +BIT26_0 11463 1 +BIT25_0 11473 1 +BIT24_0 11458 1 +BIT23_0 11513 1 +BIT22_0 11509 1 +BIT21_0 11511 1 +BIT20_0 11488 1 +BIT19_0 11440 1 +BIT18_0 11475 1 +BIT17_0 11391 1 +BIT16_0 11022 1 +BIT15_0 10371 1 +BIT14_0 9985 1 +BIT13_0 9740 1 +BIT12_0 10216 1 +BIT11_0 9955 1 +BIT10_0 9909 1 +BIT9_0 10321 1 +BIT8_0 10637 1 +BIT7_0 9469 1 +BIT6_0 10426 1 +BIT5_0 9616 1 +BIT4_0 8851 1 +BIT3_0 9202 1 +BIT2_0 8940 1 +BIT1_0 9787 1 +BIT0_0 10178 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rdrs1_rs2 + + +Samples crossed: cp_c_rdrs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rdrs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sra_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 390 0 390 100.00 +Crosses 96 0 96 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sra_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sra_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 390 0 390 100.00 +Crosses 96 0 96 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sra_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sra_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 96 0 96 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 621 1 +auto[1] 607 1 +auto[2] 639 1 +auto[3] 602 1 +auto[4] 630 1 +auto[5] 612 1 +auto[6] 725 1 +auto[7] 638 1 +auto[8] 627 1 +auto[9] 605 1 +auto[10] 639 1 +auto[11] 615 1 +auto[12] 722 1 +auto[13] 632 1 +auto[14] 638 1 +auto[15] 650 1 +auto[16] 558 1 +auto[17] 620 1 +auto[18] 631 1 +auto[19] 626 1 +auto[20] 610 1 +auto[21] 725 1 +auto[22] 596 1 +auto[23] 730 1 +auto[24] 644 1 +auto[25] 629 1 +auto[26] 654 1 +auto[27] 589 1 +auto[28] 758 1 +auto[29] 628 1 +auto[30] 609 1 +auto[31] 609 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 664 1 +auto[1] 604 1 +auto[2] 611 1 +auto[3] 642 1 +auto[4] 627 1 +auto[5] 673 1 +auto[6] 669 1 +auto[7] 768 1 +auto[8] 629 1 +auto[9] 605 1 +auto[10] 629 1 +auto[11] 603 1 +auto[12] 642 1 +auto[13] 625 1 +auto[14] 622 1 +auto[15] 623 1 +auto[16] 618 1 +auto[17] 672 1 +auto[18] 599 1 +auto[19] 834 1 +auto[20] 642 1 +auto[21] 585 1 +auto[22] 606 1 +auto[23] 666 1 +auto[24] 597 1 +auto[25] 627 1 +auto[26] 594 1 +auto[27] 588 1 +auto[28] 647 1 +auto[29] 604 1 +auto[30] 703 1 +auto[31] 600 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 675 1 +auto[1] 673 1 +auto[2] 614 1 +auto[3] 723 1 +auto[4] 608 1 +auto[5] 707 1 +auto[6] 674 1 +auto[7] 651 1 +auto[8] 656 1 +auto[9] 581 1 +auto[10] 606 1 +auto[11] 649 1 +auto[12] 657 1 +auto[13] 620 1 +auto[14] 631 1 +auto[15] 572 1 +auto[16] 561 1 +auto[17] 652 1 +auto[18] 636 1 +auto[19] 577 1 +auto[20] 615 1 +auto[21] 592 1 +auto[22] 572 1 +auto[23] 688 1 +auto[24] 613 1 +auto[25] 749 1 +auto[26] 682 1 +auto[27] 641 1 +auto[28] 693 1 +auto[29] 605 1 +auto[30] 618 1 +auto[31] 627 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 81 1 +RD_01 74 1 +RD_02 76 1 +RD_03 67 1 +RD_04 68 1 +RD_05 75 1 +RD_06 80 1 +RD_07 58 1 +RD_08 58 1 +RD_09 55 1 +RD_0a 66 1 +RD_0b 71 1 +RD_0c 61 1 +RD_0d 74 1 +RD_0e 69 1 +RD_0f 66 1 +RD_10 58 1 +RD_11 64 1 +RD_12 60 1 +RD_13 68 1 +RD_14 64 1 +RD_15 66 1 +RD_16 53 1 +RD_17 82 1 +RD_18 68 1 +RD_19 68 1 +RD_1a 67 1 +RD_1b 57 1 +RD_1c 84 1 +RD_1d 68 1 +RD_1e 51 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 68 1 +RD_02 82 1 +RD_03 65 1 +RD_04 68 1 +RD_05 66 1 +RD_06 74 1 +RD_07 67 1 +RD_08 65 1 +RD_09 54 1 +RD_0a 63 1 +RD_0b 77 1 +RD_0c 70 1 +RD_0d 63 1 +RD_0e 67 1 +RD_0f 63 1 +RD_10 59 1 +RD_11 67 1 +RD_12 57 1 +RD_13 62 1 +RD_14 74 1 +RD_15 62 1 +RD_16 56 1 +RD_17 91 1 +RD_18 68 1 +RD_19 60 1 +RD_1a 64 1 +RD_1b 61 1 +RD_1c 74 1 +RD_1d 68 1 +RD_1e 55 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7161 1 +auto_POSITIVE 6970 1 +auto_NEGATIVE 6287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 9436 1 +SHAMT_01 1553 1 +SHAMT_02 343 1 +SHAMT_03 281 1 +SHAMT_04 278 1 +SHAMT_05 200 1 +SHAMT_06 244 1 +SHAMT_07 188 1 +SHAMT_08 340 1 +SHAMT_09 194 1 +SHAMT_0a 219 1 +SHAMT_0b 176 1 +SHAMT_0c 236 1 +SHAMT_0d 179 1 +SHAMT_0e 230 1 +SHAMT_0f 228 1 +SHAMT_10 394 1 +SHAMT_11 208 1 +SHAMT_12 180 1 +SHAMT_13 154 1 +SHAMT_14 255 1 +SHAMT_15 183 1 +SHAMT_16 193 1 +SHAMT_17 171 1 +SHAMT_18 272 1 +SHAMT_19 178 1 +SHAMT_1a 220 1 +SHAMT_1b 214 1 +SHAMT_1c 1470 1 +SHAMT_1d 241 1 +SHAMT_1e 367 1 +SHAMT_1f 1393 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9770 1 +auto_POSITIVE 4361 1 +auto_NEGATIVE 6287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6287 1 +BIT30_1 4016 1 +BIT29_1 3917 1 +BIT28_1 3986 1 +BIT27_1 3855 1 +BIT26_1 3876 1 +BIT25_1 3774 1 +BIT24_1 3802 1 +BIT23_1 3728 1 +BIT22_1 3801 1 +BIT21_1 3784 1 +BIT20_1 3763 1 +BIT19_1 3791 1 +BIT18_1 3797 1 +BIT17_1 3773 1 +BIT16_1 3962 1 +BIT15_1 4896 1 +BIT14_1 4682 1 +BIT13_1 5004 1 +BIT12_1 4871 1 +BIT11_1 5333 1 +BIT10_1 5427 1 +BIT9_1 4935 1 +BIT8_1 4362 1 +BIT7_1 5381 1 +BIT6_1 4604 1 +BIT5_1 4747 1 +BIT4_1 6099 1 +BIT3_1 6238 1 +BIT2_1 6264 1 +BIT1_1 4898 1 +BIT0_1 5595 1 +BIT31_0 14131 1 +BIT30_0 16402 1 +BIT29_0 16501 1 +BIT28_0 16432 1 +BIT27_0 16563 1 +BIT26_0 16542 1 +BIT25_0 16644 1 +BIT24_0 16616 1 +BIT23_0 16690 1 +BIT22_0 16617 1 +BIT21_0 16634 1 +BIT20_0 16655 1 +BIT19_0 16627 1 +BIT18_0 16621 1 +BIT17_0 16645 1 +BIT16_0 16456 1 +BIT15_0 15522 1 +BIT14_0 15736 1 +BIT13_0 15414 1 +BIT12_0 15547 1 +BIT11_0 15085 1 +BIT10_0 14991 1 +BIT9_0 15483 1 +BIT8_0 16056 1 +BIT7_0 15037 1 +BIT6_0 15814 1 +BIT5_0 15671 1 +BIT4_0 14319 1 +BIT3_0 14180 1 +BIT2_0 14154 1 +BIT1_0 15520 1 +BIT0_0 14823 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6415 1 +BIT30_1 4177 1 +BIT29_1 4142 1 +BIT28_1 4070 1 +BIT27_1 4026 1 +BIT26_1 4040 1 +BIT25_1 3994 1 +BIT24_1 3949 1 +BIT23_1 4015 1 +BIT22_1 3906 1 +BIT21_1 3887 1 +BIT20_1 3842 1 +BIT19_1 3906 1 +BIT18_1 4032 1 +BIT17_1 3876 1 +BIT16_1 4211 1 +BIT15_1 4917 1 +BIT14_1 4887 1 +BIT13_1 5127 1 +BIT12_1 4905 1 +BIT11_1 5492 1 +BIT10_1 5506 1 +BIT9_1 4822 1 +BIT8_1 4268 1 +BIT7_1 5158 1 +BIT6_1 4527 1 +BIT5_1 4697 1 +BIT4_1 6093 1 +BIT3_1 6157 1 +BIT2_1 6056 1 +BIT1_1 4801 1 +BIT0_1 5741 1 +BIT31_0 14003 1 +BIT30_0 16241 1 +BIT29_0 16276 1 +BIT28_0 16348 1 +BIT27_0 16392 1 +BIT26_0 16378 1 +BIT25_0 16424 1 +BIT24_0 16469 1 +BIT23_0 16403 1 +BIT22_0 16512 1 +BIT21_0 16531 1 +BIT20_0 16576 1 +BIT19_0 16512 1 +BIT18_0 16386 1 +BIT17_0 16542 1 +BIT16_0 16207 1 +BIT15_0 15501 1 +BIT14_0 15531 1 +BIT13_0 15291 1 +BIT12_0 15513 1 +BIT11_0 14926 1 +BIT10_0 14912 1 +BIT9_0 15596 1 +BIT8_0 16150 1 +BIT7_0 15260 1 +BIT6_0 15891 1 +BIT5_0 15721 1 +BIT4_0 14325 1 +BIT3_0 14261 1 +BIT2_0 14362 1 +BIT1_0 15617 1 +BIT0_0 14677 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6287 1 +BIT30_1 5371 1 +BIT29_1 5125 1 +BIT28_1 5145 1 +BIT27_1 5051 1 +BIT26_1 4986 1 +BIT25_1 4933 1 +BIT24_1 4856 1 +BIT23_1 4846 1 +BIT22_1 4788 1 +BIT21_1 4779 1 +BIT20_1 4722 1 +BIT19_1 4750 1 +BIT18_1 4678 1 +BIT17_1 4646 1 +BIT16_1 4645 1 +BIT15_1 5039 1 +BIT14_1 4931 1 +BIT13_1 5066 1 +BIT12_1 4976 1 +BIT11_1 5184 1 +BIT10_1 5203 1 +BIT9_1 5027 1 +BIT8_1 4754 1 +BIT7_1 5158 1 +BIT6_1 4848 1 +BIT5_1 4947 1 +BIT4_1 5339 1 +BIT3_1 5502 1 +BIT2_1 5263 1 +BIT1_1 4798 1 +BIT0_1 4877 1 +BIT31_0 14131 1 +BIT30_0 15047 1 +BIT29_0 15293 1 +BIT28_0 15273 1 +BIT27_0 15367 1 +BIT26_0 15432 1 +BIT25_0 15485 1 +BIT24_0 15562 1 +BIT23_0 15572 1 +BIT22_0 15630 1 +BIT21_0 15639 1 +BIT20_0 15696 1 +BIT19_0 15668 1 +BIT18_0 15740 1 +BIT17_0 15772 1 +BIT16_0 15773 1 +BIT15_0 15379 1 +BIT14_0 15487 1 +BIT13_0 15352 1 +BIT12_0 15442 1 +BIT11_0 15234 1 +BIT10_0 15215 1 +BIT9_0 15391 1 +BIT8_0 15664 1 +BIT7_0 15260 1 +BIT6_0 15570 1 +BIT5_0 15471 1 +BIT4_0 15079 1 +BIT3_0 14916 1 +BIT2_0 15155 1 +BIT1_0 15620 1 +BIT0_0 15541 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 96 0 96 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_NON_ZERO] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (32 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO SHAMT_00 4102 1 +auto_ZERO SHAMT_01 481 1 +auto_ZERO SHAMT_02 90 1 +auto_ZERO SHAMT_03 73 1 +auto_ZERO SHAMT_04 80 1 +auto_ZERO SHAMT_05 50 1 +auto_ZERO SHAMT_06 55 1 +auto_ZERO SHAMT_07 56 1 +auto_ZERO SHAMT_08 107 1 +auto_ZERO SHAMT_09 50 1 +auto_ZERO SHAMT_0a 55 1 +auto_ZERO SHAMT_0b 49 1 +auto_ZERO SHAMT_0c 65 1 +auto_ZERO SHAMT_0d 49 1 +auto_ZERO SHAMT_0e 72 1 +auto_ZERO SHAMT_0f 58 1 +auto_ZERO SHAMT_10 119 1 +auto_ZERO SHAMT_11 47 1 +auto_ZERO SHAMT_12 41 1 +auto_ZERO SHAMT_13 44 1 +auto_ZERO SHAMT_14 77 1 +auto_ZERO SHAMT_15 45 1 +auto_ZERO SHAMT_16 40 1 +auto_ZERO SHAMT_17 38 1 +auto_ZERO SHAMT_18 97 1 +auto_ZERO SHAMT_19 46 1 +auto_ZERO SHAMT_1a 56 1 +auto_ZERO SHAMT_1b 60 1 +auto_ZERO SHAMT_1c 418 1 +auto_ZERO SHAMT_1d 77 1 +auto_ZERO SHAMT_1e 101 1 +auto_ZERO SHAMT_1f 363 1 +auto_POSITIVE SHAMT_00 2865 1 +auto_POSITIVE SHAMT_01 608 1 +auto_POSITIVE SHAMT_02 146 1 +auto_POSITIVE SHAMT_03 118 1 +auto_POSITIVE SHAMT_04 101 1 +auto_POSITIVE SHAMT_05 74 1 +auto_POSITIVE SHAMT_06 92 1 +auto_POSITIVE SHAMT_07 68 1 +auto_POSITIVE SHAMT_08 123 1 +auto_POSITIVE SHAMT_09 78 1 +auto_POSITIVE SHAMT_0a 75 1 +auto_POSITIVE SHAMT_0b 69 1 +auto_POSITIVE SHAMT_0c 92 1 +auto_POSITIVE SHAMT_0d 79 1 +auto_POSITIVE SHAMT_0e 74 1 +auto_POSITIVE SHAMT_0f 84 1 +auto_POSITIVE SHAMT_10 142 1 +auto_POSITIVE SHAMT_11 81 1 +auto_POSITIVE SHAMT_12 72 1 +auto_POSITIVE SHAMT_13 56 1 +auto_POSITIVE SHAMT_14 88 1 +auto_POSITIVE SHAMT_15 80 1 +auto_POSITIVE SHAMT_16 77 1 +auto_POSITIVE SHAMT_17 61 1 +auto_POSITIVE SHAMT_18 92 1 +auto_POSITIVE SHAMT_19 77 1 +auto_POSITIVE SHAMT_1a 89 1 +auto_POSITIVE SHAMT_1b 96 1 +auto_POSITIVE SHAMT_1c 553 1 +auto_POSITIVE SHAMT_1d 87 1 +auto_POSITIVE SHAMT_1e 116 1 +auto_POSITIVE SHAMT_1f 457 1 +auto_NEGATIVE SHAMT_00 2469 1 +auto_NEGATIVE SHAMT_01 464 1 +auto_NEGATIVE SHAMT_02 107 1 +auto_NEGATIVE SHAMT_03 90 1 +auto_NEGATIVE SHAMT_04 97 1 +auto_NEGATIVE SHAMT_05 76 1 +auto_NEGATIVE SHAMT_06 97 1 +auto_NEGATIVE SHAMT_07 64 1 +auto_NEGATIVE SHAMT_08 110 1 +auto_NEGATIVE SHAMT_09 66 1 +auto_NEGATIVE SHAMT_0a 89 1 +auto_NEGATIVE SHAMT_0b 58 1 +auto_NEGATIVE SHAMT_0c 79 1 +auto_NEGATIVE SHAMT_0d 51 1 +auto_NEGATIVE SHAMT_0e 84 1 +auto_NEGATIVE SHAMT_0f 86 1 +auto_NEGATIVE SHAMT_10 133 1 +auto_NEGATIVE SHAMT_11 80 1 +auto_NEGATIVE SHAMT_12 67 1 +auto_NEGATIVE SHAMT_13 54 1 +auto_NEGATIVE SHAMT_14 90 1 +auto_NEGATIVE SHAMT_15 58 1 +auto_NEGATIVE SHAMT_16 76 1 +auto_NEGATIVE SHAMT_17 72 1 +auto_NEGATIVE SHAMT_18 83 1 +auto_NEGATIVE SHAMT_19 55 1 +auto_NEGATIVE SHAMT_1a 75 1 +auto_NEGATIVE SHAMT_1b 58 1 +auto_NEGATIVE SHAMT_1c 499 1 +auto_NEGATIVE SHAMT_1d 77 1 +auto_NEGATIVE SHAMT_1e 150 1 +auto_NEGATIVE SHAMT_1f 573 1 + + +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sll_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_srl_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 388 0 388 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sll_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sll_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 388 0 388 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sll_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sll_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 678 1 +auto[1] 683 1 +auto[2] 622 1 +auto[3] 599 1 +auto[4] 660 1 +auto[5] 602 1 +auto[6] 613 1 +auto[7] 648 1 +auto[8] 632 1 +auto[9] 647 1 +auto[10] 610 1 +auto[11] 649 1 +auto[12] 619 1 +auto[13] 625 1 +auto[14] 577 1 +auto[15] 615 1 +auto[16] 648 1 +auto[17] 629 1 +auto[18] 673 1 +auto[19] 779 1 +auto[20] 637 1 +auto[21] 665 1 +auto[22] 652 1 +auto[23] 624 1 +auto[24] 576 1 +auto[25] 595 1 +auto[26] 653 1 +auto[27] 648 1 +auto[28] 731 1 +auto[29] 595 1 +auto[30] 568 1 +auto[31] 589 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 610 1 +auto[1] 588 1 +auto[2] 655 1 +auto[3] 641 1 +auto[4] 595 1 +auto[5] 624 1 +auto[6] 666 1 +auto[7] 632 1 +auto[8] 738 1 +auto[9] 603 1 +auto[10] 663 1 +auto[11] 627 1 +auto[12] 600 1 +auto[13] 587 1 +auto[14] 679 1 +auto[15] 589 1 +auto[16] 655 1 +auto[17] 639 1 +auto[18] 642 1 +auto[19] 625 1 +auto[20] 623 1 +auto[21] 670 1 +auto[22] 595 1 +auto[23] 633 1 +auto[24] 773 1 +auto[25] 619 1 +auto[26] 624 1 +auto[27] 616 1 +auto[28] 618 1 +auto[29] 631 1 +auto[30] 658 1 +auto[31] 623 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 680 1 +auto[1] 640 1 +auto[2] 602 1 +auto[3] 672 1 +auto[4] 567 1 +auto[5] 621 1 +auto[6] 622 1 +auto[7] 602 1 +auto[8] 586 1 +auto[9] 671 1 +auto[10] 594 1 +auto[11] 588 1 +auto[12] 741 1 +auto[13] 763 1 +auto[14] 677 1 +auto[15] 638 1 +auto[16] 588 1 +auto[17] 575 1 +auto[18] 651 1 +auto[19] 623 1 +auto[20] 645 1 +auto[21] 623 1 +auto[22] 571 1 +auto[23] 694 1 +auto[24] 600 1 +auto[25] 604 1 +auto[26] 693 1 +auto[27] 656 1 +auto[28] 603 1 +auto[29] 618 1 +auto[30] 702 1 +auto[31] 631 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 69 1 +RD_02 75 1 +RD_03 77 1 +RD_04 59 1 +RD_05 68 1 +RD_06 59 1 +RD_07 60 1 +RD_08 70 1 +RD_09 82 1 +RD_0a 68 1 +RD_0b 51 1 +RD_0c 74 1 +RD_0d 60 1 +RD_0e 75 1 +RD_0f 66 1 +RD_10 65 1 +RD_11 66 1 +RD_12 86 1 +RD_13 74 1 +RD_14 69 1 +RD_15 69 1 +RD_16 62 1 +RD_17 52 1 +RD_18 49 1 +RD_19 72 1 +RD_1a 66 1 +RD_1b 74 1 +RD_1c 77 1 +RD_1d 61 1 +RD_1e 69 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 74 1 +RD_01 68 1 +RD_02 78 1 +RD_03 74 1 +RD_04 58 1 +RD_05 73 1 +RD_06 58 1 +RD_07 64 1 +RD_08 76 1 +RD_09 76 1 +RD_0a 68 1 +RD_0b 57 1 +RD_0c 79 1 +RD_0d 66 1 +RD_0e 85 1 +RD_0f 63 1 +RD_10 60 1 +RD_11 67 1 +RD_12 78 1 +RD_13 80 1 +RD_14 69 1 +RD_15 59 1 +RD_16 64 1 +RD_17 49 1 +RD_18 50 1 +RD_19 64 1 +RD_1a 66 1 +RD_1b 63 1 +RD_1c 70 1 +RD_1d 60 1 +RD_1e 78 1 +RD_1f 75 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7055 1 +auto_NON_ZERO 13286 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 9180 1 +SHAMT_01 1500 1 +SHAMT_02 401 1 +SHAMT_03 248 1 +SHAMT_04 372 1 +SHAMT_05 209 1 +SHAMT_06 231 1 +SHAMT_07 191 1 +SHAMT_08 304 1 +SHAMT_09 231 1 +SHAMT_0a 252 1 +SHAMT_0b 188 1 +SHAMT_0c 269 1 +SHAMT_0d 171 1 +SHAMT_0e 218 1 +SHAMT_0f 223 1 +SHAMT_10 341 1 +SHAMT_11 155 1 +SHAMT_12 235 1 +SHAMT_13 153 1 +SHAMT_14 289 1 +SHAMT_15 186 1 +SHAMT_16 213 1 +SHAMT_17 210 1 +SHAMT_18 303 1 +SHAMT_19 171 1 +SHAMT_1a 234 1 +SHAMT_1b 194 1 +SHAMT_1c 1431 1 +SHAMT_1d 212 1 +SHAMT_1e 358 1 +SHAMT_1f 1468 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8120 1 +auto_NON_ZERO 12221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6271 1 +BIT30_1 4104 1 +BIT29_1 4056 1 +BIT28_1 4107 1 +BIT27_1 3954 1 +BIT26_1 3919 1 +BIT25_1 3918 1 +BIT24_1 3901 1 +BIT23_1 3907 1 +BIT22_1 3911 1 +BIT21_1 3905 1 +BIT20_1 3927 1 +BIT19_1 3871 1 +BIT18_1 3928 1 +BIT17_1 3899 1 +BIT16_1 4201 1 +BIT15_1 4923 1 +BIT14_1 4845 1 +BIT13_1 5180 1 +BIT12_1 4871 1 +BIT11_1 5470 1 +BIT10_1 5447 1 +BIT9_1 4927 1 +BIT8_1 4344 1 +BIT7_1 5247 1 +BIT6_1 4639 1 +BIT5_1 4763 1 +BIT4_1 6103 1 +BIT3_1 6216 1 +BIT2_1 6179 1 +BIT1_1 5018 1 +BIT0_1 5735 1 +BIT31_0 14070 1 +BIT30_0 16237 1 +BIT29_0 16285 1 +BIT28_0 16234 1 +BIT27_0 16387 1 +BIT26_0 16422 1 +BIT25_0 16423 1 +BIT24_0 16440 1 +BIT23_0 16434 1 +BIT22_0 16430 1 +BIT21_0 16436 1 +BIT20_0 16414 1 +BIT19_0 16470 1 +BIT18_0 16413 1 +BIT17_0 16442 1 +BIT16_0 16140 1 +BIT15_0 15418 1 +BIT14_0 15496 1 +BIT13_0 15161 1 +BIT12_0 15470 1 +BIT11_0 14871 1 +BIT10_0 14894 1 +BIT9_0 15414 1 +BIT8_0 15997 1 +BIT7_0 15094 1 +BIT6_0 15702 1 +BIT5_0 15578 1 +BIT4_0 14238 1 +BIT3_0 14125 1 +BIT2_0 14162 1 +BIT1_0 15323 1 +BIT0_0 14606 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6197 1 +BIT30_1 4037 1 +BIT29_1 4020 1 +BIT28_1 4030 1 +BIT27_1 3891 1 +BIT26_1 3908 1 +BIT25_1 3817 1 +BIT24_1 3831 1 +BIT23_1 3827 1 +BIT22_1 3849 1 +BIT21_1 3822 1 +BIT20_1 3913 1 +BIT19_1 3904 1 +BIT18_1 3933 1 +BIT17_1 3872 1 +BIT16_1 4052 1 +BIT15_1 4954 1 +BIT14_1 4852 1 +BIT13_1 5203 1 +BIT12_1 4930 1 +BIT11_1 5494 1 +BIT10_1 5540 1 +BIT9_1 4870 1 +BIT8_1 4415 1 +BIT7_1 5331 1 +BIT6_1 4670 1 +BIT5_1 4882 1 +BIT4_1 6153 1 +BIT3_1 6227 1 +BIT2_1 6251 1 +BIT1_1 5017 1 +BIT0_1 5710 1 +BIT31_0 14144 1 +BIT30_0 16304 1 +BIT29_0 16321 1 +BIT28_0 16311 1 +BIT27_0 16450 1 +BIT26_0 16433 1 +BIT25_0 16524 1 +BIT24_0 16510 1 +BIT23_0 16514 1 +BIT22_0 16492 1 +BIT21_0 16519 1 +BIT20_0 16428 1 +BIT19_0 16437 1 +BIT18_0 16408 1 +BIT17_0 16469 1 +BIT16_0 16289 1 +BIT15_0 15387 1 +BIT14_0 15489 1 +BIT13_0 15138 1 +BIT12_0 15411 1 +BIT11_0 14847 1 +BIT10_0 14801 1 +BIT9_0 15471 1 +BIT8_0 15926 1 +BIT7_0 15010 1 +BIT6_0 15671 1 +BIT5_0 15459 1 +BIT4_0 14188 1 +BIT3_0 14114 1 +BIT2_0 14090 1 +BIT1_0 15324 1 +BIT0_0 14631 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5391 1 +BIT30_1 3975 1 +BIT29_1 3855 1 +BIT28_1 3791 1 +BIT27_1 3451 1 +BIT26_1 3279 1 +BIT25_1 3269 1 +BIT24_1 3218 1 +BIT23_1 3179 1 +BIT22_1 3154 1 +BIT21_1 3083 1 +BIT20_1 2986 1 +BIT19_1 2964 1 +BIT18_1 2889 1 +BIT17_1 2987 1 +BIT16_1 2972 1 +BIT15_1 3240 1 +BIT14_1 3045 1 +BIT13_1 3240 1 +BIT12_1 3050 1 +BIT11_1 3277 1 +BIT10_1 3092 1 +BIT9_1 2729 1 +BIT8_1 2515 1 +BIT7_1 2786 1 +BIT6_1 2441 1 +BIT5_1 2555 1 +BIT4_1 3016 1 +BIT3_1 2916 1 +BIT2_1 2754 1 +BIT1_1 2422 1 +BIT0_1 2111 1 +BIT31_0 14950 1 +BIT30_0 16366 1 +BIT29_0 16486 1 +BIT28_0 16550 1 +BIT27_0 16890 1 +BIT26_0 17062 1 +BIT25_0 17072 1 +BIT24_0 17123 1 +BIT23_0 17162 1 +BIT22_0 17187 1 +BIT21_0 17258 1 +BIT20_0 17355 1 +BIT19_0 17377 1 +BIT18_0 17452 1 +BIT17_0 17354 1 +BIT16_0 17369 1 +BIT15_0 17101 1 +BIT14_0 17296 1 +BIT13_0 17101 1 +BIT12_0 17291 1 +BIT11_0 17064 1 +BIT10_0 17249 1 +BIT9_0 17612 1 +BIT8_0 17826 1 +BIT7_0 17555 1 +BIT6_0 17900 1 +BIT5_0 17786 1 +BIT4_0 17325 1 +BIT3_0 17425 1 +BIT2_0 17587 1 +BIT1_0 17919 1 +BIT0_0 18230 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO SHAMT_00 3885 1 +auto_ZERO SHAMT_01 432 1 +auto_ZERO SHAMT_02 131 1 +auto_ZERO SHAMT_03 78 1 +auto_ZERO SHAMT_04 93 1 +auto_ZERO SHAMT_05 58 1 +auto_ZERO SHAMT_06 64 1 +auto_ZERO SHAMT_07 42 1 +auto_ZERO SHAMT_08 75 1 +auto_ZERO SHAMT_09 68 1 +auto_ZERO SHAMT_0a 62 1 +auto_ZERO SHAMT_0b 65 1 +auto_ZERO SHAMT_0c 81 1 +auto_ZERO SHAMT_0d 46 1 +auto_ZERO SHAMT_0e 54 1 +auto_ZERO SHAMT_0f 56 1 +auto_ZERO SHAMT_10 106 1 +auto_ZERO SHAMT_11 42 1 +auto_ZERO SHAMT_12 72 1 +auto_ZERO SHAMT_13 39 1 +auto_ZERO SHAMT_14 55 1 +auto_ZERO SHAMT_15 44 1 +auto_ZERO SHAMT_16 56 1 +auto_ZERO SHAMT_17 61 1 +auto_ZERO SHAMT_18 100 1 +auto_ZERO SHAMT_19 40 1 +auto_ZERO SHAMT_1a 68 1 +auto_ZERO SHAMT_1b 54 1 +auto_ZERO SHAMT_1c 461 1 +auto_ZERO SHAMT_1d 58 1 +auto_ZERO SHAMT_1e 95 1 +auto_ZERO SHAMT_1f 414 1 +auto_NON_ZERO SHAMT_00 5295 1 +auto_NON_ZERO SHAMT_01 1068 1 +auto_NON_ZERO SHAMT_02 270 1 +auto_NON_ZERO SHAMT_03 170 1 +auto_NON_ZERO SHAMT_04 279 1 +auto_NON_ZERO SHAMT_05 151 1 +auto_NON_ZERO SHAMT_06 167 1 +auto_NON_ZERO SHAMT_07 149 1 +auto_NON_ZERO SHAMT_08 229 1 +auto_NON_ZERO SHAMT_09 163 1 +auto_NON_ZERO SHAMT_0a 190 1 +auto_NON_ZERO SHAMT_0b 123 1 +auto_NON_ZERO SHAMT_0c 188 1 +auto_NON_ZERO SHAMT_0d 125 1 +auto_NON_ZERO SHAMT_0e 164 1 +auto_NON_ZERO SHAMT_0f 167 1 +auto_NON_ZERO SHAMT_10 235 1 +auto_NON_ZERO SHAMT_11 113 1 +auto_NON_ZERO SHAMT_12 163 1 +auto_NON_ZERO SHAMT_13 114 1 +auto_NON_ZERO SHAMT_14 234 1 +auto_NON_ZERO SHAMT_15 142 1 +auto_NON_ZERO SHAMT_16 157 1 +auto_NON_ZERO SHAMT_17 149 1 +auto_NON_ZERO SHAMT_18 203 1 +auto_NON_ZERO SHAMT_19 131 1 +auto_NON_ZERO SHAMT_1a 166 1 +auto_NON_ZERO SHAMT_1b 140 1 +auto_NON_ZERO SHAMT_1c 970 1 +auto_NON_ZERO SHAMT_1d 154 1 +auto_NON_ZERO SHAMT_1e 263 1 +auto_NON_ZERO SHAMT_1f 1054 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_srl_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_srl_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 388 0 388 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_srl_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_srl_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 602 1 +auto[1] 641 1 +auto[2] 561 1 +auto[3] 618 1 +auto[4] 610 1 +auto[5] 601 1 +auto[6] 654 1 +auto[7] 689 1 +auto[8] 622 1 +auto[9] 635 1 +auto[10] 585 1 +auto[11] 593 1 +auto[12] 625 1 +auto[13] 683 1 +auto[14] 595 1 +auto[15] 624 1 +auto[16] 649 1 +auto[17] 675 1 +auto[18] 619 1 +auto[19] 617 1 +auto[20] 608 1 +auto[21] 646 1 +auto[22] 634 1 +auto[23] 659 1 +auto[24] 735 1 +auto[25] 614 1 +auto[26] 624 1 +auto[27] 608 1 +auto[28] 643 1 +auto[29] 636 1 +auto[30] 690 1 +auto[31] 674 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 677 1 +auto[1] 637 1 +auto[2] 708 1 +auto[3] 567 1 +auto[4] 601 1 +auto[5] 611 1 +auto[6] 618 1 +auto[7] 576 1 +auto[8] 684 1 +auto[9] 685 1 +auto[10] 651 1 +auto[11] 626 1 +auto[12] 656 1 +auto[13] 597 1 +auto[14] 667 1 +auto[15] 639 1 +auto[16] 577 1 +auto[17] 629 1 +auto[18] 666 1 +auto[19] 607 1 +auto[20] 621 1 +auto[21] 584 1 +auto[22] 644 1 +auto[23] 646 1 +auto[24] 682 1 +auto[25] 704 1 +auto[26] 624 1 +auto[27] 604 1 +auto[28] 632 1 +auto[29] 596 1 +auto[30] 643 1 +auto[31] 610 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 634 1 +auto[1] 630 1 +auto[2] 639 1 +auto[3] 605 1 +auto[4] 668 1 +auto[5] 646 1 +auto[6] 652 1 +auto[7] 602 1 +auto[8] 585 1 +auto[9] 606 1 +auto[10] 661 1 +auto[11] 617 1 +auto[12] 651 1 +auto[13] 615 1 +auto[14] 626 1 +auto[15] 580 1 +auto[16] 631 1 +auto[17] 666 1 +auto[18] 594 1 +auto[19] 692 1 +auto[20] 609 1 +auto[21] 554 1 +auto[22] 651 1 +auto[23] 625 1 +auto[24] 652 1 +auto[25] 672 1 +auto[26] 680 1 +auto[27] 638 1 +auto[28] 723 1 +auto[29] 620 1 +auto[30] 609 1 +auto[31] 636 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 56 1 +RD_01 68 1 +RD_02 57 1 +RD_03 66 1 +RD_04 78 1 +RD_05 70 1 +RD_06 73 1 +RD_07 75 1 +RD_08 60 1 +RD_09 67 1 +RD_0a 60 1 +RD_0b 69 1 +RD_0c 82 1 +RD_0d 67 1 +RD_0e 60 1 +RD_0f 65 1 +RD_10 65 1 +RD_11 75 1 +RD_12 80 1 +RD_13 71 1 +RD_14 62 1 +RD_15 53 1 +RD_16 67 1 +RD_17 63 1 +RD_18 70 1 +RD_19 74 1 +RD_1a 76 1 +RD_1b 61 1 +RD_1c 73 1 +RD_1d 66 1 +RD_1e 74 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 72 1 +RD_02 63 1 +RD_03 63 1 +RD_04 71 1 +RD_05 75 1 +RD_06 91 1 +RD_07 59 1 +RD_08 57 1 +RD_09 69 1 +RD_0a 76 1 +RD_0b 74 1 +RD_0c 84 1 +RD_0d 71 1 +RD_0e 67 1 +RD_0f 68 1 +RD_10 60 1 +RD_11 80 1 +RD_12 78 1 +RD_13 72 1 +RD_14 63 1 +RD_15 62 1 +RD_16 61 1 +RD_17 76 1 +RD_18 78 1 +RD_19 73 1 +RD_1a 67 1 +RD_1b 71 1 +RD_1c 77 1 +RD_1d 69 1 +RD_1e 78 1 +RD_1f 45 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6965 1 +auto_NON_ZERO 13304 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 9312 1 +SHAMT_01 1522 1 +SHAMT_02 342 1 +SHAMT_03 280 1 +SHAMT_04 314 1 +SHAMT_05 183 1 +SHAMT_06 229 1 +SHAMT_07 193 1 +SHAMT_08 350 1 +SHAMT_09 207 1 +SHAMT_0a 240 1 +SHAMT_0b 197 1 +SHAMT_0c 257 1 +SHAMT_0d 157 1 +SHAMT_0e 218 1 +SHAMT_0f 223 1 +SHAMT_10 364 1 +SHAMT_11 195 1 +SHAMT_12 189 1 +SHAMT_13 152 1 +SHAMT_14 253 1 +SHAMT_15 170 1 +SHAMT_16 191 1 +SHAMT_17 185 1 +SHAMT_18 264 1 +SHAMT_19 166 1 +SHAMT_1a 227 1 +SHAMT_1b 197 1 +SHAMT_1c 1386 1 +SHAMT_1d 229 1 +SHAMT_1e 341 1 +SHAMT_1f 1536 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9477 1 +auto_NON_ZERO 10792 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6396 1 +BIT30_1 4118 1 +BIT29_1 4089 1 +BIT28_1 4187 1 +BIT27_1 4038 1 +BIT26_1 3949 1 +BIT25_1 3887 1 +BIT24_1 4015 1 +BIT23_1 3830 1 +BIT22_1 3910 1 +BIT21_1 3946 1 +BIT20_1 3895 1 +BIT19_1 3887 1 +BIT18_1 3858 1 +BIT17_1 3843 1 +BIT16_1 4148 1 +BIT15_1 5078 1 +BIT14_1 5047 1 +BIT13_1 5400 1 +BIT12_1 5010 1 +BIT11_1 5715 1 +BIT10_1 5730 1 +BIT9_1 4815 1 +BIT8_1 4270 1 +BIT7_1 5369 1 +BIT6_1 4623 1 +BIT5_1 4898 1 +BIT4_1 6259 1 +BIT3_1 6230 1 +BIT2_1 6262 1 +BIT1_1 4898 1 +BIT0_1 5651 1 +BIT31_0 13873 1 +BIT30_0 16151 1 +BIT29_0 16180 1 +BIT28_0 16082 1 +BIT27_0 16231 1 +BIT26_0 16320 1 +BIT25_0 16382 1 +BIT24_0 16254 1 +BIT23_0 16439 1 +BIT22_0 16359 1 +BIT21_0 16323 1 +BIT20_0 16374 1 +BIT19_0 16382 1 +BIT18_0 16411 1 +BIT17_0 16426 1 +BIT16_0 16121 1 +BIT15_0 15191 1 +BIT14_0 15222 1 +BIT13_0 14869 1 +BIT12_0 15259 1 +BIT11_0 14554 1 +BIT10_0 14539 1 +BIT9_0 15454 1 +BIT8_0 15999 1 +BIT7_0 14900 1 +BIT6_0 15646 1 +BIT5_0 15371 1 +BIT4_0 14010 1 +BIT3_0 14039 1 +BIT2_0 14007 1 +BIT1_0 15371 1 +BIT0_0 14618 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6184 1 +BIT30_1 4088 1 +BIT29_1 4048 1 +BIT28_1 4143 1 +BIT27_1 3982 1 +BIT26_1 3944 1 +BIT25_1 3846 1 +BIT24_1 3947 1 +BIT23_1 3907 1 +BIT22_1 3911 1 +BIT21_1 3968 1 +BIT20_1 3940 1 +BIT19_1 3899 1 +BIT18_1 3905 1 +BIT17_1 3867 1 +BIT16_1 4076 1 +BIT15_1 4957 1 +BIT14_1 4925 1 +BIT13_1 5174 1 +BIT12_1 4978 1 +BIT11_1 5452 1 +BIT10_1 5467 1 +BIT9_1 4844 1 +BIT8_1 4291 1 +BIT7_1 5288 1 +BIT6_1 4715 1 +BIT5_1 4846 1 +BIT4_1 6045 1 +BIT3_1 6195 1 +BIT2_1 6065 1 +BIT1_1 4940 1 +BIT0_1 5792 1 +BIT31_0 14085 1 +BIT30_0 16181 1 +BIT29_0 16221 1 +BIT28_0 16126 1 +BIT27_0 16287 1 +BIT26_0 16325 1 +BIT25_0 16423 1 +BIT24_0 16322 1 +BIT23_0 16362 1 +BIT22_0 16358 1 +BIT21_0 16301 1 +BIT20_0 16329 1 +BIT19_0 16370 1 +BIT18_0 16364 1 +BIT17_0 16402 1 +BIT16_0 16193 1 +BIT15_0 15312 1 +BIT14_0 15344 1 +BIT13_0 15095 1 +BIT12_0 15291 1 +BIT11_0 14817 1 +BIT10_0 14802 1 +BIT9_0 15425 1 +BIT8_0 15978 1 +BIT7_0 14981 1 +BIT6_0 15554 1 +BIT5_0 15423 1 +BIT4_0 14224 1 +BIT3_0 14074 1 +BIT2_0 14204 1 +BIT1_0 15329 1 +BIT0_0 14477 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2511 1 +BIT30_1 2083 1 +BIT29_1 2063 1 +BIT28_1 2099 1 +BIT27_1 2186 1 +BIT26_1 2100 1 +BIT25_1 2126 1 +BIT24_1 2249 1 +BIT23_1 2229 1 +BIT22_1 2208 1 +BIT21_1 2364 1 +BIT20_1 2374 1 +BIT19_1 2453 1 +BIT18_1 2337 1 +BIT17_1 2410 1 +BIT16_1 2608 1 +BIT15_1 3080 1 +BIT14_1 3208 1 +BIT13_1 3394 1 +BIT12_1 3277 1 +BIT11_1 3559 1 +BIT10_1 3618 1 +BIT9_1 3452 1 +BIT8_1 3098 1 +BIT7_1 3530 1 +BIT6_1 3313 1 +BIT5_1 3526 1 +BIT4_1 4098 1 +BIT3_1 4706 1 +BIT2_1 4606 1 +BIT1_1 4185 1 +BIT0_1 5172 1 +BIT31_0 17758 1 +BIT30_0 18186 1 +BIT29_0 18206 1 +BIT28_0 18170 1 +BIT27_0 18083 1 +BIT26_0 18169 1 +BIT25_0 18143 1 +BIT24_0 18020 1 +BIT23_0 18040 1 +BIT22_0 18061 1 +BIT21_0 17905 1 +BIT20_0 17895 1 +BIT19_0 17816 1 +BIT18_0 17932 1 +BIT17_0 17859 1 +BIT16_0 17661 1 +BIT15_0 17189 1 +BIT14_0 17061 1 +BIT13_0 16875 1 +BIT12_0 16992 1 +BIT11_0 16710 1 +BIT10_0 16651 1 +BIT9_0 16817 1 +BIT8_0 17171 1 +BIT7_0 16739 1 +BIT6_0 16956 1 +BIT5_0 16743 1 +BIT4_0 16171 1 +BIT3_0 15563 1 +BIT2_0 15663 1 +BIT1_0 16084 1 +BIT0_0 15097 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO SHAMT_00 3977 1 +auto_ZERO SHAMT_01 422 1 +auto_ZERO SHAMT_02 88 1 +auto_ZERO SHAMT_03 59 1 +auto_ZERO SHAMT_04 80 1 +auto_ZERO SHAMT_05 57 1 +auto_ZERO SHAMT_06 62 1 +auto_ZERO SHAMT_07 59 1 +auto_ZERO SHAMT_08 93 1 +auto_ZERO SHAMT_09 52 1 +auto_ZERO SHAMT_0a 60 1 +auto_ZERO SHAMT_0b 46 1 +auto_ZERO SHAMT_0c 70 1 +auto_ZERO SHAMT_0d 43 1 +auto_ZERO SHAMT_0e 60 1 +auto_ZERO SHAMT_0f 66 1 +auto_ZERO SHAMT_10 94 1 +auto_ZERO SHAMT_11 56 1 +auto_ZERO SHAMT_12 43 1 +auto_ZERO SHAMT_13 42 1 +auto_ZERO SHAMT_14 77 1 +auto_ZERO SHAMT_15 40 1 +auto_ZERO SHAMT_16 52 1 +auto_ZERO SHAMT_17 47 1 +auto_ZERO SHAMT_18 97 1 +auto_ZERO SHAMT_19 33 1 +auto_ZERO SHAMT_1a 72 1 +auto_ZERO SHAMT_1b 47 1 +auto_ZERO SHAMT_1c 412 1 +auto_ZERO SHAMT_1d 66 1 +auto_ZERO SHAMT_1e 93 1 +auto_ZERO SHAMT_1f 400 1 +auto_NON_ZERO SHAMT_00 5335 1 +auto_NON_ZERO SHAMT_01 1100 1 +auto_NON_ZERO SHAMT_02 254 1 +auto_NON_ZERO SHAMT_03 221 1 +auto_NON_ZERO SHAMT_04 234 1 +auto_NON_ZERO SHAMT_05 126 1 +auto_NON_ZERO SHAMT_06 167 1 +auto_NON_ZERO SHAMT_07 134 1 +auto_NON_ZERO SHAMT_08 257 1 +auto_NON_ZERO SHAMT_09 155 1 +auto_NON_ZERO SHAMT_0a 180 1 +auto_NON_ZERO SHAMT_0b 151 1 +auto_NON_ZERO SHAMT_0c 187 1 +auto_NON_ZERO SHAMT_0d 114 1 +auto_NON_ZERO SHAMT_0e 158 1 +auto_NON_ZERO SHAMT_0f 157 1 +auto_NON_ZERO SHAMT_10 270 1 +auto_NON_ZERO SHAMT_11 139 1 +auto_NON_ZERO SHAMT_12 146 1 +auto_NON_ZERO SHAMT_13 110 1 +auto_NON_ZERO SHAMT_14 176 1 +auto_NON_ZERO SHAMT_15 130 1 +auto_NON_ZERO SHAMT_16 139 1 +auto_NON_ZERO SHAMT_17 138 1 +auto_NON_ZERO SHAMT_18 167 1 +auto_NON_ZERO SHAMT_19 133 1 +auto_NON_ZERO SHAMT_1a 155 1 +auto_NON_ZERO SHAMT_1b 150 1 +auto_NON_ZERO SHAMT_1c 974 1 +auto_NON_ZERO SHAMT_1d 163 1 +auto_NON_ZERO SHAMT_1e 248 1 +auto_NON_ZERO SHAMT_1f 1136 1 + + +Group : uvma_isacov_pkg::cg_cr_j + +=============================================================================== +Group : uvma_isacov_pkg::cg_cr_j +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_jalr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_jr_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cr_j + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 97 0 97 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cr_j + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_jalr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_j + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_jalr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 97 0 97 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_jalr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 8 1 +auto[2] 3 1 +auto[3] 14 1 +auto[4] 9 1 +auto[5] 8 1 +auto[6] 4 1 +auto[7] 18 1 +auto[8] 12 1 +auto[9] 31 1 +auto[10] 17 1 +auto[11] 6 1 +auto[12] 16 1 +auto[13] 7 1 +auto[14] 5 1 +auto[15] 4 1 +auto[16] 9 1 +auto[17] 11 1 +auto[18] 3 1 +auto[19] 10 1 +auto[20] 6 1 +auto[21] 3 1 +auto[22] 3 1 +auto[23] 1 1 +auto[24] 6 1 +auto[25] 9 1 +auto[26] 3 1 +auto[27] 15 1 +auto[28] 19 1 +auto[29] 12 1 +auto[30] 10 1 +auto[31] 20 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 301 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 301 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 1 1 +BIT15_1 1 1 +BIT14_1 1 1 +BIT13_1 32 1 +BIT12_1 32 1 +BIT11_1 82 1 +BIT10_1 98 1 +BIT9_1 152 1 +BIT8_1 178 1 +BIT7_1 144 1 +BIT6_1 128 1 +BIT5_1 155 1 +BIT4_1 137 1 +BIT3_1 142 1 +BIT2_1 135 1 +BIT1_1 121 1 +BIT0_1 131 1 +BIT31_0 1 1 +BIT30_0 301 1 +BIT29_0 301 1 +BIT28_0 301 1 +BIT27_0 301 1 +BIT26_0 301 1 +BIT25_0 301 1 +BIT24_0 301 1 +BIT23_0 301 1 +BIT22_0 301 1 +BIT21_0 301 1 +BIT20_0 301 1 +BIT19_0 301 1 +BIT18_0 301 1 +BIT17_0 301 1 +BIT16_0 301 1 +BIT15_0 301 1 +BIT14_0 301 1 +BIT13_0 270 1 +BIT12_0 270 1 +BIT11_0 220 1 +BIT10_0 204 1 +BIT9_0 150 1 +BIT8_0 124 1 +BIT7_0 158 1 +BIT6_0 174 1 +BIT5_0 147 1 +BIT4_0 165 1 +BIT3_0 160 1 +BIT2_0 167 1 +BIT1_0 181 1 +BIT0_0 171 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_jr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_j + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_jr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 97 0 97 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_jr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 96 1 +auto[2] 8 1 +auto[3] 10 1 +auto[4] 15 1 +auto[5] 17 1 +auto[6] 5 1 +auto[7] 2 1 +auto[8] 12 1 +auto[9] 9 1 +auto[10] 9 1 +auto[11] 10 1 +auto[12] 7 1 +auto[13] 11 1 +auto[14] 4 1 +auto[15] 3 1 +auto[16] 4 1 +auto[17] 15 1 +auto[18] 9 1 +auto[19] 22 1 +auto[20] 6 1 +auto[21] 8 1 +auto[22] 14 1 +auto[23] 7 1 +auto[24] 1 1 +auto[25] 5 1 +auto[26] 3 1 +auto[27] 5 1 +auto[28] 1 1 +auto[29] 34 1 +auto[30] 8 1 +auto[31] 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 360 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 360 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 5 1 +BIT15_1 11 1 +BIT14_1 39 1 +BIT13_1 78 1 +BIT12_1 88 1 +BIT11_1 124 1 +BIT10_1 115 1 +BIT9_1 147 1 +BIT8_1 204 1 +BIT7_1 174 1 +BIT6_1 170 1 +BIT5_1 189 1 +BIT4_1 157 1 +BIT3_1 211 1 +BIT2_1 170 1 +BIT1_1 141 1 +BIT0_1 131 1 +BIT31_0 1 1 +BIT30_0 360 1 +BIT29_0 360 1 +BIT28_0 360 1 +BIT27_0 360 1 +BIT26_0 360 1 +BIT25_0 360 1 +BIT24_0 360 1 +BIT23_0 360 1 +BIT22_0 360 1 +BIT21_0 360 1 +BIT20_0 360 1 +BIT19_0 360 1 +BIT18_0 360 1 +BIT17_0 360 1 +BIT16_0 356 1 +BIT15_0 350 1 +BIT14_0 322 1 +BIT13_0 283 1 +BIT12_0 273 1 +BIT11_0 237 1 +BIT10_0 246 1 +BIT9_0 214 1 +BIT8_0 157 1 +BIT7_0 187 1 +BIT6_0 191 1 +BIT5_0 172 1 +BIT4_0 204 1 +BIT3_0 150 1 +BIT2_0 191 1 +BIT1_0 220 1 +BIT0_0 230 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr6.pmpaddr6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR6 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 176 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER5 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr37.pmpaddr37__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR37 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR37 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 23 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 1 0 1 100.00 100 1 1 0 +PMP58CFG 1 0 1 100.00 100 1 1 0 +PMP57CFG 1 0 1 100.00 100 1 1 0 +PMP56CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg14.pmpcfg14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 1 0 1 100.00 100 1 1 0 +PMP58CFG 1 0 1 100.00 100 1 1 0 +PMP57CFG 1 0 1 100.00 100 1 1 0 +PMP56CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP59CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP59CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP58CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP58CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP57CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP57CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP56CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP56CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +Group : uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycleh::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycleh.mcycleh__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycleh.mcycleh__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycleh.mcycleh__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mcycleh.mcycleh__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLEH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MCYCLEH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 71 1 +other_values[1431655766:2863311530] 9 1 +other_values[2863311531:ffffffff] 11 1 +reset_value 181 1 + + +Group : uvme_cva6_pkg::reg_minstreth::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstreth::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstreth.minstreth__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstreth.minstreth__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstreth.minstreth__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.minstreth.minstreth__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRETH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MINSTRETH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 71 1 +other_values[1431655766:2863311530] 8 1 +other_values[2863311531:ffffffff] 12 1 +reset_value 166 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER16 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 20 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 1 0 1 100.00 100 1 1 0 +PMP30CFG 1 0 1 100.00 100 1 1 0 +PMP29CFG 1 0 1 100.00 100 1 1 0 +PMP28CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg7.pmpcfg7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 1 0 1 100.00 100 1 1 0 +PMP30CFG 1 0 1 100.00 100 1 1 0 +PMP29CFG 1 0 1 100.00 100 1 1 0 +PMP28CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP31CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP31CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP30CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP30CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP29CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP29CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP28CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP28CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent25.mhpmevent25__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT25 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent3.mhpmevent3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT3 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 215 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr40.pmpaddr40__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR40 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR40 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER22 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr43.pmpaddr43__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR43 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR43 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 129 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr28.pmpaddr28__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR28 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 21 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent24.mhpmevent24__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT24 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr5.pmpaddr5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR5 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 45 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER8H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 235 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent27.mhpmevent27__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT27 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 34 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr22.pmpaddr22__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR22 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 150 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr60.pmpaddr60__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR60 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR60 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr49.pmpaddr49__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR49 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR49 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 28 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent26.mhpmevent26__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT26 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mtvec::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtvec::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtvec.mtvec__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtvec.mtvec__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtvec.mtvec__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group Instance csr_reg_cov.mtvec.mtvec__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable BASE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for BASE + + +Bins + +NAME COUNT AT LEAST +other_values 2416 1 +reset_value 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MODE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MODE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 8 1 +illegal_values[2:3] 16 1 +legal_values 2401 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER11 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent20.mhpmevent20__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT20 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 46 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr47.pmpaddr47__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR47 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR47 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 134 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent21.mhpmevent21__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT21 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr26.pmpaddr26__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR26 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 135 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent22.mhpmevent22__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT22 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER29 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER7H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 215 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent23.mhpmevent23__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT23 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mcycle::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycle::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycle.mcycle__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycle.mcycle__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycle.mcycle__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mcycle.mcycle__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MCYCLE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 94 1 +other_values[1431655766:2863311530] 15 1 +other_values[2863311531:ffffffff] 20 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 4 0 4 100.00 100 1 1 0 +PMP14CFG 4 0 4 100.00 100 1 1 0 +PMP13CFG 4 0 4 100.00 100 1 1 0 +PMP12CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg3.pmpcfg3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 4 0 4 100.00 100 1 1 0 +PMP14CFG 4 0 4 100.00 100 1 1 0 +PMP13CFG 4 0 4 100.00 100 1 1 0 +PMP12CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP15CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP15CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 16 1 +legal_values 124 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP14CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP14CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 11 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 18 1 +legal_values 126 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP13CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP13CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 18 1 +legal_values 122 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP12CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP12CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 90 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 14 1 +legal_values 44 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent9.mhpmevent9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT9 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr62.pmpaddr62__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR62 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR62 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 7 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER20 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr20.pmpaddr20__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR20 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 32 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 15 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 1 0 1 100.00 100 1 1 0 +PMP22CFG 1 0 1 100.00 100 1 1 0 +PMP21CFG 1 0 1 100.00 100 1 1 0 +PMP20CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg5.pmpcfg5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 1 0 1 100.00 100 1 1 0 +PMP22CFG 1 0 1 100.00 100 1 1 0 +PMP21CFG 1 0 1 100.00 100 1 1 0 +PMP20CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP23CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP23CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP22CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP22CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP21CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP21CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP20CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP20CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 1 0 1 100.00 100 1 1 0 +PMP6CFG 1 0 1 100.00 100 1 1 0 +PMP5CFG 1 0 1 100.00 100 1 1 0 +PMP4CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg1.pmpcfg1__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 1 0 1 100.00 100 1 1 0 +PMP6CFG 1 0 1 100.00 100 1 1 0 +PMP5CFG 1 0 1 100.00 100 1 1 0 +PMP4CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP7CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP7CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP6CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP6CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP5CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP5CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP4CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP4CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER18 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 222 1 + + +Group : uvme_cva6_pkg::reg_mstatus::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatus::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatus.mstatus__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 1 0 1 100.00 100 1 1 0 +SPELP 1 0 1 100.00 100 1 1 0 +TSR 1 0 1 100.00 100 1 1 0 +TW 1 0 1 100.00 100 1 1 0 +TVM 1 0 1 100.00 100 1 1 0 +MXR 1 0 1 100.00 100 1 1 0 +SUM 1 0 1 100.00 100 1 1 0 +MPRV 1 0 1 100.00 100 1 1 0 +XS 1 0 1 100.00 100 1 1 0 +FS 1 0 1 100.00 100 1 1 0 +MPP 1 0 1 100.00 100 1 1 0 +SPP 1 0 1 100.00 100 1 1 0 +MPIE 2 0 2 100.00 100 1 1 0 +UBE 1 0 1 100.00 100 1 1 0 +SPIE 1 0 1 100.00 100 1 1 0 +UPIE 1 0 1 100.00 100 1 1 0 +MIE 2 0 2 100.00 100 1 1 0 +SIE 1 0 1 100.00 100 1 1 0 +UIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatus.mstatus__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatus.mstatus__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 + + +Variables for Group Instance csr_reg_cov.mstatus.mstatus__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 1 0 1 100.00 100 1 1 0 +SPELP 1 0 1 100.00 100 1 1 0 +TSR 1 0 1 100.00 100 1 1 0 +TW 1 0 1 100.00 100 1 1 0 +TVM 1 0 1 100.00 100 1 1 0 +MXR 1 0 1 100.00 100 1 1 0 +SUM 1 0 1 100.00 100 1 1 0 +MPRV 1 0 1 100.00 100 1 1 0 +XS 1 0 1 100.00 100 1 1 0 +FS 1 0 1 100.00 100 1 1 0 +MPP 1 0 1 100.00 100 1 1 0 +SPP 1 0 1 100.00 100 1 1 0 +MPIE 2 0 2 100.00 100 1 1 0 +UBE 1 0 1 100.00 100 1 1 0 +SPIE 1 0 1 100.00 100 1 1 0 +UPIE 1 0 1 100.00 100 1 1 0 +MIE 2 0 2 100.00 100 1 1 0 +SIE 1 0 1 100.00 100 1 1 0 +UIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SD + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SD + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SPELP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TSR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for TSR + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TW + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for TW + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TVM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for TVM + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MXR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MXR + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SUM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SUM + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPRV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPRV + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable XS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for XS + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable FS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for FS + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPP + + +Bins + +NAME COUNT AT LEAST +legal_values_3 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SPP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 212152 1 +reset_value 53418 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UBE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SPIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UPIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 57 1 +reset_value 265513 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr41.pmpaddr41__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR41 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR41 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER13 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 204 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr4.pmpaddr4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR4 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 158 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr24.pmpaddr24__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR24 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent28.mhpmevent28__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT28 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent29.mhpmevent29__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT29 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr45.pmpaddr45__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR45 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR45 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 23 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 14 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER15 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent19.mhpmevent19__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT19 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 208 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr53.pmpaddr53__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR53 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR53 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 136 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr11.pmpaddr11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR11 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 177 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER30 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 4 0 4 100.00 100 1 1 0 +PMP18CFG 4 0 4 100.00 100 1 1 0 +PMP17CFG 4 0 4 100.00 100 1 1 0 +PMP16CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg4.pmpcfg4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 4 0 4 100.00 100 1 1 0 +PMP18CFG 4 0 4 100.00 100 1 1 0 +PMP17CFG 4 0 4 100.00 100 1 1 0 +PMP16CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP19CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP19CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 7 1 +legal_values 102 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP18CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP18CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 9 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP17CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP17CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 10 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP16CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP16CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 72 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 10 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent18.mhpmevent18__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT18 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 + + +Group : uvme_cva6_pkg::reg_mtval::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtval::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtval.mtval__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtval::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtval::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtval.mtval__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtval.mtval__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mtval.mtval__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MTVAL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MTVAL + + +Bins + +NAME COUNT AT LEAST +legal_values_0 283 1 + + +Group : uvme_cva6_pkg::reg_mcycle::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycle::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycle.mcycle__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycle.mcycle__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycle.mcycle__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mcycle.mcycle__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MCYCLE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 245 1 +other_values[1431655766:2863311530] 13 1 +other_values[2863311531:ffffffff] 17 1 +reset_value 3 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr38.pmpaddr38__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR38 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR38 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 14 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr32.pmpaddr32__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR32 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR32 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 7 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr59.pmpaddr59__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR59 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR59 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent6.mhpmevent6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT6 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER5 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 44 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr57.pmpaddr57__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR57 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR57 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 114 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr15.pmpaddr15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR15 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 188 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER26 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mie::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mie::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mie.mie__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mie::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mie::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 2 0 2 100.00 100 1 1 0 +MEIE 1 0 1 100.00 100 1 1 0 +VSEIE 2 0 2 100.00 100 1 1 0 +SEIE 2 0 2 100.00 100 1 1 0 +UEIE 2 0 2 100.00 100 1 1 0 +MTIE 1 0 1 100.00 100 1 1 0 +VSTIE 2 0 2 100.00 100 1 1 0 +STIE 2 0 2 100.00 100 1 1 0 +UTIE 2 0 2 100.00 100 1 1 0 +MSIE 2 0 2 100.00 100 1 1 0 +VSSIE 2 0 2 100.00 100 1 1 0 +SSIE 2 0 2 100.00 100 1 1 0 +USIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mie.mie__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mie.mie__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 + + +Variables for Group Instance csr_reg_cov.mie.mie__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 2 0 2 100.00 100 1 1 0 +MEIE 1 0 1 100.00 100 1 1 0 +VSEIE 2 0 2 100.00 100 1 1 0 +SEIE 2 0 2 100.00 100 1 1 0 +UEIE 2 0 2 100.00 100 1 1 0 +MTIE 1 0 1 100.00 100 1 1 0 +VSTIE 2 0 2 100.00 100 1 1 0 +STIE 2 0 2 100.00 100 1 1 0 +UTIE 2 0 2 100.00 100 1 1 0 +MSIE 2 0 2 100.00 100 1 1 0 +VSSIE 2 0 2 100.00 100 1 1 0 +SSIE 2 0 2 100.00 100 1 1 0 +USIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SGEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 41 1 +legal_values 2514 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MEIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 195 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 40 1 +legal_values 2515 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 198 1 +legal_values 2357 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 194 1 +legal_values 2361 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MTIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSTIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 38 1 +legal_values 2517 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for STIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 191 1 +legal_values 2364 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UTIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 235 1 +legal_values 2320 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MSIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 235 1 +legal_values 2320 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSSIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 78 1 +legal_values 2477 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SSIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 227 1 +legal_values 2328 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for USIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 234 1 +legal_values 2321 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr5.pmpaddr5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR5 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 177 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr36.pmpaddr36__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR36 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR36 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 122 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr25.pmpaddr25__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR25 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 124 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr7.pmpaddr7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR7 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 185 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER4 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 192 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr19.pmpaddr19__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR19 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 122 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent13.mhpmevent13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT13 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 199 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 1 0 1 100.00 100 1 1 0 +PMP38CFG 1 0 1 100.00 100 1 1 0 +PMP37CFG 1 0 1 100.00 100 1 1 0 +PMP36CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg9.pmpcfg9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 1 0 1 100.00 100 1 1 0 +PMP38CFG 1 0 1 100.00 100 1 1 0 +PMP37CFG 1 0 1 100.00 100 1 1 0 +PMP36CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP39CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP39CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP38CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP38CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP37CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP37CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP36CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP36CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr4.pmpaddr4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR4 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 41 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER17 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 179 1 + + +Group : uvme_cva6_pkg::reg_mstatus::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatus::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatus.mstatus__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 39 0 39 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 2 0 2 100.00 100 1 1 0 +SPELP 2 0 2 100.00 100 1 1 0 +TSR 2 0 2 100.00 100 1 1 0 +TW 2 0 2 100.00 100 1 1 0 +TVM 2 0 2 100.00 100 1 1 0 +MXR 2 0 2 100.00 100 1 1 0 +SUM 2 0 2 100.00 100 1 1 0 +MPRV 2 0 2 100.00 100 1 1 0 +XS 3 0 3 100.00 100 1 1 0 +FS 3 0 3 100.00 100 1 1 0 +MPP 3 0 3 100.00 100 1 1 0 +SPP 2 0 2 100.00 100 1 1 0 +MPIE 1 0 1 100.00 100 1 1 0 +UBE 2 0 2 100.00 100 1 1 0 +SPIE 2 0 2 100.00 100 1 1 0 +UPIE 2 0 2 100.00 100 1 1 0 +MIE 1 0 1 100.00 100 1 1 0 +SIE 2 0 2 100.00 100 1 1 0 +UIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatus.mstatus__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatus.mstatus__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 39 0 39 100.00 + + +Variables for Group Instance csr_reg_cov.mstatus.mstatus__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 2 0 2 100.00 100 1 1 0 +SPELP 2 0 2 100.00 100 1 1 0 +TSR 2 0 2 100.00 100 1 1 0 +TW 2 0 2 100.00 100 1 1 0 +TVM 2 0 2 100.00 100 1 1 0 +MXR 2 0 2 100.00 100 1 1 0 +SUM 2 0 2 100.00 100 1 1 0 +MPRV 2 0 2 100.00 100 1 1 0 +XS 3 0 3 100.00 100 1 1 0 +FS 3 0 3 100.00 100 1 1 0 +MPP 3 0 3 100.00 100 1 1 0 +SPP 2 0 2 100.00 100 1 1 0 +MPIE 1 0 1 100.00 100 1 1 0 +UBE 2 0 2 100.00 100 1 1 0 +SPIE 2 0 2 100.00 100 1 1 0 +UPIE 2 0 2 100.00 100 1 1 0 +MIE 1 0 1 100.00 100 1 1 0 +SIE 2 0 2 100.00 100 1 1 0 +UIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SD + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SD + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 35 1 +legal_values 2954 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SPELP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 25 1 +legal_values 2964 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TSR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for TSR + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 28 1 +legal_values 2961 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TW + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for TW + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 28 1 +legal_values 2961 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TVM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for TVM + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 2959 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MXR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MXR + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 2959 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SUM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SUM + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 2959 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPRV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPRV + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 28 1 +legal_values 2961 1 + + +------------------------------------------------------------------------------- + +Summary for Variable XS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for XS + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 9 1 +illegal_values[2:3] 37 1 +legal_values 2943 1 + + +------------------------------------------------------------------------------- + +Summary for Variable FS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for FS + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 9 1 +illegal_values[2:3] 44 1 +legal_values 2936 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MPP + + +Bins + +NAME COUNT AT LEAST +illegal_values[0] 604 1 +illegal_values[1:2] 19 1 +legal_values 2366 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SPP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 34 1 +legal_values 2955 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 186 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UBE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 33 1 +legal_values 2956 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SPIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 182 1 +legal_values 2807 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UPIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 222 1 +legal_values 2767 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 225 1 +legal_values 2764 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 72 1 +legal_values 2917 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr30.pmpaddr30__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR30 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent12.mhpmevent12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT12 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 208 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 4 0 4 100.00 100 1 1 0 +PMP42CFG 4 0 4 100.00 100 1 1 0 +PMP41CFG 4 0 4 100.00 100 1 1 0 +PMP40CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg10.pmpcfg10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 4 0 4 100.00 100 1 1 0 +PMP42CFG 4 0 4 100.00 100 1 1 0 +PMP41CFG 4 0 4 100.00 100 1 1 0 +PMP40CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP43CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP43CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 9 1 +legal_values 100 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP42CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP42CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 9 1 +legal_values 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP41CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP41CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 2 1 +illegal_values[ab:ff] 9 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP40CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP40CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 77 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 7 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mip::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mip::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mip.mip__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mip::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mip::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 1 0 1 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 1 0 1 100.00 100 1 1 0 +SEIP 1 0 1 100.00 100 1 1 0 +UEIP 1 0 1 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 1 0 1 100.00 100 1 1 0 +STIP 1 0 1 100.00 100 1 1 0 +UTIP 1 0 1 100.00 100 1 1 0 +MSIP 1 0 1 100.00 100 1 1 0 +VSSIP 1 0 1 100.00 100 1 1 0 +SSIP 1 0 1 100.00 100 1 1 0 +USIP 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mip.mip__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mip.mip__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group Instance csr_reg_cov.mip.mip__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 1 0 1 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 1 0 1 100.00 100 1 1 0 +SEIP 1 0 1 100.00 100 1 1 0 +UEIP 1 0 1 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 1 0 1 100.00 100 1 1 0 +STIP 1 0 1 100.00 100 1 1 0 +UTIP 1 0 1 100.00 100 1 1 0 +MSIP 1 0 1 100.00 100 1 1 0 +VSSIP 1 0 1 100.00 100 1 1 0 +SSIP 1 0 1 100.00 100 1 1 0 +USIP 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SGEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MEIP + + +Bins + +NAME COUNT AT LEAST +other_values[1] 136518 1 +reset_value 69046 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MTIP + + +Bins + +NAME COUNT AT LEAST +other_values[1] 164505 1 +reset_value 41059 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSTIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for STIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UTIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MSIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSSIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SSIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for USIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent11.mhpmevent11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT11 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 219 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent10.mhpmevent10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT10 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 198 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER9H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 192 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr13.pmpaddr13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR13 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 42 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr51.pmpaddr51__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR51 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR51 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 40 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 4 0 4 100.00 100 1 1 0 +PMP46CFG 4 0 4 100.00 100 1 1 0 +PMP45CFG 4 0 4 100.00 100 1 1 0 +PMP44CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg11.pmpcfg11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 4 0 4 100.00 100 1 1 0 +PMP46CFG 4 0 4 100.00 100 1 1 0 +PMP45CFG 4 0 4 100.00 100 1 1 0 +PMP44CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP47CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP47CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 7 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP46CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP46CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 8 1 +legal_values 102 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP45CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP45CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 9 1 +legal_values 98 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP44CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP44CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 73 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 7 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER23 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 224 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent31.mhpmevent31__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT31 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 230 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent16.mhpmevent16__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT16 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 211 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 4 0 4 100.00 100 1 1 0 +PMP62CFG 4 0 4 100.00 100 1 1 0 +PMP61CFG 4 0 4 100.00 100 1 1 0 +PMP60CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg15.pmpcfg15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 4 0 4 100.00 100 1 1 0 +PMP62CFG 4 0 4 100.00 100 1 1 0 +PMP61CFG 4 0 4 100.00 100 1 1 0 +PMP60CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP63CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP63CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 15 1 +illegal_values[ab:ff] 8 1 +legal_values 107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP62CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP62CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 2 1 +illegal_values[ab:ff] 8 1 +legal_values 117 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP61CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP61CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 11 1 +legal_values 108 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP60CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP60CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 73 1 +illegal_values[56:aa] 14 1 +illegal_values[ab:ff] 8 1 +legal_values 38 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr34.pmpaddr34__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR34 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR34 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 21 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent5.mhpmevent5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT5 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 188 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER24 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 200 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent17.mhpmevent17__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT17 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent30.mhpmevent30__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT30 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 199 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent14.mhpmevent14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT14 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 174 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER6H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 215 1 + + +Group : uvme_cva6_pkg::reg_mhartid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhartid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhartid.mhartid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHARTID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhartid.mhartid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhartid.mhartid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhartid.mhartid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHARTID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHARTID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHARTID + + +Bins + +NAME COUNT AT LEAST +legal_values_0 2367 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr17.pmpaddr17__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR17 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 4 0 4 100.00 100 1 1 0 +PMP34CFG 4 0 4 100.00 100 1 1 0 +PMP33CFG 4 0 4 100.00 100 1 1 0 +PMP32CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg8.pmpcfg8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 4 0 4 100.00 100 1 1 0 +PMP34CFG 4 0 4 100.00 100 1 1 0 +PMP33CFG 4 0 4 100.00 100 1 1 0 +PMP32CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP35CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP35CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 11 1 +legal_values 112 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP34CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP34CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 7 1 +legal_values 121 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP33CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP33CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 10 1 +illegal_values[ab:ff] 11 1 +legal_values 111 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP32CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP32CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 83 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 13 1 +legal_values 36 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr55.pmpaddr55__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR55 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR55 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent15.mhpmevent15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT15 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mconfigptr.mconfigptr__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCONFIGPTR 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mconfigptr.mconfigptr__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mconfigptr.mconfigptr__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mconfigptr.mconfigptr__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCONFIGPTR 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCONFIGPTR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MCONFIGPTR + + +Bins + +NAME COUNT AT LEAST +legal_values_0 6 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr42.pmpaddr42__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR42 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR42 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 141 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER10 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 52 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_mcause::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcause::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcause.mcause__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcause::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcause::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcause.mcause__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcause.mcause__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mcause.mcause__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCAUSE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MCAUSE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 99 1 +other_values[1431655766:2863311530] 16 1 +other_values[2863311531:ffffffff] 20 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr1.pmpaddr1__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR1 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 41 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::cg_illegal_m + +=============================================================================== +Group : uvme_cva6_pkg::cg_illegal_m +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.illegal_m_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_illegal_m + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 12 0 12 100.00 +Crosses 11 0 11 100.00 + + +Variables for Group uvme_cva6_pkg::cg_illegal_m + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 3 0 3 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.illegal_m_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_m + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.illegal_m_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 12 0 12 100.00 +Crosses 11 0 11 100.00 + + +Variables for Group Instance uvme_cva6_pkg.illegal_m_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 3 0 3 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.illegal_m_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_exc_illegal_0 2 0 2 100.00 100 1 1 0 +cross_exc_illegal_1 3 0 3 100.00 100 1 1 0 +cross_exc_illegal_2 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_opcode + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_illegal_opcode + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_OPCODE[00:32,34:3f] 13564 1 +ILLEGAL_OPCODE[40:7f] 28127 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for cp_illegal_funct3 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_FUNCT3[0:1] 21998 1 +ILLEGAL_FUNCT3[2:3] 12024 1 +ILLEGAL_FUNCT3[4:7] 8531 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 6 0 6 100.00 + + +User Defined Bins for cp_illegal_funct7 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[00,02:2a] 19750 1 +ILLEGAL_NOPCODE_FUNCT7[2b:54] 11609 1 +ILLEGAL_NOPCODE_FUNCT7[55:7f] 7014 1 +ILLEGAL_FUNCT7[00,02:2a] 3 1 +ILLEGAL_FUNCT7[2b:54] 558 1 +ILLEGAL_FUNCT7[55:7f] 301 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_illegal + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_illegal + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_INSTR 42553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_0 + + +Samples crossed: cp_illegal_opcode cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 2 0 2 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_0 + + +Bins + +cp_illegal_opcode cp_is_illegal COUNT AT LEAST +ILLEGAL_OPCODE[00:32,34:3f] ILLEGAL_INSTR 13564 1 +ILLEGAL_OPCODE[40:7f] ILLEGAL_INSTR 28127 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_1 + + +Samples crossed: cp_illegal_funct3 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 3 0 3 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_1 + + +Bins + +cp_illegal_funct3 cp_is_illegal COUNT AT LEAST +ILLEGAL_FUNCT3[0:1] ILLEGAL_INSTR 21998 1 +ILLEGAL_FUNCT3[2:3] ILLEGAL_INSTR 12024 1 +ILLEGAL_FUNCT3[4:7] ILLEGAL_INSTR 8531 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_2 + + +Samples crossed: cp_illegal_funct7 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_2 + + +Bins + +cp_illegal_funct7 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[00,02:2a] ILLEGAL_INSTR 19750 1 +ILLEGAL_NOPCODE_FUNCT7[2b:54] ILLEGAL_INSTR 11609 1 +ILLEGAL_NOPCODE_FUNCT7[55:7f] ILLEGAL_INSTR 7014 1 +ILLEGAL_FUNCT7[00,02:2a] ILLEGAL_INSTR 3 1 +ILLEGAL_FUNCT7[2b:54] ILLEGAL_INSTR 558 1 +ILLEGAL_FUNCT7[55:7f] ILLEGAL_INSTR 301 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr29.pmpaddr29__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR29 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 24 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 4 0 4 100.00 100 1 1 0 +PMP26CFG 4 0 4 100.00 100 1 1 0 +PMP25CFG 4 0 4 100.00 100 1 1 0 +PMP24CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg6.pmpcfg6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 4 0 4 100.00 100 1 1 0 +PMP26CFG 4 0 4 100.00 100 1 1 0 +PMP25CFG 4 0 4 100.00 100 1 1 0 +PMP24CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP27CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP27CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 9 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP26CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP26CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 10 1 +legal_values 107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP25CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP25CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 13 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP24CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP24CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 76 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 10 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr61.pmpaddr61__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR61 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR61 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 148 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr23.pmpaddr23__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR23 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER3H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 206 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr48.pmpaddr48__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR48 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR48 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 6 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 13 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER28 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 60 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mepc::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mepc::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mepc.mepc__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mepc::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mepc::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mepc.mepc__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mepc.mepc__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mepc.mepc__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MEPC + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MEPC + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 36 1 +other_values[1431655766:2863311530] 482793 1 +other_values[2863311531:ffffffff] 8 1 +reset_value 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER23 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr46.pmpaddr46__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR46 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR46 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr27.pmpaddr27__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR27 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 142 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent4.mhpmevent4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT4 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER3 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent7.mhpmevent7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT7 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 210 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 4 0 4 100.00 100 1 1 0 +PMP2CFG 4 0 4 100.00 100 1 1 0 +PMP1CFG 4 0 4 100.00 100 1 1 0 +PMP0CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg0.pmpcfg0__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 4 0 4 100.00 100 1 1 0 +PMP2CFG 4 0 4 100.00 100 1 1 0 +PMP1CFG 4 0 4 100.00 100 1 1 0 +PMP0CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP3CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP3CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 16 1 +legal_values 137 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP2CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP2CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 11 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 13 1 +legal_values 139 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP1CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP1CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 13 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 16 1 +legal_values 128 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP0CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP0CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 84 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 14 1 +legal_values 58 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER6 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 4 0 4 100.00 100 1 1 0 +PMP58CFG 4 0 4 100.00 100 1 1 0 +PMP57CFG 4 0 4 100.00 100 1 1 0 +PMP56CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg14.pmpcfg14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 4 0 4 100.00 100 1 1 0 +PMP58CFG 4 0 4 100.00 100 1 1 0 +PMP57CFG 4 0 4 100.00 100 1 1 0 +PMP56CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP59CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP59CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 7 1 +legal_values 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP58CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP58CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 1 1 +illegal_values[ab:ff] 9 1 +legal_values 113 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP57CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP57CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 14 1 +legal_values 100 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP56CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP56CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 69 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 9 1 +legal_values 36 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr21.pmpaddr21__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR21 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr63.pmpaddr63__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR63 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR63 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 22 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER12 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 187 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 4 0 4 100.00 100 1 1 0 +PMP30CFG 4 0 4 100.00 100 1 1 0 +PMP29CFG 4 0 4 100.00 100 1 1 0 +PMP28CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg7.pmpcfg7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 4 0 4 100.00 100 1 1 0 +PMP30CFG 4 0 4 100.00 100 1 1 0 +PMP29CFG 4 0 4 100.00 100 1 1 0 +PMP28CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP31CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP31CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 2 1 +illegal_values[56:aa] 15 1 +illegal_values[ab:ff] 8 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP30CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP30CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 5 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 8 1 +legal_values 105 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP29CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP29CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 12 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 11 1 +legal_values 96 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP28CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP28CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 72 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 7 1 +legal_values 34 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr44.pmpaddr44__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR44 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR44 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 126 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent9.mhpmevent9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT9 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 207 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr40.pmpaddr40__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR40 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR40 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 8 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_misa::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_misa::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.misa.misa__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_misa::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 7 0 7 100.00 + + +Variables for Group uvme_cva6_pkg::reg_misa::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 3 0 3 100.00 100 1 1 0 +EXTENSIONS 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.misa.misa__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.misa.misa__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 7 0 7 100.00 + + +Variables for Group Instance csr_reg_cov.misa.misa__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 3 0 3 100.00 100 1 1 0 +EXTENSIONS 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MXL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MXL + + +Bins + +NAME COUNT AT LEAST +illegal_values[0] 150 1 +illegal_values[2:3] 25 1 +legal_values 2347 1 + + +------------------------------------------------------------------------------- + +Summary for Variable EXTENSIONS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for EXTENSIONS + + +Bins + +NAME COUNT AT LEAST +illegal_values[0000000:0001105,0001107:1555555] 2500 1 +illegal_values[1555556:2aaaaaa] 8 1 +illegal_values[2aaaaab:3ffffff] 13 1 +legal_values 1 1 + + +Group : uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycleh::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycleh.mcycleh__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycleh.mcycleh__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycleh.mcycleh__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mcycleh.mcycleh__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLEH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MCYCLEH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 95 1 +other_values[1431655766:2863311530] 13 1 +other_values[2863311531:ffffffff] 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER7 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 200 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr0.pmpaddr0__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR0 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR0 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 170 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 1 0 1 100.00 100 1 1 0 +PMP54CFG 1 0 1 100.00 100 1 1 0 +PMP53CFG 1 0 1 100.00 100 1 1 0 +PMP52CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg13.pmpcfg13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 1 0 1 100.00 100 1 1 0 +PMP54CFG 1 0 1 100.00 100 1 1 0 +PMP53CFG 1 0 1 100.00 100 1 1 0 +PMP52CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP55CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP55CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP54CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP54CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP53CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP53CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP52CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP52CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER21 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 204 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr25.pmpaddr25__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR25 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 33 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER4H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER19 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 220 1 + + +Group : uvme_cva6_pkg::reg_mie::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mie::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mie.mie__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mie::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mie::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 1 0 1 100.00 100 1 1 0 +MEIE 2 0 2 100.00 100 1 1 0 +VSEIE 1 0 1 100.00 100 1 1 0 +SEIE 1 0 1 100.00 100 1 1 0 +UEIE 1 0 1 100.00 100 1 1 0 +MTIE 2 0 2 100.00 100 1 1 0 +VSTIE 1 0 1 100.00 100 1 1 0 +STIE 1 0 1 100.00 100 1 1 0 +UTIE 1 0 1 100.00 100 1 1 0 +MSIE 1 0 1 100.00 100 1 1 0 +VSSIE 1 0 1 100.00 100 1 1 0 +SSIE 1 0 1 100.00 100 1 1 0 +USIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mie.mie__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mie.mie__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group Instance csr_reg_cov.mie.mie__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 1 0 1 100.00 100 1 1 0 +MEIE 2 0 2 100.00 100 1 1 0 +VSEIE 1 0 1 100.00 100 1 1 0 +SEIE 1 0 1 100.00 100 1 1 0 +UEIE 1 0 1 100.00 100 1 1 0 +MTIE 2 0 2 100.00 100 1 1 0 +VSTIE 1 0 1 100.00 100 1 1 0 +STIE 1 0 1 100.00 100 1 1 0 +UTIE 1 0 1 100.00 100 1 1 0 +MSIE 1 0 1 100.00 100 1 1 0 +VSSIE 1 0 1 100.00 100 1 1 0 +SSIE 1 0 1 100.00 100 1 1 0 +USIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SGEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MEIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 27 1 +reset_value 268 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MTIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 25 1 +reset_value 270 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSTIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for STIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UTIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MSIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSSIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SSIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for USIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr44.pmpaddr44__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR44 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR44 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER27 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 1 0 1 100.00 100 1 1 0 +PMP62CFG 1 0 1 100.00 100 1 1 0 +PMP61CFG 1 0 1 100.00 100 1 1 0 +PMP60CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg15.pmpcfg15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 1 0 1 100.00 100 1 1 0 +PMP62CFG 1 0 1 100.00 100 1 1 0 +PMP61CFG 1 0 1 100.00 100 1 1 0 +PMP60CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP63CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP63CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP62CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP62CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP61CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP61CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP60CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP60CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr10.pmpaddr10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR10 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 176 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr52.pmpaddr52__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR52 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR52 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr42.pmpaddr42__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR42 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR42 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr39.pmpaddr39__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR39 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR39 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 24 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 4 0 4 100.00 100 1 1 0 +PMP54CFG 4 0 4 100.00 100 1 1 0 +PMP53CFG 4 0 4 100.00 100 1 1 0 +PMP52CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg13.pmpcfg13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 4 0 4 100.00 100 1 1 0 +PMP54CFG 4 0 4 100.00 100 1 1 0 +PMP53CFG 4 0 4 100.00 100 1 1 0 +PMP52CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP55CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP55CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 10 1 +legal_values 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP54CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP54CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 2 1 +illegal_values[ab:ff] 10 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP53CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP53CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 12 1 +legal_values 95 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP52CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP52CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 69 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 11 1 +legal_values 39 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent8.mhpmevent8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT8 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr33.pmpaddr33__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR33 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR33 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr58.pmpaddr58__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR58 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR58 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 18 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER31 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 206 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER14 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr14.pmpaddr14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR14 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 168 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr56.pmpaddr56__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR56 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR56 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 115 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr1.pmpaddr1__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR1 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 155 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr37.pmpaddr37__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR37 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR37 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_minstreth::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstreth::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstreth.minstreth__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstreth.minstreth__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstreth.minstreth__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.minstreth.minstreth__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRETH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MINSTRETH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 99 1 +other_values[1431655766:2863311530] 17 1 +other_values[2863311531:ffffffff] 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER5H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 49 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER9 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr18.pmpaddr18__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR18 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 123 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr0.pmpaddr0__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR0 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR0 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 40 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER25 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_mcause::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcause::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcause.mcause__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcause::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcause::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcause.mcause__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcause.mcause__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mcause.mcause__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCAUSE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MCAUSE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 60186 1 +other_values[1431655766:2863311530] 410512 1 +other_values[2863311531:ffffffff] 4 1 +reset_value 28 1 + + +Group : uvme_cva6_pkg::reg_mimpid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mimpid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mimpid.mimpid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MIMPID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mimpid.mimpid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mimpid.mimpid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mimpid.mimpid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MIMPID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MIMPID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MIMPID + + +Bins + +NAME COUNT AT LEAST +legal_values_0 10 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr31.pmpaddr31__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR31 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 14 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 4 0 4 100.00 100 1 1 0 +PMP10CFG 4 0 4 100.00 100 1 1 0 +PMP9CFG 4 0 4 100.00 100 1 1 0 +PMP8CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg2.pmpcfg2__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 4 0 4 100.00 100 1 1 0 +PMP10CFG 4 0 4 100.00 100 1 1 0 +PMP9CFG 4 0 4 100.00 100 1 1 0 +PMP8CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP11CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP11CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 17 1 +legal_values 125 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP10CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP10CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 17 1 +legal_values 130 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP9CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP9CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 12 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 19 1 +legal_values 119 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP8CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP8CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 67 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 21 1 +legal_values 64 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 1 0 1 100.00 100 1 1 0 +PMP50CFG 1 0 1 100.00 100 1 1 0 +PMP49CFG 1 0 1 100.00 100 1 1 0 +PMP48CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg12.pmpcfg12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 1 0 1 100.00 100 1 1 0 +PMP50CFG 1 0 1 100.00 100 1 1 0 +PMP49CFG 1 0 1 100.00 100 1 1 0 +PMP48CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP51CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP51CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP50CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP50CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP49CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP49CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP48CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP48CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +Group : uvme_cva6_pkg::reg_minstret::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstret::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstret.minstret__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstret::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstret::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstret.minstret__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstret.minstret__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.minstret.minstret__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRET + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MINSTRET + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 106 1 +other_values[1431655766:2863311530] 11 1 +other_values[2863311531:ffffffff] 19 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr50.pmpaddr50__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR50 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR50 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr12.pmpaddr12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR12 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER8 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 219 1 + + +Group : uvme_cva6_pkg::reg_misa::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_misa::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.misa.misa__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_misa::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group uvme_cva6_pkg::reg_misa::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 1 0 1 100.00 100 1 1 0 +EXTENSIONS 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.misa.misa__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.misa.misa__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group Instance csr_reg_cov.misa.misa__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 1 0 1 100.00 100 1 1 0 +EXTENSIONS 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MXL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MXL + + +Bins + +NAME COUNT AT LEAST +legal_values_1 261 1 + + +------------------------------------------------------------------------------- + +Summary for Variable EXTENSIONS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for EXTENSIONS + + +Bins + +NAME COUNT AT LEAST +legal_values_0001106 261 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr35.pmpaddr35__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR35 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR35 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER16 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr29.pmpaddr29__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR29 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 110 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr54.pmpaddr54__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR54 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR54 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr16.pmpaddr16__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR16 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 6 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 13 1 + + +Group : uvme_cva6_pkg::reg_mepc::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mepc::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mepc.mepc__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mepc::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mepc::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mepc.mepc__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mepc.mepc__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mepc.mepc__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MEPC + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MEPC + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 83 1 +other_values[1431655766:2863311530] 74478 1 +other_values[2863311531:ffffffff] 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER8H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER25H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 64 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER23H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 227 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER13H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent10.mhpmevent10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT10 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER15H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr20.pmpaddr20__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR20 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 135 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER7 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent11.mhpmevent11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT11 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr62.pmpaddr62__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR62 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR62 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 129 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER24H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 46 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER22H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent12.mhpmevent12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT12 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER22 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER12H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER14H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent13.mhpmevent13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT13 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr41.pmpaddr41__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR41 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR41 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 124 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr6.pmpaddr6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR6 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 40 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER27H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr3.pmpaddr3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR3 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 165 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr63.pmpaddr63__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR63 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR63 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER21H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr23.pmpaddr23__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR23 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER31H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 213 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent15.mhpmevent15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT15 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER11H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 201 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER17H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER6 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 183 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER29 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 221 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER7H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 70 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 8 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr61.pmpaddr61__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR61 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR61 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 31 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr24.pmpaddr24__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR24 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 129 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent14.mhpmevent14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT14 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 41 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent30.mhpmevent30__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT30 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER26H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent17.mhpmevent17__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT17 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent7.mhpmevent7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT7 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER11 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 199 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER20H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 192 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER30H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER10H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 201 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER16H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 14 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 1 0 1 100.00 100 1 1 0 +PMP18CFG 1 0 1 100.00 100 1 1 0 +PMP17CFG 1 0 1 100.00 100 1 1 0 +PMP16CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg4.pmpcfg4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 1 0 1 100.00 100 1 1 0 +PMP18CFG 1 0 1 100.00 100 1 1 0 +PMP17CFG 1 0 1 100.00 100 1 1 0 +PMP16CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP19CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP19CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP18CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP18CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP17CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP17CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP16CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP16CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent16.mhpmevent16__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT16 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 45 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent31.mhpmevent31__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT31 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr45.pmpaddr45__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR45 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR45 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER27H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 189 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER21H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 60 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 34 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER31H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr2.pmpaddr2__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR2 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr43.pmpaddr43__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR43 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR43 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent4.mhpmevent4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT4 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER18 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 60 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER11H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 64 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER17H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 1 0 1 100.00 100 1 1 0 +PMP34CFG 1 0 1 100.00 100 1 1 0 +PMP33CFG 1 0 1 100.00 100 1 1 0 +PMP32CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg8.pmpcfg8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 1 0 1 100.00 100 1 1 0 +PMP34CFG 1 0 1 100.00 100 1 1 0 +PMP33CFG 1 0 1 100.00 100 1 1 0 +PMP32CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP35CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP35CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP34CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP34CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP33CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP33CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP32CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP32CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr28.pmpaddr28__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR28 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 139 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER26H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 196 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 1 0 1 100.00 100 1 1 0 +PMP46CFG 1 0 1 100.00 100 1 1 0 +PMP45CFG 1 0 1 100.00 100 1 1 0 +PMP44CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg11.pmpcfg11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 1 0 1 100.00 100 1 1 0 +PMP46CFG 1 0 1 100.00 100 1 1 0 +PMP45CFG 1 0 1 100.00 100 1 1 0 +PMP44CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP47CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP47CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP46CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP46CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP45CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP45CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP44CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP44CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +Group : uvme_cva6_pkg::reg_mtval::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtval::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtval.mtval__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtval::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtval::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtval.mtval__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtval.mtval__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mtval.mtval__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MTVAL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MTVAL + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 105 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 19 1 +legal_values 49 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER20H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER30H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr60.pmpaddr60__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR60 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR60 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr22.pmpaddr22__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR22 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 32 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 7 1 +legal_values 18 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER3 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 186 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER10H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent18.mhpmevent18__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT18 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER16H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 217 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr49.pmpaddr49__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR49 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR49 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 104 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent19.mhpmevent19__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT19 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER20 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 1 0 1 100.00 100 1 1 0 +PMP10CFG 1 0 1 100.00 100 1 1 0 +PMP9CFG 1 0 1 100.00 100 1 1 0 +PMP8CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg2.pmpcfg2__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 1 0 1 100.00 100 1 1 0 +PMP10CFG 1 0 1 100.00 100 1 1 0 +PMP9CFG 1 0 1 100.00 100 1 1 0 +PMP8CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP11CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP11CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP10CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP10CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP9CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP9CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP8CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP8CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER25H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER23H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 65 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 1 0 1 100.00 100 1 1 0 +PMP42CFG 1 0 1 100.00 100 1 1 0 +PMP41CFG 1 0 1 100.00 100 1 1 0 +PMP40CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg10.pmpcfg10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 1 0 1 100.00 100 1 1 0 +PMP42CFG 1 0 1 100.00 100 1 1 0 +PMP41CFG 1 0 1 100.00 100 1 1 0 +PMP40CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP43CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP43CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP42CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP42CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP41CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP41CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP40CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP40CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER13H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 65 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER15H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 184 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr47.pmpaddr47__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR47 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR47 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 31 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 1 0 1 100.00 100 1 1 0 +PMP2CFG 1 0 1 100.00 100 1 1 0 +PMP1CFG 1 0 1 100.00 100 1 1 0 +PMP0CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg0.pmpcfg0__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 1 0 1 100.00 100 1 1 0 +PMP2CFG 1 0 1 100.00 100 1 1 0 +PMP1CFG 1 0 1 100.00 100 1 1 0 +PMP0CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP3CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP3CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP2CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP2CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP1CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP1CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP0CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP0CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER24H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER22H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 4 0 4 100.00 100 1 1 0 +PMP38CFG 4 0 4 100.00 100 1 1 0 +PMP37CFG 4 0 4 100.00 100 1 1 0 +PMP36CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg9.pmpcfg9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 4 0 4 100.00 100 1 1 0 +PMP38CFG 4 0 4 100.00 100 1 1 0 +PMP37CFG 4 0 4 100.00 100 1 1 0 +PMP36CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP39CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP39CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 9 1 +legal_values 105 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP38CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP38CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 5 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 10 1 +legal_values 108 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP37CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP37CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 8 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP36CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP36CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 70 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 6 1 +legal_values 43 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER12H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 46 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr26.pmpaddr26__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR26 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 21 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER14H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 182 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER13 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mscratch::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mscratch::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mscratch.mscratch__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mscratch.mscratch__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mscratch.mscratch__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mscratch.mscratch__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MSCRATCH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MSCRATCH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 13573 1 +other_values[1431655766:2863311530] 2927 1 +other_values[2863311531:ffffffff] 2273 1 +reset_value 4848 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr3.pmpaddr3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR3 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 38 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr19.pmpaddr19__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR19 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER8 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mip::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mip::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mip.mip__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mip::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mip::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 2 0 2 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 2 0 2 100.00 100 1 1 0 +SEIP 2 0 2 100.00 100 1 1 0 +UEIP 2 0 2 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 2 0 2 100.00 100 1 1 0 +STIP 2 0 2 100.00 100 1 1 0 +UTIP 2 0 2 100.00 100 1 1 0 +MSIP 2 0 2 100.00 100 1 1 0 +VSSIP 2 0 2 100.00 100 1 1 0 +SSIP 2 0 2 100.00 100 1 1 0 +USIP 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mip.mip__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mip.mip__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group Instance csr_reg_cov.mip.mip__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 2 0 2 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 2 0 2 100.00 100 1 1 0 +SEIP 2 0 2 100.00 100 1 1 0 +UEIP 2 0 2 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 2 0 2 100.00 100 1 1 0 +STIP 2 0 2 100.00 100 1 1 0 +UTIP 2 0 2 100.00 100 1 1 0 +MSIP 2 0 2 100.00 100 1 1 0 +VSSIP 2 0 2 100.00 100 1 1 0 +SSIP 2 0 2 100.00 100 1 1 0 +USIP 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SGEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 29 1 +legal_values 195 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 197 1 +legal_values_1 27 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 27 1 +legal_values 197 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 37 1 +legal_values 187 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 29 1 +legal_values 195 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MTIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 +legal_values_1 30 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSTIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 32 1 +legal_values 192 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for STIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 33 1 +legal_values 191 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UTIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 79 1 +legal_values 145 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MSIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 68 1 +legal_values 156 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSSIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 75 1 +legal_values 149 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SSIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 71 1 +legal_values 153 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for USIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 70 1 +legal_values 154 1 + + +Group : uvme_cva6_pkg::reg_mstatush::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatush::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatush.mstatush__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 2 0 2 100.00 100 1 1 0 +MPV 2 0 2 100.00 100 1 1 0 +GVA 2 0 2 100.00 100 1 1 0 +MBE 2 0 2 100.00 100 1 1 0 +SBE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatush.mstatush__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatush.mstatush__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 + + +Variables for Group Instance csr_reg_cov.mstatush.mstatush__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 2 0 2 100.00 100 1 1 0 +MPV 2 0 2 100.00 100 1 1 0 +GVA 2 0 2 100.00 100 1 1 0 +MBE 2 0 2 100.00 100 1 1 0 +SBE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPELP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 20 1 +legal_values 161 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPV + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable GVA + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for GVA + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 24 1 +legal_values 157 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MBE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 25 1 +legal_values 156 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SBE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 72 1 +legal_values 109 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr30.pmpaddr30__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR30 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 128 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER15 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 216 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER30 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr51.pmpaddr51__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR51 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR51 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 151 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr13.pmpaddr13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR13 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 172 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER12 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr48.pmpaddr48__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR48 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR48 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 7 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER29H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 196 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER19H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + +=============================================================================== +Group : uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_exception_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.exception_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 138 0 138 100.00 +Crosses 128 0 128 100.00 + + +Variables for Group uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_exception 5 0 5 100.00 100 1 1 0 +cp_trap 2 0 2 100.00 100 1 1 0 +cp_is_ebreak 1 0 1 100.00 100 1 1 0 +cp_is_dret 1 0 1 100.00 100 1 1 0 +cp_is_ecall 1 0 1 100.00 100 1 1 0 +cp_is_fencei 1 0 1 100.00 100 1 1 0 +cp_is_csr 1 0 1 100.00 100 1 1 0 +cp_is_write_csr 1 0 1 100.00 100 1 1 0 +cp_is_not_write_csr 1 0 1 100.00 100 1 1 0 +cp_illegal_csr 113 0 113 100.00 100 1 1 0 +cp_ro_csr 5 0 5 100.00 100 1 1 0 +cp_misalign_load 1 0 1 100.00 100 1 1 0 +cp_misalign_store 1 0 1 100.00 100 1 1 0 +cp_add_mem 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.exception_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.exception_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 138 0 138 100.00 +Crosses 128 0 128 100.00 + + +Variables for Group Instance uvme_cva6_pkg.exception_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_exception 5 0 5 100.00 100 1 1 0 +cp_trap 2 0 2 100.00 100 1 1 0 +cp_is_ebreak 1 0 1 100.00 100 1 1 0 +cp_is_dret 1 0 1 100.00 100 1 1 0 +cp_is_ecall 1 0 1 100.00 100 1 1 0 +cp_is_fencei 1 0 1 100.00 100 1 1 0 +cp_is_csr 1 0 1 100.00 100 1 1 0 +cp_is_write_csr 1 0 1 100.00 100 1 1 0 +cp_is_not_write_csr 1 0 1 100.00 100 1 1 0 +cp_illegal_csr 113 0 113 100.00 100 1 1 0 +cp_ro_csr 5 0 5 100.00 100 1 1 0 +cp_misalign_load 1 0 1 100.00 100 1 1 0 +cp_misalign_store 1 0 1 100.00 100 1 1 0 +cp_add_mem 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.exception_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_breakpoint 1 0 1 100.00 100 1 1 0 +cross_ecall 1 0 1 100.00 100 1 1 0 +cross_fencei 1 0 1 100.00 100 1 1 0 +cross_dret 1 0 1 100.00 100 1 1 0 +cross_illegal_csr 113 0 113 100.00 100 1 1 0 +cross_illegal_write_csr 5 0 5 100.00 100 1 1 0 +cross_misaligned_load 3 0 3 100.00 100 1 1 0 +cross_misaligned_store 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_exception + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 5 0 5 100.00 + + +User Defined Bins for cp_exception + + +Excluded/Illegal bins + +NAME COUNT STATUS +DEBUG_REQUEST 0 Excluded +STORE_PAGE_FAULT 0 Excluded +LOAD_PAGE_FAULT 0 Excluded +INSTR_PAGE_FAULT 0 Excluded +ENV_CALL_SMODE 0 Excluded +ENV_CALL_UMODE 0 Excluded +ST_ACCESS_FAULT 0 Excluded +LD_ACCESS_FAULT 0 Excluded +INSTR_ACCESS_FAULT 0 Excluded +INSTR_ADDR_MISALIGNED 0 Excluded +IGN_DEBUG_REQUEST 0 Excluded +IGN_PAGE_FAULT_EXC 0 Excluded +IGN_ENV_CALL_SMODE 0 Excluded +IGN_ENV_CALL_UMODE 0 Excluded +IGN_ACCESS_FAULT_EXC 0 Excluded +IGN_INSTR_ADDR_MISALIGNED_EXC 0 Excluded +IGN_ADDR_MISALIGNED_EXC 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +ENV_CALL_MMODE 1483 1 +ST_ADDR_MISALIGNED 7642 1 +LD_ADDR_MISALIGNED 10538 1 +ILLEGAL_INSTR 42553 1 +BREAKPOINT 9731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_trap + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_trap + + +Bins + +NAME COUNT AT LEAST +no_trap 11354295 1 +is_trap 71947 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_ebreak + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_ebreak + + +Bins + +NAME COUNT AT LEAST +is_ebreak 9731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_dret + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_dret + + +Bins + +NAME COUNT AT LEAST +is_dret 5085 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_ecall + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_ecall + + +Bins + +NAME COUNT AT LEAST +is_ecall 1483 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_fencei + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_fencei + + +Bins + +NAME COUNT AT LEAST +is_fencei 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_csr + + +Bins + +NAME COUNT AT LEAST +is_csr_instr 1584848 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_write_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_write_csr + + +Bins + +NAME COUNT AT LEAST +is_csr_write 134617 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_not_write_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_not_write_csr + + +Bins + +NAME COUNT AT LEAST +is_not_csr_write 11291625 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 113 0 113 100.00 + + +User Defined Bins for cp_illegal_csr + + +Bins + +NAME COUNT AT LEAST +UNSUPPORTED_CSR_USTATUS 9853254 1 +UNSUPPORTED_CSR_FFLAGS 3 1 +UNSUPPORTED_CSR_FRM 3 1 +UNSUPPORTED_CSR_FCSR 3 1 +UNSUPPORTED_CSR_UIE 3 1 +UNSUPPORTED_CSR_UTVEC 3 1 +UNSUPPORTED_CSR_VSTART 3 1 +UNSUPPORTED_CSR_VXSTAT 3 1 +UNSUPPORTED_CSR_VXRM 3 1 +UNSUPPORTED_CSR_USCRATCH 3 1 +UNSUPPORTED_CSR_UEPC 3 1 +UNSUPPORTED_CSR_UCAUSE 3 1 +UNSUPPORTED_CSR_UTVAL 3 1 +UNSUPPORTED_CSR_UIP 3 1 +UNSUPPORTED_CSR_SSTATUS 3 1 +UNSUPPORTED_CSR_SEDELEG 3 1 +UNSUPPORTED_CSR_SIDELEG 3 1 +UNSUPPORTED_CSR_SIE 3 1 +UNSUPPORTED_CSR_STVEC 3 1 +UNSUPPORTED_CSR_SCOUNTEREN 3 1 +UNSUPPORTED_CSR_SSCRATCH 3 1 +UNSUPPORTED_CSR_SEPC 3 1 +UNSUPPORTED_CSR_SCAUSE 3 1 +UNSUPPORTED_CSR_STVAL 3 1 +UNSUPPORTED_CSR_SIP 3 1 +UNSUPPORTED_CSR_SATP 3 1 +UNSUPPORTED_CSR_MEDELEG 3 1 +UNSUPPORTED_CSR_MIDELEG 3 1 +UNSUPPORTED_CSR_MCOUNTEREN 3 1 +UNSUPPORTED_CSR_MENVCFG 3 1 +UNSUPPORTED_CSR_MENVCFGH 3 1 +UNSUPPORTED_CSR_MTINST 3 1 +UNSUPPORTED_CSR_MTVAL2 3 1 +UNSUPPORTED_CSR_MSECCFG 3 1 +UNSUPPORTED_CSR_MSECCFGH 3 1 +UNSUPPORTED_CSR_TSELECT 3 1 +UNSUPPORTED_CSR_TDATA1 3 1 +UNSUPPORTED_CSR_TDATA2 3 1 +UNSUPPORTED_CSR_TDATA3 3 1 +UNSUPPORTED_CSR_TINFO 3 1 +UNSUPPORTED_CSR_MCONTEXT 3 1 +UNSUPPORTED_CSR_SCONTEXT 3 1 +UNSUPPORTED_CSR_DCSR 3 1 +UNSUPPORTED_CSR_DPC 3 1 +UNSUPPORTED_CSR_DSCRATCH0 3 1 +UNSUPPORTED_CSR_DSCRATCH1 3 1 +UNSUPPORTED_CSR_CYCLE 10 1 +UNSUPPORTED_CSR_TIME 3 1 +UNSUPPORTED_CSR_INSTRET 10 1 +UNSUPPORTED_CSR_HPMCOUNTER3 3 1 +UNSUPPORTED_CSR_HPMCOUNTER4 3 1 +UNSUPPORTED_CSR_HPMCOUNTER5 3 1 +UNSUPPORTED_CSR_HPMCOUNTER6 3 1 +UNSUPPORTED_CSR_HPMCOUNTER7 3 1 +UNSUPPORTED_CSR_HPMCOUNTER8 3 1 +UNSUPPORTED_CSR_HPMCOUNTER9 3 1 +UNSUPPORTED_CSR_HPMCOUNTER10 3 1 +UNSUPPORTED_CSR_HPMCOUNTER11 3 1 +UNSUPPORTED_CSR_HPMCOUNTER12 3 1 +UNSUPPORTED_CSR_HPMCOUNTER13 3 1 +UNSUPPORTED_CSR_HPMCOUNTER14 3 1 +UNSUPPORTED_CSR_HPMCOUNTER15 3 1 +UNSUPPORTED_CSR_HPMCOUNTER16 3 1 +UNSUPPORTED_CSR_HPMCOUNTER17 3 1 +UNSUPPORTED_CSR_HPMCOUNTER18 3 1 +UNSUPPORTED_CSR_HPMCOUNTER19 3 1 +UNSUPPORTED_CSR_HPMCOUNTER20 3 1 +UNSUPPORTED_CSR_HPMCOUNTER21 3 1 +UNSUPPORTED_CSR_HPMCOUNTER22 3 1 +UNSUPPORTED_CSR_HPMCOUNTER23 3 1 +UNSUPPORTED_CSR_HPMCOUNTER24 3 1 +UNSUPPORTED_CSR_HPMCOUNTER25 3 1 +UNSUPPORTED_CSR_HPMCOUNTER26 3 1 +UNSUPPORTED_CSR_HPMCOUNTER27 3 1 +UNSUPPORTED_CSR_HPMCOUNTER28 3 1 +UNSUPPORTED_CSR_HPMCOUNTER29 3 1 +UNSUPPORTED_CSR_HPMCOUNTER30 3 1 +UNSUPPORTED_CSR_HPMCOUNTER31 3 1 +UNSUPPORTED_CSR_VL 3 1 +UNSUPPORTED_CSR_VTYPE 3 1 +UNSUPPORTED_CSR_VLENB 3 1 +UNSUPPORTED_CSR_CYCLEH 10 1 +UNSUPPORTED_CSR_TIMEH 3 1 +UNSUPPORTED_CSR_INSTRETH 10 1 +UNSUPPORTED_CSR_HPMCOUNTER3H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER4H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER5H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER6H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER7H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER8H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER9H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER10H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER11H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER12H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER13H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER14H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER15H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER16H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER17H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER18H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER19H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER20H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER21H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER22H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER23H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER24H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER25H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER26H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER27H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER28H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER29H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER30H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER31H 3 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_ro_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 5 0 5 100.00 + + +User Defined Bins for cp_ro_csr + + +Bins + +NAME COUNT AT LEAST +ONLY_READ_CSR_MVENDORID 98 1 +ONLY_READ_CSR_MARCHID 15 1 +ONLY_READ_CSR_MIMPID 15 1 +ONLY_READ_CSR_MHARTID 2372 1 +ONLY_READ_CSR_MCONFIGPTR 7 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_misalign_load + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_misalign_load + + +Bins + +NAME COUNT AT LEAST +misalign_load 7794 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_misalign_store + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_misalign_store + + +Bins + +NAME COUNT AT LEAST +misalign_store 6238 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_add_mem + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_add_mem + + +Bins + +NAME COUNT AT LEAST +add_mem_0 11091554 1 +add_mem_1 103156 1 +add_mem_2 133139 1 +add_mem_3 98393 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_breakpoint + + +Samples crossed: cp_exception cp_is_ebreak +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_breakpoint + + +Excluded/Illegal bins + +cp_exception cp_is_ebreak COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_ebreak] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_ebreak] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_ebreak] 0 Excluded + + +Covered bins + +cp_exception cp_is_ebreak COUNT AT LEAST +BREAKPOINT is_ebreak 9731 1 + + +User Defined Cross Bins for cross_breakpoint + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_ecall + + +Samples crossed: cp_exception cp_is_ecall +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_ecall + + +Excluded/Illegal bins + +cp_exception cp_is_ecall COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_ecall] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_ecall] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_ecall] 0 Excluded + + +Covered bins + +cp_exception cp_is_ecall COUNT AT LEAST +ENV_CALL_MMODE is_ecall 1483 1 + + +User Defined Cross Bins for cross_ecall + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_fencei + + +Samples crossed: cp_exception cp_is_fencei +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_fencei + + +Excluded/Illegal bins + +cp_exception cp_is_fencei COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_fencei] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_fencei] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_fencei] 0 Excluded + + +Covered bins + +cp_exception cp_is_fencei COUNT AT LEAST +ILLEGAL_INSTR is_fencei 1 1 + + +User Defined Cross Bins for cross_fencei + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_dret + + +Samples crossed: cp_exception cp_is_dret +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_dret + + +Excluded/Illegal bins + +cp_exception cp_is_dret COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_dret] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_dret] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_dret] 0 Excluded + + +Covered bins + +cp_exception cp_is_dret COUNT AT LEAST +ILLEGAL_INSTR is_dret 5085 1 + + +User Defined Cross Bins for cross_dret + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_illegal_csr + + +Samples crossed: cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 113 0 113 100.00 +Automatically Generated Cross Bins 113 0 113 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_illegal_csr + + +Excluded/Illegal bins + +cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H] [is_csr_instr] [is_not_csr_write] -- Excluded (452 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H] [is_csr_instr] [is_not_csr_write] -- Excluded (565 bins) +[INSTR_ADDR_MISALIGNED] [UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H] [is_csr_instr] [is_not_csr_write] -- Excluded (113 bins) + + +Covered bins + +cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr COUNT AT LEAST +ILLEGAL_INSTR UNSUPPORTED_CSR_USTATUS is_csr_instr is_not_csr_write 7756 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_FFLAGS is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_FRM is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_FCSR is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UIE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UTVEC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VSTART is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VXSTAT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VXRM is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_USCRATCH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UEPC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UCAUSE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UTVAL is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UIP is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SSTATUS is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SEDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SIDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SIE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_STVEC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SCOUNTEREN is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SSCRATCH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SEPC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SCAUSE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_STVAL is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SIP is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SATP is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MEDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MIDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MCOUNTEREN is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MENVCFG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MENVCFGH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MTINST is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MTVAL2 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MSECCFG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MSECCFGH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TSELECT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TDATA1 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TDATA2 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TDATA3 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TINFO is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MCONTEXT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SCONTEXT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DCSR is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DPC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DSCRATCH0 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DSCRATCH1 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_CYCLE is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TIME is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_INSTRET is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER3 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER4 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER5 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER6 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER7 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER8 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER9 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER10 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER11 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER12 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER13 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER14 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER15 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER16 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER17 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER18 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER19 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER20 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER21 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER22 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER23 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER24 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER25 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER26 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER27 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER28 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER29 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER30 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER31 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VL is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VTYPE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VLENB is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_CYCLEH is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TIMEH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_INSTRETH is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER3H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER4H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER5H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER6H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER7H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER8H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER9H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER10H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER11H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER12H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER13H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER14H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER15H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER16H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER17H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER18H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER19H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER20H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER21H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER22H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER23H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER24H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER25H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER26H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER27H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER28H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER29H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER30H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER31H is_csr_instr is_not_csr_write 2 1 + + +User Defined Cross Bins for cross_illegal_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_illegal_write_csr + + +Samples crossed: cp_exception cp_ro_csr cp_is_write_csr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 5 0 5 100.00 +Automatically Generated Cross Bins 5 0 5 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_illegal_write_csr + + +Excluded/Illegal bins + +cp_exception cp_ro_csr cp_is_write_csr COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR] [is_csr_write] -- Excluded (20 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR] [is_csr_write] -- Excluded (25 bins) +[INSTR_ADDR_MISALIGNED] [ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR] [is_csr_write] -- Excluded (5 bins) + + +Covered bins + +cp_exception cp_ro_csr cp_is_write_csr COUNT AT LEAST +ILLEGAL_INSTR ONLY_READ_CSR_MVENDORID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MARCHID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MIMPID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MHARTID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MCONFIGPTR is_csr_write 1 1 + + +User Defined Cross Bins for cross_illegal_write_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_misaligned_load + + +Samples crossed: cp_exception cp_misalign_load cp_add_mem +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 3 0 3 100.00 +Automatically Generated Cross Bins 3 0 3 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_misaligned_load + + +Excluded/Illegal bins + +cp_exception cp_misalign_load cp_add_mem COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [misalign_load] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (16 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [misalign_load] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (20 bins) +[INSTR_ADDR_MISALIGNED] [misalign_load] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (4 bins) + + +Covered bins + +cp_exception cp_misalign_load cp_add_mem COUNT AT LEAST +LD_ADDR_MISALIGNED misalign_load add_mem_1 4612 1 +LD_ADDR_MISALIGNED misalign_load add_mem_2 1568 1 +LD_ADDR_MISALIGNED misalign_load add_mem_3 1614 1 + + +User Defined Cross Bins for cross_misaligned_load + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_EXC 0 Excluded +IGN_ADD 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_misaligned_store + + +Samples crossed: cp_exception cp_misalign_store cp_add_mem +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 3 0 3 100.00 +Automatically Generated Cross Bins 3 0 3 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_misaligned_store + + +Excluded/Illegal bins + +cp_exception cp_misalign_store cp_add_mem COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [misalign_store] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (16 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [misalign_store] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (20 bins) +[INSTR_ADDR_MISALIGNED] [misalign_store] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (4 bins) + + +Covered bins + +cp_exception cp_misalign_store cp_add_mem COUNT AT LEAST +ST_ADDR_MISALIGNED misalign_store add_mem_1 3155 1 +ST_ADDR_MISALIGNED misalign_store add_mem_2 1533 1 +ST_ADDR_MISALIGNED misalign_store add_mem_3 1550 1 + + +User Defined Cross Bins for cross_misaligned_store + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_EXC 0 Excluded +IGN_ADD 0 Excluded + + +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent29.mhpmevent29__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT29 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr34.pmpaddr34__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR34 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR34 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 117 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent28.mhpmevent28__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT28 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 189 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER9 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 222 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr21.pmpaddr21__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR21 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 121 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER28H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 218 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER18H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 200 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER26 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 206 1 + + +Group : uvme_cva6_pkg::reg_marchid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_marchid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.marchid.marchid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_marchid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_marchid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MARCHID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.marchid.marchid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_marchid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.marchid.marchid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.marchid.marchid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MARCHID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MARCHID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MARCHID + + +Bins + +NAME COUNT AT LEAST +legal_values_3 10 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr55.pmpaddr55__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR55 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR55 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 123 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr17.pmpaddr17__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR17 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent26.mhpmevent26__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT26 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 204 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr11.pmpaddr11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR11 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 42 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr53.pmpaddr53__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR53 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR53 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 7 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER29H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 8 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER19H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 66 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER9H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent27.mhpmevent27__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT27 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 213 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr38.pmpaddr38__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR38 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR38 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER28H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 74 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr32.pmpaddr32__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR32 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR32 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 7 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 13 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER18H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent24.mhpmevent24__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT24 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr46.pmpaddr46__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR46 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR46 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 30 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 15 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER17 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mscratch::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mscratch::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mscratch.mscratch__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mscratch.mscratch__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mscratch.mscratch__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mscratch.mscratch__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MSCRATCH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MSCRATCH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 10634 1 +other_values[1431655766:2863311530] 1759 1 +other_values[2863311531:ffffffff] 1231 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER4H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 207 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr59.pmpaddr59__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR59 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR59 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 131 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent25.mhpmevent25__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT25 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER19 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr15.pmpaddr15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR15 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 38 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 4 0 4 100.00 100 1 1 0 +PMP50CFG 4 0 4 100.00 100 1 1 0 +PMP49CFG 4 0 4 100.00 100 1 1 0 +PMP48CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg12.pmpcfg12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 4 0 4 100.00 100 1 1 0 +PMP50CFG 4 0 4 100.00 100 1 1 0 +PMP49CFG 4 0 4 100.00 100 1 1 0 +PMP48CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP51CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP51CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 8 1 +legal_values 109 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP50CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP50CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 9 1 +legal_values 111 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP49CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP49CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 9 1 +legal_values 107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP48CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP48CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 80 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 10 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr57.pmpaddr57__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR57 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR57 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER6H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent23.mhpmevent23__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT23 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 190 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr2.pmpaddr2__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR2 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent8.mhpmevent8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT8 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent22.mhpmevent22__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT22 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 233 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr36.pmpaddr36__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR36 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR36 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 1 0 1 100.00 100 1 1 0 +PMP14CFG 1 0 1 100.00 100 1 1 0 +PMP13CFG 1 0 1 100.00 100 1 1 0 +PMP12CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg3.pmpcfg3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 1 0 1 100.00 100 1 1 0 +PMP14CFG 1 0 1 100.00 100 1 1 0 +PMP13CFG 1 0 1 100.00 100 1 1 0 +PMP12CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP15CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP15CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP14CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP14CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP13CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP13CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP12CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP12CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent21.mhpmevent21__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT21 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 183 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER24 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 52 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent20.mhpmevent20__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT20 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 188 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 4 0 4 100.00 100 1 1 0 +PMP22CFG 4 0 4 100.00 100 1 1 0 +PMP21CFG 4 0 4 100.00 100 1 1 0 +PMP20CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg5.pmpcfg5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 4 0 4 100.00 100 1 1 0 +PMP22CFG 4 0 4 100.00 100 1 1 0 +PMP21CFG 4 0 4 100.00 100 1 1 0 +PMP20CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP23CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP23CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 2 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 7 1 +legal_values 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP22CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP22CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 6 1 +legal_values 96 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP21CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP21CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 11 1 +legal_values 90 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP20CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP20CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 60 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 4 1 +legal_values 37 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER3H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 64 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr8.pmpaddr8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR8 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 162 1 + + +Group : uvme_cva6_pkg::cg_illegal_i + +=============================================================================== +Group : uvme_cva6_pkg::cg_illegal_i +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.illegal_i_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_illegal_i + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 +Crosses 15 0 15 100.00 + + +Variables for Group uvme_cva6_pkg::cg_illegal_i + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 3 0 3 100.00 100 1 1 0 +cp_illegal_funct3 6 0 6 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.illegal_i_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_i + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.illegal_i_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 +Crosses 15 0 15 100.00 + + +Variables for Group Instance uvme_cva6_pkg.illegal_i_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 3 0 3 100.00 100 1 1 0 +cp_illegal_funct3 6 0 6 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.illegal_i_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_exc_illegal_0 3 0 3 100.00 100 1 1 0 +cross_exc_illegal_1 6 0 6 100.00 100 1 1 0 +cross_exc_illegal_2 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_opcode + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for cp_illegal_opcode + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_OPCODE[00:02,04:0e,10:12,14:16,18:22,24:2b] 5965 1 +ILLEGAL_OPCODE[2c:32,34:36,38:54] 5478 1 +ILLEGAL_OPCODE[55:62,64:66,68:6e,70:72,74:7f] 3883 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 6 0 6 100.00 + + +User Defined Bins for cp_illegal_funct3 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3[0:1] 6777 1 +ILLEGAL_NOPCODE_FUNCT3[2:3] 2255 1 +ILLEGAL_NOPCODE_FUNCT3[4:7] 6294 1 +ILLEGAL_FUNCT3[0:1] 15221 1 +ILLEGAL_FUNCT3[2:3] 9769 1 +ILLEGAL_FUNCT3[4:7] 2237 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 6 0 6 100.00 + + +User Defined Bins for cp_illegal_funct7 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[01:1f,21:2b] 4242 1 +ILLEGAL_NOPCODE_FUNCT7[2c:55] 1575 1 +ILLEGAL_NOPCODE_FUNCT7[56:7f] 1637 1 +ILLEGAL_FUNCT7[01:1f,21:2b] 10864 1 +ILLEGAL_FUNCT7[2c:55] 10609 1 +ILLEGAL_FUNCT7[56:7f] 5510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_illegal + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_illegal + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_INSTR 42553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_0 + + +Samples crossed: cp_illegal_opcode cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 3 0 3 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_0 + + +Bins + +cp_illegal_opcode cp_is_illegal COUNT AT LEAST +ILLEGAL_OPCODE[00:02,04:0e,10:12,14:16,18:22,24:2b] ILLEGAL_INSTR 5965 1 +ILLEGAL_OPCODE[2c:32,34:36,38:54] ILLEGAL_INSTR 5478 1 +ILLEGAL_OPCODE[55:62,64:66,68:6e,70:72,74:7f] ILLEGAL_INSTR 3883 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_1 + + +Samples crossed: cp_illegal_funct3 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_1 + + +Bins + +cp_illegal_funct3 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3[0:1] ILLEGAL_INSTR 6777 1 +ILLEGAL_NOPCODE_FUNCT3[2:3] ILLEGAL_INSTR 2255 1 +ILLEGAL_NOPCODE_FUNCT3[4:7] ILLEGAL_INSTR 6294 1 +ILLEGAL_FUNCT3[0:1] ILLEGAL_INSTR 15221 1 +ILLEGAL_FUNCT3[2:3] ILLEGAL_INSTR 9769 1 +ILLEGAL_FUNCT3[4:7] ILLEGAL_INSTR 2237 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_2 + + +Samples crossed: cp_illegal_funct7 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_2 + + +Bins + +cp_illegal_funct7 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[01:1f,21:2b] ILLEGAL_INSTR 4242 1 +ILLEGAL_NOPCODE_FUNCT7[2c:55] ILLEGAL_INSTR 1575 1 +ILLEGAL_NOPCODE_FUNCT7[56:7f] ILLEGAL_INSTR 1637 1 +ILLEGAL_FUNCT7[01:1f,21:2b] ILLEGAL_INSTR 10864 1 +ILLEGAL_FUNCT7[2c:55] ILLEGAL_INSTR 10609 1 +ILLEGAL_FUNCT7[56:7f] ILLEGAL_INSTR 5510 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent3.mhpmevent3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT3 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr27.pmpaddr27__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR27 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER21 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_rtype_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 0 0 0 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_rtype_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs3_rs2 0 0 0 1 0 +cross_rs3_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 168 1 +RS1_01 157 1 +RS1_02 161 1 +RS1_03 175 1 +RS1_04 160 1 +RS1_05 151 1 +RS1_06 158 1 +RS1_07 173 1 +RS1_08 168 1 +RS1_09 163 1 +RS1_0a 135 1 +RS1_0b 163 1 +RS1_0c 152 1 +RS1_0d 167 1 +RS1_0e 186 1 +RS1_0f 179 1 +RS1_10 167 1 +RS1_11 164 1 +RS1_12 187 1 +RS1_13 171 1 +RS1_14 166 1 +RS1_15 158 1 +RS1_16 157 1 +RS1_17 181 1 +RS1_18 163 1 +RS1_19 169 1 +RS1_1a 144 1 +RS1_1b 163 1 +RS1_1c 141 1 +RS1_1d 165 1 +RS1_1e 157 1 +RS1_1f 165 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 163 1 +RS2_01 173 1 +RS2_02 168 1 +RS2_03 167 1 +RS2_04 165 1 +RS2_05 155 1 +RS2_06 164 1 +RS2_07 166 1 +RS2_08 178 1 +RS2_09 151 1 +RS2_0a 165 1 +RS2_0b 149 1 +RS2_0c 155 1 +RS2_0d 163 1 +RS2_0e 173 1 +RS2_0f 170 1 +RS2_10 187 1 +RS2_11 161 1 +RS2_12 156 1 +RS2_13 169 1 +RS2_14 168 1 +RS2_15 151 1 +RS2_16 171 1 +RS2_17 175 1 +RS2_18 176 1 +RS2_19 166 1 +RS2_1a 162 1 +RS2_1b 169 1 +RS2_1c 158 1 +RS2_1d 146 1 +RS2_1e 154 1 +RS2_1f 140 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2456 1 +BIT30_1 2035 1 +BIT29_1 2001 1 +BIT28_1 1958 1 +BIT27_1 1902 1 +BIT26_1 1902 1 +BIT25_1 1937 1 +BIT24_1 1885 1 +BIT23_1 1909 1 +BIT22_1 1886 1 +BIT21_1 1879 1 +BIT20_1 1862 1 +BIT19_1 1901 1 +BIT18_1 1833 1 +BIT17_1 1881 1 +BIT16_1 2025 1 +BIT15_1 2162 1 +BIT14_1 2119 1 +BIT13_1 2000 1 +BIT12_1 2314 1 +BIT11_1 2277 1 +BIT10_1 2305 1 +BIT9_1 2205 1 +BIT8_1 1974 1 +BIT7_1 2182 1 +BIT6_1 1892 1 +BIT5_1 2027 1 +BIT4_1 2382 1 +BIT3_1 2451 1 +BIT2_1 2376 1 +BIT1_1 2016 1 +BIT0_1 1693 1 +BIT31_0 2776 1 +BIT30_0 3197 1 +BIT29_0 3231 1 +BIT28_0 3274 1 +BIT27_0 3330 1 +BIT26_0 3330 1 +BIT25_0 3295 1 +BIT24_0 3347 1 +BIT23_0 3323 1 +BIT22_0 3346 1 +BIT21_0 3353 1 +BIT20_0 3370 1 +BIT19_0 3331 1 +BIT18_0 3399 1 +BIT17_0 3351 1 +BIT16_0 3207 1 +BIT15_0 3070 1 +BIT14_0 3113 1 +BIT13_0 3232 1 +BIT12_0 2918 1 +BIT11_0 2955 1 +BIT10_0 2927 1 +BIT9_0 3027 1 +BIT8_0 3258 1 +BIT7_0 3050 1 +BIT6_0 3340 1 +BIT5_0 3205 1 +BIT4_0 2850 1 +BIT3_0 2781 1 +BIT2_0 2856 1 +BIT1_0 3216 1 +BIT0_0 3539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2508 1 +BIT30_1 1933 1 +BIT29_1 1995 1 +BIT28_1 1984 1 +BIT27_1 1861 1 +BIT26_1 1924 1 +BIT25_1 1978 1 +BIT24_1 1860 1 +BIT23_1 1917 1 +BIT22_1 1884 1 +BIT21_1 1885 1 +BIT20_1 1900 1 +BIT19_1 1839 1 +BIT18_1 1891 1 +BIT17_1 1805 1 +BIT16_1 2003 1 +BIT15_1 2156 1 +BIT14_1 2099 1 +BIT13_1 2021 1 +BIT12_1 2339 1 +BIT11_1 2380 1 +BIT10_1 2295 1 +BIT9_1 2111 1 +BIT8_1 2048 1 +BIT7_1 2158 1 +BIT6_1 1978 1 +BIT5_1 2003 1 +BIT4_1 2459 1 +BIT3_1 2468 1 +BIT2_1 2376 1 +BIT1_1 2000 1 +BIT0_1 1662 1 +BIT31_0 2726 1 +BIT30_0 3301 1 +BIT29_0 3239 1 +BIT28_0 3250 1 +BIT27_0 3373 1 +BIT26_0 3310 1 +BIT25_0 3256 1 +BIT24_0 3374 1 +BIT23_0 3317 1 +BIT22_0 3350 1 +BIT21_0 3349 1 +BIT20_0 3334 1 +BIT19_0 3395 1 +BIT18_0 3343 1 +BIT17_0 3429 1 +BIT16_0 3231 1 +BIT15_0 3078 1 +BIT14_0 3135 1 +BIT13_0 3213 1 +BIT12_0 2895 1 +BIT11_0 2854 1 +BIT10_0 2939 1 +BIT9_0 3123 1 +BIT8_0 3186 1 +BIT7_0 3076 1 +BIT6_0 3256 1 +BIT5_0 3231 1 +BIT4_0 2775 1 +BIT3_0 2766 1 +BIT2_0 2858 1 +BIT1_0 3234 1 +BIT0_0 3572 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs3_rs2 + + +Samples crossed: cp_rs3 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs3_rs1 + + +Samples crossed: cp_rs3 cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 4 0 4 100.00 100 1 1 0 +PMP6CFG 4 0 4 100.00 100 1 1 0 +PMP5CFG 4 0 4 100.00 100 1 1 0 +PMP4CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg1.pmpcfg1__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 4 0 4 100.00 100 1 1 0 +PMP6CFG 4 0 4 100.00 100 1 1 0 +PMP5CFG 4 0 4 100.00 100 1 1 0 +PMP4CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP7CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP7CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 17 1 +illegal_values[ab:ff] 19 1 +legal_values 129 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP6CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP6CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 10 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 17 1 +legal_values 135 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP5CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP5CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 25 1 +legal_values 127 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP4CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP4CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 72 1 +illegal_values[56:aa] 17 1 +illegal_values[ab:ff] 20 1 +legal_values 62 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr18.pmpaddr18__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR18 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr9.pmpaddr9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR9 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 49 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr7.pmpaddr7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR7 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 34 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr31.pmpaddr31__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR31 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 130 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER27 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205 1 + + +Group : uvme_cva6_pkg::cg_cvxif_executed + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_executed +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.cus_cvxif_seq_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_executed + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 +Crosses 104 0 104 100.00 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_executed + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_prev_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_instr 10 0 10 100.00 100 1 1 0 +cp_prev_instr 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_cvxif_seq_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_executed + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 +Crosses 104 0 104 100.00 + + +Variables for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_prev_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_instr 10 0 10 100.00 100 1 1 0 +cp_prev_instr 10 0 10 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_seq_cus_compressed_instr_x2 4 0 4 100.00 100 1 1 0 +cross_seq_cus_instr_x2 100 0 100 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_compressed_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_compressed_instr + + +Bins + +NAME COUNT AT LEAST +CUS_CADD 24128 1 +CUS_CNOP 24356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_prev_compressed_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_prev_compressed_instr + + +Bins + +NAME COUNT AT LEAST +CUS_CADD 24082 1 +CUS_CNOP 24299 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_instr + + +Bins + +NAME COUNT AT LEAST +CUS_ADD_RS3_RTYPE 6415 1 +CUS_ADD_RS3_NMSUB 6572 1 +CUS_ADD_RS3_NMADD 6536 1 +CUS_ADD_RS3_MSUB 6374 1 +CUS_ADD_RS3_MADD 6598 1 +CUS_ADD_MULTI 6525 1 +CUS_DOUBLE_RS2 6440 1 +CUS_DOUBLE_RS1 6548 1 +CUS_ADD 12779 1 +CUS_NOP 13138 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_prev_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_prev_instr + + +Bins + +NAME COUNT AT LEAST +CUS_ADD_RS3_RTYPE 6399 1 +CUS_ADD_RS3_NMSUB 6553 1 +CUS_ADD_RS3_NMADD 6516 1 +CUS_ADD_RS3_MSUB 6349 1 +CUS_ADD_RS3_MADD 6581 1 +CUS_ADD_MULTI 6510 1 +CUS_DOUBLE_RS2 6420 1 +CUS_DOUBLE_RS1 6530 1 +CUS_ADD 12753 1 +CUS_NOP 13116 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_cus_compressed_instr_x2 + + +Samples crossed: cp_compressed_instr cp_prev_compressed_instr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_seq_cus_compressed_instr_x2 + + +Bins + +cp_compressed_instr cp_prev_compressed_instr COUNT AT LEAST +CUS_CADD CUS_CADD 16069 1 +CUS_CADD CUS_CNOP 988 1 +CUS_CNOP CUS_CADD 997 1 +CUS_CNOP CUS_CNOP 16200 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_cus_instr_x2 + + +Samples crossed: cp_instr cp_prev_instr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 100 0 100 100.00 + + +Automatically Generated Cross Bins for cross_seq_cus_instr_x2 + + +Bins + +cp_instr cp_prev_instr COUNT AT LEAST +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_RTYPE 1922 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_NMSUB 413 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_NMADD 325 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_MSUB 359 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_MADD 378 1 +CUS_ADD_RS3_RTYPE CUS_ADD_MULTI 349 1 +CUS_ADD_RS3_RTYPE CUS_DOUBLE_RS2 403 1 +CUS_ADD_RS3_RTYPE CUS_DOUBLE_RS1 339 1 +CUS_ADD_RS3_RTYPE CUS_ADD 688 1 +CUS_ADD_RS3_RTYPE CUS_NOP 708 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_RTYPE 391 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_NMSUB 1942 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_NMADD 330 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_MSUB 362 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_MADD 379 1 +CUS_ADD_RS3_NMSUB CUS_ADD_MULTI 389 1 +CUS_ADD_RS3_NMSUB CUS_DOUBLE_RS2 377 1 +CUS_ADD_RS3_NMSUB CUS_DOUBLE_RS1 361 1 +CUS_ADD_RS3_NMSUB CUS_ADD 701 1 +CUS_ADD_RS3_NMSUB CUS_NOP 739 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_RTYPE 328 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_NMSUB 390 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_NMADD 1987 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_MSUB 351 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_MADD 368 1 +CUS_ADD_RS3_NMADD CUS_ADD_MULTI 365 1 +CUS_ADD_RS3_NMADD CUS_DOUBLE_RS2 370 1 +CUS_ADD_RS3_NMADD CUS_DOUBLE_RS1 354 1 +CUS_ADD_RS3_NMADD CUS_ADD 666 1 +CUS_ADD_RS3_NMADD CUS_NOP 719 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_RTYPE 341 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_NMSUB 382 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_NMADD 363 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_MSUB 1848 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_MADD 350 1 +CUS_ADD_RS3_MSUB CUS_ADD_MULTI 354 1 +CUS_ADD_RS3_MSUB CUS_DOUBLE_RS2 348 1 +CUS_ADD_RS3_MSUB CUS_DOUBLE_RS1 375 1 +CUS_ADD_RS3_MSUB CUS_ADD 689 1 +CUS_ADD_RS3_MSUB CUS_NOP 702 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_RTYPE 329 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_NMSUB 387 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_NMADD 348 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_MSUB 353 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_MADD 1996 1 +CUS_ADD_RS3_MADD CUS_ADD_MULTI 348 1 +CUS_ADD_RS3_MADD CUS_DOUBLE_RS2 387 1 +CUS_ADD_RS3_MADD CUS_DOUBLE_RS1 381 1 +CUS_ADD_RS3_MADD CUS_ADD 755 1 +CUS_ADD_RS3_MADD CUS_NOP 734 1 +CUS_ADD_MULTI CUS_ADD_RS3_RTYPE 420 1 +CUS_ADD_MULTI CUS_ADD_RS3_NMSUB 338 1 +CUS_ADD_MULTI CUS_ADD_RS3_NMADD 389 1 +CUS_ADD_MULTI CUS_ADD_RS3_MSUB 365 1 +CUS_ADD_MULTI CUS_ADD_RS3_MADD 341 1 +CUS_ADD_MULTI CUS_ADD_MULTI 2017 1 +CUS_ADD_MULTI CUS_DOUBLE_RS2 370 1 +CUS_ADD_MULTI CUS_DOUBLE_RS1 359 1 +CUS_ADD_MULTI CUS_ADD 689 1 +CUS_ADD_MULTI CUS_NOP 682 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_RTYPE 375 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_NMSUB 342 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_NMADD 374 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_MSUB 363 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_MADD 350 1 +CUS_DOUBLE_RS2 CUS_ADD_MULTI 374 1 +CUS_DOUBLE_RS2 CUS_DOUBLE_RS2 1885 1 +CUS_DOUBLE_RS2 CUS_DOUBLE_RS1 346 1 +CUS_DOUBLE_RS2 CUS_ADD 712 1 +CUS_DOUBLE_RS2 CUS_NOP 684 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_RTYPE 328 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_NMSUB 360 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_NMADD 360 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_MSUB 364 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_MADD 387 1 +CUS_DOUBLE_RS1 CUS_ADD_MULTI 365 1 +CUS_DOUBLE_RS1 CUS_DOUBLE_RS2 364 1 +CUS_DOUBLE_RS1 CUS_DOUBLE_RS1 1958 1 +CUS_DOUBLE_RS1 CUS_ADD 695 1 +CUS_DOUBLE_RS1 CUS_NOP 769 1 +CUS_ADD CUS_ADD_RS3_RTYPE 411 1 +CUS_ADD CUS_ADD_RS3_NMSUB 421 1 +CUS_ADD CUS_ADD_RS3_NMADD 419 1 +CUS_ADD CUS_ADD_RS3_MSUB 437 1 +CUS_ADD CUS_ADD_RS3_MADD 452 1 +CUS_ADD CUS_ADD_MULTI 457 1 +CUS_ADD CUS_DOUBLE_RS2 406 1 +CUS_ADD CUS_DOUBLE_RS1 448 1 +CUS_ADD CUS_ADD 3997 1 +CUS_ADD CUS_NOP 889 1 +CUS_NOP CUS_ADD_RS3_RTYPE 443 1 +CUS_NOP CUS_ADD_RS3_NMSUB 463 1 +CUS_NOP CUS_ADD_RS3_NMADD 468 1 +CUS_NOP CUS_ADD_RS3_MSUB 456 1 +CUS_NOP CUS_ADD_RS3_MADD 465 1 +CUS_NOP CUS_ADD_MULTI 434 1 +CUS_NOP CUS_DOUBLE_RS2 412 1 +CUS_NOP CUS_DOUBLE_RS1 426 1 +CUS_NOP CUS_ADD 967 1 +CUS_NOP CUS_NOP 4201 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent5.mhpmevent5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT5 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER28 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr12.pmpaddr12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR12 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 177 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr50.pmpaddr50__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR50 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR50 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 142 1 + + +Group : uvme_cva6_pkg::reg_mstatush::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatush::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatush.mstatush__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 1 0 1 100.00 100 1 1 0 +MPV 1 0 1 100.00 100 1 1 0 +GVA 1 0 1 100.00 100 1 1 0 +MBE 1 0 1 100.00 100 1 1 0 +SBE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatush.mstatush__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatush.mstatush__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group Instance csr_reg_cov.mstatush.mstatush__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 1 0 1 100.00 100 1 1 0 +MPV 1 0 1 100.00 100 1 1 0 +GVA 1 0 1 100.00 100 1 1 0 +MBE 1 0 1 100.00 100 1 1 0 +SBE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPELP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPV + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable GVA + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for GVA + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MBE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SBE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER5H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr9.pmpaddr9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR9 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr35.pmpaddr35__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR35 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR35 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER31 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 19 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER14 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr16.pmpaddr16__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR16 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 7 1 + + +Group : uvme_cva6_pkg::reg_mtvec::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtvec::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtvec.mtvec__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtvec.mtvec__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtvec.mtvec__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mtvec.mtvec__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable BASE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for BASE + + +Bins + +NAME COUNT AT LEAST +other_values 123 1 +reset_value 21 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MODE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MODE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 144 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr54.pmpaddr54__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR54 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR54 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 131 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 1 0 1 100.00 100 1 1 0 +PMP26CFG 1 0 1 100.00 100 1 1 0 +PMP25CFG 1 0 1 100.00 100 1 1 0 +PMP24CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg6.pmpcfg6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 1 0 1 100.00 100 1 1 0 +PMP26CFG 1 0 1 100.00 100 1 1 0 +PMP25CFG 1 0 1 100.00 100 1 1 0 +PMP24CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP27CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP27CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP26CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP26CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP25CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP25CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP24CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP24CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +Group : uvme_cva6_pkg::cg_illegal_zicsr + +=============================================================================== +Group : uvme_cva6_pkg::cg_illegal_zicsr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.illegal_zicsr_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_illegal_zicsr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::cg_illegal_zicsr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 2 0 2 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.illegal_zicsr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_zicsr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 2 0 2 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_exc_illegal_0 2 0 2 100.00 100 1 1 0 +cross_exc_illegal_1 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_opcode + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_illegal_opcode + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_OPCODE[00:3e] 14149 1 +ILLEGAL_OPCODE[3f:72,74:7f] 5441 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_illegal_funct3 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3 5727 1 +ILLEGAL_FUNCT3 10792 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_illegal + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_illegal + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_INSTR 42553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_0 + + +Samples crossed: cp_illegal_opcode cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 2 0 2 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_0 + + +Bins + +cp_illegal_opcode cp_is_illegal COUNT AT LEAST +ILLEGAL_OPCODE[00:3e] ILLEGAL_INSTR 14149 1 +ILLEGAL_OPCODE[3f:72,74:7f] ILLEGAL_INSTR 5441 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_1 + + +Samples crossed: cp_illegal_funct3 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 2 0 2 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_1 + + +Bins + +cp_illegal_funct3 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3 ILLEGAL_INSTR 5727 1 +ILLEGAL_FUNCT3 ILLEGAL_INSTR 10792 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr52.pmpaddr52__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR52 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR52 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 22 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 15 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr10.pmpaddr10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR10 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 45 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr39.pmpaddr39__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR39 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR39 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 117 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER10 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr8.pmpaddr8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR8 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr33.pmpaddr33__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR33 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR33 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 23 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER4 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER25 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr58.pmpaddr58__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR58 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR58 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 120 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr56.pmpaddr56__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR56 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR56 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr14.pmpaddr14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR14 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 42 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_minstret::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstret::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstret.minstret__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstret::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstret::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstret.minstret__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstret.minstret__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.minstret.minstret__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRET + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MINSTRET + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 252 1 +other_values[1431655766:2863311530] 8 1 +other_values[2863311531:ffffffff] 8 1 +reset_value 4 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent6.mhpmevent6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT6 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mvendorid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mvendorid.mvendorid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MVENDORID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mvendorid.mvendorid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mvendorid.mvendorid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mvendorid.mvendorid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MVENDORID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MVENDORID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MVENDORID + + +Bins + +NAME COUNT AT LEAST +legal_values_1538 93 1 + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.txt new file mode 100644 index 00000000..c5d1b7e5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.txt @@ -0,0 +1,159 @@ +Design Hierarchy + + +---------------- +SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 uvmt_cva6_tb + + ---------------- + SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 cva6_dut_wrap + + ---------------- + SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- cva6_tb_wrapper_i + + ---------------- + SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- cva6_only_pipeline.i_cva6_pipeline + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- commit_stage_i + 100.00 100.00 100.00 -- controller_i + 98.78 100.00 97.56 -- csr_regfile_i + 99.81 99.81 99.81 -- ex_stage_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- alu_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- gen_bitmanip.i_clz_64b + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- branch_unit_i + 100.00 100.00 100.00 -- csr_buffer_i + 100.00 100.00 100.00 -- gen_cvxif.cvxif_fu_i + 99.83 100.00 99.66 -- i_mult + + ---------------- + SCORE LINE COND ASSERT + 99.82 100.00 99.64 -- i_div + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_lzc_a + 100.00 100.00 100.00 -- i_lzc_b + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_multiplier + + ---------------- + SCORE LINE COND ASSERT + 99.83 99.65 100.00 -- lsu_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_load_unit + 100.00 100.00 100.00 -- i_store_unit + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- store_buffer_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- lsu_bypass_i + + ---------------- + SCORE LINE COND ASSERT + 99.70 100.00 99.39 -- i_frontend + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- bht_gen.i_bht + 100.00 100.00 100.00 -- gen_instr_scan[0].i_instr_scan + 100.00 100.00 100.00 -- i_instr_queue + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- gen_instr_fifo[0].i_fifo_instr_data + 100.00 100.00 100.00 -- gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_instr_realign + 100.00 100.00 100.00 -- ras_gen.i_ras + + ---------------- + SCORE LINE COND ASSERT + 99.12 99.42 98.81 -- id_stage_i + + ---------------- + SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- genblk1.genblk1[0].compressed_decoder_i + 100.00 100.00 100.00 -- genblk1.genblk6.i_cvxif_compressed_if_driver_i + 99.12 99.73 98.51 -- genblk2[0].decoder_i + + ---------------- + SCORE LINE COND ASSERT + 97.36 99.62 95.10 -- issue_stage_i + + ---------------- + SCORE LINE COND ASSERT + 97.46 100.00 94.92 -- i_issue_read_operands + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- gen_asic_regfile.i_ariane_regfile + 94.19 -- 94.19 -- genblk5[0].i_sel_rs1 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs2 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs3 + 100.00 100.00 100.00 -- i_cvxif_issue_register_commit_if_driver + + ---------------- + SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- i_scoreboard + + ---------------- + SCORE LINE COND ASSERT + 92.31 -- -- 92.31 cvxif_assert + 100.00 -- -- 100.00 interrupt_assert + 61.29 -- -- 61.29 obi_fetch_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 u_assert + + ---------------- + SCORE LINE COND ASSERT + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 obi_load_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 u_assert + + ---------------- + SCORE LINE COND ASSERT + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 obi_store_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 u_assert + + ---------------- + SCORE LINE COND ASSERT + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.txt new file mode 100644 index 00000000..c17fa441 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.txt @@ -0,0 +1,488 @@ +HVP Hierarchy + + + + +---------------- +SCORE LINE COND ASSERT GROUP weight description Comment NAME + 97.02 99.79 98.39 92.31 97.58 1 CVA6 Verification Master Plan CVA6 Verification Master Plan + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 94.94 -- -- 92.31 97.58 1 CVA6 features for programmer view Programmer view level + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.74 -- -- -- 99.74 1 Instruction Set Architecture + Specification: Done, Dvplan: Done, Verification execution: Done ISA + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.46 -- -- -- 99.46 1 I extension RV32I + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ADD + 100.00 -- -- -- 100.00 1 ADDI + 100.00 -- -- -- 100.00 1 AND + 100.00 -- -- -- 100.00 1 ANDI + 100.00 -- -- -- 100.00 1 AUIPC + 100.00 -- -- -- 100.00 1 BEQ + 100.00 -- -- -- 100.00 1 BGE + 100.00 -- -- -- 100.00 1 BGEU + 100.00 -- -- -- 100.00 1 BLT + 100.00 -- -- -- 100.00 1 BLTU + 100.00 -- -- -- 100.00 1 BNE + 100.00 -- -- -- 100.00 1 EBREAK + 100.00 -- -- -- 100.00 1 ECALL + 100.00 -- -- -- 100.00 1 FENCE + 85.42 -- -- -- 85.42 1 JAL + 92.03 -- -- -- 92.03 1 JALR + 100.00 -- -- -- 100.00 1 LB + 100.00 -- -- -- 100.00 1 LBU + 100.00 -- -- -- 100.00 1 LH + 100.00 -- -- -- 100.00 1 LHU + 100.00 -- -- -- 100.00 1 LUI + 100.00 -- -- -- 100.00 1 LW + 100.00 -- -- -- 100.00 1 MRET + 100.00 -- -- -- 100.00 1 OR + 100.00 -- -- -- 100.00 1 ORI + 100.00 -- -- -- 100.00 1 SB + 100.00 -- -- -- 100.00 1 SH + 100.00 -- -- -- 100.00 1 SLL + 100.00 -- -- -- 100.00 1 SLLI + 100.00 -- -- -- 100.00 1 SLT + 100.00 -- -- -- 100.00 1 SLTI + 100.00 -- -- -- 100.00 1 SLTIU + 100.00 -- -- -- 100.00 1 SLTU + 100.00 -- -- -- 100.00 1 SRA + 100.00 -- -- -- 100.00 1 SRAI + 100.00 -- -- -- 100.00 1 SRL + 100.00 -- -- -- 100.00 1 SRLI + 100.00 -- -- -- 100.00 1 SUB + 100.00 -- -- -- 100.00 1 SW + 100.00 -- -- -- 100.00 1 WFI + 100.00 -- -- -- 100.00 1 XOR + 100.00 -- -- -- 100.00 1 XORI + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 M extension RV32M + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 DIV + 100.00 -- -- -- 100.00 1 DIV_RESULTS + 100.00 -- -- -- 100.00 1 DIVU + 100.00 -- -- -- 100.00 1 DIVU_RESULTS + 100.00 -- -- -- 100.00 1 MUL + 100.00 -- -- -- 100.00 1 MULH + 100.00 -- -- -- 100.00 1 MULHSU + 100.00 -- -- -- 100.00 1 MULHU + 100.00 -- -- -- 100.00 1 REM + 100.00 -- -- -- 100.00 1 REM_RESULTS + 100.00 -- -- -- 100.00 1 REMU + 100.00 -- -- -- 100.00 1 REMU_RESULTS + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.97 -- -- -- 99.97 1 C extension RV32C + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ADD + 100.00 -- -- -- 100.00 1 ADDI4SPN + 100.00 -- -- -- 100.00 1 ADDI16SP + 100.00 -- -- -- 100.00 1 ADDI + 100.00 -- -- -- 100.00 1 AND + 100.00 -- -- -- 100.00 1 ANDI + 100.00 -- -- -- 100.00 1 BEQZ + 100.00 -- -- -- 100.00 1 BNEZ + 100.00 -- -- -- 100.00 1 EBREAK + 100.00 -- -- -- 100.00 1 J + 100.00 -- -- -- 100.00 1 JAL + 100.00 -- -- -- 100.00 1 JALR + 100.00 -- -- -- 100.00 1 JR + 100.00 -- -- -- 100.00 1 LI + 100.00 -- -- -- 100.00 1 LUI + 99.55 -- -- -- 99.55 1 LW + 100.00 -- -- -- 100.00 1 LWSP + 100.00 -- -- -- 100.00 1 MV + 100.00 -- -- -- 100.00 1 NOP + 100.00 -- -- -- 100.00 1 OR + 100.00 -- -- -- 100.00 1 SLLI + 100.00 -- -- -- 100.00 1 SRAI + 100.00 -- -- -- 100.00 1 SRLI + 100.00 -- -- -- 100.00 1 SUB + 99.61 -- -- -- 99.61 1 SW + 100.00 -- -- -- 100.00 1 SWSP + 100.00 -- -- -- 100.00 1 XOR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ZICSR extension RV32ZICSR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 CSRRC + 100.00 -- -- -- 100.00 1 CSRRCI + 100.00 -- -- -- 100.00 1 CSRRS + 100.00 -- -- -- 100.00 1 CSRRSI + 100.00 -- -- -- 100.00 1 CSRRW + 100.00 -- -- -- 100.00 1 CSRRWI + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.95 -- -- -- 99.95 1 ZCB extension RV32ZCB + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 MUL + 100.00 -- -- -- 100.00 1 ZEXT_B + 100.00 -- -- -- 100.00 1 ZEXT_H + 100.00 -- -- -- 100.00 1 SEXT_B + 100.00 -- -- -- 100.00 1 SEXT_H + 100.00 -- -- -- 100.00 1 NOT + 100.00 -- -- -- 100.00 1 SB + 99.80 -- -- -- 99.80 1 SH + 100.00 -- -- -- 100.00 1 LBU + 99.83 -- -- -- 99.83 1 LHU + 99.83 -- -- -- 99.83 1 LH + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.67 -- -- -- 99.67 1 Bitmanip extension RV32ZB + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RV32ZBA + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 SH1ADD + 100.00 -- -- -- 100.00 1 SH2ADD + 100.00 -- -- -- 100.00 1 SH3ADD + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RV32ZBB + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ANDN + 100.00 -- -- -- 100.00 1 MAX + 100.00 -- -- -- 100.00 1 MAXU + 100.00 -- -- -- 100.00 1 MIN + 100.00 -- -- -- 100.00 1 MINU + 100.00 -- -- -- 100.00 1 ORN + 100.00 -- -- -- 100.00 1 ROL + 100.00 -- -- -- 100.00 1 ROR + 100.00 -- -- -- 100.00 1 XNOR + 100.00 -- -- -- 100.00 1 RORI + 100.00 -- -- -- 100.00 1 CLZ + 100.00 -- -- -- 100.00 1 CPOP + 100.00 -- -- -- 100.00 1 CTZ + 100.00 -- -- -- 100.00 1 ORC_B + 100.00 -- -- -- 100.00 1 REV8 + 100.00 -- -- -- 100.00 1 SEXT_B + 100.00 -- -- -- 100.00 1 SEXT_H + 100.00 -- -- -- 100.00 1 ZEXT_H + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RV32ZBC + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 CLMUL + 100.00 -- -- -- 100.00 1 CLMULH + 100.00 -- -- -- 100.00 1 CLMULR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 98.70 -- -- -- 98.70 1 RV32ZBS + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 BCLR + 100.00 -- -- -- 100.00 1 BCLRI + 100.00 -- -- -- 100.00 1 BINV + 100.00 -- -- -- 100.00 1 BINVI + 95.83 -- -- -- 95.83 1 BSET + 93.75 -- -- -- 93.75 1 BSETI + 100.00 -- -- -- 100.00 1 BEXT + 100.00 -- -- -- 100.00 1 BEXTI + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 98.84 -- -- -- 98.84 1 Instructions sequences Instructions execution sequences + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) Illegal instructions + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) I_EXT + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) M_EXT + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) ZICSR_EXT + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 CSR registers access. + Specification: Done, Dvplan: Done, Verification execution: Done CSR access + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 MSTATUS + 100.00 -- -- -- 100.00 1 MISA + 100.00 -- -- -- 100.00 1 MIE + 100.00 -- -- -- 100.00 1 MTVEC + 100.00 -- -- -- 100.00 1 MSTATUSH + 100.00 -- -- -- 100.00 1 MHPMEVENT3 + 100.00 -- -- -- 100.00 1 MHPMEVENT4 + 100.00 -- -- -- 100.00 1 MHPMEVENT5 + 100.00 -- -- -- 100.00 1 MHPMEVENT6 + 100.00 -- -- -- 100.00 1 MHPMEVENT7 + 100.00 -- -- -- 100.00 1 MHPMEVENT8 + 100.00 -- -- -- 100.00 1 MHPMEVENT9 + 100.00 -- -- -- 100.00 1 MHPMEVENT10 + 100.00 -- -- -- 100.00 1 MHPMEVENT11 + 100.00 -- -- -- 100.00 1 MHPMEVENT12 + 100.00 -- -- -- 100.00 1 MHPMEVENT13 + 100.00 -- -- -- 100.00 1 MHPMEVENT14 + 100.00 -- -- -- 100.00 1 MHPMEVENT15 + 100.00 -- -- -- 100.00 1 MHPMEVENT16 + 100.00 -- -- -- 100.00 1 MHPMEVENT17 + 100.00 -- -- -- 100.00 1 MHPMEVENT18 + 100.00 -- -- -- 100.00 1 MHPMEVENT19 + 100.00 -- -- -- 100.00 1 MHPMEVENT20 + 100.00 -- -- -- 100.00 1 MHPMEVENT21 + 100.00 -- -- -- 100.00 1 MHPMEVENT22 + 100.00 -- -- -- 100.00 1 MHPMEVENT23 + 100.00 -- -- -- 100.00 1 MHPMEVENT24 + 100.00 -- -- -- 100.00 1 MHPMEVENT25 + 100.00 -- -- -- 100.00 1 MHPMEVENT26 + 100.00 -- -- -- 100.00 1 MHPMEVENT27 + 100.00 -- -- -- 100.00 1 MHPMEVENT28 + 100.00 -- -- -- 100.00 1 MHPMEVENT29 + 100.00 -- -- -- 100.00 1 MHPMEVENT30 + 100.00 -- -- -- 100.00 1 MHPMEVENT31 + 100.00 -- -- -- 100.00 1 MSCRATCH + 100.00 -- -- -- 100.00 1 MEPC + 100.00 -- -- -- 100.00 1 MCAUSE + 100.00 -- -- -- 100.00 1 MTVAL + 100.00 -- -- -- 100.00 1 MIP + 100.00 -- -- -- 100.00 1 PMPCFG0 + 100.00 -- -- -- 100.00 1 PMPCFG1 + 100.00 -- -- -- 100.00 1 PMPCFG2 + 100.00 -- -- -- 100.00 1 PMPCFG3 + 100.00 -- -- -- 100.00 1 PMPCFG4 + 100.00 -- -- -- 100.00 1 PMPCFG5 + 100.00 -- -- -- 100.00 1 PMPCFG6 + 100.00 -- -- -- 100.00 1 PMPCFG7 + 100.00 -- -- -- 100.00 1 PMPCFG8 + 100.00 -- -- -- 100.00 1 PMPCFG9 + 100.00 -- -- -- 100.00 1 PMPCFG10 + 100.00 -- -- -- 100.00 1 PMPCFG11 + 100.00 -- -- -- 100.00 1 PMPCFG12 + 100.00 -- -- -- 100.00 1 PMPCFG13 + 100.00 -- -- -- 100.00 1 PMPCFG14 + 100.00 -- -- -- 100.00 1 PMPCFG15 + 100.00 -- -- -- 100.00 1 PMPADDR0 + 100.00 -- -- -- 100.00 1 PMPADDR1 + 100.00 -- -- -- 100.00 1 PMPADDR2 + 100.00 -- -- -- 100.00 1 PMPADDR3 + 100.00 -- -- -- 100.00 1 PMPADDR4 + 100.00 -- -- -- 100.00 1 PMPADDR5 + 100.00 -- -- -- 100.00 1 PMPADDR6 + 100.00 -- -- -- 100.00 1 PMPADDR7 + 100.00 -- -- -- 100.00 1 PMPADDR8 + 100.00 -- -- -- 100.00 1 PMPADDR9 + 100.00 -- -- -- 100.00 1 PMPADDR10 + 100.00 -- -- -- 100.00 1 PMPADDR11 + 100.00 -- -- -- 100.00 1 PMPADDR12 + 100.00 -- -- -- 100.00 1 PMPADDR13 + 100.00 -- -- -- 100.00 1 PMPADDR14 + 100.00 -- -- -- 100.00 1 PMPADDR15 + 100.00 -- -- -- 100.00 1 PMPADDR16 + 100.00 -- -- -- 100.00 1 PMPADDR17 + 100.00 -- -- -- 100.00 1 PMPADDR18 + 100.00 -- -- -- 100.00 1 PMPADDR19 + 100.00 -- -- -- 100.00 1 PMPADDR20 + 100.00 -- -- -- 100.00 1 PMPADDR21 + 100.00 -- -- -- 100.00 1 PMPADDR22 + 100.00 -- -- -- 100.00 1 PMPADDR23 + 100.00 -- -- -- 100.00 1 PMPADDR24 + 100.00 -- -- -- 100.00 1 PMPADDR25 + 100.00 -- -- -- 100.00 1 PMPADDR26 + 100.00 -- -- -- 100.00 1 PMPADDR27 + 100.00 -- -- -- 100.00 1 PMPADDR28 + 100.00 -- -- -- 100.00 1 PMPADDR29 + 100.00 -- -- -- 100.00 1 PMPADDR30 + 100.00 -- -- -- 100.00 1 PMPADDR31 + 100.00 -- -- -- 100.00 1 PMPADDR32 + 100.00 -- -- -- 100.00 1 PMPADDR33 + 100.00 -- -- -- 100.00 1 PMPADDR34 + 100.00 -- -- -- 100.00 1 PMPADDR35 + 100.00 -- -- -- 100.00 1 PMPADDR36 + 100.00 -- -- -- 100.00 1 PMPADDR37 + 100.00 -- -- -- 100.00 1 PMPADDR38 + 100.00 -- -- -- 100.00 1 PMPADDR39 + 100.00 -- -- -- 100.00 1 PMPADDR40 + 100.00 -- -- -- 100.00 1 PMPADDR41 + 100.00 -- -- -- 100.00 1 PMPADDR42 + 100.00 -- -- -- 100.00 1 PMPADDR43 + 100.00 -- -- -- 100.00 1 PMPADDR44 + 100.00 -- -- -- 100.00 1 PMPADDR45 + 100.00 -- -- -- 100.00 1 PMPADDR46 + 100.00 -- -- -- 100.00 1 PMPADDR47 + 100.00 -- -- -- 100.00 1 PMPADDR48 + 100.00 -- -- -- 100.00 1 PMPADDR49 + 100.00 -- -- -- 100.00 1 PMPADDR50 + 100.00 -- -- -- 100.00 1 PMPADDR51 + 100.00 -- -- -- 100.00 1 PMPADDR52 + 100.00 -- -- -- 100.00 1 PMPADDR53 + 100.00 -- -- -- 100.00 1 PMPADDR54 + 100.00 -- -- -- 100.00 1 PMPADDR55 + 100.00 -- -- -- 100.00 1 PMPADDR56 + 100.00 -- -- -- 100.00 1 PMPADDR57 + 100.00 -- -- -- 100.00 1 PMPADDR58 + 100.00 -- -- -- 100.00 1 PMPADDR59 + 100.00 -- -- -- 100.00 1 PMPADDR60 + 100.00 -- -- -- 100.00 1 PMPADDR61 + 100.00 -- -- -- 100.00 1 PMPADDR62 + 100.00 -- -- -- 100.00 1 PMPADDR63 + 100.00 -- -- -- 100.00 1 MCYCLE + 100.00 -- -- -- 100.00 1 MINSTRET + 100.00 -- -- -- 100.00 1 MHPMCOUNTER3 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER4 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER5 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER6 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER7 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER8 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER9 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER10 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER11 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER12 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER13 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER14 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER15 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER16 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER17 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER18 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER19 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER20 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER21 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER22 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER23 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER24 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER25 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER26 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER27 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER28 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER29 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER30 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER31 + 100.00 -- -- -- 100.00 1 MCYCLEH + 100.00 -- -- -- 100.00 1 MINSTRETH + 100.00 -- -- -- 100.00 1 MHPMCOUNTER3H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER4H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER5H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER6H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER7H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER8H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER9H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER10H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER11H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER12H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER13H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER14H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER15H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER16H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER17H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER18H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER19H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER20H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER21H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER22H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER23H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER24H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER25H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER26H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER27H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER28H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER29H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER30H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER31H + 100.00 -- -- -- 100.00 1 MVENDORID + 100.00 -- -- -- 100.00 1 MARCHID + 100.00 -- -- -- 100.00 1 MIMPID + 100.00 -- -- -- 100.00 1 MHARTID + 100.00 -- -- -- 100.00 1 MCONFIGPTR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.57 -- -- -- 99.57 1 Interrupts and Exceptions. + Specification: Done, Dvplan: Done, Verification execution: Done. TRAPs + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.15 -- -- -- 99.15 1 Interrupts + 100.00 -- -- -- 100.00 1 Exceptions + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 91.66 -- -- 92.31 91.01 1 CV-X-IF + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 87.24 -- -- 92.31 82.18 1 Protocol + 99.84 -- -- -- 99.84 1 CV-XIF Instructions + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 SEQUENCE + 99.92 -- -- -- 99.92 1 CUS_CADD + 99.85 -- -- -- 99.85 1 CUS_ADD + 99.78 -- -- -- 99.78 1 CUS_ADD_MULTI + 99.79 -- -- -- 99.79 1 CUS_DOUBLE_RS1 + 99.78 -- -- -- 99.78 1 CUS_DOUBLE_RS2 + 99.79 -- -- -- 99.79 1 CUS_ADD_RS3_MADD + 99.73 -- -- -- 99.73 1 CUS_ADD_RS3_MSUB + 99.79 -- -- -- 99.79 1 CUS_ADD_RS3_NMADD + 99.80 -- -- -- 99.80 1 CUS_ADD_RS3_NMSUB + 100.00 -- -- -- 100.00 1 CUS_ADD_RS3_RTYPE + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.09 99.79 98.39 -- -- 1 Code coverage + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modinfo.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modinfo.txt new file mode 100644 index 00000000..beefc516 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modinfo.txt @@ -0,0 +1,27276 @@ +=============================================================================== +Module : uvma_obi_memory_1p2_assert +=============================================================================== +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_1p2_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert + 50.00 -- -- 50.00 uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert + 50.00 -- -- 50.00 uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvma_obi_memory_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 153606204 9882679 1155 +c_aid_stable 153606204 9882679 1155 +c_atomic_addr_aligned 153606204 0 0 +c_atop_stable 153606204 9882679 1155 +c_auser_stable 153606204 9882679 1155 +c_err_stable 153606204 0 0 +c_exokay_lr_sc 153606204 0 0 +c_exokay_stable 153606204 0 0 +c_memtype_stable 153606204 9882679 1155 +c_one_atomic_trn 153606204 28548535 0 +c_prot_stable 153606204 9882679 1155 +c_rchk_stable 153606204 0 0 +c_rdata_stable 153606204 0 0 +c_req_until_gnt 153606204 9882679 1155 +c_rid_follows_aid 153606204 18662331 0 +c_rid_stable 153606204 0 0 +c_rready_assert_no_rvalid 153606204 0 0 +c_rready_deassert_no_rvalid 153606204 0 5764 +c_ruser_stable 153606204 0 0 +c_wuser_stable 153606204 9882679 1155 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Module : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + + +Parent : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 u_assert + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 51202068 8238280 905 +c_aid_stable 51202068 8238280 905 +c_atomic_addr_aligned 51202068 0 0 +c_atop_stable 51202068 8238280 905 +c_auser_stable 51202068 8238280 905 +c_err_stable 51202068 0 0 +c_exokay_lr_sc 51202068 0 0 +c_exokay_stable 51202068 0 0 +c_memtype_stable 51202068 8238280 905 +c_one_atomic_trn 51202068 24112278 0 +c_prot_stable 51202068 8238280 905 +c_rchk_stable 51202068 0 0 +c_rdata_stable 51202068 0 0 +c_req_until_gnt 51202068 8238280 905 +c_rid_follows_aid 51202068 15871660 0 +c_rid_stable 51202068 0 0 +c_rready_assert_no_rvalid 51202068 0 0 +c_rready_deassert_no_rvalid 51202068 0 1469 +c_ruser_stable 51202068 0 0 +c_wuser_stable 51202068 8238280 905 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Module : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + + +Parent : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 u_assert + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 51202068 651997 250 +c_aid_stable 51202068 651997 250 +c_atomic_addr_aligned 51202068 0 0 +c_atop_stable 51202068 651997 250 +c_auser_stable 51202068 651997 250 +c_err_stable 51202068 0 0 +c_exokay_lr_sc 51202068 0 0 +c_exokay_stable 51202068 0 0 +c_memtype_stable 51202068 651997 250 +c_one_atomic_trn 51202068 2059374 0 +c_prot_stable 51202068 651997 250 +c_rchk_stable 51202068 0 0 +c_rdata_stable 51202068 0 0 +c_req_until_gnt 51202068 651997 250 +c_rid_follows_aid 51202068 1406193 0 +c_rid_stable 51202068 0 0 +c_rready_assert_no_rvalid 51202068 0 0 +c_rready_deassert_no_rvalid 51202068 0 1942 +c_ruser_stable 51202068 0 0 +c_wuser_stable 51202068 651997 250 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Module : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + + +Parent : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 u_assert + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 51202068 992402 0 +c_aid_stable 51202068 992402 0 +c_atomic_addr_aligned 51202068 0 0 +c_atop_stable 51202068 992402 0 +c_auser_stable 51202068 992402 0 +c_err_stable 51202068 0 0 +c_exokay_lr_sc 51202068 0 0 +c_exokay_stable 51202068 0 0 +c_memtype_stable 51202068 992402 0 +c_one_atomic_trn 51202068 2376883 0 +c_prot_stable 51202068 992402 0 +c_rchk_stable 51202068 0 0 +c_rdata_stable 51202068 0 0 +c_req_until_gnt 51202068 992402 0 +c_rid_follows_aid 51202068 1384478 0 +c_rid_stable 51202068 0 0 +c_rready_assert_no_rvalid 51202068 0 0 +c_rready_deassert_no_rvalid 51202068 0 2353 +c_ruser_stable 51202068 0 0 +c_wuser_stable 51202068 992402 0 + + +=============================================================================== +Module : uvma_obi_memory_assert +=============================================================================== +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert + 81.82 -- -- 81.82 uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert + 81.82 -- -- 81.82 uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvma_obi_memory_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 153606204 28550891 0 +c_addr_stable 153606204 9882679 1155 +c_be_contiguous 153606204 28548535 0 +c_be_not_zero 153606204 28548535 0 +c_be_stable 153606204 9882679 1155 +c_gnt_assert_no_req 153606204 0 4490 +c_gnt_deassert_no_req 153606204 0 585 +c_r_after_a 153606204 18662331 0 +c_req_until_gnt 153606204 9882679 1155 +c_wdata_stable 153606204 9882679 1155 +c_we_stable 153606204 9882679 1155 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvma_obi_memory_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- obi_fetch_assert + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 51202068 24114634 0 +c_addr_stable 51202068 8238280 905 +c_be_contiguous 51202068 24112278 0 +c_be_not_zero 51202068 24112278 0 +c_be_stable 51202068 8238280 905 +c_gnt_assert_no_req 51202068 0 703 +c_gnt_deassert_no_req 51202068 0 17 +c_r_after_a 51202068 15871660 0 +c_req_until_gnt 51202068 8238280 905 +c_wdata_stable 51202068 8238280 905 +c_we_stable 51202068 8238280 905 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvma_obi_memory_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- obi_store_assert + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 51202068 2059374 0 +c_addr_stable 51202068 651997 250 +c_be_contiguous 51202068 2059374 0 +c_be_not_zero 51202068 2059374 0 +c_be_stable 51202068 651997 250 +c_gnt_assert_no_req 51202068 0 1717 +c_gnt_deassert_no_req 51202068 0 285 +c_r_after_a 51202068 1406193 0 +c_req_until_gnt 51202068 651997 250 +c_wdata_stable 51202068 651997 250 +c_we_stable 51202068 651997 250 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvma_obi_memory_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- obi_load_assert + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 51202068 2376883 0 +c_addr_stable 51202068 992402 0 +c_be_contiguous 51202068 2376883 0 +c_be_not_zero 51202068 2376883 0 +c_be_stable 51202068 992402 0 +c_gnt_assert_no_req 51202068 0 2070 +c_gnt_deassert_no_req 51202068 0 283 +c_r_after_a 51202068 1384478 0 +c_req_until_gnt 51202068 992402 0 +c_wdata_stable 51202068 992402 0 +c_we_stable 51202068 992402 0 + + +=============================================================================== +Module : uvma_cvxif_assert +=============================================================================== +SCORE LINE COND ASSERT + 92.31 -- -- 92.31 + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/uvma_cvxif_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 92.31 -- -- 92.31 uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvma_cvxif_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 13 13 100.00 12 92.31 +Cover sequences 0 0 0 +Total 13 13 100.00 12 92.31 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +cov_commit_for_issue 51202068 92906 0 +cov_commit_one_cycle 51202068 92906 0 +cov_compressed_instr 51202068 164164 0 +cov_reject_issue_req 51202068 33794 0 +cov_result_for_commit 51202068 59255 33651 +cov_result_stable 51202068 0 0 +cov_result_trn_end 51202068 59255 0 +cov_stable_issue 51202068 55459 0 +cov_uncompressed_resp 51202068 29335 0 +gen0[0].cov_rs 51202068 55423 0 +gen0[0].cov_rs_valid 51202068 55459 0 +gen0[1].cov_rs 51202068 55437 0 +gen0[1].cov_rs_valid 51202068 55459 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 92.31 -- -- 92.31 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 92.31 -- -- 92.31 + + +Module : + +SCORE LINE COND ASSERT NAME + 92.31 -- -- 92.31 uvma_cvxif_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : rr_arb_tree +=============================================================================== +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + +Source File(s) : + +cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs1 + 94.19 -- 94.19 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs2 + 94.19 -- 94.19 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs3 + + + +------------------------------------------------------------------------------- +Cond Coverage for Module : rr_arb_tree + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs1 +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- rr_arb_tree + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs1 + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs2 +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- rr_arb_tree + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs2 + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs3 +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- rr_arb_tree + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs3 + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +=============================================================================== +Module : scoreboard +=============================================================================== +SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- + +Source File(s) : + +cva6/core/scoreboard.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 97.78 98.18 97.37 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_scoreboard + + + +------------------------------------------------------------------------------- +Line Coverage for Module : scoreboard + + Line No. Total Covered Percent +TOTAL 55 54 98.18 +ALWAYS 139 0 0 +ALWAYS 139 4 4 100.00 +ALWAYS 153 6 6 100.00 +ALWAYS 168 34 33 97.06 +ALWAYS 280 3 3 100.00 +ALWAYS 307 8 8 100.00 +INITIAL 325 0 0 + +138 always_comb begin : commit_ports +139 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +140 1/1 commit_instr_o[i] = mem_q[commit_pointer_q[i]].sbe; +141 1/1 commit_instr_o[i].trans_id = commit_pointer_q[i]; +142 1/1 commit_drop_o[i] = mem_q[commit_pointer_q[i]].cancelled; +143 end +144 end +145 +146 assign issue_pointer[0] = issue_pointer_q; +147 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +148 assign issue_pointer[i+1] = issue_pointer[i] + 'd1; +149 end +150 +151 // an instruction is ready for issue if we have place in the issue FIFO and it the decoder says it is valid +152 always_comb begin +153 1/1 issue_instr_o = decoded_instr_i; +154 1/1 orig_instr_o = orig_instr_i; +155 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +156 // make sure we assign the correct trans ID +157 1/1 issue_instr_o[i].trans_id = issue_pointer[i]; +158 +159 1/1 issue_instr_valid_o[i] = decoded_instr_valid_i[i] & ~issue_full[i]; +160 1/1 decoded_instr_ack_o[i] = issue_ack_i[i] & ~issue_full[i]; +161 end +162 end +163 +164 // maintain a FIFO with issued instructions +165 // keep track of all issued instructions +166 always_comb begin : issue_fifo +167 // default assignment +168 1/1 mem_n = mem_q; +169 1/1 num_issue = '0; +170 +171 // if we got a acknowledge from the issue stage, put this scoreboard entry in the queue +172 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +173 1/1 if (decoded_instr_valid_i[i] && decoded_instr_ack_o[i] && !flush_unissued_instr_i) begin +174 // the decoded instruction we put in there is valid (1st bit) +175 // increase the issue counter and advance issue pointer +176 1/1 num_issue += 'd1; +177 1/1 mem_n[issue_pointer[i]] = '{ +178 issued: 1'b1, +179 cancelled: 1'b0, +180 is_rd_fpr_flag: CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr(decoded_instr_i[i].op), +181 sbe: decoded_instr_i[i] +182 }; +183 end + MISSING_ELSE +184 end +185 +186 // ------------ +187 // FU NONE +188 // ------------ +189 1/1 for (int unsigned i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +190 // The FU is NONE -> this instruction is valid immediately +191 1/2 ==> if (mem_q[i].sbe.fu == ariane_pkg::NONE && mem_q[i].issued) mem_n[i].sbe.valid = 1'b1; + MISSING_ELSE +192 end +193 +194 // ------------ +195 // Write Back +196 // ------------ +197 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrWbPorts; i++) begin +198 // check if this instruction was issued (e.g.: it could happen after a flush that there is still +199 // something in the pipeline e.g. an incomplete memory operation) +200 1/1 if (wt_valid_i[i] && mem_q[trans_id_i[i]].issued) begin +201 1/1 if (CVA6Cfg.RVZCMP && mem_q[trans_id_i[i]].sbe.is_double_rd_macro_instr && mem_q[trans_id_i[i]].sbe.is_macro_instr) begin +202 unreachable if (mem_q[trans_id_i[i]].sbe.is_last_macro_instr) begin +203 unreachable mem_n[trans_id_i[i]].sbe.valid = 1'b1; +204 unreachable mem_n[8'(trans_id_i[i])-1].sbe.valid = 1'b1; +205 end else begin +206 unreachable mem_n[trans_id_i[i]].sbe.valid = 1'b0; +207 end +208 end else begin +209 1/1 mem_n[trans_id_i[i]].sbe.valid = 1'b1; +210 end +211 1/1 mem_n[trans_id_i[i]].sbe.result = wbdata_i[i]; +212 // save the target address of a branch (needed for debug in commit stage) +213 1/1 if (CVA6Cfg.DebugEn) begin +214 unreachable mem_n[trans_id_i[i]].sbe.bp.predict_address = resolved_branch_i.target_address; +215 end + MISSING_ELSE +216 1/1 if (mem_n[trans_id_i[i]].sbe.fu == ariane_pkg::CVXIF) begin +217 2/2 if (x_we_i) mem_n[trans_id_i[i]].sbe.rd = x_rd_i; +218 1/1 else mem_n[trans_id_i[i]].sbe.rd = 5'b0; +219 end + MISSING_ELSE +220 // write the exception back if it is valid +221 2/2 if (ex_i[i].valid) mem_n[trans_id_i[i]].sbe.ex = ex_i[i]; +222 // write the fflags back from the FPU (exception valid is never set), leave tval intact +223 1/1 else if(CVA6Cfg.FpPresent && (mem_q[trans_id_i[i]].sbe.fu == ariane_pkg::FPU || mem_q[trans_id_i[i]].sbe.fu == ariane_pkg::FPU_VEC)) begin +224 unreachable mem_n[trans_id_i[i]].sbe.ex.cause = ex_i[i].cause; +225 end + MISSING_ELSE +226 end + MISSING_ELSE +227 end +228 +229 // ------------ +230 // Cancel +231 // ------------ +232 1/1 if (CVA6Cfg.SpeculativeSb) begin +233 unreachable if (bmiss) begin +234 unreachable if (after_flu_wb != issue_pointer[0]) begin +235 unreachable mem_n[after_flu_wb].cancelled = 1'b1; +236 end + ==> MISSING_ELSE +237 end + ==> MISSING_ELSE +238 end + MISSING_ELSE +239 +240 // ------------ +241 // Commit Port +242 // ------------ +243 // we've got an acknowledge from commit +244 1/1 for (int i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +245 1/1 if (commit_ack_i[i]) begin +246 // this instruction is no longer in issue e.g.: it is considered finished +247 1/1 mem_n[commit_pointer_q[i]].issued = 1'b0; +248 1/1 mem_n[commit_pointer_q[i]].cancelled = 1'b0; +249 1/1 mem_n[commit_pointer_q[i]].sbe.valid = 1'b0; +250 end + MISSING_ELSE +251 end +252 +253 // ------ +254 // Flush +255 // ------ +256 1/1 if (flush_i) begin +257 1/1 for (int unsigned i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +258 // set all valid flags for all entries to zero +259 1/1 mem_n[i].issued = 1'b0; +260 1/1 mem_n[i].cancelled = 1'b0; +261 1/1 mem_n[i].sbe.valid = 1'b0; +262 1/1 mem_n[i].sbe.ex.valid = 1'b0; +263 end +264 end + MISSING_ELSE +265 end +266 +267 assign bmiss = resolved_branch_i.is_mispredict; +268 assign after_flu_wb = trans_id_i[ariane_pkg::FLU_WB] + 'd1; +269 +270 // FIFO counter updates +271 if (CVA6Cfg.NrCommitPorts == 2) begin : gen_commit_ports +272 assign num_commit = commit_ack_i[1] + commit_ack_i[0]; +273 end else begin : gen_one_commit_port +274 assign num_commit = commit_ack_i[0]; +275 end +276 +277 assign commit_pointer_n[0] = (flush_i) ? '0 : commit_pointer_q[0] + num_commit; +278 +279 always_comb begin : assign_issue_pointer_n +280 1/1 issue_pointer_n = issue_pointer[num_issue]; +281 2/2 if (flush_i) issue_pointer_n = '0; + MISSING_ELSE +282 end +283 +284 // precompute offsets for commit slots +285 for (genvar k = 1; k < CVA6Cfg.NrCommitPorts; k++) begin : gen_cnt_incr +286 assign commit_pointer_n[k] = (flush_i) ? '0 : commit_pointer_n[0] + unsigned'(k); +287 end +288 +289 // Forwarding logic +290 writeback_t [CVA6Cfg.NrWbPorts-1:0] wb; +291 for (genvar i = 0; i < CVA6Cfg.NrWbPorts; i++) begin +292 assign wb[i].valid = wt_valid_i[i]; +293 assign wb[i].data = wbdata_i[i]; +294 assign wb[i].ex_valid = ex_i[i].valid; +295 assign wb[i].trans_id = trans_id_i[i]; +296 end +297 +298 assign fwd_o.still_issued = still_issued; +299 assign fwd_o.issue_pointer = issue_pointer; +300 assign fwd_o.wb = wb; +301 for (genvar i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +302 assign fwd_o.sbe[i] = mem_q[i].sbe; +303 end +304 +305 // sequential process +306 always_ff @(posedge clk_i or negedge rst_ni) begin : regs +307 1/1 if (!rst_ni) begin +308 1/1 mem_q <= '{default: sb_mem_t'(0)}; +309 1/1 commit_pointer_q <= '0; +310 1/1 issue_pointer_q <= '0; +311 end else begin +312 1/1 issue_pointer_q <= issue_pointer_n; +313 1/1 mem_q <= mem_n; +314 1/1 mem_q[x_id_i].sbe.rd <= (x_transaction_accepted_i && ~x_issue_writeback_i) ? 5'b0 : mem_n[x_id_i].sbe.rd; +315 1/1 commit_pointer_q <= commit_pointer_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : scoreboard + + Total Covered Percent +Conditions 38 37 97.37 +Logical 38 37 97.37 +Non-Logical 0 0 +Event 0 0 + + LINE 159 + EXPRESSION (decoded_instr_valid_i[i] & ((~issue_full[i]))) + ------------1----------- ---------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 160 + EXPRESSION (issue_ack_i[i] & ((~issue_full[i]))) + -------1------ ---------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 173 + EXPRESSION (decoded_instr_valid_i[i] && decoded_instr_ack_o[i] && ((!flush_unissued_instr_i))) + ------------1----------- -----------2---------- -------------3------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 191 + EXPRESSION ((mem_q[i].sbe.fu == NONE) && mem_q[i].issued) + ------------1------------ -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 191 + SUB-EXPRESSION (mem_q[i].sbe.fu == NONE) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 200 + EXPRESSION (wt_valid_i[i] && mem_q[trans_id_i[i]].issued) + ------1------ -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 216 + EXPRESSION (mem_n[trans_id_i[i]].sbe.fu == CVXIF) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 234 + EXPRESSION (after_flu_wb != issue_pointer[0]) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 314 + EXPRESSION ((x_transaction_accepted_i && ((~x_issue_writeback_i))) ? 5'b0 : mem_n[x_id_i].sbe.rd) + ---------------------------1-------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 314 + SUB-EXPRESSION (x_transaction_accepted_i && ((~x_issue_writeback_i))) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 128 + EXPRESSION (((&issued_instrs_even_odd[0])) && ((&issued_instrs_even_odd[1]))) + ---------------1-------------- ---------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 277 + EXPRESSION (flush_i ? '0 : ((commit_pointer_q[0] + num_commit))) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 120 + EXPRESSION (mem_q[0].issued & ((~(1'b0 & mem_q[0].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 120 + EXPRESSION (mem_q[1].issued & ((~(1'b0 & mem_q[1].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 120 + EXPRESSION (mem_q[2].issued & ((~(1'b0 & mem_q[2].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 120 + EXPRESSION (mem_q[3].issued & ((~(1'b0 & mem_q[3].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_scoreboard +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 97.78 98.18 97.37 -- scoreboard + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- issue_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : load_store_unit +=============================================================================== +SCORE LINE COND ASSERT + 98.75 97.50 100.00 -- + +Source File(s) : + +cva6/core/load_store_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : load_store_unit + + Line No. Total Covered Percent +TOTAL 40 39 97.50 +ALWAYS 514 17 17 100.00 +ALWAYS 598 23 22 95.65 + +513 +514 1/1 ld_valid_i = 1'b0; +515 1/1 st_valid_i = 1'b0; +516 +517 1/1 translation_req = 1'b0; +518 1/1 mmu_vaddr = {CVA6Cfg.VLEN{1'b0}}; +519 1/1 mmu_tinst = {32{1'b0}}; +520 1/1 mmu_hs_ld_st_inst = 1'b0; +521 1/1 mmu_hlvx_inst = 1'b0; +522 +523 // check the operation to activate the right functional unit accordingly +524 1/1 unique case (lsu_ctrl.fu) +525 // all loads go here +526 LOAD: begin +527 1/1 ld_valid_i = lsu_ctrl.valid; +528 1/1 translation_req = ld_translation_req; +529 1/1 mmu_vaddr = ld_vaddr; +530 1/1 if (CVA6Cfg.RVH) begin +531 unreachable mmu_tinst = ld_tinst; +532 unreachable mmu_hs_ld_st_inst = ld_hs_ld_st_inst; +533 unreachable mmu_hlvx_inst = ld_hlvx_inst; +534 end + MISSING_ELSE +535 end +536 // all stores go here +537 STORE: begin +538 1/1 st_valid_i = lsu_ctrl.valid; +539 1/1 translation_req = st_translation_req; +540 1/1 mmu_vaddr = st_vaddr; +541 1/1 if (CVA6Cfg.RVH) begin +542 unreachable mmu_tinst = st_tinst; +543 unreachable mmu_hs_ld_st_inst = st_hs_ld_st_inst; +544 unreachable mmu_hlvx_inst = st_hlvx_inst; +545 end + MISSING_ELSE +546 end +547 // not relevant for the LSU +548 1/1 default: ; +549 endcase +550 end +551 +552 // ------------------------ +553 // Hypervisor Load/Store +554 // ------------------------ +555 // determine whether this is a hypervisor load or store +556 if (CVA6Cfg.RVH) begin +557 always_comb begin : hyp_ld_st +558 // check the operator to activate the right functional unit accordingly +559 hs_ld_st_inst = 1'b0; +560 hlvx_inst = 1'b0; +561 case (lsu_ctrl.operation) +562 // all loads go here +563 HLV_B, HLV_BU, HLV_H, HLV_HU, HLV_W, HSV_B, HSV_H, HSV_W, HLV_WU, HLV_D, HSV_D: begin +564 hs_ld_st_inst = 1'b1; +565 end +566 HLVX_WU, HLVX_HU: begin +567 hs_ld_st_inst = 1'b1; +568 hlvx_inst = 1'b1; +569 end +570 default: ; +571 endcase +572 end +573 end else begin +574 assign hs_ld_st_inst = 1'b0; +575 assign hlvx_inst = 1'b0; +576 end +577 +578 // --------------- +579 // Byte Enable +580 // --------------- +581 // we can generate the byte enable from the virtual address since the last +582 // 12 bit are the same anyway +583 // and we can always generate the byte enable from the address at hand +584 +585 if (CVA6Cfg.IS_XLEN64) begin : gen_8b_be +586 assign be_i = be_gen(vaddr_i[2:0], extract_transfer_size(fu_data_i.operation)); +587 end else begin : gen_4b_be +588 assign be_i = be_gen_32(vaddr_i[1:0], extract_transfer_size(fu_data_i.operation)); +589 end +590 +591 // ------------------------ +592 // Misaligned Exception +593 // ------------------------ +594 // we can detect a misaligned exception immediately +595 // the misaligned exception is passed to the functional unit via the MMU, which in case +596 // can augment the exception if other memory related exceptions like a page fault or access errors +597 always_comb begin : data_misaligned_detection +598 1/1 misaligned_exception = { +599 {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.GPLEN{1'b0}}, {32{1'b0}}, 1'b0, 1'b0 +600 }; +601 1/1 data_misaligned = 1'b0; +602 +603 1/1 if (lsu_ctrl.valid) begin +604 1/1 if (CVA6Cfg.IS_XLEN64) begin +605 unreachable case (lsu_ctrl.operation) +606 // double word +607 LD, SD, FLD, FSD, +608 AMO_LRD, AMO_SCD, +609 AMO_SWAPD, AMO_ADDD, AMO_ANDD, AMO_ORD, +610 AMO_XORD, AMO_MAXD, AMO_MAXDU, AMO_MIND, +611 AMO_MINDU, HLV_D, HSV_D: begin +612 unreachable if (lsu_ctrl.vaddr[2:0] != 3'b000) begin +613 unreachable data_misaligned = 1'b1; +614 end + ==> MISSING_ELSE +615 end +616 unreachable default: ; +617 endcase +618 end + MISSING_ELSE +619 1/1 case (lsu_ctrl.operation) +620 // word +621 LW, LWU, SW, FLW, FSW, +622 AMO_LRW, AMO_SCW, +623 AMO_SWAPW, AMO_ADDW, AMO_ANDW, AMO_ORW, +624 AMO_XORW, AMO_MAXW, AMO_MAXWU, AMO_MINW, +625 AMO_MINWU, HLV_W, HLV_WU, HLVX_WU, HSV_W: begin +626 1/1 if (lsu_ctrl.vaddr[1:0] != 2'b00) begin +627 1/1 data_misaligned = 1'b1; +628 end + MISSING_ELSE +629 end +630 // half word +631 LH, LHU, SH, FLH, FSH, HLV_H, HLV_HU, HLVX_HU, HSV_H: begin +632 1/1 if (lsu_ctrl.vaddr[0] != 1'b0) begin +633 1/1 data_misaligned = 1'b1; +634 end + MISSING_ELSE +635 end +636 // byte -> is always aligned +637 1/1 default: ; +638 endcase +639 end + MISSING_ELSE +640 +641 1/1 if (data_misaligned) begin +642 1/1 case (lsu_ctrl.fu) +643 LOAD: begin +644 1/1 misaligned_exception.cause = riscv::LD_ADDR_MISALIGNED; +645 1/1 misaligned_exception.valid = 1'b1; +646 1/1 if (CVA6Cfg.TvalEn) +647 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + MISSING_ELSE +648 1/1 if (CVA6Cfg.RVH) begin +649 unreachable misaligned_exception.tval2 = '0; +650 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +651 unreachable misaligned_exception.gva = ld_st_v_i; +652 end + MISSING_ELSE +653 end +654 STORE: begin +655 +656 1/1 misaligned_exception.cause = riscv::ST_ADDR_MISALIGNED; +657 1/1 misaligned_exception.valid = 1'b1; +658 1/1 if (CVA6Cfg.TvalEn) +659 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + MISSING_ELSE +660 1/1 if (CVA6Cfg.RVH) begin +661 unreachable misaligned_exception.tval2 = '0; +662 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +663 unreachable misaligned_exception.gva = ld_st_v_i; +664 end + MISSING_ELSE +665 end +666 0/1 ==> default: ; +667 endcase +668 end + MISSING_ELSE +669 +670 1/1 if (CVA6Cfg.MmuPresent && en_ld_st_translation_i && lsu_ctrl.overflow) begin +671 +672 unreachable case (lsu_ctrl.fu) +673 LOAD: begin +674 unreachable misaligned_exception.cause = riscv::LOAD_PAGE_FAULT; +675 unreachable misaligned_exception.valid = 1'b1; +676 unreachable if (CVA6Cfg.TvalEn) +677 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +678 unreachable if (CVA6Cfg.RVH) begin +679 unreachable misaligned_exception.tval2 = '0; +680 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +681 unreachable misaligned_exception.gva = ld_st_v_i; +682 end + ==> MISSING_ELSE +683 end +684 STORE: begin +685 unreachable misaligned_exception.cause = riscv::STORE_PAGE_FAULT; +686 unreachable misaligned_exception.valid = 1'b1; +687 unreachable if (CVA6Cfg.TvalEn) +688 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +689 unreachable if (CVA6Cfg.RVH) begin +690 unreachable misaligned_exception.tval2 = '0; +691 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +692 unreachable misaligned_exception.gva = ld_st_v_i; +693 end + ==> MISSING_ELSE +694 end +695 unreachable default: ; +696 endcase +697 end + MISSING_ELSE +698 +699 1/1 if (CVA6Cfg.MmuPresent && CVA6Cfg.RVH && en_ld_st_g_translation_i && !en_ld_st_translation_i && lsu_ctrl.g_overflow) begin +700 +701 unreachable case (lsu_ctrl.fu) +702 LOAD: begin +703 unreachable misaligned_exception.cause = riscv::LOAD_GUEST_PAGE_FAULT; +704 unreachable misaligned_exception.valid = 1'b1; +705 unreachable if (CVA6Cfg.TvalEn) +706 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +707 unreachable if (CVA6Cfg.RVH) begin +708 unreachable misaligned_exception.tval2 = '0; +709 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +710 unreachable misaligned_exception.gva = ld_st_v_i; +711 end + ==> MISSING_ELSE +712 end +713 STORE: begin +714 unreachable misaligned_exception.cause = riscv::STORE_GUEST_PAGE_FAULT; +715 unreachable misaligned_exception.valid = 1'b1; +716 unreachable if (CVA6Cfg.TvalEn) +717 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +718 unreachable if (CVA6Cfg.RVH) begin +719 unreachable misaligned_exception.tval2 = '0; +720 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +721 unreachable misaligned_exception.gva = ld_st_v_i; +722 end + ==> MISSING_ELSE +723 end +724 unreachable default: ; +725 endcase +726 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : load_store_unit + + Total Covered Percent +Conditions 4 4 100.00 +Logical 4 4 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 612 + EXPRESSION (lsu_ctrl.vaddr[2:0] != 3'b0) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 626 + EXPRESSION (lsu_ctrl.vaddr[1:0] != 2'b0) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 632 + EXPRESSION (lsu_ctrl.vaddr[0] != 1'b0) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 98.75 97.50 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.83 99.65 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- load_store_unit + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_load_unit +100.00 100.00 100.00 -- i_store_unit +100.00 100.00 100.00 -- lsu_bypass_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : csr_regfile +=============================================================================== +SCORE LINE COND ASSERT + 98.78 100.00 97.56 -- + +Source File(s) : + +cva6/core/csr_regfile.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 98.78 100.00 97.56 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.csr_regfile_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : csr_regfile + + Line No. Total Covered Percent +TOTAL 549 549 100.00 +ALWAYS 379 159 159 100.00 +ALWAYS 933 272 272 100.00 +ALWAYS 2239 21 21 100.00 +ALWAYS 2303 8 8 100.00 +ALWAYS 2415 8 8 100.00 +ALWAYS 2448 5 5 100.00 +ALWAYS 2462 10 10 100.00 +ALWAYS 2518 5 5 100.00 +ALWAYS 2616 57 57 100.00 +ALWAYS 2781 0 0 +ALWAYS 2781 4 4 100.00 + +378 // a read access exception can only occur if we attempt to read a CSR which does not exist +379 1/1 read_access_exception = 1'b0; +380 1/1 virtual_read_access_exception = 1'b0; +381 1/1 csr_rdata = '0; +382 1/1 perf_addr_o = csr_addr.address[11:0]; +383 1/1 if (csr_read) begin +384 1/1 unique case (conv_csr_addr.address) +385 riscv::CSR_FFLAGS: begin +386 1/1 if (fp_csrs_usable) begin +387 unreachable csr_rdata = {{CVA6Cfg.XLEN - 5{1'b0}}, fcsr_q.fflags}; +388 end else begin +389 1/1 read_access_exception = 1'b1; +390 end +391 end +392 riscv::CSR_FRM: begin +393 1/1 if (fp_csrs_usable) begin +394 unreachable csr_rdata = {{CVA6Cfg.XLEN - 3{1'b0}}, fcsr_q.frm}; +395 end else begin +396 1/1 read_access_exception = 1'b1; +397 end +398 end +399 riscv::CSR_FCSR: begin +400 1/1 if (fp_csrs_usable) begin +401 unreachable csr_rdata = {{CVA6Cfg.XLEN - 8{1'b0}}, fcsr_q.frm, fcsr_q.fflags}; +402 end else begin +403 1/1 read_access_exception = 1'b1; +404 end +405 end +406 riscv::CSR_JVT: begin +407 1/1 if (CVA6Cfg.RVZCMT) begin +408 unreachable csr_rdata = {jvt_q.base, jvt_q.mode}; +409 end else begin +410 1/1 read_access_exception = 1'b1; +411 end +412 end +413 // non-standard extension +414 riscv::CSR_FTRAN: begin +415 1/1 if (fp_csrs_usable) begin +416 unreachable csr_rdata = {{CVA6Cfg.XLEN - 7{1'b0}}, fcsr_q.fprec}; +417 end else begin +418 1/1 read_access_exception = 1'b1; +419 end +420 end +421 // debug registers +422 riscv::CSR_DCSR: +423 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = {{CVA6Cfg.XLEN - 32{1'b0}}, dcsr_q}; +424 1/1 else read_access_exception = 1'b1; +425 riscv::CSR_DPC: +426 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = dpc_q; +427 1/1 else read_access_exception = 1'b1; +428 riscv::CSR_DSCRATCH0: +429 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = dscratch0_q; +430 1/1 else read_access_exception = 1'b1; +431 riscv::CSR_DSCRATCH1: +432 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = dscratch1_q; +433 1/1 else read_access_exception = 1'b1; +434 // trigger module registers +435 1/1 riscv::CSR_TSELECT: read_access_exception = 1'b1; // not implemented +436 1/1 riscv::CSR_TDATA1: read_access_exception = 1'b1; // not implemented +437 1/1 riscv::CSR_TDATA2: read_access_exception = 1'b1; // not implemented +438 1/1 riscv::CSR_TDATA3: read_access_exception = 1'b1; // not implemented +439 riscv::CSR_VSSTATUS: +440 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vsstatus_extended; +441 1/1 else read_access_exception = 1'b1; +442 riscv::CSR_VSIE: +443 1/1 if (CVA6Cfg.RVH) +444 unreachable csr_rdata = (mie_q & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] & hideleg_q) >> 1; +445 1/1 else read_access_exception = 1'b1; +446 riscv::CSR_VSIP: +447 1/1 if (CVA6Cfg.RVH) +448 unreachable csr_rdata = (mip_q & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] & hideleg_q) >> 1; +449 1/1 else read_access_exception = 1'b1; +450 riscv::CSR_VSTVEC: +451 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vstvec_q; +452 1/1 else read_access_exception = 1'b1; +453 riscv::CSR_VSSCRATCH: +454 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vsscratch_q; +455 1/1 else read_access_exception = 1'b1; +456 riscv::CSR_VSEPC: +457 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vsepc_q; +458 1/1 else read_access_exception = 1'b1; +459 riscv::CSR_VSCAUSE: +460 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vscause_q; +461 1/1 else read_access_exception = 1'b1; +462 riscv::CSR_VSTVAL: +463 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vstval_q; +464 1/1 else read_access_exception = 1'b1; +465 riscv::CSR_VSATP: +466 // intercept reads to VSATP if in VS-Mode and VTVM is enabled +467 1/1 if (CVA6Cfg.RVH) begin +468 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && hstatus_q.vtvm && v_q) +469 unreachable virtual_read_access_exception = 1'b1; +470 unreachable else csr_rdata = vsatp_q; +471 end else begin +472 1/1 read_access_exception = 1'b1; +473 end +474 // supervisor registers +475 riscv::CSR_SSTATUS: begin +476 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = mstatus_extended & SMODE_STATUS_READ_MASK[CVA6Cfg.XLEN-1:0]; +477 1/1 else read_access_exception = 1'b1; +478 end +479 riscv::CSR_SIE: +480 1/1 if (CVA6Cfg.RVS) +481 unreachable csr_rdata = (CVA6Cfg.RVH) ? mie_q & mideleg_q & ~HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] : mie_q & mideleg_q; +482 1/1 else read_access_exception = 1'b1; +483 riscv::CSR_SIP: +484 1/1 if (CVA6Cfg.RVS) +485 unreachable csr_rdata = (CVA6Cfg.RVH) ? mip_q & mideleg_q & ~HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] : mip_q & mideleg_q; +486 1/1 else read_access_exception = 1'b1; +487 riscv::CSR_STVEC: +488 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = stvec_q; +489 1/1 else read_access_exception = 1'b1; +490 riscv::CSR_SCOUNTEREN: +491 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = scounteren_q; +492 1/1 else read_access_exception = 1'b1; +493 riscv::CSR_SSCRATCH: +494 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = sscratch_q; +495 1/1 else read_access_exception = 1'b1; +496 riscv::CSR_SEPC: +497 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = sepc_q; +498 1/1 else read_access_exception = 1'b1; +499 riscv::CSR_SCAUSE: +500 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = scause_q; +501 1/1 else read_access_exception = 1'b1; +502 riscv::CSR_STVAL: +503 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = stval_q; +504 1/1 else read_access_exception = 1'b1; +505 riscv::CSR_SATP: begin +506 1/1 if (CVA6Cfg.RVS) begin +507 // intercept reads to SATP if in S-Mode and TVM is enabled +508 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && mstatus_q.tvm) begin +509 unreachable read_access_exception = 1'b1; +510 end else begin +511 unreachable csr_rdata = satp_q; +512 end +513 end else begin +514 1/1 read_access_exception = 1'b1; +515 end +516 end +517 riscv::CSR_SENVCFG: +518 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = '0 | fiom_q; +519 1/1 else read_access_exception = 1'b1; +520 // hypervisor mode registers +521 riscv::CSR_HSTATUS: +522 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hstatus_q[CVA6Cfg.XLEN-1:0]; +523 1/1 else read_access_exception = 1'b1; +524 riscv::CSR_HEDELEG: +525 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hedeleg_q; +526 1/1 else read_access_exception = 1'b1; +527 riscv::CSR_HIDELEG: +528 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hideleg_q; +529 1/1 else read_access_exception = 1'b1; +530 riscv::CSR_HIE: +531 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mie_q & HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +532 1/1 else read_access_exception = 1'b1; +533 riscv::CSR_HIP: +534 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mip_q & HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +535 1/1 else read_access_exception = 1'b1; +536 riscv::CSR_HVIP: +537 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mip_q & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +538 1/1 else read_access_exception = 1'b1; +539 riscv::CSR_HCOUNTEREN: +540 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hcounteren_q; +541 1/1 else read_access_exception = 1'b1; +542 riscv::CSR_HTVAL: +543 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = htval_q; +544 1/1 else read_access_exception = 1'b1; +545 riscv::CSR_HTINST: +546 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = htinst_q; +547 1/1 else read_access_exception = 1'b1; +548 riscv::CSR_HGEIE: +549 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = '0; +550 1/1 else read_access_exception = 1'b1; +551 riscv::CSR_HGEIP: +552 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = '0; +553 1/1 else read_access_exception = 1'b1; +554 riscv::CSR_HENVCFG: +555 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = '0 | {{CVA6Cfg.XLEN - 1{1'b0}}, fiom_q}; +556 1/1 else read_access_exception = 1'b1; +557 riscv::CSR_HGATP: begin +558 1/1 if (CVA6Cfg.RVH) begin +559 // intercept reads to HGATP if in HS-Mode and TVM is enabled +560 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && !v_q && mstatus_q.tvm) begin +561 unreachable read_access_exception = 1'b1; +562 end else begin +563 unreachable csr_rdata = hgatp_q; +564 end +565 end else begin +566 1/1 read_access_exception = 1'b1; +567 end +568 end +569 +570 // machine mode registers +571 1/1 riscv::CSR_MSTATUS: csr_rdata = mstatus_extended; +572 riscv::CSR_MSTATUSH: +573 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = '0; +574 unreachable else read_access_exception = 1'b1; +575 1/1 riscv::CSR_MISA: csr_rdata = IsaCode; +576 riscv::CSR_MEDELEG: +577 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = medeleg_q; +578 1/1 else read_access_exception = 1'b1; +579 riscv::CSR_MIDELEG: +580 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = mideleg_q; +581 1/1 else read_access_exception = 1'b1; +582 1/1 riscv::CSR_MIE: csr_rdata = mie_q; +583 1/1 riscv::CSR_MTVEC: csr_rdata = mtvec_q; +584 riscv::CSR_MCOUNTEREN: +585 1/1(1 unreachable) if (CVA6Cfg.RVU) csr_rdata = mcounteren_q; +586 1/1 else read_access_exception = 1'b1; +587 1/1 riscv::CSR_MSCRATCH: csr_rdata = mscratch_q; +588 1/1 riscv::CSR_MEPC: csr_rdata = mepc_q; +589 1/1 riscv::CSR_MCAUSE: csr_rdata = mcause_q; +590 riscv::CSR_MTVAL: +591 1/1(1 unreachable) if (CVA6Cfg.TvalEn) csr_rdata = mtval_q; +592 1/1 else csr_rdata = '0; +593 riscv::CSR_MTINST: +594 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mtinst_q; +595 1/1 else read_access_exception = 1'b1; +596 riscv::CSR_MTVAL2: +597 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mtval2_q; +598 1/1 else read_access_exception = 1'b1; +599 1/1 riscv::CSR_MIP: csr_rdata = mip_q; +600 riscv::CSR_MENVCFG: begin +601 1/1(1 unreachable) if (CVA6Cfg.RVU) csr_rdata = '0 | fiom_q; +602 1/1 else read_access_exception = 1'b1; +603 end +604 riscv::CSR_MENVCFGH: begin +605 1/1(1 unreachable) if (CVA6Cfg.RVU && CVA6Cfg.XLEN == 32) csr_rdata = '0; +606 1/1 else read_access_exception = 1'b1; +607 end +608 1/1 riscv::CSR_MVENDORID: csr_rdata = {{CVA6Cfg.XLEN - 32{1'b0}}, OPENHWGROUP_MVENDORID}; +609 1/1 riscv::CSR_MARCHID: csr_rdata = {{CVA6Cfg.XLEN - 32{1'b0}}, ARIANE_MARCHID}; +610 1/1 riscv::CSR_MIMPID: csr_rdata = '0; // not implemented +611 1/1 riscv::CSR_MHARTID: csr_rdata = hart_id_i; +612 1/1 riscv::CSR_MCONFIGPTR: csr_rdata = '0; // not implemented +613 riscv::CSR_MCOUNTINHIBIT: +614 1/1 csr_rdata = {{(CVA6Cfg.XLEN - (MHPMCounterNum + 3)) {1'b0}}, mcountinhibit_q}; +615 // Counters and Timers +616 1/1 riscv::CSR_MCYCLE: csr_rdata = cycle_q[CVA6Cfg.XLEN-1:0]; +617 riscv::CSR_MCYCLEH: +618 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = cycle_q[63:32]; +619 unreachable else read_access_exception = 1'b1; +620 1/1 riscv::CSR_MINSTRET: csr_rdata = instret_q[CVA6Cfg.XLEN-1:0]; +621 riscv::CSR_MINSTRETH: +622 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = instret_q[63:32]; +623 unreachable else read_access_exception = 1'b1; +624 riscv::CSR_CYCLE: +625 1/1(1 unreachable) if (CVA6Cfg.RVZicntr) csr_rdata = cycle_q[CVA6Cfg.XLEN-1:0]; +626 1/1 else read_access_exception = 1'b1; +627 riscv::CSR_CYCLEH: +628 1/1 if (CVA6Cfg.RVZicntr) +629 unreachable if (CVA6Cfg.XLEN == 32) csr_rdata = cycle_q[63:32]; +630 unreachable else read_access_exception = 1'b1; +631 1/1 else read_access_exception = 1'b1; +632 riscv::CSR_INSTRET: +633 1/1(1 unreachable) if (CVA6Cfg.RVZicntr) csr_rdata = instret_q[CVA6Cfg.XLEN-1:0]; +634 1/1 else read_access_exception = 1'b1; +635 riscv::CSR_INSTRETH: +636 1/1 if (CVA6Cfg.RVZicntr) +637 unreachable if (CVA6Cfg.XLEN == 32) csr_rdata = instret_q[63:32]; +638 unreachable else read_access_exception = 1'b1; +639 1/1 else read_access_exception = 1'b1; +640 //Event Selector +641 riscv::CSR_MHPM_EVENT_3, +642 riscv::CSR_MHPM_EVENT_4, +643 riscv::CSR_MHPM_EVENT_5, +644 riscv::CSR_MHPM_EVENT_6, +645 riscv::CSR_MHPM_EVENT_7, +646 riscv::CSR_MHPM_EVENT_8, +647 riscv::CSR_MHPM_EVENT_9, +648 riscv::CSR_MHPM_EVENT_10, +649 riscv::CSR_MHPM_EVENT_11, +650 riscv::CSR_MHPM_EVENT_12, +651 riscv::CSR_MHPM_EVENT_13, +652 riscv::CSR_MHPM_EVENT_14, +653 riscv::CSR_MHPM_EVENT_15, +654 riscv::CSR_MHPM_EVENT_16, +655 riscv::CSR_MHPM_EVENT_17, +656 riscv::CSR_MHPM_EVENT_18, +657 riscv::CSR_MHPM_EVENT_19, +658 riscv::CSR_MHPM_EVENT_20, +659 riscv::CSR_MHPM_EVENT_21, +660 riscv::CSR_MHPM_EVENT_22, +661 riscv::CSR_MHPM_EVENT_23, +662 riscv::CSR_MHPM_EVENT_24, +663 riscv::CSR_MHPM_EVENT_25, +664 riscv::CSR_MHPM_EVENT_26, +665 riscv::CSR_MHPM_EVENT_27, +666 riscv::CSR_MHPM_EVENT_28, +667 riscv::CSR_MHPM_EVENT_29, +668 riscv::CSR_MHPM_EVENT_30, +669 riscv::CSR_MHPM_EVENT_31 : +670 1/1 csr_rdata = perf_data_i; +671 +672 riscv::CSR_MHPM_COUNTER_3, +673 riscv::CSR_MHPM_COUNTER_4, +674 riscv::CSR_MHPM_COUNTER_5, +675 riscv::CSR_MHPM_COUNTER_6, +676 riscv::CSR_MHPM_COUNTER_7, +677 riscv::CSR_MHPM_COUNTER_8, +678 riscv::CSR_MHPM_COUNTER_9, +679 riscv::CSR_MHPM_COUNTER_10, +680 riscv::CSR_MHPM_COUNTER_11, +681 riscv::CSR_MHPM_COUNTER_12, +682 riscv::CSR_MHPM_COUNTER_13, +683 riscv::CSR_MHPM_COUNTER_14, +684 riscv::CSR_MHPM_COUNTER_15, +685 riscv::CSR_MHPM_COUNTER_16, +686 riscv::CSR_MHPM_COUNTER_17, +687 riscv::CSR_MHPM_COUNTER_18, +688 riscv::CSR_MHPM_COUNTER_19, +689 riscv::CSR_MHPM_COUNTER_20, +690 riscv::CSR_MHPM_COUNTER_21, +691 riscv::CSR_MHPM_COUNTER_22, +692 riscv::CSR_MHPM_COUNTER_23, +693 riscv::CSR_MHPM_COUNTER_24, +694 riscv::CSR_MHPM_COUNTER_25, +695 riscv::CSR_MHPM_COUNTER_26, +696 riscv::CSR_MHPM_COUNTER_27, +697 riscv::CSR_MHPM_COUNTER_28, +698 riscv::CSR_MHPM_COUNTER_29, +699 riscv::CSR_MHPM_COUNTER_30, +700 riscv::CSR_MHPM_COUNTER_31 : +701 1/1 csr_rdata = perf_data_i; +702 +703 riscv::CSR_MHPM_COUNTER_3H, +704 riscv::CSR_MHPM_COUNTER_4H, +705 riscv::CSR_MHPM_COUNTER_5H, +706 riscv::CSR_MHPM_COUNTER_6H, +707 riscv::CSR_MHPM_COUNTER_7H, +708 riscv::CSR_MHPM_COUNTER_8H, +709 riscv::CSR_MHPM_COUNTER_9H, +710 riscv::CSR_MHPM_COUNTER_10H, +711 riscv::CSR_MHPM_COUNTER_11H, +712 riscv::CSR_MHPM_COUNTER_12H, +713 riscv::CSR_MHPM_COUNTER_13H, +714 riscv::CSR_MHPM_COUNTER_14H, +715 riscv::CSR_MHPM_COUNTER_15H, +716 riscv::CSR_MHPM_COUNTER_16H, +717 riscv::CSR_MHPM_COUNTER_17H, +718 riscv::CSR_MHPM_COUNTER_18H, +719 riscv::CSR_MHPM_COUNTER_19H, +720 riscv::CSR_MHPM_COUNTER_20H, +721 riscv::CSR_MHPM_COUNTER_21H, +722 riscv::CSR_MHPM_COUNTER_22H, +723 riscv::CSR_MHPM_COUNTER_23H, +724 riscv::CSR_MHPM_COUNTER_24H, +725 riscv::CSR_MHPM_COUNTER_25H, +726 riscv::CSR_MHPM_COUNTER_26H, +727 riscv::CSR_MHPM_COUNTER_27H, +728 riscv::CSR_MHPM_COUNTER_28H, +729 riscv::CSR_MHPM_COUNTER_29H, +730 riscv::CSR_MHPM_COUNTER_30H, +731 riscv::CSR_MHPM_COUNTER_31H : +732 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = perf_data_i; +733 unreachable else read_access_exception = 1'b1; +734 +735 // Performance counters (User Mode - R/O Shadows) +736 riscv::CSR_HPM_COUNTER_3, +737 riscv::CSR_HPM_COUNTER_4, +738 riscv::CSR_HPM_COUNTER_5, +739 riscv::CSR_HPM_COUNTER_6, +740 riscv::CSR_HPM_COUNTER_7, +741 riscv::CSR_HPM_COUNTER_8, +742 riscv::CSR_HPM_COUNTER_9, +743 riscv::CSR_HPM_COUNTER_10, +744 riscv::CSR_HPM_COUNTER_11, +745 riscv::CSR_HPM_COUNTER_12, +746 riscv::CSR_HPM_COUNTER_13, +747 riscv::CSR_HPM_COUNTER_14, +748 riscv::CSR_HPM_COUNTER_15, +749 riscv::CSR_HPM_COUNTER_16, +750 riscv::CSR_HPM_COUNTER_17, +751 riscv::CSR_HPM_COUNTER_18, +752 riscv::CSR_HPM_COUNTER_19, +753 riscv::CSR_HPM_COUNTER_20, +754 riscv::CSR_HPM_COUNTER_21, +755 riscv::CSR_HPM_COUNTER_22, +756 riscv::CSR_HPM_COUNTER_23, +757 riscv::CSR_HPM_COUNTER_24, +758 riscv::CSR_HPM_COUNTER_25, +759 riscv::CSR_HPM_COUNTER_26, +760 riscv::CSR_HPM_COUNTER_27, +761 riscv::CSR_HPM_COUNTER_28, +762 riscv::CSR_HPM_COUNTER_29, +763 riscv::CSR_HPM_COUNTER_30, +764 riscv::CSR_HPM_COUNTER_31 : +765 1/1 if (CVA6Cfg.RVZihpm) begin +766 unreachable csr_rdata = perf_data_i; +767 end else begin +768 1/1 read_access_exception = 1'b1; +769 end +770 +771 riscv::CSR_HPM_COUNTER_3H, +772 riscv::CSR_HPM_COUNTER_4H, +773 riscv::CSR_HPM_COUNTER_5H, +774 riscv::CSR_HPM_COUNTER_6H, +775 riscv::CSR_HPM_COUNTER_7H, +776 riscv::CSR_HPM_COUNTER_8H, +777 riscv::CSR_HPM_COUNTER_9H, +778 riscv::CSR_HPM_COUNTER_10H, +779 riscv::CSR_HPM_COUNTER_11H, +780 riscv::CSR_HPM_COUNTER_12H, +781 riscv::CSR_HPM_COUNTER_13H, +782 riscv::CSR_HPM_COUNTER_14H, +783 riscv::CSR_HPM_COUNTER_15H, +784 riscv::CSR_HPM_COUNTER_16H, +785 riscv::CSR_HPM_COUNTER_17H, +786 riscv::CSR_HPM_COUNTER_18H, +787 riscv::CSR_HPM_COUNTER_19H, +788 riscv::CSR_HPM_COUNTER_20H, +789 riscv::CSR_HPM_COUNTER_21H, +790 riscv::CSR_HPM_COUNTER_22H, +791 riscv::CSR_HPM_COUNTER_23H, +792 riscv::CSR_HPM_COUNTER_24H, +793 riscv::CSR_HPM_COUNTER_25H, +794 riscv::CSR_HPM_COUNTER_26H, +795 riscv::CSR_HPM_COUNTER_27H, +796 riscv::CSR_HPM_COUNTER_28H, +797 riscv::CSR_HPM_COUNTER_29H, +798 riscv::CSR_HPM_COUNTER_30H, +799 riscv::CSR_HPM_COUNTER_31H : +800 1/1 if (CVA6Cfg.RVZihpm) begin +801 unreachable if (CVA6Cfg.XLEN == 32) csr_rdata = perf_data_i; +802 unreachable else read_access_exception = 1'b1; +803 end else begin +804 1/1 read_access_exception = 1'b1; +805 end +806 +807 // custom (non RISC-V) cache control +808 1/1 riscv::CSR_DCACHE: csr_rdata = dcache_q; +809 1/1 riscv::CSR_ICACHE: csr_rdata = icache_q; +810 // custom (non RISC-V) accelerator memory consistency mode +811 riscv::CSR_ACC_CONS: begin +812 1/1 if (CVA6Cfg.EnableAccelerator) begin +813 unreachable csr_rdata = acc_cons_q; +814 end else begin +815 1/1 read_access_exception = 1'b1; +816 end +817 end +818 // PMPs +819 riscv::CSR_PMPCFG0, +820 riscv::CSR_PMPCFG1, +821 riscv::CSR_PMPCFG2, +822 riscv::CSR_PMPCFG3, +823 riscv::CSR_PMPCFG4, +824 riscv::CSR_PMPCFG5, +825 riscv::CSR_PMPCFG6, +826 riscv::CSR_PMPCFG7, +827 riscv::CSR_PMPCFG8, +828 riscv::CSR_PMPCFG9, +829 riscv::CSR_PMPCFG10, +830 riscv::CSR_PMPCFG11, +831 riscv::CSR_PMPCFG12, +832 riscv::CSR_PMPCFG13, +833 riscv::CSR_PMPCFG14, +834 riscv::CSR_PMPCFG15: begin +835 // index is calculated using PMPCFG0 as the offset +836 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPCFG0; +837 +838 // if index is not even and XLEN==64, raise exception +839 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64 && index[0] == 1'b1) read_access_exception = 1'b1; +840 else begin +841 1/1 csr_rdata = pmpcfg_q[index*4+:CVA6Cfg.XLEN/8]; +842 end +843 end +844 // PMPADDR +845 riscv::CSR_PMPADDR0, +846 riscv::CSR_PMPADDR1, +847 riscv::CSR_PMPADDR2, +848 riscv::CSR_PMPADDR3, +849 riscv::CSR_PMPADDR4, +850 riscv::CSR_PMPADDR5, +851 riscv::CSR_PMPADDR6, +852 riscv::CSR_PMPADDR7, +853 riscv::CSR_PMPADDR8, +854 riscv::CSR_PMPADDR9, +855 riscv::CSR_PMPADDR10, +856 riscv::CSR_PMPADDR11, +857 riscv::CSR_PMPADDR12, +858 riscv::CSR_PMPADDR13, +859 riscv::CSR_PMPADDR14, +860 riscv::CSR_PMPADDR15, +861 riscv::CSR_PMPADDR16, +862 riscv::CSR_PMPADDR17, +863 riscv::CSR_PMPADDR18, +864 riscv::CSR_PMPADDR19, +865 riscv::CSR_PMPADDR20, +866 riscv::CSR_PMPADDR21, +867 riscv::CSR_PMPADDR22, +868 riscv::CSR_PMPADDR23, +869 riscv::CSR_PMPADDR24, +870 riscv::CSR_PMPADDR25, +871 riscv::CSR_PMPADDR26, +872 riscv::CSR_PMPADDR27, +873 riscv::CSR_PMPADDR28, +874 riscv::CSR_PMPADDR29, +875 riscv::CSR_PMPADDR30, +876 riscv::CSR_PMPADDR31, +877 riscv::CSR_PMPADDR32, +878 riscv::CSR_PMPADDR33, +879 riscv::CSR_PMPADDR34, +880 riscv::CSR_PMPADDR35, +881 riscv::CSR_PMPADDR36, +882 riscv::CSR_PMPADDR37, +883 riscv::CSR_PMPADDR38, +884 riscv::CSR_PMPADDR39, +885 riscv::CSR_PMPADDR40, +886 riscv::CSR_PMPADDR41, +887 riscv::CSR_PMPADDR42, +888 riscv::CSR_PMPADDR43, +889 riscv::CSR_PMPADDR44, +890 riscv::CSR_PMPADDR45, +891 riscv::CSR_PMPADDR46, +892 riscv::CSR_PMPADDR47, +893 riscv::CSR_PMPADDR48, +894 riscv::CSR_PMPADDR49, +895 riscv::CSR_PMPADDR50, +896 riscv::CSR_PMPADDR51, +897 riscv::CSR_PMPADDR52, +898 riscv::CSR_PMPADDR53, +899 riscv::CSR_PMPADDR54, +900 riscv::CSR_PMPADDR55, +901 riscv::CSR_PMPADDR56, +902 riscv::CSR_PMPADDR57, +903 riscv::CSR_PMPADDR58, +904 riscv::CSR_PMPADDR59, +905 riscv::CSR_PMPADDR60, +906 riscv::CSR_PMPADDR61, +907 riscv::CSR_PMPADDR62, +908 riscv::CSR_PMPADDR63: begin +909 // index is calculated using PMPADDR0 as the offset +910 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPADDR0; +911 // Important: we only support granularity 8 bytes (G=1) +912 // -> last bit of pmpaddr must be set 0/1 based on the mode: +913 // NA4, NAPOT: 1 +914 // TOR, OFF: 0 +915 1/1 if (CVA6Cfg.PMPNapotEn && pmpcfg_q[index].addr_mode[1] == 1'b1) +916 unreachable csr_rdata = {pmpaddr_q[index][CVA6Cfg.PLEN-3:1], 1'b1}; +917 1/1 else csr_rdata = {pmpaddr_q[index][CVA6Cfg.PLEN-3:1], 1'b0}; +918 end +919 1/1 default: read_access_exception = 1'b1; +920 endcase +921 end + MISSING_ELSE +922 end +923 // --------------------------- +924 // CSR Write and update logic +925 // --------------------------- +926 logic [CVA6Cfg.XLEN-1:0] mask; +927 always_comb begin : csr_update +928 automatic satp_t satp; +929 automatic satp_t vsatp; +930 automatic hgatp_t hgatp; +931 automatic logic [63:0] instret; +932 +933 1/1 if (CVA6Cfg.RVS) begin +934 unreachable satp = satp_q; +935 end + MISSING_ELSE +936 1/1 if (CVA6Cfg.RVH) begin +937 unreachable hgatp = hgatp_q; +938 unreachable vsatp = vsatp_q; +939 end + MISSING_ELSE +940 1/1 instret = instret_q; +941 +942 1/1 mcountinhibit_d = mcountinhibit_q; +943 +944 // -------------------- +945 // Counters +946 // -------------------- +947 1/1 cycle_d = cycle_q; +948 1/1 instret_d = instret_q; +949 1/1 if (!(debug_mode)) begin +950 // increase instruction retired counter +951 1/1 if (commit_ack_i[0] && !(ex_i.valid && CVA6Cfg.SpeculativeSb) && (!CVA6Cfg.PerfCounterEn || (CVA6Cfg.PerfCounterEn && !mcountinhibit_q[2]))) +952 1/1 instret++; + MISSING_ELSE +953 1/1 if (CVA6Cfg.NrCommitPorts != 1) +954 unreachable for (int i = 1; i < CVA6Cfg.NrCommitPorts; i++) begin +955 unreachable if (commit_ack_i[i] && !ex_i.valid && (!CVA6Cfg.PerfCounterEn || (CVA6Cfg.PerfCounterEn && !mcountinhibit_q[2]))) +956 unreachable instret++; + ==> MISSING_ELSE +957 end +958 instret_d = instret; + MISSING_ELSE +958 1/1 instret_d = instret; +959 // increment the cycle count +960 1/1 if (!CVA6Cfg.PerfCounterEn || (CVA6Cfg.PerfCounterEn && !mcountinhibit_q[0])) +961 1/1 cycle_d = cycle_q + 1'b1; +962 unreachable else cycle_d = cycle_q; +963 end + ==> MISSING_ELSE +964 +965 1/1 eret_o = 1'b0; +966 1/1 flush_o = 1'b0; +967 1/1 update_access_exception = 1'b0; +968 1/1 virtual_update_access_exception = 1'b0; +969 +970 1/1 set_debug_pc_o = 1'b0; +971 +972 1/1 perf_we_o = 1'b0; +973 1/1 perf_data_o = 'b0; +974 1/1 if (CVA6Cfg.RVZCMT) begin +975 unreachable jvt_d = jvt_q; +976 end + MISSING_ELSE +977 1/1 fcsr_d = fcsr_q; +978 +979 1/1 priv_lvl_d = priv_lvl_q; +980 1/1 if (CVA6Cfg.RVH) begin +981 unreachable v_d = v_q; +982 end + MISSING_ELSE +983 1/1 if (CVA6Cfg.DebugEn) begin +984 unreachable debug_mode_d = debug_mode_q; +985 end + MISSING_ELSE +986 +987 1/1 if (CVA6Cfg.DebugEn) begin +988 unreachable dcsr_d = dcsr_q; +989 unreachable dpc_d = dpc_q; +990 unreachable dscratch0_d = dscratch0_q; +991 unreachable dscratch1_d = dscratch1_q; +992 end + MISSING_ELSE +993 1/1 mstatus_d = mstatus_q; +994 1/1 if (CVA6Cfg.RVH) begin +995 unreachable hstatus_d = hstatus_q; +996 unreachable vsstatus_d = vsstatus_q; +997 end + MISSING_ELSE +998 +999 // check whether we come out of reset +1000 // this is a workaround. some tools have issues +1001 // having boot_addr_i in the asynchronous +1002 // reset assignment to mtvec_d, even though +1003 // boot_addr_i will be assigned a constant +1004 // on the top-level. +1005 1/1 if (mtvec_rst_load_q) begin +1006 1/1 mtvec_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, boot_addr_i} + 'h40; +1007 end else begin +1008 1/1 mtvec_d = mtvec_q; +1009 end +1010 +1011 1/1 if (CVA6Cfg.RVS) begin +1012 unreachable medeleg_d = medeleg_q; +1013 unreachable mideleg_d = mideleg_q; +1014 end + MISSING_ELSE +1015 1/1 mip_d = mip_q; +1016 1/1 mie_d = mie_q; +1017 1/1 mepc_d = mepc_q; +1018 1/1 mcause_d = mcause_q; +1019 1/1 mcounteren_d = mcounteren_q; +1020 1/1 mscratch_d = mscratch_q; +1021 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_d = mtval_q; + MISSING_ELSE +1022 1/1 if (CVA6Cfg.RVH) begin +1023 unreachable mtinst_d = mtinst_q; +1024 unreachable mtval2_d = mtval2_q; +1025 end + MISSING_ELSE +1026 +1027 1/1 fiom_d = fiom_q; +1028 1/1 dcache_d = dcache_q; +1029 1/1 icache_d = icache_q; +1030 1/1 acc_cons_d = acc_cons_q; +1031 +1032 1/1 if (CVA6Cfg.RVH) begin +1033 unreachable vstvec_d = vstvec_q; +1034 unreachable vsscratch_d = vsscratch_q; +1035 unreachable vsepc_d = vsepc_q; +1036 unreachable vscause_d = vscause_q; +1037 unreachable vstval_d = vstval_q; +1038 unreachable vsatp_d = vsatp_q; +1039 unreachable hgatp_d = hgatp_q; +1040 unreachable hedeleg_d = hedeleg_q; +1041 unreachable hideleg_d = hideleg_q; +1042 unreachable hgeie_d = hgeie_q; +1043 unreachable hcounteren_d = hcounteren_q; +1044 unreachable htinst_d = htinst_q; +1045 unreachable htval_d = htval_q; +1046 unreachable en_ld_st_g_translation_d = en_ld_st_g_translation_q; +1047 end + MISSING_ELSE +1048 +1049 1/1 if (CVA6Cfg.RVS) begin +1050 unreachable sepc_d = sepc_q; +1051 unreachable scause_d = scause_q; +1052 unreachable stvec_d = stvec_q; +1053 unreachable scounteren_d = scounteren_q; +1054 unreachable sscratch_d = sscratch_q; +1055 unreachable stval_d = stval_q; +1056 unreachable satp_d = satp_q; +1057 end + MISSING_ELSE +1058 +1059 1/1 en_ld_st_translation_d = en_ld_st_translation_q; +1060 1/1 dirty_fp_state_csr = 1'b0; +1061 +1062 1/1 pmpcfg_d = pmpcfg_q; +1063 1/1 pmpaddr_d = pmpaddr_q; +1064 +1065 // check for correct access rights and that we are writing +1066 1/1 if (csr_we) begin +1067 1/1 unique case (conv_csr_addr.address) +1068 // Floating-Point +1069 riscv::CSR_FFLAGS: begin +1070 1/1 if (fp_csrs_usable) begin +1071 unreachable dirty_fp_state_csr = 1'b1; +1072 unreachable fcsr_d.fflags = csr_wdata[4:0]; +1073 // this instruction has side-effects +1074 unreachable flush_o = 1'b1; +1075 end else begin +1076 1/1 update_access_exception = 1'b1; +1077 end +1078 end +1079 riscv::CSR_FRM: begin +1080 1/1 if (fp_csrs_usable) begin +1081 unreachable dirty_fp_state_csr = 1'b1; +1082 unreachable fcsr_d.frm = csr_wdata[2:0]; +1083 // this instruction has side-effects +1084 unreachable flush_o = 1'b1; +1085 end else begin +1086 1/1 update_access_exception = 1'b1; +1087 end +1088 end +1089 riscv::CSR_FCSR: begin +1090 1/1 if (fp_csrs_usable) begin +1091 unreachable dirty_fp_state_csr = 1'b1; +1092 unreachable fcsr_d[7:0] = csr_wdata[7:0]; // ignore writes to reserved space +1093 // this instruction has side-effects +1094 unreachable flush_o = 1'b1; +1095 end else begin +1096 1/1 update_access_exception = 1'b1; +1097 end +1098 end +1099 riscv::CSR_FTRAN: begin +1100 1/1 if (fp_csrs_usable) begin +1101 unreachable dirty_fp_state_csr = 1'b1; +1102 unreachable fcsr_d.fprec = csr_wdata[6:0]; // ignore writes to reserved space +1103 // this instruction has side-effects +1104 unreachable flush_o = 1'b1; +1105 end else begin +1106 1/1 update_access_exception = 1'b1; +1107 end +1108 end +1109 // debug CSR +1110 riscv::CSR_DCSR: begin +1111 1/1 if (CVA6Cfg.DebugEn) begin +1112 unreachable dcsr_d = csr_wdata[31:0]; +1113 // debug is implemented +1114 unreachable dcsr_d.xdebugver = 4'h4; +1115 // currently not supported +1116 unreachable dcsr_d.nmip = 1'b0; +1117 unreachable dcsr_d.stopcount = 1'b0; +1118 unreachable dcsr_d.stoptime = 1'b0; +1119 end else begin +1120 1/1 update_access_exception = 1'b1; +1121 end +1122 end +1123 riscv::CSR_DPC: +1124 1/1(1 unreachable) if (CVA6Cfg.DebugEn) dpc_d = csr_wdata; +1125 1/1 else update_access_exception = 1'b1; +1126 riscv::CSR_DSCRATCH0: +1127 1/1(1 unreachable) if (CVA6Cfg.DebugEn) dscratch0_d = csr_wdata; +1128 1/1 else update_access_exception = 1'b1; +1129 riscv::CSR_DSCRATCH1: +1130 1/1(1 unreachable) if (CVA6Cfg.DebugEn) dscratch1_d = csr_wdata; +1131 1/1 else update_access_exception = 1'b1; +1132 riscv::CSR_JVT: begin +1133 1/1 if (CVA6Cfg.RVZCMT) begin +1134 unreachable jvt_d.base = csr_wdata[CVA6Cfg.XLEN-1:6]; +1135 unreachable jvt_d.mode = 6'b000000; +1136 end else begin +1137 1/1 update_access_exception = 1'b1; +1138 end +1139 end +1140 // trigger module CSRs +1141 1/1 riscv::CSR_TSELECT: update_access_exception = 1'b1; // not implemented +1142 1/1 riscv::CSR_TDATA1: update_access_exception = 1'b1; // not implemented +1143 1/1 riscv::CSR_TDATA2: update_access_exception = 1'b1; // not implemented +1144 1/1 riscv::CSR_TDATA3: update_access_exception = 1'b1; // not implemented +1145 // virtual supervisor registers +1146 riscv::CSR_VSSTATUS: begin +1147 1/1 if (CVA6Cfg.RVH) begin +1148 unreachable mask = ariane_pkg::SMODE_STATUS_WRITE_MASK[CVA6Cfg.XLEN-1:0]; +1149 unreachable vsstatus_d = (vsstatus_q & ~{{64-CVA6Cfg.XLEN{1'b0}}, mask}) | {{64-CVA6Cfg.XLEN{1'b0}}, (csr_wdata & mask)}; +1150 // hardwire to zero if floating point extension is not present +1151 unreachable vsstatus_d.xs = riscv::Off; +1152 unreachable if (!CVA6Cfg.FpPresent) begin +1153 unreachable vsstatus_d.fs = riscv::Off; +1154 end + ==> MISSING_ELSE +1155 // this instruction has side-effects +1156 unreachable flush_o = 1'b1; +1157 end else begin +1158 1/1 update_access_exception = 1'b1; +1159 end +1160 end +1161 riscv::CSR_VSIE: +1162 1/1(1 unreachable) if (CVA6Cfg.RVH) mie_d = (mie_q & ~hideleg_q) | ((csr_wdata << 1) & hideleg_q); +1163 1/1 else update_access_exception = 1'b1; +1164 riscv::CSR_VSIP: begin +1165 1/1 if (CVA6Cfg.RVH) begin +1166 // only the virtual supervisor software interrupt is write-able, iff delegated +1167 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_VSSIP) & hideleg_q; +1168 unreachable mip_d = (mip_q & ~mask) | ((csr_wdata << 1) & mask); +1169 end else begin +1170 1/1 update_access_exception = 1'b1; +1171 end +1172 end +1173 riscv::CSR_VSTVEC: begin +1174 1/1 if (CVA6Cfg.RVH) begin +1175 unreachable vstvec_d = {csr_wdata[CVA6Cfg.XLEN-1:2], 1'b0, csr_wdata[0]}; +1176 end else begin +1177 1/1 update_access_exception = 1'b1; +1178 end +1179 end +1180 riscv::CSR_VSSCRATCH: +1181 1/1(1 unreachable) if (CVA6Cfg.RVH) vsscratch_d = csr_wdata; +1182 1/1 else update_access_exception = 1'b1; +1183 riscv::CSR_VSEPC: +1184 1/1(1 unreachable) if (CVA6Cfg.RVH) vsepc_d = {csr_wdata[CVA6Cfg.XLEN-1:1], 1'b0}; +1185 1/1 else update_access_exception = 1'b1; +1186 riscv::CSR_VSCAUSE: +1187 1/1(1 unreachable) if (CVA6Cfg.RVH) vscause_d = csr_wdata; +1188 1/1 else update_access_exception = 1'b1; +1189 riscv::CSR_VSTVAL: +1190 1/1(1 unreachable) if (CVA6Cfg.RVH) vstval_d = csr_wdata; +1191 1/1 else update_access_exception = 1'b1; +1192 // virtual supervisor address translation and protection +1193 riscv::CSR_VSATP: begin +1194 1/1 if (CVA6Cfg.RVH) begin +1195 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && hstatus_q.vtvm && v_q) begin +1196 unreachable virtual_update_access_exception = 1'b1; +1197 end else begin +1198 unreachable vsatp = satp_t'(csr_wdata); +1199 // only make ASID_LEN - 1 bit stick, that way software can figure out how many ASID bits are supported +1200 unreachable vsatp.asid = vsatp.asid & {{(CVA6Cfg.ASIDW - CVA6Cfg.ASID_WIDTH) {1'b0}}, {CVA6Cfg.ASID_WIDTH{1'b1}}}; +1201 // only update if we actually support this mode +1202 unreachable if (config_pkg::vm_mode_t'(vsatp.mode) == config_pkg::ModeOff || +1203 config_pkg::vm_mode_t'(vsatp.mode) == CVA6Cfg.MODE_SV) +1204 unreachable vsatp_d = vsatp; + ==> MISSING_ELSE +1205 end +1206 // changing the mode can have side-effects on address translation (e.g.: other instructions), re-fetch +1207 // the next instruction by executing a flush +1208 unreachable flush_o = 1'b1; +1209 end else begin +1210 1/1 update_access_exception = 1'b1; +1211 end +1212 end +1213 // sstatus is a subset of mstatus - mask it accordingly +1214 riscv::CSR_SSTATUS: begin +1215 1/1 if (CVA6Cfg.RVS) begin +1216 unreachable mask = ariane_pkg::SMODE_STATUS_WRITE_MASK[CVA6Cfg.XLEN-1:0]; +1217 unreachable mstatus_d = (mstatus_q & ~{{64-CVA6Cfg.XLEN{1'b0}}, mask}) | {{64-CVA6Cfg.XLEN{1'b0}}, (csr_wdata & mask)}; +1218 // hardwire to zero if floating point extension is not present +1219 unreachable if (!CVA6Cfg.FpPresent) begin +1220 unreachable mstatus_d.fs = riscv::Off; +1221 end + ==> MISSING_ELSE +1222 // hardwire to zero if vector extension is not present +1223 unreachable if (!CVA6Cfg.RVV) begin +1224 unreachable mstatus_d.vs = riscv::Off; +1225 end + ==> MISSING_ELSE +1226 // If h-extension is not enabled, priv level HS is reserved +1227 unreachable if (!CVA6Cfg.RVH) begin +1228 unreachable if (mstatus_d.mpp == riscv::PRIV_LVL_HS) begin +1229 unreachable mstatus_d.mpp = mstatus_q.mpp; +1230 end + ==> MISSING_ELSE +1231 end + ==> MISSING_ELSE +1232 // this instruction has side-effects +1233 unreachable flush_o = 1'b1; +1234 end else begin +1235 1/1 update_access_exception = 1'b1; +1236 end +1237 end +1238 // even machine mode interrupts can be visible and set-able to supervisor +1239 // if the corresponding bit in mideleg is set +1240 riscv::CSR_SIE: begin +1241 1/1 if (CVA6Cfg.RVS) begin +1242 unreachable mask = (CVA6Cfg.RVH) ? mideleg_q & ~HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] : mideleg_q; +1243 // the mideleg makes sure only delegate-able register (and therefore also only implemented registers) are written +1244 unreachable mie_d = (mie_q & ~mask) | (csr_wdata & mask); +1245 end else begin +1246 1/1 update_access_exception = 1'b1; +1247 end +1248 end +1249 +1250 riscv::CSR_SIP: begin +1251 1/1 if (CVA6Cfg.RVS) begin +1252 // only the supervisor software interrupt is write-able, iff delegated +1253 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) & mideleg_q; +1254 unreachable mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1255 end else begin +1256 1/1 update_access_exception = 1'b1; +1257 end +1258 end +1259 +1260 riscv::CSR_STVEC: +1261 1/1(1 unreachable) if (CVA6Cfg.RVS) stvec_d = {csr_wdata[CVA6Cfg.XLEN-1:2], 1'b0, csr_wdata[0]}; +1262 1/1 else update_access_exception = 1'b1; +1263 riscv::CSR_SCOUNTEREN: +1264 1/1(1 unreachable) if (CVA6Cfg.RVS) scounteren_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1265 1/1 else update_access_exception = 1'b1; +1266 riscv::CSR_SSCRATCH: +1267 1/1(1 unreachable) if (CVA6Cfg.RVS) sscratch_d = csr_wdata; +1268 1/1 else update_access_exception = 1'b1; +1269 riscv::CSR_SEPC: +1270 1/1(1 unreachable) if (CVA6Cfg.RVS) sepc_d = {csr_wdata[CVA6Cfg.XLEN-1:1], 1'b0}; +1271 1/1 else update_access_exception = 1'b1; +1272 riscv::CSR_SCAUSE: +1273 1/1(1 unreachable) if (CVA6Cfg.RVS) scause_d = csr_wdata; +1274 1/1 else update_access_exception = 1'b1; +1275 riscv::CSR_STVAL: +1276 1/1(1 unreachable) if (CVA6Cfg.RVS && CVA6Cfg.TvalEn) stval_d = csr_wdata; +1277 1/1 else update_access_exception = 1'b1; +1278 // supervisor address translation and protection +1279 riscv::CSR_SATP: begin +1280 1/1 if (CVA6Cfg.RVS) begin +1281 // intercept SATP writes if in S-Mode and TVM is enabled +1282 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && mstatus_q.tvm) update_access_exception = 1'b1; +1283 else begin +1284 unreachable satp = satp_t'(csr_wdata); +1285 // only make ASID_LEN - 1 bit stick, that way software can figure out how many ASID bits are supported +1286 unreachable satp.asid = satp.asid & {{(CVA6Cfg.ASIDW - CVA6Cfg.ASID_WIDTH) {1'b0}}, {CVA6Cfg.ASID_WIDTH{1'b1}}}; +1287 // only update if we actually support this mode +1288 unreachable if (config_pkg::vm_mode_t'(satp.mode) == config_pkg::ModeOff || +1289 config_pkg::vm_mode_t'(satp.mode) == CVA6Cfg.MODE_SV) +1290 unreachable satp_d = satp; + ==> MISSING_ELSE +1291 end +1292 // changing the mode can have side-effects on address translation (e.g.: other instructions), re-fetch +1293 // the next instruction by executing a flush +1294 unreachable flush_o = 1'b1; +1295 end else begin +1296 1/1 update_access_exception = 1'b1; +1297 end +1298 end +1299 riscv::CSR_SENVCFG: +1300 1/1(1 unreachable) if (CVA6Cfg.RVU) fiom_d = csr_wdata[0]; +1301 1/1 else update_access_exception = 1'b1; +1302 //hypervisor mode registers +1303 riscv::CSR_HSTATUS: begin +1304 1/1 if (CVA6Cfg.RVH) begin +1305 unreachable mask = ariane_pkg::HSTATUS_WRITE_MASK[CVA6Cfg.XLEN-1:0]; +1306 unreachable hstatus_d = (hstatus_q & ~{{64-CVA6Cfg.XLEN{1'b0}}, mask}) | {{64-CVA6Cfg.XLEN{1'b0}}, (csr_wdata & mask)}; +1307 // this instruction has side-effects +1308 unreachable flush_o = 1'b1; +1309 end else begin +1310 1/1 update_access_exception = 1'b1; +1311 end +1312 end +1313 riscv::CSR_HEDELEG: begin +1314 1/1 if (CVA6Cfg.RVH) begin +1315 unreachable mask = (1 << riscv::INSTR_ADDR_MISALIGNED) | +1316 (1 << riscv::INSTR_ACCESS_FAULT) | +1317 (1 << riscv::ILLEGAL_INSTR) | +1318 (1 << riscv::BREAKPOINT) | +1319 (1 << riscv::LD_ADDR_MISALIGNED) | +1320 (1 << riscv::LD_ACCESS_FAULT) | +1321 (1 << riscv::ST_ADDR_MISALIGNED) | +1322 (1 << riscv::ST_ACCESS_FAULT) | +1323 (1 << riscv::ENV_CALL_UMODE) | +1324 (1 << riscv::INSTR_PAGE_FAULT) | +1325 (1 << riscv::LOAD_PAGE_FAULT) | +1326 (1 << riscv::STORE_PAGE_FAULT); +1327 unreachable hedeleg_d = (hedeleg_q & ~mask) | (csr_wdata & mask); +1328 end else begin +1329 1/1 update_access_exception = 1'b1; +1330 end +1331 end +1332 riscv::CSR_HIDELEG: begin +1333 1/1 if (CVA6Cfg.RVH) begin +1334 unreachable hideleg_d = (hideleg_q & ~VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]) | (csr_wdata & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]); +1335 end else begin +1336 1/1 update_access_exception = 1'b1; +1337 end +1338 end +1339 riscv::CSR_HIE: begin +1340 1/1 if (CVA6Cfg.RVH) begin +1341 unreachable mask = HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +1342 unreachable mie_d = (mie_q & ~mask) | (csr_wdata & mask); +1343 end else begin +1344 1/1 update_access_exception = 1'b1; +1345 end +1346 end +1347 riscv::CSR_HIP: begin +1348 1/1 if (CVA6Cfg.RVH) begin +1349 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_VSSIP); +1350 unreachable mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1351 end else begin +1352 1/1 update_access_exception = 1'b1; +1353 end +1354 end +1355 riscv::CSR_HVIP: begin +1356 1/1 if (CVA6Cfg.RVH) begin +1357 unreachable mask = VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +1358 unreachable mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1359 end else begin +1360 1/1 update_access_exception = 1'b1; +1361 end +1362 end +1363 riscv::CSR_HCOUNTEREN: begin +1364 1/1 if (CVA6Cfg.RVH) begin +1365 unreachable hcounteren_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1366 end else begin +1367 1/1 update_access_exception = 1'b1; +1368 end +1369 end +1370 riscv::CSR_HTVAL: begin +1371 1/1 if (CVA6Cfg.RVH) begin +1372 unreachable htval_d = csr_wdata; +1373 end else begin +1374 1/1 update_access_exception = 1'b1; +1375 end +1376 end +1377 riscv::CSR_HTINST: begin +1378 1/1 if (CVA6Cfg.RVH) begin +1379 unreachable htinst_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1380 end else begin +1381 1/1 update_access_exception = 1'b1; +1382 end +1383 end +1384 //TODO Hyp: implement hgeie write +1385 riscv::CSR_HGEIE: begin +1386 1/1 if (!CVA6Cfg.RVH) begin +1387 1/1 update_access_exception = 1'b1; +1388 end + ==> MISSING_ELSE +1389 end +1390 riscv::CSR_HGATP: begin +1391 1/1 if (CVA6Cfg.RVH) begin +1392 // intercept HGATP writes if in HS-Mode and TVM is enabled +1393 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && !v_q && mstatus_q.tvm) +1394 unreachable update_access_exception = 1'b1; +1395 else begin +1396 unreachable hgatp = hgatp_t'(csr_wdata); +1397 //hardwire PPN[1:0] to zero +1398 unreachable hgatp[1:0] = 2'b0; +1399 // only make VMID_LEN - 1 bit stick, that way software can figure out how many VMID bits are supported +1400 unreachable hgatp.vmid = hgatp.vmid & {{(CVA6Cfg.VMIDW - CVA6Cfg.VMID_WIDTH) {1'b0}}, {CVA6Cfg.VMID_WIDTH{1'b1}}}; +1401 // only update if we actually support this mode +1402 unreachable if (config_pkg::vm_mode_t'(hgatp.mode) == config_pkg::ModeOff || +1403 config_pkg::vm_mode_t'(hgatp.mode) == CVA6Cfg.MODE_SV) +1404 unreachable hgatp_d = hgatp; + ==> MISSING_ELSE +1405 end +1406 // changing the mode can have side-effects on address translation (e.g.: other instructions), re-fetch +1407 // the next instruction by executing a flush +1408 unreachable flush_o = 1'b1; +1409 end else begin +1410 1/1 update_access_exception = 1'b1; +1411 end +1412 end +1413 riscv::CSR_HENVCFG: +1414 1/1(1 unreachable) if (CVA6Cfg.RVH) fiom_d = csr_wdata[0]; +1415 1/1 else update_access_exception = 1'b1; +1416 riscv::CSR_MSTATUS: begin +1417 1/1 mstatus_d = {{64 - CVA6Cfg.XLEN{1'b0}}, csr_wdata}; +1418 1/1 mstatus_d.xs = riscv::Off; +1419 1/1 if (!CVA6Cfg.FpPresent) begin +1420 1/1 mstatus_d.fs = riscv::Off; +1421 end + ==> MISSING_ELSE +1422 1/1 if (!CVA6Cfg.RVV) begin +1423 1/1 mstatus_d.vs = riscv::Off; +1424 end + ==> MISSING_ELSE +1425 1/1 if (!CVA6Cfg.RVS) begin +1426 1/1 mstatus_d.sie = riscv::Off; +1427 1/1 mstatus_d.spie = riscv::Off; +1428 1/1 mstatus_d.spp = riscv::Off; +1429 1/1 mstatus_d.sum = riscv::Off; +1430 1/1 mstatus_d.mxr = riscv::Off; +1431 1/1 mstatus_d.tvm = riscv::Off; +1432 1/1 mstatus_d.tsr = riscv::Off; +1433 end + ==> MISSING_ELSE +1434 1/1 if (!CVA6Cfg.RVU) begin +1435 1/1 mstatus_d.tw = riscv::Off; +1436 1/1 mstatus_d.mprv = riscv::Off; +1437 end + ==> MISSING_ELSE +1438 1/1 if ((!CVA6Cfg.RVH & mstatus_d.mpp == riscv::PRIV_LVL_HS) | +1439 (!CVA6Cfg.RVS & mstatus_d.mpp == riscv::PRIV_LVL_S) | +1440 (!CVA6Cfg.RVU & mstatus_d.mpp == riscv::PRIV_LVL_U)) begin +1441 1/1 mstatus_d.mpp = mstatus_q.mpp; +1442 end + MISSING_ELSE +1443 1/1 mstatus_d.wpri3 = 9'b0; +1444 1/1 mstatus_d.wpri1 = 1'b0; +1445 1/1 mstatus_d.wpri2 = 1'b0; +1446 1/1 mstatus_d.wpri0 = 1'b0; +1447 1/1 mstatus_d.ube = 1'b0; // CVA6 is little-endian +1448 // this register has side-effects on other registers, flush the pipeline +1449 1/1 flush_o = 1'b1; +1450 end +1451 1/1(1 unreachable) riscv::CSR_MSTATUSH: if (CVA6Cfg.XLEN != 32) update_access_exception = 1'b1; + MISSING_ELSE +1452 // MISA is WARL (Write Any Value, Reads Legal Value) +1453 1/1 riscv::CSR_MISA: ; +1454 // machine exception delegation register +1455 // 0 - 15 exceptions supported +1456 riscv::CSR_MEDELEG: begin +1457 1/1 if (CVA6Cfg.RVS) begin +1458 unreachable mask = (1 << riscv::INSTR_ADDR_MISALIGNED) | +1459 (1 << riscv::INSTR_ACCESS_FAULT) | +1460 (1 << riscv::ILLEGAL_INSTR) | +1461 (1 << riscv::BREAKPOINT) | +1462 (1 << riscv::LD_ADDR_MISALIGNED) | +1463 (1 << riscv::LD_ACCESS_FAULT) | +1464 (1 << riscv::ST_ADDR_MISALIGNED) | +1465 (1 << riscv::ST_ACCESS_FAULT) | +1466 (1 << riscv::ENV_CALL_UMODE) | +1467 ((CVA6Cfg.RVH ? 1 : 0) << riscv::ENV_CALL_VSMODE) | +1468 (1 << riscv::INSTR_PAGE_FAULT) | +1469 (1 << riscv::LOAD_PAGE_FAULT) | +1470 (1 << riscv::STORE_PAGE_FAULT) | +1471 ((CVA6Cfg.RVH ? 1 : 0) << riscv::INSTR_GUEST_PAGE_FAULT) | +1472 ((CVA6Cfg.RVH ? 1 : 0) << riscv::LOAD_GUEST_PAGE_FAULT) | +1473 ((CVA6Cfg.RVH ? 1 : 0) << riscv::VIRTUAL_INSTRUCTION) | +1474 ((CVA6Cfg.RVH ? 1 : 0) << riscv::STORE_GUEST_PAGE_FAULT); +1475 unreachable medeleg_d = (medeleg_q & ~mask) | (csr_wdata & mask); +1476 end else begin +1477 1/1 update_access_exception = 1'b1; +1478 end +1479 end +1480 // machine interrupt delegation register +1481 // we do not support user interrupt delegation +1482 riscv::CSR_MIDELEG: begin +1483 1/1 if (CVA6Cfg.RVS) begin +1484 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1485 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1486 | CVA6Cfg.XLEN'(riscv::MIP_SEIP); +1487 unreachable if (CVA6Cfg.RVH) begin +1488 unreachable mideleg_d = (mideleg_q & ~mask) | (csr_wdata & mask) | HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +1489 end else begin +1490 unreachable mideleg_d = (mideleg_q & ~mask) | (csr_wdata & mask); +1491 end +1492 end else begin +1493 1/1 update_access_exception = 1'b1; +1494 end +1495 end +1496 // mask the register so that unsupported interrupts can never be set +1497 riscv::CSR_MIE: begin +1498 1/1 if (CVA6Cfg.RVH) begin +1499 unreachable mask = HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] +1500 | CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1501 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1502 | CVA6Cfg.XLEN'(riscv::MIP_SEIP) +1503 | CVA6Cfg.XLEN'(riscv::MIP_MSIP) +1504 | CVA6Cfg.XLEN'(riscv::MIP_MTIP) +1505 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); +1506 end else begin +1507 1/1 if (CVA6Cfg.RVS) begin +1508 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1509 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1510 | CVA6Cfg.XLEN'(riscv::MIP_SEIP) +1511 | CVA6Cfg.XLEN'(riscv::MIP_MSIP) +1512 | CVA6Cfg.XLEN'(riscv::MIP_MTIP) +1513 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); +1514 end else begin +1515 1/1 if (CVA6Cfg.SoftwareInterruptEn) begin +1516 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_MSIP) // same shift as MSIE +1517 | CVA6Cfg.XLEN'(riscv::MIP_MTIP) // same shift as MTIE +1518 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); // same shift as MEIE +1519 end else begin +1520 1/1 mask = CVA6Cfg.XLEN'(riscv::MIP_MTIP) // same shift as MTIE +1521 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); // same shift as MEIE +1522 end +1523 end +1524 end +1525 1/1 mie_d = (mie_q & ~mask) | (csr_wdata & mask); // we only support supervisor and M-mode interrupts +1526 end +1527 +1528 riscv::CSR_MTVEC: begin +1529 2/2 if (!Vectored) mtvec_d = {csr_wdata[CVA6Cfg.XLEN-1:2], 1'b0, Vectored}; +1530 // we are in vector mode, this implementation requires the additional +1531 // alignment constraint of 64 * 4 bytes +1532 else +1533 unreachable mtvec_d = {csr_wdata[CVA6Cfg.XLEN-1:8], 7'b0, Vectored}; +1534 end +1535 riscv::CSR_MCOUNTEREN: begin +1536 1/1(1 unreachable) if (CVA6Cfg.RVU) mcounteren_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1537 1/1 else update_access_exception = 1'b1; +1538 end +1539 +1540 1/1 riscv::CSR_MSCRATCH: mscratch_d = csr_wdata; +1541 1/1 riscv::CSR_MEPC: mepc_d = {csr_wdata[CVA6Cfg.XLEN-1:1], 1'b0}; +1542 1/1 riscv::CSR_MCAUSE: mcause_d = csr_wdata; +1543 riscv::CSR_MTVAL: begin +1544 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_d = csr_wdata; + MISSING_ELSE +1545 end +1546 riscv::CSR_MTINST: +1547 1/1(1 unreachable) if (CVA6Cfg.RVH) mtinst_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1548 1/1 else update_access_exception = 1'b1; +1549 riscv::CSR_MTVAL2: +1550 1/1(1 unreachable) if (CVA6Cfg.RVH) mtval2_d = csr_wdata; +1551 1/1 else update_access_exception = 1'b1; +1552 riscv::CSR_MIP: begin +1553 1/1 if (CVA6Cfg.RVH) begin +1554 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1555 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1556 | CVA6Cfg.XLEN'(riscv::MIP_SEIP) +1557 | CVA6Cfg.XLEN'(riscv::MIP_VSSIP); +1558 1/1 end else if (CVA6Cfg.RVS) begin +1559 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1560 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1561 | CVA6Cfg.XLEN'(riscv::MIP_SEIP); +1562 end else begin +1563 1/1 mask = '0; +1564 end +1565 1/1 mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1566 end +1567 1/1(1 unreachable) riscv::CSR_MENVCFG: if (CVA6Cfg.RVU) fiom_d = csr_wdata[0]; + MISSING_ELSE +1568 riscv::CSR_MENVCFGH: begin +1569 2/2 if (!CVA6Cfg.RVU || CVA6Cfg.XLEN != 32) update_access_exception = 1'b1; + ==> MISSING_ELSE +1570 end +1571 riscv::CSR_MCOUNTINHIBIT: +1572 1/1 if (CVA6Cfg.PerfCounterEn) +1573 unreachable mcountinhibit_d = {csr_wdata[MHPMCounterNum+2:2], 1'b0, csr_wdata[0]}; +1574 1/1 else mcountinhibit_d = '0; +1575 // performance counters +1576 1/1 riscv::CSR_MCYCLE: cycle_d[CVA6Cfg.XLEN-1:0] = csr_wdata; +1577 riscv::CSR_MCYCLEH: +1578 2/2 if (CVA6Cfg.XLEN == 32) cycle_d[63:32] = csr_wdata; +1579 unreachable else update_access_exception = 1'b1; +1580 1/1 riscv::CSR_MINSTRET: instret_d[CVA6Cfg.XLEN-1:0] = csr_wdata; +1581 riscv::CSR_MINSTRETH: +1582 2/2 if (CVA6Cfg.XLEN == 32) instret_d[63:32] = csr_wdata; +1583 unreachable else update_access_exception = 1'b1; +1584 //Event Selector +1585 riscv::CSR_MHPM_EVENT_3, +1586 riscv::CSR_MHPM_EVENT_4, +1587 riscv::CSR_MHPM_EVENT_5, +1588 riscv::CSR_MHPM_EVENT_6, +1589 riscv::CSR_MHPM_EVENT_7, +1590 riscv::CSR_MHPM_EVENT_8, +1591 riscv::CSR_MHPM_EVENT_9, +1592 riscv::CSR_MHPM_EVENT_10, +1593 riscv::CSR_MHPM_EVENT_11, +1594 riscv::CSR_MHPM_EVENT_12, +1595 riscv::CSR_MHPM_EVENT_13, +1596 riscv::CSR_MHPM_EVENT_14, +1597 riscv::CSR_MHPM_EVENT_15, +1598 riscv::CSR_MHPM_EVENT_16, +1599 riscv::CSR_MHPM_EVENT_17, +1600 riscv::CSR_MHPM_EVENT_18, +1601 riscv::CSR_MHPM_EVENT_19, +1602 riscv::CSR_MHPM_EVENT_20, +1603 riscv::CSR_MHPM_EVENT_21, +1604 riscv::CSR_MHPM_EVENT_22, +1605 riscv::CSR_MHPM_EVENT_23, +1606 riscv::CSR_MHPM_EVENT_24, +1607 riscv::CSR_MHPM_EVENT_25, +1608 riscv::CSR_MHPM_EVENT_26, +1609 riscv::CSR_MHPM_EVENT_27, +1610 riscv::CSR_MHPM_EVENT_28, +1611 riscv::CSR_MHPM_EVENT_29, +1612 riscv::CSR_MHPM_EVENT_30, +1613 riscv::CSR_MHPM_EVENT_31 : begin +1614 1/1 perf_we_o = 1'b1; +1615 1/1 perf_data_o = csr_wdata; +1616 end +1617 +1618 riscv::CSR_MHPM_COUNTER_3, +1619 riscv::CSR_MHPM_COUNTER_4, +1620 riscv::CSR_MHPM_COUNTER_5, +1621 riscv::CSR_MHPM_COUNTER_6, +1622 riscv::CSR_MHPM_COUNTER_7, +1623 riscv::CSR_MHPM_COUNTER_8, +1624 riscv::CSR_MHPM_COUNTER_9, +1625 riscv::CSR_MHPM_COUNTER_10, +1626 riscv::CSR_MHPM_COUNTER_11, +1627 riscv::CSR_MHPM_COUNTER_12, +1628 riscv::CSR_MHPM_COUNTER_13, +1629 riscv::CSR_MHPM_COUNTER_14, +1630 riscv::CSR_MHPM_COUNTER_15, +1631 riscv::CSR_MHPM_COUNTER_16, +1632 riscv::CSR_MHPM_COUNTER_17, +1633 riscv::CSR_MHPM_COUNTER_18, +1634 riscv::CSR_MHPM_COUNTER_19, +1635 riscv::CSR_MHPM_COUNTER_20, +1636 riscv::CSR_MHPM_COUNTER_21, +1637 riscv::CSR_MHPM_COUNTER_22, +1638 riscv::CSR_MHPM_COUNTER_23, +1639 riscv::CSR_MHPM_COUNTER_24, +1640 riscv::CSR_MHPM_COUNTER_25, +1641 riscv::CSR_MHPM_COUNTER_26, +1642 riscv::CSR_MHPM_COUNTER_27, +1643 riscv::CSR_MHPM_COUNTER_28, +1644 riscv::CSR_MHPM_COUNTER_29, +1645 riscv::CSR_MHPM_COUNTER_30, +1646 riscv::CSR_MHPM_COUNTER_31 : begin +1647 1/1 perf_we_o = 1'b1; +1648 1/1 perf_data_o = csr_wdata; +1649 end +1650 +1651 riscv::CSR_MHPM_COUNTER_3H, +1652 riscv::CSR_MHPM_COUNTER_4H, +1653 riscv::CSR_MHPM_COUNTER_5H, +1654 riscv::CSR_MHPM_COUNTER_6H, +1655 riscv::CSR_MHPM_COUNTER_7H, +1656 riscv::CSR_MHPM_COUNTER_8H, +1657 riscv::CSR_MHPM_COUNTER_9H, +1658 riscv::CSR_MHPM_COUNTER_10H, +1659 riscv::CSR_MHPM_COUNTER_11H, +1660 riscv::CSR_MHPM_COUNTER_12H, +1661 riscv::CSR_MHPM_COUNTER_13H, +1662 riscv::CSR_MHPM_COUNTER_14H, +1663 riscv::CSR_MHPM_COUNTER_15H, +1664 riscv::CSR_MHPM_COUNTER_16H, +1665 riscv::CSR_MHPM_COUNTER_17H, +1666 riscv::CSR_MHPM_COUNTER_18H, +1667 riscv::CSR_MHPM_COUNTER_19H, +1668 riscv::CSR_MHPM_COUNTER_20H, +1669 riscv::CSR_MHPM_COUNTER_21H, +1670 riscv::CSR_MHPM_COUNTER_22H, +1671 riscv::CSR_MHPM_COUNTER_23H, +1672 riscv::CSR_MHPM_COUNTER_24H, +1673 riscv::CSR_MHPM_COUNTER_25H, +1674 riscv::CSR_MHPM_COUNTER_26H, +1675 riscv::CSR_MHPM_COUNTER_27H, +1676 riscv::CSR_MHPM_COUNTER_28H, +1677 riscv::CSR_MHPM_COUNTER_29H, +1678 riscv::CSR_MHPM_COUNTER_30H, +1679 riscv::CSR_MHPM_COUNTER_31H : begin +1680 1/1 perf_we_o = 1'b1; +1681 2/2 if (CVA6Cfg.XLEN == 32) perf_data_o = csr_wdata; +1682 unreachable else update_access_exception = 1'b1; +1683 end +1684 +1685 1/1 riscv::CSR_DCACHE: dcache_d = {{CVA6Cfg.XLEN - 1{1'b0}}, csr_wdata[0]}; // enable bit +1686 1/1 riscv::CSR_ICACHE: icache_d = {{CVA6Cfg.XLEN - 1{1'b0}}, csr_wdata[0]}; // enable bit +1687 riscv::CSR_ACC_CONS: begin +1688 1/1 if (CVA6Cfg.EnableAccelerator) begin +1689 unreachable acc_cons_d = {{CVA6Cfg.XLEN - 1{1'b0}}, csr_wdata[0]}; // enable bit +1690 end else begin +1691 1/1 update_access_exception = 1'b1; +1692 end +1693 end +1694 // PMP locked logic +1695 // 1. refuse to update any locked entry +1696 // 2. also refuse to update the entry below a locked TOR entry +1697 // Note that writes to pmpcfg below a locked TOR entry are valid +1698 riscv::CSR_PMPCFG0, +1699 riscv::CSR_PMPCFG1, +1700 riscv::CSR_PMPCFG2, +1701 riscv::CSR_PMPCFG3, +1702 riscv::CSR_PMPCFG4, +1703 riscv::CSR_PMPCFG5, +1704 riscv::CSR_PMPCFG6, +1705 riscv::CSR_PMPCFG7, +1706 riscv::CSR_PMPCFG8, +1707 riscv::CSR_PMPCFG9, +1708 riscv::CSR_PMPCFG10, +1709 riscv::CSR_PMPCFG11, +1710 riscv::CSR_PMPCFG12, +1711 riscv::CSR_PMPCFG13, +1712 riscv::CSR_PMPCFG14, +1713 riscv::CSR_PMPCFG15: begin +1714 // index is calculated using PMPCFG0 as the offset +1715 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPCFG0; +1716 +1717 // if index is not even and XLEN==64, raise exception +1718 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64 && index[0] == 1'b1) update_access_exception = 1'b1; +1719 else begin +1720 1/1 for (int i = 0; i < CVA6Cfg.XLEN / 8; i++) begin +1721 2/2 if (!pmpcfg_q[index*4+i].locked) pmpcfg_d[index*4+i] = csr_wdata[i*8+:8]; + ==> MISSING_ELSE +1722 end +1723 end +1724 end +1725 riscv::CSR_PMPADDR0, +1726 riscv::CSR_PMPADDR1, +1727 riscv::CSR_PMPADDR2, +1728 riscv::CSR_PMPADDR3, +1729 riscv::CSR_PMPADDR4, +1730 riscv::CSR_PMPADDR5, +1731 riscv::CSR_PMPADDR6, +1732 riscv::CSR_PMPADDR7, +1733 riscv::CSR_PMPADDR8, +1734 riscv::CSR_PMPADDR9, +1735 riscv::CSR_PMPADDR10, +1736 riscv::CSR_PMPADDR11, +1737 riscv::CSR_PMPADDR12, +1738 riscv::CSR_PMPADDR13, +1739 riscv::CSR_PMPADDR14, +1740 riscv::CSR_PMPADDR15, +1741 riscv::CSR_PMPADDR16, +1742 riscv::CSR_PMPADDR17, +1743 riscv::CSR_PMPADDR18, +1744 riscv::CSR_PMPADDR19, +1745 riscv::CSR_PMPADDR20, +1746 riscv::CSR_PMPADDR21, +1747 riscv::CSR_PMPADDR22, +1748 riscv::CSR_PMPADDR23, +1749 riscv::CSR_PMPADDR24, +1750 riscv::CSR_PMPADDR25, +1751 riscv::CSR_PMPADDR26, +1752 riscv::CSR_PMPADDR27, +1753 riscv::CSR_PMPADDR28, +1754 riscv::CSR_PMPADDR29, +1755 riscv::CSR_PMPADDR30, +1756 riscv::CSR_PMPADDR31, +1757 riscv::CSR_PMPADDR32, +1758 riscv::CSR_PMPADDR33, +1759 riscv::CSR_PMPADDR34, +1760 riscv::CSR_PMPADDR35, +1761 riscv::CSR_PMPADDR36, +1762 riscv::CSR_PMPADDR37, +1763 riscv::CSR_PMPADDR38, +1764 riscv::CSR_PMPADDR39, +1765 riscv::CSR_PMPADDR40, +1766 riscv::CSR_PMPADDR41, +1767 riscv::CSR_PMPADDR42, +1768 riscv::CSR_PMPADDR43, +1769 riscv::CSR_PMPADDR44, +1770 riscv::CSR_PMPADDR45, +1771 riscv::CSR_PMPADDR46, +1772 riscv::CSR_PMPADDR47, +1773 riscv::CSR_PMPADDR48, +1774 riscv::CSR_PMPADDR49, +1775 riscv::CSR_PMPADDR50, +1776 riscv::CSR_PMPADDR51, +1777 riscv::CSR_PMPADDR52, +1778 riscv::CSR_PMPADDR53, +1779 riscv::CSR_PMPADDR54, +1780 riscv::CSR_PMPADDR55, +1781 riscv::CSR_PMPADDR56, +1782 riscv::CSR_PMPADDR57, +1783 riscv::CSR_PMPADDR58, +1784 riscv::CSR_PMPADDR59, +1785 riscv::CSR_PMPADDR60, +1786 riscv::CSR_PMPADDR61, +1787 riscv::CSR_PMPADDR62, +1788 riscv::CSR_PMPADDR63: begin +1789 // index is calculated using PMPADDR0 as the offset +1790 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPADDR0; +1791 // check if the entry or the entry above is locked +1792 1/1 if (CVA6Cfg.NrPMPEntries == 0 || (!pmpcfg_q[index].locked && !(pmpcfg_q[index+1].locked && pmpcfg_q[index+1].addr_mode == riscv::TOR))) begin +1793 1/1 pmpaddr_d[index] = csr_wdata[CVA6Cfg.PLEN-3:0]; +1794 end + ==> MISSING_ELSE +1795 end +1796 1/1 default: update_access_exception = 1'b1; +1797 endcase +1798 end + MISSING_ELSE +1799 1/1 if (CVA6Cfg.IS_XLEN64) begin +1800 unreachable mstatus_d.sxl = riscv::XLEN_64; +1801 unreachable mstatus_d.uxl = riscv::XLEN_64; +1802 end + MISSING_ELSE +1803 1/1 if (!CVA6Cfg.RVU) begin +1804 1/1 mstatus_d.mpp = riscv::PRIV_LVL_M; +1805 end + ==> MISSING_ELSE +1806 +1807 1/1 if (CVA6Cfg.RVH) begin +1808 unreachable hstatus_d.vsxl = riscv::XLEN_64; +1809 unreachable vsstatus_d.uxl = riscv::XLEN_64; +1810 end + MISSING_ELSE +1811 // mark the floating point extension register as dirty +1812 1/1 if (CVA6Cfg.FpPresent && (dirty_fp_state_csr || dirty_fp_state_i)) begin +1813 unreachable mstatus_d.fs = riscv::Dirty; +1814 unreachable if (CVA6Cfg.RVH) begin +1815 unreachable vsstatus_d.fs = v_q & riscv::Dirty; +1816 end + ==> MISSING_ELSE +1817 end + MISSING_ELSE +1818 // mark the vector extension register as dirty +1819 1/1 if (CVA6Cfg.RVV && dirty_v_state_i) begin +1820 unreachable mstatus_d.vs = riscv::Dirty; +1821 end + MISSING_ELSE +1822 // hardwired extension registers +1823 1/1 if (CVA6Cfg.RVS || CVA6Cfg.RVF) begin +1824 unreachable mstatus_d.sd = (mstatus_q.xs == riscv::Dirty) | (mstatus_q.fs == riscv::Dirty); +1825 end else begin +1826 1/1 mstatus_d.sd = riscv::Off; +1827 end +1828 1/1 if (CVA6Cfg.RVH) begin +1829 unreachable vsstatus_d.sd = (vsstatus_q.xs == riscv::Dirty) | (vsstatus_q.fs == riscv::Dirty); +1830 end + MISSING_ELSE +1831 +1832 // reserve PMPCFG bits 5 and 6 (hardwire to 0) +1833 1/1 if (CVA6Cfg.NrPMPEntries != 0) +1834 unreachable for (int i = 0; i < CVA6Cfg.NrPMPEntries; i++) pmpcfg_d[i].reserved = 2'b0; +1835 +1836 // write the floating point status register +1837 if (CVA6Cfg.FpPresent && csr_write_fflags_i) begin + MISSING_ELSE +1837 1/1 if (CVA6Cfg.FpPresent && csr_write_fflags_i) begin +1838 unreachable fcsr_d.fflags = csr_wdata_i[4:0] | fcsr_q.fflags; +1839 end + MISSING_ELSE +1840 +1841 // ---------------------------- +1842 // Accelerator FP imprecise exceptions +1843 // ---------------------------- +1844 +1845 // Update fflags as soon as a FP exception occurs in the accelerator +1846 // The exception is imprecise, and the fcsr.fflags update always happens immediately +1847 1/1 if (CVA6Cfg.EnableAccelerator) begin +1848 unreachable fcsr_d.fflags |= acc_fflags_ex_valid_i ? acc_fflags_ex_i : 5'b0; +1849 end + MISSING_ELSE +1850 +1851 // --------------------- +1852 // External Interrupts +1853 // --------------------- +1854 // Machine Mode External Interrupt Pending +1855 1/1 mip_d[riscv::IRQ_M_EXT] = irq_i[0]; +1856 // Machine software interrupt +1857 1/1 mip_d[riscv::IRQ_M_SOFT] = CVA6Cfg.SoftwareInterruptEn && ipi_i; +1858 // Timer interrupt pending, coming from platform timer +1859 1/1 mip_d[riscv::IRQ_M_TIMER] = time_irq_i; +1860 +1861 // ----------------------- +1862 // Manage Exception Stack +1863 // ----------------------- +1864 // update exception CSRs +1865 // we got an exception update cause, pc and stval register +1866 1/1 trap_to_priv_lvl = riscv::PRIV_LVL_M; +1867 1/1 trap_to_v = 1'b0; +1868 // Exception is taken and we are not in debug mode +1869 // exceptions in debug mode don't update any fields +1870 1/1 if (!debug_mode && ex_cause_is_not_debug_request && ex_i.valid) begin +1871 // do not flush, flush is reserved for CSR writes with side effects +1872 1/1 flush_o = 1'b0; +1873 // figure out where to trap to +1874 // a m-mode trap might be delegated if we are taking it in S mode +1875 // first figure out if this was an exception or an interrupt e.g.: look at bit (XLEN-1) +1876 // the cause register can only be $clog2(CVA6Cfg.XLEN) bits long (as we only support XLEN exceptions) +1877 1/1 if (CVA6Cfg.RVS) begin +1878 unreachable if ((ex_i.cause[CVA6Cfg.XLEN-1] && mideleg_q[ex_i.cause[$clog2( +1879 CVA6Cfg.XLEN +1880 )-1:0]]) || (~ex_i.cause[CVA6Cfg.XLEN-1] && medeleg_q[ex_i.cause[$clog2( +1881 CVA6Cfg.XLEN +1882 )-1:0]])) begin +1883 // traps never transition from a more-privileged mode to a less privileged mode +1884 // so if we are already in M mode, stay there +1885 unreachable trap_to_priv_lvl = (priv_lvl_o == riscv::PRIV_LVL_M) ? riscv::PRIV_LVL_M : riscv::PRIV_LVL_S; +1886 unreachable if (CVA6Cfg.RVH) begin +1887 unreachable if ((ex_i.cause[CVA6Cfg.XLEN-1] && hideleg_q[ex_i.cause[$clog2( +1888 CVA6Cfg.XLEN +1889 )-1:0]]) || (~ex_i.cause[CVA6Cfg.XLEN-1] && hedeleg_q[ex_i.cause[$clog2( +1890 CVA6Cfg.XLEN +1891 )-1:0]])) begin +1892 // trap to VS only if it is the currently active mode +1893 unreachable trap_to_v = v_q; +1894 end + ==> MISSING_ELSE +1895 end + ==> MISSING_ELSE +1896 end + ==> MISSING_ELSE +1897 end + MISSING_ELSE +1898 +1899 // trap to supervisor mode +1900 1/1 if (CVA6Cfg.RVS && trap_to_priv_lvl == riscv::PRIV_LVL_S) begin +1901 unreachable if (CVA6Cfg.RVH && trap_to_v) begin +1902 // update sstatus +1903 unreachable vsstatus_d.sie = 1'b0; +1904 unreachable vsstatus_d.spie = (CVA6Cfg.RVH) ? vsstatus_q.sie : '0; +1905 // this can either be user or supervisor mode +1906 unreachable vsstatus_d.spp = priv_lvl_q[0]; +1907 // set cause +1908 unreachable vscause_d = ex_i.cause[CVA6Cfg.XLEN-1] ? {ex_i.cause[CVA6Cfg.XLEN-1:2], 2'b01} : ex_i.cause; +1909 // set epc +1910 unreachable vsepc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +1911 // set vstval +1912 unreachable vstval_d = (ariane_pkg::ZERO_TVAL +1913 && (ex_i.cause inside { +1914 riscv::ILLEGAL_INSTR, +1915 riscv::BREAKPOINT, +1916 riscv::ENV_CALL_UMODE +1917 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : ex_i.tval; +1918 end else begin +1919 // update sstatus +1920 unreachable mstatus_d.sie = 1'b0; +1921 unreachable mstatus_d.spie = mstatus_q.sie; +1922 // this can either be user or supervisor mode +1923 unreachable mstatus_d.spp = priv_lvl_q[0]; +1924 // set cause +1925 unreachable scause_d = ex_i.cause; +1926 // set epc +1927 unreachable sepc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +1928 // set mtval or stval +1929 unreachable stval_d = (ariane_pkg::ZERO_TVAL +1930 && (ex_i.cause inside { +1931 riscv::ILLEGAL_INSTR, +1932 riscv::BREAKPOINT, +1933 riscv::ENV_CALL_UMODE, +1934 riscv::ENV_CALL_SMODE, +1935 riscv::ENV_CALL_MMODE +1936 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : ex_i.tval; +1937 unreachable if (CVA6Cfg.RVH) begin +1938 unreachable htinst_d = (ariane_pkg::ZERO_TVAL +1939 && (ex_i.cause inside { +1940 riscv::INSTR_ACCESS_FAULT, +1941 riscv::ILLEGAL_INSTR, +1942 riscv::BREAKPOINT, +1943 riscv::ENV_CALL_UMODE, +1944 riscv::ENV_CALL_SMODE, +1945 riscv::ENV_CALL_MMODE, +1946 riscv::INSTR_PAGE_FAULT, +1947 riscv::INSTR_GUEST_PAGE_FAULT, +1948 riscv::VIRTUAL_INSTRUCTION +1949 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : {{CVA6Cfg.XLEN - 32 {1'b0}}, ex_i.tinst}; +1950 unreachable hstatus_d.spvp = v_q ? priv_lvl_q[0] : hstatus_d.spvp; +1951 unreachable htval_d = {{CVA6Cfg.XLEN - CVA6Cfg.GPLEN + 2{1'b0}}, ex_i.tval2[CVA6Cfg.GPLEN-1:2]}; +1952 unreachable hstatus_d.gva = ex_i.gva; +1953 unreachable hstatus_d.spv = v_q; +1954 end + ==> MISSING_ELSE +1955 end +1956 // trap to machine mode +1957 end else begin +1958 // update mstatus +1959 1/1 mstatus_d.mie = 1'b0; +1960 1/1 mstatus_d.mpie = mstatus_q.mie; +1961 // save the previous privilege mode +1962 1/1 mstatus_d.mpp = priv_lvl_q; +1963 1/1 mcause_d = ex_i.cause; +1964 // set epc +1965 1/1 mepc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +1966 // set mtval or stval +1967 1/1 if (CVA6Cfg.TvalEn) begin +1968 unreachable mtval_d = (ariane_pkg::ZERO_TVAL +1969 && (ex_i.cause inside { +1970 riscv::ILLEGAL_INSTR, +1971 riscv::BREAKPOINT, +1972 riscv::ENV_CALL_UMODE, +1973 riscv::ENV_CALL_SMODE, +1974 riscv::ENV_CALL_MMODE +1975 } || ex_i.cause[CVA6Cfg.GPLEN-1])) ? '0 : ex_i.tval; +1976 end else begin +1977 1/1 mtval_d = '0; +1978 end +1979 +1980 1/1 if (CVA6Cfg.RVH) begin +1981 // save previous virtualization mode +1982 unreachable mstatus_d.mpv = v_q; +1983 unreachable mtinst_d = (ariane_pkg::ZERO_TVAL +1984 && (ex_i.cause inside { +1985 riscv::INSTR_ADDR_MISALIGNED, +1986 riscv::INSTR_ACCESS_FAULT, +1987 riscv::ILLEGAL_INSTR, +1988 riscv::BREAKPOINT, +1989 riscv::ENV_CALL_UMODE, +1990 riscv::ENV_CALL_SMODE, +1991 riscv::ENV_CALL_MMODE, +1992 riscv::INSTR_PAGE_FAULT, +1993 riscv::INSTR_GUEST_PAGE_FAULT, +1994 riscv::VIRTUAL_INSTRUCTION +1995 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : {{CVA6Cfg.XLEN - 32 {1'b0}}, ex_i.tinst}; +1996 unreachable mtval2_d = {{CVA6Cfg.XLEN - CVA6Cfg.GPLEN + 2{1'b0}}, ex_i.tval2[CVA6Cfg.GPLEN-1:2]}; +1997 unreachable mstatus_d.gva = ex_i.gva; +1998 end + MISSING_ELSE +1999 end +2000 +2001 1/1 priv_lvl_d = trap_to_priv_lvl; +2002 1/1 if (CVA6Cfg.RVH) begin +2003 unreachable v_d = trap_to_v; +2004 end + MISSING_ELSE +2005 end + MISSING_ELSE +2006 +2007 // ------------------------------ +2008 // Debug +2009 // ------------------------------ +2010 // Explains why Debug Mode was entered. +2011 // When there are multiple reasons to enter Debug Mode in a single cycle, hardware should set cause to the cause with the highest priority. +2012 // 1: An ebreak instruction was executed. (priority 3) +2013 // 2: The Trigger Module caused a breakpoint exception. (priority 4) +2014 // 3: The debugger requested entry to Debug Mode. (priority 2) +2015 // 4: The hart single stepped because step was set. (priority 1) +2016 // we are currently not in debug mode and could potentially enter +2017 1/1 if (CVA6Cfg.DebugEn) begin +2018 unreachable if (!debug_mode) begin +2019 unreachable dcsr_d.prv = priv_lvl_o; +2020 // save virtualization mode bit +2021 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2022 // trigger module fired +2023 +2024 // caused by a breakpoint +2025 unreachable if (ex_i.valid && ex_i.cause == riscv::BREAKPOINT) begin +2026 unreachable dcsr_d.prv = priv_lvl_o; +2027 // save virtualization mode bit +2028 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2029 // check that we actually want to enter debug depending on the privilege level we are currently in +2030 unreachable unique case (priv_lvl_o) +2031 riscv::PRIV_LVL_M: begin +2032 unreachable debug_mode_d = dcsr_q.ebreakm; +2033 unreachable set_debug_pc_o = dcsr_q.ebreakm; +2034 end +2035 riscv::PRIV_LVL_S: begin +2036 unreachable if (CVA6Cfg.RVS) begin +2037 unreachable debug_mode_d = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvs : dcsr_q.ebreaks; +2038 unreachable set_debug_pc_o = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvs : dcsr_q.ebreaks; +2039 end + ==> MISSING_ELSE +2040 end +2041 riscv::PRIV_LVL_U: begin +2042 unreachable if (CVA6Cfg.RVU) begin +2043 unreachable debug_mode_d = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvu : dcsr_q.ebreaku; +2044 unreachable set_debug_pc_o = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvu : dcsr_q.ebreaku; +2045 end + ==> MISSING_ELSE +2046 end +2047 unreachable default: ; +2048 endcase +2049 // save PC of next this instruction e.g.: the next one to be executed +2050 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +2051 unreachable dcsr_d.cause = ariane_pkg::CauseBreakpoint; +2052 end + ==> MISSING_ELSE +2053 +2054 // we've got a debug request +2055 unreachable if (ex_i.valid && ex_i.cause == riscv::DEBUG_REQUEST) begin +2056 unreachable dcsr_d.prv = priv_lvl_o; +2057 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2058 // save the PC +2059 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +2060 // enter debug mode +2061 unreachable debug_mode_d = 1'b1; +2062 // jump to the base address +2063 unreachable set_debug_pc_o = 1'b1; +2064 // save the cause as external debug request +2065 unreachable dcsr_d.cause = ariane_pkg::CauseRequest; +2066 end + ==> MISSING_ELSE +2067 +2068 // single step enable and we just retired an instruction +2069 unreachable if (dcsr_q.step && commit_ack_i[0]) begin +2070 unreachable dcsr_d.prv = priv_lvl_o; +2071 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2072 // valid CTRL flow change +2073 unreachable if (commit_instr_i.fu == CTRL_FLOW) begin +2074 // we saved the correct target address during execute +2075 unreachable dpc_d = { +2076 {CVA6Cfg.XLEN - CVA6Cfg.VLEN{commit_instr_i.bp.predict_address[CVA6Cfg.VLEN-1]}}, +2077 commit_instr_i.bp.predict_address +2078 }; +2079 // exception valid +2080 unreachable end else if (ex_i.valid) begin +2081 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, trap_vector_base_o}; +2082 // return from environment +2083 unreachable end else if (eret_o) begin +2084 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, epc_o}; +2085 // consecutive PC +2086 end else begin +2087 unreachable dpc_d = { +2088 {CVA6Cfg.XLEN - CVA6Cfg.VLEN{commit_instr_i.pc[CVA6Cfg.VLEN-1]}}, +2089 commit_instr_i.pc + (commit_instr_i.is_compressed ? 'h2 : 'h4) +2090 }; +2091 end +2092 unreachable debug_mode_d = 1'b1; +2093 unreachable set_debug_pc_o = 1'b1; +2094 unreachable dcsr_d.cause = ariane_pkg::CauseSingleStep; +2095 end + ==> MISSING_ELSE +2096 end + ==> MISSING_ELSE +2097 // go in halt-state again when we encounter an exception +2098 unreachable if (debug_mode && ex_i.valid && ex_i.cause == riscv::BREAKPOINT) begin +2099 unreachable set_debug_pc_o = 1'b1; +2100 end + ==> MISSING_ELSE +2101 end + MISSING_ELSE +2102 +2103 // ------------------------------ +2104 // MPRV - Modify Privilege Level +2105 // ------------------------------ +2106 // Set the address translation at which the load and stores should occur +2107 // we can use the previous values since changing the address translation will always involve a pipeline flush +2108 1/1 if (CVA6Cfg.RVH) begin +2109 unreachable if (mprv && (mstatus_q.mpv == 1'b0) && (config_pkg::vm_mode_t'(satp_q.mode) == CVA6Cfg.MODE_SV) && (mstatus_q.mpp != riscv::PRIV_LVL_M)) begin +2110 unreachable en_ld_st_translation_d = 1'b1; +2111 unreachable end else if (mprv && (mstatus_q.mpv == 1'b1)) begin +2112 unreachable if (satp_mode_is_sv) begin +2113 unreachable en_ld_st_translation_d = 1'b1; +2114 end else begin +2115 unreachable en_ld_st_translation_d = 1'b0; +2116 end +2117 end else begin // otherwise we go with the regular settings +2118 unreachable en_ld_st_translation_d = en_translation_o; +2119 end +2120 +2121 unreachable if (mprv && (mstatus_q.mpv == 1'b1)) begin +2122 unreachable if (config_pkg::vm_mode_t'(hgatp_q.mode) == CVA6Cfg.MODE_SV) begin +2123 unreachable en_ld_st_g_translation_d = 1'b1; +2124 end else begin +2125 unreachable en_ld_st_g_translation_d = 1'b0; +2126 end +2127 end else begin +2128 unreachable en_ld_st_g_translation_d = en_g_translation_o; +2129 end +2130 +2131 unreachable if (csr_hs_ld_st_inst_i) ld_st_priv_lvl_o = riscv::priv_lvl_t'(hstatus_q.spvp); +2132 unreachable else ld_st_priv_lvl_o = (mprv) ? mstatus_q.mpp : priv_lvl_o; +2133 +2134 unreachable ld_st_v_o = ((mprv ? mstatus_q.mpv : v_q) || (csr_hs_ld_st_inst_i)); +2135 +2136 unreachable en_ld_st_translation_o = (en_ld_st_translation_q && !csr_hs_ld_st_inst_i) || (config_pkg::vm_mode_t'(vsatp_q.mode) == CVA6Cfg.MODE_SV && csr_hs_ld_st_inst_i); +2137 +2138 unreachable en_ld_st_g_translation_o = (en_ld_st_g_translation_q && !csr_hs_ld_st_inst_i) || (csr_hs_ld_st_inst_i && config_pkg::vm_mode_t'(hgatp_q.mode) == CVA6Cfg.MODE_SV && csr_hs_ld_st_inst_i); +2139 end else begin +2140 1/1 if (CVA6Cfg.MmuPresent && mprv && satp_mode_is_sv && (mstatus_q.mpp != riscv::PRIV_LVL_M)) +2141 unreachable en_ld_st_translation_d = 1'b1; +2142 else // otherwise we go with the regular settings +2143 1/1 en_ld_st_translation_d = en_translation_o; +2144 +2145 1/1 if (CVA6Cfg.RVU) begin +2146 unreachable ld_st_priv_lvl_o = (mprv) ? mstatus_q.mpp : priv_lvl_o; +2147 end else begin +2148 1/1 ld_st_priv_lvl_o = priv_lvl_o; +2149 end +2150 1/1 en_ld_st_translation_o = en_ld_st_translation_q; +2151 1/1 ld_st_v_o = 1'b0; +2152 1/1 en_ld_st_g_translation_o = 1'b0; +2153 end +2154 // ------------------------------ +2155 // Return from Environment +2156 // ------------------------------ +2157 // When executing an xRET instruction, supposing xPP holds the value y, xIE is set to xPIE; the privilege +2158 // mode is changed to y; xPIE is set to 1; and xPP is set to U +2159 1/1 if (mret) begin +2160 // return from exception, IF doesn't care from where we are returning +2161 1/1 eret_o = 1'b1; +2162 // return to the previous privilege level and restore all enable flags +2163 // get the previous machine interrupt enable flag +2164 1/1 mstatus_d.mie = mstatus_q.mpie; +2165 // restore the previous privilege level +2166 1/1 priv_lvl_d = mstatus_q.mpp; +2167 1/1 mstatus_d.mpp = riscv::PRIV_LVL_M; +2168 1/1 if (CVA6Cfg.RVU) begin +2169 // set mpp to user mode +2170 unreachable mstatus_d.mpp = riscv::PRIV_LVL_U; +2171 end + MISSING_ELSE +2172 // set mpie to 1 +2173 1/1 mstatus_d.mpie = 1'b1; +2174 1/1 if (CVA6Cfg.RVH) begin +2175 // set virtualization mode +2176 unreachable v_d = mstatus_q.mpv; +2177 //set mstatus mpv to false +2178 unreachable mstatus_d.mpv = 1'b0; +2179 unreachable if (mstatus_q.mpp != riscv::PRIV_LVL_M) mstatus_d.mprv = 1'b0; + ==> MISSING_ELSE +2180 end + MISSING_ELSE +2181 end + MISSING_ELSE +2182 +2183 1/1 if (CVA6Cfg.RVS && sret && virtualization_off) begin +2184 // return from exception, IF doesn't care from where we are returning +2185 unreachable eret_o = 1'b1; +2186 // return the previous supervisor interrupt enable flag +2187 unreachable mstatus_d.sie = mstatus_q.spie; +2188 // restore the previous privilege level +2189 unreachable priv_lvl_d = riscv::priv_lvl_t'({1'b0, mstatus_q.spp}); +2190 // set spp to user mode +2191 unreachable mstatus_d.spp = 1'b0; +2192 // set spie to 1 +2193 unreachable mstatus_d.spie = 1'b1; +2194 unreachable if (CVA6Cfg.RVH) begin +2195 // set virtualization mode +2196 unreachable v_d = hstatus_q.spv; +2197 //set hstatus spv to false +2198 unreachable hstatus_d.spv = 1'b0; +2199 unreachable mstatus_d.mprv = 1'b0; +2200 end + ==> MISSING_ELSE +2201 end + MISSING_ELSE +2202 +2203 1/1 if (CVA6Cfg.RVH) begin +2204 unreachable if (sret && v_q) begin +2205 // return from exception, IF doesn't care from where we are returning +2206 unreachable eret_o = 1'b1; +2207 // return the previous supervisor interrupt enable flag +2208 unreachable vsstatus_d.sie = vsstatus_q.spie; +2209 // restore the previous privilege level +2210 unreachable priv_lvl_d = riscv::priv_lvl_t'({1'b0, vsstatus_q.spp}); +2211 // set spp to user mode +2212 unreachable vsstatus_d.spp = 1'b0; +2213 // set spie to 1 +2214 unreachable vsstatus_d.spie = 1'b1; +2215 end + ==> MISSING_ELSE +2216 end + MISSING_ELSE +2217 +2218 // return from debug mode +2219 1/1 if (CVA6Cfg.DebugEn) begin +2220 unreachable if (dret) begin +2221 // return from exception, IF doesn't care from where we are returning +2222 unreachable eret_o = 1'b1; +2223 // restore the previous privilege level +2224 unreachable priv_lvl_d = riscv::priv_lvl_t'(dcsr_q.prv); +2225 unreachable if (CVA6Cfg.RVH) begin +2226 // restore the previous virtualization mode +2227 unreachable v_d = dcsr_q.v; +2228 end + ==> MISSING_ELSE +2229 // actually return from debug mode +2230 unreachable debug_mode_d = 1'b0; +2231 end + ==> MISSING_ELSE +2232 end + MISSING_ELSE +2233 end +2234 +2235 // --------------------------- +2236 // CSR OP Select Logic +2237 // --------------------------- +2238 always_comb begin : csr_op_logic +2239 1/1 csr_wdata = csr_wdata_i; +2240 1/1 csr_we = 1'b1; +2241 1/1 csr_read = 1'b1; +2242 1/1 mret = 1'b0; +2243 1/1 sret = 1'b0; +2244 1/1 dret = 1'b0; +2245 +2246 1/1 unique case (csr_op_i) +2247 1/1 CSR_WRITE: csr_wdata = csr_wdata_i; +2248 1/1 CSR_SET: csr_wdata = csr_wdata_i | csr_rdata; +2249 1/1 CSR_CLEAR: csr_wdata = (~csr_wdata_i) & csr_rdata; +2250 1/1 CSR_READ: csr_we = 1'b0; +2251 MRET: begin +2252 // the return should not have any write or read side-effects +2253 1/1 csr_we = 1'b0; +2254 1/1 csr_read = 1'b0; +2255 1/1 mret = 1'b1; // signal a return from machine mode +2256 end +2257 default: begin +2258 1/1 if (CVA6Cfg.RVS && csr_op_i == SRET) begin +2259 // the return should not have any write or read side-effects +2260 unreachable csr_we = 1'b0; +2261 unreachable csr_read = 1'b0; +2262 unreachable sret = 1'b1; // signal a return from supervisor mode +2263 1/1 end else if (CVA6Cfg.DebugEn && csr_op_i == DRET) begin +2264 // the return should not have any write or read side-effects +2265 unreachable csr_we = 1'b0; +2266 unreachable csr_read = 1'b0; +2267 unreachable dret = 1'b1; // signal a return from debug mode +2268 end else begin +2269 1/1 csr_we = 1'b0; +2270 1/1 csr_read = 1'b0; +2271 end +2272 end +2273 endcase +2274 // if we are violating our privilges do not update the architectural state +2275 1/1 if (privilege_violation) begin +2276 1/1 csr_we = 1'b0; +2277 1/1 csr_read = 1'b0; +2278 end + MISSING_ELSE +2279 end +2280 +2281 assign irq_ctrl_o.mie = mie_q; +2282 assign irq_ctrl_o.mip = mip_q; +2283 if (CVA6Cfg.RVH) begin +2284 assign irq_ctrl_o.sie = (v_q) ? vsstatus_q.sie : mstatus_q.sie; +2285 end else begin +2286 assign irq_ctrl_o.sie = mstatus_q.sie; +2287 end +2288 assign irq_ctrl_o.mideleg = (CVA6Cfg.RVS) ? mideleg_q : '0; +2289 assign irq_ctrl_o.hideleg = (CVA6Cfg.RVH) ? hideleg_q : '0; +2290 +2291 // interrupts are enabled during single step or we are not stepping +2292 // No need to check interrupts during single step if we don't support DEBUG mode +2293 if (CVA6Cfg.DebugEn) begin +2294 assign irq_ctrl_o.global_enable = ~(debug_mode) & (~dcsr_q.step | dcsr_q.stepie) +2295 & ((mstatus_q.mie & (priv_lvl_o == riscv::PRIV_LVL_M | !CVA6Cfg.RVU)) +2296 | (CVA6Cfg.RVU & priv_lvl_o != riscv::PRIV_LVL_M)); +2297 end else begin +2298 assign irq_ctrl_o.global_enable = (mstatus_q.mie & (priv_lvl_o == riscv::PRIV_LVL_M | !CVA6Cfg.RVU)) +2299 | (CVA6Cfg.RVU & priv_lvl_o != riscv::PRIV_LVL_M); +2300 end +2301 +2302 always_comb begin : privilege_check +2303 1/1 if (CVA6Cfg.RVH) begin +2304 automatic riscv::priv_lvl_t access_priv; +2305 automatic riscv::priv_lvl_t curr_priv; +2306 automatic logic [SELECT_COUNTER_WIDTH-1:0] sel_cnt_en; +2307 // transforms S mode accesses into HS mode +2308 unreachable access_priv = (priv_lvl_o == riscv::PRIV_LVL_S && !v_q) ? riscv::PRIV_LVL_HS : priv_lvl_o; +2309 unreachable curr_priv = priv_lvl_o; +2310 unreachable sel_cnt_en = {{SELECT_COUNTER_WIDTH - 5{1'b0}}, csr_addr_i[4:0]}; +2311 // ----------------- +2312 // Privilege Check +2313 // ----------------- +2314 unreachable privilege_violation = 1'b0; +2315 unreachable virtual_privilege_violation = 1'b0; +2316 // if we are reading or writing, check for the correct privilege level this has +2317 // precedence over interrupts +2318 unreachable if (csr_op_i inside {CSR_WRITE, CSR_SET, CSR_CLEAR, CSR_READ}) begin +2319 unreachable if (access_priv < csr_addr.csr_decode.priv_lvl) begin +2320 unreachable if (v_q && csr_addr.csr_decode.priv_lvl <= riscv::PRIV_LVL_HS) +2321 unreachable virtual_privilege_violation = 1'b1; +2322 unreachable else privilege_violation = 1'b1; +2323 end + ==> MISSING_ELSE +2324 // check access to debug mode only CSRs +2325 unreachable if (!debug_mode && csr_addr_i[11:4] == 8'h7b) begin +2326 unreachable privilege_violation = 1'b1; +2327 end + ==> MISSING_ELSE +2328 // check counter-enabled counter CSR accesses +2329 // counter address range is C00 to C1F +2330 unreachable if (CVA6Cfg.RVZihpm) begin +2331 unreachable if (csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3 : riscv::CSR_HPM_COUNTER_31]} | +2332 csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3H : riscv::CSR_HPM_COUNTER_31H]}) begin +2333 unreachable if (curr_priv == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2334 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2335 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en]; +2336 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2337 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2338 unreachable if (v_q) begin +2339 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en] & hcounteren_q[sel_cnt_en]; +2340 end else begin +2341 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en]; +2342 end +2343 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2344 unreachable privilege_violation = 1'b0; +2345 end + ==> MISSING_ELSE +2346 end + ==> MISSING_ELSE +2347 end + ==> MISSING_ELSE +2348 unreachable if (CVA6Cfg.RVZicntr) begin +2349 unreachable if (csr_addr_i inside {[riscv::CSR_CYCLE : riscv::CSR_INSTRET]} | +2350 csr_addr_i inside {[riscv::CSR_CYCLEH : riscv::CSR_INSTRETH]}) begin +2351 unreachable if (curr_priv == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2352 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2353 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en]; +2354 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2355 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2356 unreachable if (v_q) begin +2357 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en] & hcounteren_q[sel_cnt_en]; +2358 end else begin +2359 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en]; +2360 end +2361 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2362 unreachable privilege_violation = 1'b0; +2363 end + ==> MISSING_ELSE +2364 end + ==> MISSING_ELSE +2365 end + ==> MISSING_ELSE +2366 end + ==> MISSING_ELSE +2367 end else begin +2368 // ----------------- +2369 // Privilege Check +2370 // ----------------- +2371 1/1 privilege_violation = 1'b0; +2372 // if we are reading or writing, check for the correct privilege level this has +2373 // precedence over interrupts +2374 1/1 if (csr_op_i inside {CSR_WRITE, CSR_SET, CSR_CLEAR, CSR_READ}) begin +2375 1/1 if (CVA6Cfg.RVU && (riscv::priv_lvl_t'(priv_lvl_o & csr_addr.csr_decode.priv_lvl) != csr_addr.csr_decode.priv_lvl)) begin +2376 unreachable privilege_violation = 1'b1; +2377 end + MISSING_ELSE +2378 // check access to debug mode only CSRs +2379 1/1 if (!debug_mode && csr_addr_i[11:4] == 8'h7b) begin +2380 1/1 privilege_violation = 1'b1; +2381 end + MISSING_ELSE +2382 // check counter-enabled counter CSR accesses +2383 // counter address range is C00 to C1F +2384 1/1 if (CVA6Cfg.RVZihpm) begin +2385 unreachable if (csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3 : riscv::CSR_HPM_COUNTER_31]} | +2386 csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3H : riscv::CSR_HPM_COUNTER_31H]}) begin +2387 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2388 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]]; +2389 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2390 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]] | ~scounteren_q[csr_addr_i[4:0]]; +2391 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2392 unreachable privilege_violation = 1'b0; +2393 end + ==> MISSING_ELSE +2394 end + ==> MISSING_ELSE +2395 end + MISSING_ELSE +2396 1/1 if (CVA6Cfg.RVZicntr) begin +2397 unreachable if (csr_addr_i inside {[riscv::CSR_CYCLE : riscv::CSR_INSTRET]} | +2398 csr_addr_i inside {[riscv::CSR_CYCLEH : riscv::CSR_INSTRETH]}) begin +2399 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2400 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]]; +2401 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2402 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]] | ~scounteren_q[csr_addr_i[4:0]]; +2403 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2404 unreachable privilege_violation = 1'b0; +2405 end + ==> MISSING_ELSE +2406 end + ==> MISSING_ELSE +2407 end + MISSING_ELSE +2408 end + MISSING_ELSE +2409 end +2410 end +2411 // ---------------------- +2412 // CSR Exception Control +2413 // ---------------------- +2414 always_comb begin : exception_ctrl +2415 1/1 csr_exception_o = { +2416 {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.GPLEN{1'b0}}, {32{1'b0}}, 1'b0, 1'b0 +2417 }; +2418 // ---------------------------------- +2419 // Illegal Access (decode exception) +2420 // ---------------------------------- +2421 // we got an exception in one of the processes above +2422 // throw an illegal instruction exception +2423 1/1 if (update_access_exception || read_access_exception) begin +2424 1/1 csr_exception_o.cause = riscv::ILLEGAL_INSTR; +2425 // we don't set the tval field as this will be set by the commit stage +2426 // this spares the extra wiring from commit to CSR and back to commit +2427 1/1 csr_exception_o.valid = 1'b1; +2428 end + MISSING_ELSE +2429 +2430 1/1 if (privilege_violation) begin +2431 1/1 csr_exception_o.cause = riscv::ILLEGAL_INSTR; +2432 1/1 csr_exception_o.valid = 1'b1; +2433 end + MISSING_ELSE +2434 +2435 1/1 if (CVA6Cfg.RVH) begin +2436 unreachable if (virtual_update_access_exception || virtual_read_access_exception || virtual_privilege_violation) begin +2437 unreachable csr_exception_o.cause = riscv::VIRTUAL_INSTRUCTION; +2438 unreachable csr_exception_o.valid = 1'b1; +2439 end + ==> MISSING_ELSE +2440 end + MISSING_ELSE +2441 end +2442 +2443 // ------------------- +2444 // Wait for Interrupt +2445 // ------------------- +2446 always_comb begin : wfi_ctrl +2447 // wait for interrupt register +2448 1/1 wfi_d = wfi_q; +2449 // if there is any (enabled) interrupt pending un-stall the core +2450 // also un-stall if we want to enter debug mode +2451 1/1 if (|(mip_q & mie_q) || (CVA6Cfg.DebugEn && debug_req_i) || irq_i[1]) begin +2452 1/1 wfi_d = 1'b0; +2453 // or alternatively if there is no exception pending and we are not in debug mode wait here +2454 // for the interrupt +2455 1/1 end else if (!debug_mode && csr_op_i == WFI && !ex_i.valid) begin +2456 1/1 wfi_d = 1'b1; +2457 end + MISSING_ELSE +2458 end +2459 +2460 // output assignments dependent on privilege mode +2461 always_comb begin : priv_output +2462 1/1 trap_vector_base_o = {mtvec_q[CVA6Cfg.VLEN-1:2], 2'b0}; +2463 // output user mode stvec +2464 1/1 if (CVA6Cfg.RVS) begin +2465 unreachable if (trap_to_priv_lvl == riscv::PRIV_LVL_S) begin +2466 unreachable trap_vector_base_o = (CVA6Cfg.RVH && trap_to_v) ? {vstvec_q[CVA6Cfg.VLEN-1:2], 2'b0} : {stvec_q[CVA6Cfg.VLEN-1:2], 2'b0}; +2467 end + ==> MISSING_ELSE +2468 end + MISSING_ELSE +2469 +2470 // if we are in debug mode jump to a specific address +2471 1/1 if (debug_mode) begin +2472 unreachable trap_vector_base_o = CVA6Cfg.DmBaseAddress[CVA6Cfg.VLEN-1:0] + CVA6Cfg.ExceptionAddress[CVA6Cfg.VLEN-1:0]; +2473 end + MISSING_ELSE +2474 +2475 // check if we are in vectored mode, if yes then do BASE + 4 * cause we +2476 // are imposing an additional alignment-constraint of 64 * 4 bytes since +2477 // we want to spare the costly addition. Furthermore check to which +2478 // privilege level we are jumping and whether the vectored mode is +2479 // activated for _that_ privilege level. +2480 1/1 if (ex_i.cause[CVA6Cfg.XLEN-1]) begin +2481 1/1 if (((CVA6Cfg.RVS || CVA6Cfg.RVU) && trap_to_priv_lvl == riscv::PRIV_LVL_M && (!CVA6Cfg.DirectVecOnly && mtvec_q[0])) || (!CVA6Cfg.RVS && !CVA6Cfg.RVU && (!CVA6Cfg.DirectVecOnly && mtvec_q[0]))) begin +2482 unreachable trap_vector_base_o[7:2] = ex_i.cause[5:0]; +2483 end + MISSING_ELSE +2484 1/1 if (CVA6Cfg.RVS) begin +2485 unreachable if (trap_to_priv_lvl == riscv::PRIV_LVL_S && !trap_to_v && stvec_q[0]) begin +2486 unreachable trap_vector_base_o[7:2] = ex_i.cause[5:0]; +2487 end + ==> MISSING_ELSE +2488 end + MISSING_ELSE +2489 1/1 if (CVA6Cfg.RVH) begin +2490 unreachable if (trap_to_priv_lvl == riscv::PRIV_LVL_S && trap_to_v && vstvec_q[0]) begin +2491 unreachable trap_vector_base_o[7:2] = {ex_i.cause[5:2], 2'b01}; +2492 end + ==> MISSING_ELSE +2493 end + MISSING_ELSE +2494 end + MISSING_ELSE +2495 +2496 1/1 epc_o = mepc_q[CVA6Cfg.VLEN-1:0]; +2497 // we are returning from supervisor or virtual supervisor mode, so take the sepc register +2498 1/1 if (CVA6Cfg.RVS) begin +2499 unreachable if (sret) begin +2500 unreachable epc_o = (CVA6Cfg.RVH && v_q) ? vsepc_q[CVA6Cfg.VLEN-1:0] : sepc_q[CVA6Cfg.VLEN-1:0]; +2501 end + ==> MISSING_ELSE +2502 end + MISSING_ELSE +2503 // we are returning from debug mode, to take the dpc register +2504 1/1 if (CVA6Cfg.DebugEn) begin +2505 unreachable if (dret) begin +2506 unreachable epc_o = dpc_q[CVA6Cfg.VLEN-1:0]; +2507 end + ==> MISSING_ELSE +2508 end + MISSING_ELSE +2509 end +2510 +2511 // ------------------- +2512 // Output Assignments +2513 // ------------------- +2514 always_comb begin +2515 // When the SEIP bit is read with a CSRRW, CSRRS, or CSRRC instruction, the value +2516 // returned in the rd destination register contains the logical-OR of the software-writable +2517 // bit and the interrupt signal from the interrupt controller. +2518 1/1 csr_rdata_o = csr_rdata; +2519 +2520 1/1 unique case (conv_csr_addr.address) +2521 riscv::CSR_MIP: +2522 1/1 csr_rdata_o = csr_rdata | ({{CVA6Cfg.XLEN - 1{1'b0}}, CVA6Cfg.RVS && irq_i[1]} << riscv::IRQ_S_EXT); +2523 // in supervisor mode we also need to check whether we delegated this bit +2524 riscv::CSR_SIP: begin +2525 1/1 if (CVA6Cfg.RVS) begin +2526 unreachable csr_rdata_o = csr_rdata +2527 | ({{CVA6Cfg.XLEN-1{1'b0}}, (irq_i[1] & mideleg_q[riscv::IRQ_S_EXT])} << riscv::IRQ_S_EXT); +2528 end + MISSING_ELSE +2529 end +2530 1/1 default: ; +2531 endcase +2532 end +2533 +2534 // in debug mode we execute with privilege level M +2535 assign priv_lvl_o = debug_mode ? riscv::PRIV_LVL_M : priv_lvl_q; +2536 assign v_o = CVA6Cfg.RVH ? v_q : 1'b0; +2537 assign virtualization_off = CVA6Cfg.RVH ? !v_q : 1'b0; +2538 // FPU outputs +2539 assign fflags_o = fcsr_q.fflags; +2540 assign frm_o = fcsr_q.frm; +2541 assign fprec_o = fcsr_q.fprec; +2542 //JVT outputs +2543 if (CVA6Cfg.RVZCMT) begin +2544 assign jvt_o.base = jvt_q.base; +2545 assign jvt_o.mode = jvt_q.mode; +2546 end else begin +2547 assign jvt_o.base = '0; +2548 assign jvt_o.mode = '0; +2549 end +2550 // MMU outputs +2551 assign satp_ppn_o = CVA6Cfg.RVS ? satp_q.ppn : '0; +2552 assign vsatp_ppn_o = CVA6Cfg.RVH ? vsatp_q.ppn : '0; +2553 assign hgatp_ppn_o = CVA6Cfg.RVH ? hgatp_q.ppn : '0; +2554 if (CVA6Cfg.RVS) begin +2555 assign asid_o = satp_q.asid[CVA6Cfg.ASID_WIDTH-1:0]; +2556 end else begin +2557 assign asid_o = '0; +2558 end +2559 assign vs_asid_o = CVA6Cfg.RVH ? vsatp_q.asid[CVA6Cfg.ASID_WIDTH-1:0] : '0; +2560 assign vmid_o = CVA6Cfg.RVH ? hgatp_q.vmid[CVA6Cfg.VMID_WIDTH-1:0] : '0; +2561 assign sum_o = mstatus_q.sum; +2562 assign vs_sum_o = CVA6Cfg.RVH ? vsstatus_q.sum : '0; +2563 assign hu_o = CVA6Cfg.RVH ? hstatus_q.hu : '0; +2564 // we support bare memory addressing and SV39 +2565 if (CVA6Cfg.RVH) begin +2566 assign en_translation_o = (((config_pkg::vm_mode_t'(satp_q.mode) == CVA6Cfg.MODE_SV && !v_q) || (config_pkg::vm_mode_t'(vsatp_q.mode) == CVA6Cfg.MODE_SV && v_q)) && +2567 priv_lvl_o != riscv::PRIV_LVL_M) +2568 ? 1'b1 +2569 : 1'b0; +2570 assign en_g_translation_o = (config_pkg::vm_mode_t'(hgatp_q.mode) == CVA6Cfg.MODE_SV && +2571 priv_lvl_o != riscv::PRIV_LVL_M && v_q) +2572 ? 1'b1 +2573 : 1'b0; +2574 end else if (CVA6Cfg.RVU) begin +2575 assign en_translation_o = (satp_mode_is_sv && priv_lvl_o != riscv::PRIV_LVL_M) ? 1'b1 : 1'b0; +2576 assign en_g_translation_o = 1'b0; +2577 end else begin +2578 assign en_translation_o = 1'b0; +2579 assign en_g_translation_o = 1'b0; +2580 end +2581 assign mxr_o = mstatus_q.mxr; +2582 assign vmxr_o = CVA6Cfg.RVH ? vsstatus_q.mxr : '0; +2583 if (CVA6Cfg.RVH) begin +2584 assign tvm_o = (v_q) ? hstatus_q.vtvm : mstatus_q.tvm; +2585 end else begin +2586 assign tvm_o = mstatus_q.tvm; +2587 end +2588 assign tw_o = mstatus_q.tw; +2589 assign vtw_o = CVA6Cfg.RVH ? hstatus_q.vtw : '0; +2590 if (CVA6Cfg.RVH) begin +2591 assign tsr_o = (v_q) ? hstatus_q.vtsr : mstatus_q.tsr; +2592 end else begin +2593 assign tsr_o = mstatus_q.tsr; +2594 end +2595 assign halt_csr_o = wfi_q; +2596 `ifdef PITON_ARIANE +2597 assign icache_en_o = icache_q[0]; +2598 `else +2599 assign icache_en_o = icache_q[0] & ~debug_mode; +2600 `endif +2601 assign dcache_en_o = dcache_q[0]; +2602 assign acc_cons_en_o = CVA6Cfg.EnableAccelerator ? acc_cons_q[0] : 1'b0; +2603 +2604 // determine if mprv needs to be considered if in debug mode +2605 if (CVA6Cfg.DebugEn) begin +2606 assign mprv = (debug_mode && !dcsr_q.mprven) ? 1'b0 : mstatus_q.mprv; +2607 end else begin +2608 assign mprv = mstatus_q.mprv; +2609 end +2610 assign debug_mode_o = debug_mode; +2611 assign single_step_o = CVA6Cfg.DebugEn ? dcsr_q.step : 1'b0; +2612 assign mcountinhibit_o = {{29 - MHPMCounterNum{1'b0}}, mcountinhibit_q}; +2613 +2614 // sequential process +2615 always_ff @(posedge clk_i or negedge rst_ni) begin +2616 1/1 if (~rst_ni) begin +2617 1/1 priv_lvl_q <= riscv::PRIV_LVL_M; +2618 // floating-point registers +2619 1/1 fcsr_q <= '0; +2620 1/1 if (CVA6Cfg.RVZCMT) begin +2621 unreachable jvt_q <= '0; +2622 end + MISSING_ELSE +2623 // debug signals +2624 1/1 if (CVA6Cfg.DebugEn) begin +2625 unreachable debug_mode_q <= 1'b0; +2626 unreachable dcsr_q <= '{xdebugver: 4'h4, prv: riscv::PRIV_LVL_M, default: '0}; +2627 unreachable dpc_q <= '0; +2628 unreachable dscratch0_q <= {CVA6Cfg.XLEN{1'b0}}; +2629 unreachable dscratch1_q <= {CVA6Cfg.XLEN{1'b0}}; +2630 end + MISSING_ELSE +2631 // machine mode registers +2632 1/1 mstatus_q <= 64'b0; +2633 // set to boot address + direct mode + 4 byte offset which is the initial trap +2634 1/1 mtvec_rst_load_q <= 1'b1; +2635 1/1 mtvec_q <= '0; +2636 1/1 mip_q <= {CVA6Cfg.XLEN{1'b0}}; +2637 1/1 mie_q <= {CVA6Cfg.XLEN{1'b0}}; +2638 1/1 mepc_q <= {CVA6Cfg.XLEN{1'b0}}; +2639 1/1 mcause_q <= {CVA6Cfg.XLEN{1'b0}}; +2640 1/1 mcounteren_q <= {CVA6Cfg.XLEN{1'b0}}; +2641 1/1 mscratch_q <= {CVA6Cfg.XLEN{1'b0}}; +2642 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_q <= {CVA6Cfg.XLEN{1'b0}}; + MISSING_ELSE +2643 1/1 fiom_q <= '0; +2644 1/1 dcache_q <= {{CVA6Cfg.XLEN - 1{1'b0}}, 1'b1}; +2645 1/1 icache_q <= {{CVA6Cfg.XLEN - 1{1'b0}}, 1'b1}; +2646 1/1 mcountinhibit_q <= '0; +2647 1/1 acc_cons_q <= {{CVA6Cfg.XLEN - 1{1'b0}}, CVA6Cfg.EnableAccelerator}; +2648 // supervisor mode registers +2649 1/1 if (CVA6Cfg.RVS) begin +2650 unreachable medeleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2651 unreachable mideleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2652 unreachable sepc_q <= {CVA6Cfg.XLEN{1'b0}}; +2653 unreachable scause_q <= {CVA6Cfg.XLEN{1'b0}}; +2654 unreachable stvec_q <= {CVA6Cfg.XLEN{1'b0}}; +2655 unreachable scounteren_q <= {CVA6Cfg.XLEN{1'b0}}; +2656 unreachable sscratch_q <= {CVA6Cfg.XLEN{1'b0}}; +2657 unreachable stval_q <= {CVA6Cfg.XLEN{1'b0}}; +2658 unreachable satp_q <= {CVA6Cfg.XLEN{1'b0}}; +2659 end + MISSING_ELSE +2660 +2661 1/1 if (CVA6Cfg.RVH) begin +2662 unreachable v_q <= '0; +2663 unreachable mtval2_q <= {CVA6Cfg.XLEN{1'b0}}; +2664 unreachable mtinst_q <= {CVA6Cfg.XLEN{1'b0}}; +2665 unreachable hstatus_q <= 64'b0; +2666 unreachable hedeleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2667 unreachable hideleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2668 unreachable hgeie_q <= {CVA6Cfg.XLEN{1'b0}}; +2669 unreachable hgatp_q <= {CVA6Cfg.XLEN{1'b0}}; +2670 unreachable hcounteren_q <= {CVA6Cfg.XLEN{1'b0}}; +2671 unreachable htval_q <= {CVA6Cfg.XLEN{1'b0}}; +2672 unreachable htinst_q <= {CVA6Cfg.XLEN{1'b0}}; +2673 // virtual supervisor mode registers +2674 unreachable vsstatus_q <= 64'b0; +2675 unreachable vsepc_q <= {CVA6Cfg.XLEN{1'b0}}; +2676 unreachable vscause_q <= {CVA6Cfg.XLEN{1'b0}}; +2677 unreachable vstvec_q <= {CVA6Cfg.XLEN{1'b0}}; +2678 unreachable vsscratch_q <= {CVA6Cfg.XLEN{1'b0}}; +2679 unreachable vstval_q <= {CVA6Cfg.XLEN{1'b0}}; +2680 unreachable vsatp_q <= {CVA6Cfg.XLEN{1'b0}}; +2681 unreachable en_ld_st_g_translation_q <= 1'b0; +2682 end + MISSING_ELSE +2683 // timer and counters +2684 1/1 cycle_q <= 64'b0; +2685 1/1 instret_q <= 64'b0; +2686 // aux registers +2687 1/1 en_ld_st_translation_q <= 1'b0; +2688 // wait for interrupt +2689 1/1 wfi_q <= 1'b0; +2690 // pmp +2691 1/1 for (int i = 0; i < 64; i++) begin +2692 1/1 if (CVA6Cfg.NrPMPEntries != 0 && i < CVA6Cfg.NrPMPEntries) begin +2693 unreachable pmpcfg_q[i] <= riscv::pmpcfg_t'(CVA6Cfg.PMPCfgRstVal[i]); +2694 unreachable pmpaddr_q[i] <= CVA6Cfg.PMPAddrRstVal[i][CVA6Cfg.PLEN-3:0]; +2695 end else begin +2696 1/1 pmpcfg_q[i] <= '0; +2697 1/1 pmpaddr_q[i] <= '0; +2698 end +2699 end +2700 end else begin +2701 1/1 priv_lvl_q <= priv_lvl_d; +2702 // floating-point registers +2703 1/1 fcsr_q <= fcsr_d; +2704 1/1 if (CVA6Cfg.RVZCMT) begin +2705 unreachable jvt_q <= jvt_d; +2706 end + MISSING_ELSE +2707 // debug signals +2708 1/1 if (CVA6Cfg.DebugEn) begin +2709 unreachable debug_mode_q <= debug_mode_d; +2710 unreachable dcsr_q <= dcsr_d; +2711 unreachable dpc_q <= dpc_d; +2712 unreachable dscratch0_q <= dscratch0_d; +2713 unreachable dscratch1_q <= dscratch1_d; +2714 end + MISSING_ELSE +2715 // machine mode registers +2716 1/1 mstatus_q <= mstatus_d; +2717 1/1 mtvec_rst_load_q <= 1'b0; +2718 1/1 mtvec_q <= mtvec_d; +2719 1/1 mip_q <= mip_d; +2720 1/1 mie_q <= mie_d; +2721 1/1 mepc_q <= mepc_d; +2722 1/1 mcause_q <= mcause_d; +2723 1/1 mcounteren_q <= mcounteren_d; +2724 1/1 mscratch_q <= mscratch_d; +2725 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_q <= mtval_d; + MISSING_ELSE +2726 1/1 fiom_q <= fiom_d; +2727 1/1 dcache_q <= dcache_d; +2728 1/1 icache_q <= icache_d; +2729 1/1 mcountinhibit_q <= mcountinhibit_d; +2730 1/1 acc_cons_q <= acc_cons_d; +2731 // supervisor mode registers +2732 1/1 if (CVA6Cfg.RVS) begin +2733 unreachable medeleg_q <= medeleg_d; +2734 unreachable mideleg_q <= mideleg_d; +2735 unreachable sepc_q <= sepc_d; +2736 unreachable scause_q <= scause_d; +2737 unreachable stvec_q <= stvec_d; +2738 unreachable scounteren_q <= scounteren_d; +2739 unreachable sscratch_q <= sscratch_d; +2740 unreachable if (CVA6Cfg.TvalEn) stval_q <= stval_d; + ==> MISSING_ELSE +2741 unreachable satp_q <= satp_d; +2742 end + MISSING_ELSE +2743 1/1 if (CVA6Cfg.RVH) begin +2744 unreachable v_q <= v_d; +2745 unreachable mtval2_q <= mtval2_d; +2746 unreachable mtinst_q <= mtinst_d; +2747 // hypervisor mode registers +2748 unreachable hstatus_q <= hstatus_d; +2749 unreachable hedeleg_q <= hedeleg_d; +2750 unreachable hideleg_q <= hideleg_d; +2751 unreachable hgeie_q <= hgeie_d; +2752 unreachable hgatp_q <= hgatp_d; +2753 unreachable hcounteren_q <= hcounteren_d; +2754 unreachable htval_q <= htval_d; +2755 unreachable htinst_q <= htinst_d; +2756 // virtual supervisor mode registers +2757 unreachable vsstatus_q <= vsstatus_d; +2758 unreachable vsepc_q <= vsepc_d; +2759 unreachable vscause_q <= vscause_d; +2760 unreachable vstvec_q <= vstvec_d; +2761 unreachable vsscratch_q <= vsscratch_d; +2762 unreachable vstval_q <= vstval_d; +2763 unreachable vsatp_q <= vsatp_d; +2764 unreachable en_ld_st_g_translation_q <= en_ld_st_g_translation_d; +2765 end + MISSING_ELSE +2766 // timer and counters +2767 1/1 cycle_q <= cycle_d; +2768 1/1 instret_q <= instret_d; +2769 // aux registers +2770 1/1 en_ld_st_translation_q <= en_ld_st_translation_d; +2771 // wait for interrupt +2772 1/1 wfi_q <= wfi_d; +2773 // pmp +2774 1/1 pmpcfg_q <= pmpcfg_next; +2775 1/1 pmpaddr_q <= pmpaddr_next; +2776 end +2777 end +2778 +2779 // write logic pmp +2780 always_comb begin : write +2781 1/1 for (int i = 0; i < 64; i++) begin +2782 1/1 if (CVA6Cfg.NrPMPEntries != 0 && i < CVA6Cfg.NrPMPEntries) begin +2783 unreachable if (!CVA6Cfg.PMPEntryReadOnly[i]) begin +2784 // PMP locked logic is handled in the CSR write process above +2785 unreachable pmpcfg_next[i] = pmpcfg_d[i]; +2786 // We only support >=8-byte granularity, NA4 is not supported +2787 unreachable if ((!CVA6Cfg.PMPNapotEn && pmpcfg_d[i].addr_mode == riscv::NAPOT) ||pmpcfg_d[i].addr_mode == riscv::NA4) begin +2788 unreachable pmpcfg_next[i].addr_mode = pmpcfg_q[i].addr_mode; +2789 end + ==> MISSING_ELSE +2790 // Follow collective WARL spec for RWX fields +2791 unreachable if (pmpcfg_d[i].access_type.r == '0 && pmpcfg_d[i].access_type.w == '1) begin +2792 unreachable pmpcfg_next[i].access_type = pmpcfg_q[i].access_type; +2793 end + ==> MISSING_ELSE +2794 end else begin +2795 unreachable pmpcfg_next[i] = pmpcfg_q[i]; +2796 end +2797 unreachable if (!CVA6Cfg.PMPEntryReadOnly[i]) begin +2798 unreachable pmpaddr_next[i] = pmpaddr_d[i]; +2799 end else begin +2800 unreachable pmpaddr_next[i] = pmpaddr_q[i]; +2801 end +2802 end else begin +2803 1/1 pmpcfg_next[i] = '0; +2804 1/1 pmpaddr_next[i] = '0; + +------------------------------------------------------------------------------- +Cond Coverage for Module : csr_regfile + + Total Covered Percent +Conditions 41 40 97.56 +Logical 41 40 97.56 +Non-Logical 0 0 +Event 0 0 + + LINE 468 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && hstatus_q.vtvm && v_q) + -------------1------------ -------2------ -3- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 468 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 508 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && mstatus_q.tvm) + -------------1------------ ------2------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 508 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 560 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && ((!v_q)) && mstatus_q.tvm) + -------------1------------ ----2--- ------3------ + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 560 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 951 + EXPRESSION (commit_ack_i[0] && ((!(ex_i.valid && 1'b0))) && (((!1'b0) || (1'b0 && (!mcountinhibit_q[2]))))) + -------1------- ------------2------------ -----------------------3---------------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Covered + + LINE 955 + EXPRESSION (commit_ack_i[i] && ((!ex_i.valid)) && (((!1'b0) || (1'b0 && (!mcountinhibit_q[2]))))) + -------1------- -------2------- -----------------------3---------------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 1195 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && hstatus_q.vtvm && v_q) + -------------1------------ -------2------ -3- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 1195 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1202 + EXPRESSION ((vm_mode_t'(vsatp.mode) == ModeOff) || (vm_mode_t'(vsatp.mode) == 4'b1)) + -----------------1----------------- ----------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1202 + SUB-EXPRESSION (vm_mode_t'(vsatp.mode) == ModeOff) + -----------------1----------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1202 + SUB-EXPRESSION (vm_mode_t'(vsatp.mode) == 4'b1) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1228 + EXPRESSION (mstatus_d.mpp == PRIV_LVL_HS) + ---------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1282 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && mstatus_q.tvm) + -------------1------------ ------2------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1282 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1288 + EXPRESSION ((vm_mode_t'(satp.mode) == ModeOff) || (vm_mode_t'(satp.mode) == 4'b1)) + -----------------1---------------- ---------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1288 + SUB-EXPRESSION (vm_mode_t'(satp.mode) == ModeOff) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1288 + SUB-EXPRESSION (vm_mode_t'(satp.mode) == 4'b1) + ---------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1393 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && ((!v_q)) && mstatus_q.tvm) + -------------1------------ ----2--- ------3------ + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 1393 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1402 + EXPRESSION ((vm_mode_t'(hgatp.mode) == ModeOff) || (vm_mode_t'(hgatp.mode) == 4'b1)) + -----------------1----------------- ----------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1402 + SUB-EXPRESSION (vm_mode_t'(hgatp.mode) == ModeOff) + -----------------1----------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1402 + SUB-EXPRESSION (vm_mode_t'(hgatp.mode) == 4'b1) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1438 + EXPRESSION ((((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_HS)) | (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_S)) | (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_U))) + ----------------------1--------------------- ---------------------2--------------------- ---------------------3--------------------- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 1438 + SUB-EXPRESSION (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_HS)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 1438 + SUB-EXPRESSION (mstatus_d.mpp == PRIV_LVL_HS) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1438 + SUB-EXPRESSION (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_S)) + ----1---- --------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 1438 + SUB-EXPRESSION (mstatus_d.mpp == PRIV_LVL_S) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1438 + SUB-EXPRESSION (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_U)) + ----1---- --------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 1438 + SUB-EXPRESSION (mstatus_d.mpp == PRIV_LVL_U) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1824 + EXPRESSION ((mstatus_q.xs == Dirty) | (mstatus_q.fs == Dirty)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1824 + SUB-EXPRESSION (mstatus_q.xs == Dirty) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1824 + SUB-EXPRESSION (mstatus_q.fs == Dirty) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1829 + EXPRESSION ((vsstatus_q.xs == Dirty) | (vsstatus_q.fs == Dirty)) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1829 + SUB-EXPRESSION (vsstatus_q.xs == Dirty) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1829 + SUB-EXPRESSION (vsstatus_q.fs == Dirty) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1848 + EXPRESSION (acc_fflags_ex_valid_i ? acc_fflags_ex_i : 5'b0) + ----------1---------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1870 + EXPRESSION (((!debug_mode)) && ex_cause_is_not_debug_request && ex_i.valid) + -------1------- --------------2-------------- -----3---- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 1885 + EXPRESSION ((priv_lvl_o == PRIV_LVL_M) ? PRIV_LVL_M : PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1885 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1908 + EXPRESSION (ex_i.cause[(32'b00000000000000000000000000100000 - 1)] ? ({ex_i.cause[32'b00000000000000000000000000011111:2], 2'b1}) : ex_i.cause) + ---------------------------1-------------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1912 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ex_i.tval) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1912 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1912 + SUB-EXPRESSION ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + ------------------------------------------1----------------------------------------- ---------------------------2-------------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1929 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ex_i.tval) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1929 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1929 + SUB-EXPRESSION + Number Term + 1 (ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || + 2 ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1938 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, ex_i.tinst})) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1938 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1938 + SUB-EXPRESSION + Number Term + 1 (ex_i.cause inside {riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || + 2 ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1950 + EXPRESSION (v_q ? priv_lvl_q[0] : hstatus_d.spvp) + -1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1968 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && (((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100010 - 1)]))) ? '0 : ex_i.tval) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1968 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 (((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100010 - 1)]))) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1983 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::INSTR_ADDR_MISALIGNED, riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, ex_i.tinst})) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1983 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::INSTR_ADDR_MISALIGNED, riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1983 + SUB-EXPRESSION + Number Term + 1 (ex_i.cause inside {riscv::INSTR_ADDR_MISALIGNED, riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || + 2 ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2025 + EXPRESSION (ex_i.valid && (ex_i.cause == riscv::BREAKPOINT)) + -----1---- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2025 + SUB-EXPRESSION (ex_i.cause == riscv::BREAKPOINT) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2055 + EXPRESSION (ex_i.valid && (ex_i.cause == riscv::DEBUG_REQUEST)) + -----1---- ------------------2----------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2055 + SUB-EXPRESSION (ex_i.cause == riscv::DEBUG_REQUEST) + ------------------1----------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2069 + EXPRESSION (dcsr_q.step && commit_ack_i[0]) + -----1----- -------2------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2073 + EXPRESSION (commit_instr_i.fu == CTRL_FLOW) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2098 + EXPRESSION (debug_mode && ex_i.valid && (ex_i.cause == riscv::BREAKPOINT)) + -----1---- -----2---- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2098 + SUB-EXPRESSION (ex_i.cause == riscv::BREAKPOINT) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2109 + EXPRESSION (mprv && (mstatus_q.mpv == 1'b0) && (vm_mode_t'(satp_q.mode) == 4'b1) && (mstatus_q.mpp != PRIV_LVL_M)) + --1- -----------2----------- ----------------3---------------- --------------4-------------- + +-1- -2- -3- -4- Status + 0 1 1 1 Unreachable + 1 0 1 1 Unreachable + 1 1 0 1 Unreachable + 1 1 1 0 Unreachable + 1 1 1 1 Unreachable + + LINE 2109 + SUB-EXPRESSION (mstatus_q.mpv == 1'b0) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2109 + SUB-EXPRESSION (vm_mode_t'(satp_q.mode) == 4'b1) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2109 + SUB-EXPRESSION (mstatus_q.mpp != PRIV_LVL_M) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2111 + EXPRESSION (mprv && (mstatus_q.mpv == 1'b1)) + --1- -----------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2111 + SUB-EXPRESSION (mstatus_q.mpv == 1'b1) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2121 + EXPRESSION (mprv && (mstatus_q.mpv == 1'b1)) + --1- -----------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2121 + SUB-EXPRESSION (mstatus_q.mpv == 1'b1) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2122 + EXPRESSION (vm_mode_t'(hgatp_q.mode) == 4'b1) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2132 + EXPRESSION (mprv ? mstatus_q.mpp : priv_lvl_o) + --1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2134 + EXPRESSION ((mprv ? mstatus_q.mpv : v_q) || csr_hs_ld_st_inst_i) + --------------1------------- ---------2--------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2134 + SUB-EXPRESSION (mprv ? mstatus_q.mpv : v_q) + --1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2136 + EXPRESSION ((en_ld_st_translation_q && ((!csr_hs_ld_st_inst_i))) || ((vm_mode_t'(vsatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i)) + --------------------------1------------------------- -----------------------------2----------------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2136 + SUB-EXPRESSION (en_ld_st_translation_q && ((!csr_hs_ld_st_inst_i))) + -----------1---------- ------------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2136 + SUB-EXPRESSION ((vm_mode_t'(vsatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i) + -----------------1---------------- ---------2--------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2136 + SUB-EXPRESSION (vm_mode_t'(vsatp_q.mode) == 4'b1) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2138 + EXPRESSION ((en_ld_st_g_translation_q && ((!csr_hs_ld_st_inst_i))) || (csr_hs_ld_st_inst_i && (vm_mode_t'(hgatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i)) + ---------------------------1-------------------------- -----------------------------------------2---------------------------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2138 + SUB-EXPRESSION (en_ld_st_g_translation_q && ((!csr_hs_ld_st_inst_i))) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2138 + SUB-EXPRESSION (csr_hs_ld_st_inst_i && (vm_mode_t'(hgatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i) + ---------1--------- -----------------2---------------- ---------3--------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2138 + SUB-EXPRESSION (vm_mode_t'(hgatp_q.mode) == 4'b1) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2146 + EXPRESSION (mprv ? mstatus_q.mpp : priv_lvl_o) + --1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2179 + EXPRESSION (mstatus_q.mpp != PRIV_LVL_M) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2204 + EXPRESSION (sret && v_q) + --1- -2- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2308 + EXPRESSION (((priv_lvl_o == PRIV_LVL_S) && ((!v_q))) ? PRIV_LVL_HS : priv_lvl_o) + --------------------1------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2308 + SUB-EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && ((!v_q))) + -------------1------------ ----2--- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2308 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2320 + EXPRESSION (v_q && (csr_addr.csr_decode.priv_lvl <= PRIV_LVL_HS)) + -1- ----------------------2---------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2325 + EXPRESSION (((!debug_mode)) && (csr_addr_i[11:4] == 8'h7b)) + -------1------- -------------2------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2325 + SUB-EXPRESSION (csr_addr_i[11:4] == 8'h7b) + -------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2331 + EXPRESSION ((csr_addr_i inside {[CSR_HPM_COUNTER_3:CSR_HPM_COUNTER_31]}) | (csr_addr_i inside {[CSR_HPM_COUNTER_3H:CSR_HPM_COUNTER_31H]})) + ------------------------------1----------------------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2334 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2337 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2339 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en])) & hcounteren_q[sel_cnt_en]) + --------------1-------------- --------------2-------------- ------------3----------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2341 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en]))) + --------------1-------------- --------------2-------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2343 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2349 + EXPRESSION ((csr_addr_i inside {[CSR_CYCLE:CSR_INSTRET]}) | (csr_addr_i inside {[CSR_CYCLEH:CSR_INSTRETH]})) + ----------------------1---------------------- -----------------------2----------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2352 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2355 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2357 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en])) & hcounteren_q[sel_cnt_en]) + --------------1-------------- --------------2-------------- ------------3----------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2359 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en]))) + --------------1-------------- --------------2-------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2361 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2379 + EXPRESSION (((!debug_mode)) && (csr_addr_i[11:4] == 8'h7b)) + -------1------- -------------2------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 2379 + SUB-EXPRESSION (csr_addr_i[11:4] == 8'h7b) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 2385 + EXPRESSION ((csr_addr_i inside {[CSR_HPM_COUNTER_3:CSR_HPM_COUNTER_31]}) | (csr_addr_i inside {[CSR_HPM_COUNTER_3H:CSR_HPM_COUNTER_31H]})) + ------------------------------1----------------------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2390 + EXPRESSION (((~mcounteren_q[csr_addr_i[4:0]])) | ((~scounteren_q[csr_addr_i[4:0]]))) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2391 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2397 + EXPRESSION ((csr_addr_i inside {[CSR_CYCLE:CSR_INSTRET]}) | (csr_addr_i inside {[CSR_CYCLEH:CSR_INSTRETH]})) + ----------------------1---------------------- -----------------------2----------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2402 + EXPRESSION (((~mcounteren_q[csr_addr_i[4:0]])) | ((~scounteren_q[csr_addr_i[4:0]]))) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2403 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2423 + EXPRESSION (update_access_exception || read_access_exception) + -----------1----------- ----------2---------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 2436 + EXPRESSION (virtual_update_access_exception || virtual_read_access_exception || virtual_privilege_violation) + ---------------1--------------- --------------2-------------- -------------3------------- + +-1- -2- -3- Status + 0 0 0 Unreachable + 0 0 1 Unreachable + 0 1 0 Unreachable + 1 0 0 Unreachable + + LINE 2451 + EXPRESSION (((|(mip_q & mie_q))) || ((1'b0 && debug_req_i)) || irq_i[1]) + ----------1--------- -----------2----------- ----3--- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Unreachable + 1 0 0 Covered + + LINE 2455 + EXPRESSION (((!debug_mode)) && (csr_op_i == WFI) && ((!ex_i.valid))) + -------1------- --------2-------- -------3------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Covered + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 2455 + SUB-EXPRESSION (csr_op_i == WFI) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 2465 + EXPRESSION (trap_to_priv_lvl == PRIV_LVL_S) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2485 + EXPRESSION ((trap_to_priv_lvl == PRIV_LVL_S) && ((!trap_to_v)) && stvec_q[0]) + ----------------1--------------- -------2------ -----3---- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2485 + SUB-EXPRESSION (trap_to_priv_lvl == PRIV_LVL_S) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2490 + EXPRESSION ((trap_to_priv_lvl == PRIV_LVL_S) && trap_to_v && vstvec_q[0]) + ----------------1--------------- ----2---- -----3----- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2490 + SUB-EXPRESSION (trap_to_priv_lvl == PRIV_LVL_S) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2787 + EXPRESSION ((((!1'b0)) && (pmpcfg_d[i].addr_mode == NAPOT)) || (pmpcfg_d[i].addr_mode == NA4)) + -----------------------1----------------------- ---------------2-------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2787 + SUB-EXPRESSION (((!1'b0)) && (pmpcfg_d[i].addr_mode == NAPOT)) + ----1---- ----------------2--------------- + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 2787 + SUB-EXPRESSION (pmpcfg_d[i].addr_mode == NAPOT) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2787 + SUB-EXPRESSION (pmpcfg_d[i].addr_mode == NA4) + ---------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2791 + EXPRESSION ((pmpcfg_d[i].access_type.r == '0) && (pmpcfg_d[i].access_type.w == '1)) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2791 + SUB-EXPRESSION (pmpcfg_d[i].access_type.r == '0) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2791 + SUB-EXPRESSION (pmpcfg_d[i].access_type.w == '1) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2535 + EXPRESSION (debug_mode ? PRIV_LVL_M : priv_lvl_q) + -----1---- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 2599 + EXPRESSION (icache_q[0] & ((~debug_mode))) + -----1----- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 2298 + EXPRESSION ((mstatus_q.mie & (((priv_lvl_o == PRIV_LVL_M) | (!1'b0)))) | ((1'b0 & (priv_lvl_o != PRIV_LVL_M)))) + -----------------------------1---------------------------- ------------------2------------------ + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 2298 + SUB-EXPRESSION (mstatus_q.mie & (((priv_lvl_o == PRIV_LVL_M) | (!1'b0)))) + ------1------ --------------------2------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 2087 + SUB-EXPRESSION (commit_instr_i.is_compressed ? 'h00000002 : 'h00000004) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.csr_regfile_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 98.78 100.00 97.56 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 98.78 100.00 97.56 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 98.78 100.00 97.56 -- csr_regfile + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : compressed_decoder +=============================================================================== +SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- + +Source File(s) : + +cva6/core/compressed_decoder.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.06 98.11 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk1[0].compressed_decoder_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : compressed_decoder + + Line No. Total Covered Percent +TOTAL 106 104 98.11 +ALWAYS 43 106 104 98.11 + +42 always_comb begin +43 1/1 illegal_instr_o = 1'b0; +44 1/1 is_compressed_o = 1'b1; +45 1/1 instr_o = instr_i; +46 1/1 is_macro_instr_o = 0; +47 1/1 is_zcmt_instr_o = 1'b0; +48 +49 // I: | imm[11:0] | rs1 | funct3 | rd | opcode | +50 // S: | imm[11:5] | rs2 | rs1 | funct3 | imm[4:0] | opcode | +51 1/1 unique case (instr_i[1:0]) +52 // C0 +53 riscv::OpcodeC0: begin +54 1/1 unique case (instr_i[15:13]) +55 riscv::OpcodeC0Addi4spn: begin +56 // c.addi4spn -> addi rd', x2, imm +57 1/1 instr_o = { +58 2'b0, +59 instr_i[10:7], +60 instr_i[12:11], +61 instr_i[5], +62 instr_i[6], +63 2'b00, +64 5'h02, +65 3'b000, +66 2'b01, +67 instr_i[4:2], +68 riscv::OpcodeOpImm +69 }; +70 2/2 if (instr_i[12:5] == 8'b0) illegal_instr_o = 1'b1; + MISSING_ELSE +71 end +72 +73 riscv::OpcodeC0Fld: begin +74 1/1 if (CVA6Cfg.FpPresent) begin +75 // c.fld -> fld rd', imm(rs1') +76 // CLD: | funct3 | imm[5:3] | rs1' | imm[7:6] | rd' | C0 | +77 unreachable instr_o = { +78 4'b0, +79 instr_i[6:5], +80 instr_i[12:10], +81 3'b000, +82 2'b01, +83 instr_i[9:7], +84 3'b011, +85 2'b01, +86 instr_i[4:2], +87 riscv::OpcodeLoadFp +88 }; +89 end else begin +90 1/1 illegal_instr_o = 1'b1; +91 end +92 end +93 +94 riscv::OpcodeC0Lw: begin +95 // c.lw -> lw rd', imm(rs1') +96 1/1 instr_o = { +97 5'b0, +98 instr_i[5], +99 instr_i[12:10], +100 instr_i[6], +101 2'b00, +102 2'b01, +103 instr_i[9:7], +104 3'b010, +105 2'b01, +106 instr_i[4:2], +107 riscv::OpcodeLoad +108 }; +109 end +110 +111 riscv::OpcodeC0Ld: begin +112 // RV64 +113 // c.ld -> ld rd', imm(rs1') +114 // RV32 +115 // c.flw -> flw fprd', imm(rs1') +116 1/1 if (CVA6Cfg.IS_XLEN64) begin +117 // CLD: | funct3 | imm[5:3] | rs1' | imm[7:6] | rd' | C0 | +118 unreachable instr_o = { +119 4'b0, +120 instr_i[6:5], +121 instr_i[12:10], +122 3'b000, +123 2'b01, +124 instr_i[9:7], +125 3'b011, +126 2'b01, +127 instr_i[4:2], +128 riscv::OpcodeLoad +129 }; +130 end else begin +131 1/1 if (CVA6Cfg.FpPresent) begin +132 // CFLW: | funct3 (change to LW) | imm[5:3] | rs1' | imm[2|6] | rd' | C0 | +133 unreachable instr_o = { +134 5'b0, +135 instr_i[5], +136 instr_i[12:10], +137 instr_i[6], +138 2'b00, +139 2'b01, +140 instr_i[9:7], +141 3'b010, +142 2'b01, +143 instr_i[4:2], +144 riscv::OpcodeLoadFp +145 }; +146 end else begin +147 1/1 illegal_instr_o = 1'b1; +148 end +149 end +150 end +151 +152 riscv::OpcodeC0Zcb: begin +153 1/1 if (CVA6Cfg.RVZCB) begin +154 1/1 unique case (instr_i[12:10]) +155 3'b000: begin +156 // c.lbu -> lbu rd', uimm(rs1') +157 1/1 instr_o = { +158 10'b0, +159 instr_i[5], +160 instr_i[6], +161 2'b01, +162 instr_i[9:7], +163 3'b100, +164 2'b01, +165 instr_i[4:2], +166 riscv::OpcodeLoad +167 }; +168 end +169 +170 3'b001: begin +171 1/1 if (instr_i[6]) begin +172 // c.lh -> lh rd', uimm(rs1') +173 1/1 instr_o = { +174 10'b0, +175 instr_i[5], +176 1'b0, +177 2'b01, +178 instr_i[9:7], +179 3'b001, +180 2'b01, +181 instr_i[4:2], +182 riscv::OpcodeLoad +183 }; +184 end else begin +185 // c.lhu -> lhu rd', uimm(rs1') +186 1/1 instr_o = { +187 10'b0, +188 instr_i[5], +189 1'b0, +190 2'b01, +191 instr_i[9:7], +192 3'b101, +193 2'b01, +194 instr_i[4:2], +195 riscv::OpcodeLoad +196 }; +197 end +198 end +199 +200 3'b010: begin +201 // c.sb -> sb rs2', uimm(rs1') +202 1/1 instr_o = { +203 7'b0, +204 2'b01, +205 instr_i[4:2], +206 2'b01, +207 instr_i[9:7], +208 3'b000, +209 3'b0, +210 instr_i[5], +211 instr_i[6], +212 riscv::OpcodeStore +213 }; +214 end +215 +216 3'b011: begin +217 // c.sh -> sh rs2', uimm(rs1') +218 1/1 instr_o = { +219 7'b0, +220 2'b01, +221 instr_i[4:2], +222 2'b01, +223 instr_i[9:7], +224 3'b001, +225 3'b0, +226 instr_i[5], +227 1'b0, +228 riscv::OpcodeStore +229 }; +230 end +231 +232 default: begin +233 1/1 illegal_instr_o = 1'b1; +234 end +235 endcase +236 +237 end else begin +238 unreachable instr_o = instr_i; +239 unreachable illegal_instr_o = 1'b1; +240 end +241 end +242 +243 riscv::OpcodeC0Fsd: begin +244 1/1 if (CVA6Cfg.FpPresent) begin +245 // c.fsd -> fsd rs2', imm(rs1') +246 unreachable instr_o = { +247 4'b0, +248 instr_i[6:5], +249 instr_i[12], +250 2'b01, +251 instr_i[4:2], +252 2'b01, +253 instr_i[9:7], +254 3'b011, +255 instr_i[11:10], +256 3'b000, +257 riscv::OpcodeStoreFp +258 }; +259 end else begin +260 1/1 illegal_instr_o = 1'b1; +261 end +262 end +263 +264 riscv::OpcodeC0Sw: begin +265 // c.sw -> sw rs2', imm(rs1') +266 1/1 instr_o = { +267 5'b0, +268 instr_i[5], +269 instr_i[12], +270 2'b01, +271 instr_i[4:2], +272 2'b01, +273 instr_i[9:7], +274 3'b010, +275 instr_i[11:10], +276 instr_i[6], +277 2'b00, +278 riscv::OpcodeStore +279 }; +280 end +281 +282 riscv::OpcodeC0Sd: begin +283 // RV64 +284 // c.sd -> sd rs2', imm(rs1') +285 // RV32 +286 // c.fsw -> fsw fprs2', imm(rs1') +287 1/1 if (CVA6Cfg.IS_XLEN64) begin +288 unreachable instr_o = { +289 4'b0, +290 instr_i[6:5], +291 instr_i[12], +292 2'b01, +293 instr_i[4:2], +294 2'b01, +295 instr_i[9:7], +296 3'b011, +297 instr_i[11:10], +298 3'b000, +299 riscv::OpcodeStore +300 }; +301 end else begin +302 1/1 if (CVA6Cfg.FpPresent) begin +303 unreachable instr_o = { +304 5'b0, +305 instr_i[5], +306 instr_i[12], +307 2'b01, +308 instr_i[4:2], +309 2'b01, +310 instr_i[9:7], +311 3'b010, +312 instr_i[11:10], +313 instr_i[6], +314 2'b00, +315 riscv::OpcodeStoreFp +316 }; +317 end else begin +318 1/1 illegal_instr_o = 1'b1; +319 end +320 end +321 end +322 +323 default: begin +324 0/1 ==> illegal_instr_o = 1'b1; +325 end +326 endcase +327 end +328 +329 // C1 +330 riscv::OpcodeC1: begin +331 1/1 unique case (instr_i[15:13]) +332 riscv::OpcodeC1Addi: begin +333 // c.addi -> addi rd, rd, nzimm +334 // c.nop -> addi 0, 0, 0 +335 1/1 instr_o = { +336 {6{instr_i[12]}}, +337 instr_i[12], +338 instr_i[6:2], +339 instr_i[11:7], +340 3'b0, +341 instr_i[11:7], +342 riscv::OpcodeOpImm +343 }; +344 end +345 +346 +347 riscv::OpcodeC1Addiw: begin // or riscv::OpcodeC1Jal for RV32IC +348 1/1 if (CVA6Cfg.IS_XLEN64) begin +349 // c.addiw -> addiw rd, rd, nzimm for RV64IC +350 unreachable if (instr_i[11:7] != 5'h0) begin // only valid if the destination is not r0 +351 unreachable instr_o = { +352 {6{instr_i[12]}}, +353 instr_i[12], +354 instr_i[6:2], +355 instr_i[11:7], +356 3'b0, +357 instr_i[11:7], +358 riscv::OpcodeOpImm32 +359 }; +360 end else begin +361 unreachable illegal_instr_o = 1'b1; +362 end +363 end else begin +364 // c.jal -> jal x1, imm for RV32IC only +365 1/1 instr_o = { +366 instr_i[12], +367 instr_i[8], +368 instr_i[10:9], +369 instr_i[6], +370 instr_i[7], +371 instr_i[2], +372 instr_i[11], +373 instr_i[5:3], +374 {9{instr_i[12]}}, +375 5'b1, +376 riscv::OpcodeJal +377 }; +378 +379 +380 +381 end +382 end +383 +384 riscv::OpcodeC1Li: begin +385 // c.li -> addi rd, x0, nzimm +386 1/1 instr_o = { +387 {6{instr_i[12]}}, +388 instr_i[12], +389 instr_i[6:2], +390 5'b0, +391 3'b0, +392 instr_i[11:7], +393 riscv::OpcodeOpImm +394 }; +395 end +396 +397 riscv::OpcodeC1LuiAddi16sp: begin +398 // c.lui -> lui rd, imm +399 1/1 instr_o = {{15{instr_i[12]}}, instr_i[6:2], instr_i[11:7], riscv::OpcodeLui}; +400 +401 1/1 if (instr_i[11:7] == 5'h02) begin +402 // c.addi16sp -> addi x2, x2, nzimm +403 1/1 instr_o = { +404 {3{instr_i[12]}}, +405 instr_i[4:3], +406 instr_i[5], +407 instr_i[2], +408 instr_i[6], +409 4'b0, +410 5'h02, +411 3'b000, +412 5'h02, +413 riscv::OpcodeOpImm +414 }; +415 end + MISSING_ELSE +416 +417 2/2 if ({instr_i[12], instr_i[6:2]} == 6'b0) illegal_instr_o = 1'b1; + MISSING_ELSE +418 end +419 +420 riscv::OpcodeC1MiscAlu: begin +421 1/1 unique case (instr_i[11:10]) +422 2'b00, 2'b01: begin +423 // 00: c.srli -> srli rd, rd, shamt +424 // 01: c.srai -> srai rd, rd, shamt +425 1/1 instr_o = { +426 1'b0, +427 instr_i[10], +428 4'b0, +429 instr_i[12], +430 instr_i[6:2], +431 2'b01, +432 instr_i[9:7], +433 3'b101, +434 2'b01, +435 instr_i[9:7], +436 riscv::OpcodeOpImm +437 }; +438 end +439 +440 2'b10: begin +441 // c.andi -> andi rd, rd, imm +442 1/1 instr_o = { +443 {6{instr_i[12]}}, +444 instr_i[12], +445 instr_i[6:2], +446 2'b01, +447 instr_i[9:7], +448 3'b111, +449 2'b01, +450 instr_i[9:7], +451 riscv::OpcodeOpImm +452 }; +453 end +454 +455 2'b11: begin +456 1/1 unique case ({ +457 instr_i[12], instr_i[6:5] +458 }) +459 3'b000: begin +460 // c.sub -> sub rd', rd', rs2' +461 1/1 instr_o = { +462 2'b01, +463 5'b0, +464 2'b01, +465 instr_i[4:2], +466 2'b01, +467 instr_i[9:7], +468 3'b000, +469 2'b01, +470 instr_i[9:7], +471 riscv::OpcodeOp +472 }; +473 end +474 +475 3'b001: begin +476 // c.xor -> xor rd', rd', rs2' +477 1/1 instr_o = { +478 7'b0, +479 2'b01, +480 instr_i[4:2], +481 2'b01, +482 instr_i[9:7], +483 3'b100, +484 2'b01, +485 instr_i[9:7], +486 riscv::OpcodeOp +487 }; +488 end +489 +490 3'b010: begin +491 // c.or -> or rd', rd', rs2' +492 1/1 instr_o = { +493 7'b0, +494 2'b01, +495 instr_i[4:2], +496 2'b01, +497 instr_i[9:7], +498 3'b110, +499 2'b01, +500 instr_i[9:7], +501 riscv::OpcodeOp +502 }; +503 end +504 +505 3'b011: begin +506 // c.and -> and rd', rd', rs2' +507 1/1 instr_o = { +508 7'b0, +509 2'b01, +510 instr_i[4:2], +511 2'b01, +512 instr_i[9:7], +513 3'b111, +514 2'b01, +515 instr_i[9:7], +516 riscv::OpcodeOp +517 }; +518 end +519 +520 3'b100: begin +521 1/1 if (CVA6Cfg.IS_XLEN64) begin +522 // c.subw -> subw rd', rd', rs2' +523 unreachable instr_o = { +524 2'b01, +525 5'b0, +526 2'b01, +527 instr_i[4:2], +528 2'b01, +529 instr_i[9:7], +530 3'b000, +531 2'b01, +532 instr_i[9:7], +533 riscv::OpcodeOp32 +534 }; +535 end else begin +536 1/1 illegal_instr_o = 1'b1; +537 end +538 end +539 +540 3'b101: begin +541 1/1 if (CVA6Cfg.IS_XLEN64) begin +542 // c.addw -> addw rd', rd', rs2' +543 unreachable instr_o = { +544 2'b00, +545 5'b0, +546 2'b01, +547 instr_i[4:2], +548 2'b01, +549 instr_i[9:7], +550 3'b000, +551 2'b01, +552 instr_i[9:7], +553 riscv::OpcodeOp32 +554 }; +555 end else begin +556 1/1 illegal_instr_o = 1'b1; +557 end +558 end +559 +560 3'b110: begin +561 1/1 if (CVA6Cfg.RVZCB) begin +562 // c.mul -> mul rd', rd', rs2' +563 1/1 instr_o = { +564 6'b0, +565 1'b1, +566 2'b01, +567 instr_i[4:2], +568 2'b01, +569 instr_i[9:7], +570 3'b000, +571 2'b01, +572 instr_i[9:7], +573 riscv::OpcodeOp +574 }; +575 end else begin +576 unreachable instr_o = instr_i; +577 unreachable illegal_instr_o = 1'b1; +578 end +579 end +580 +581 3'b111: begin +582 1/1 if (CVA6Cfg.RVZCB) begin +583 +584 1/1 unique case (instr_i[4:2]) +585 3'b000: begin +586 // c.zext.b -> andi rd', rd', 0xff +587 1/1 instr_o = { +588 4'b0, +589 8'hFF, +590 2'b01, +591 instr_i[9:7], +592 3'b111, +593 2'b01, +594 instr_i[9:7], +595 riscv::OpcodeOpImm +596 }; +597 end +598 +599 3'b001: begin +600 1/1 if (CVA6Cfg.RVB) begin +601 // c.sext.b -> sext.b rd', rd' +602 1/1 instr_o = { +603 7'h30, +604 5'h4, +605 2'b01, +606 instr_i[9:7], +607 3'b001, +608 2'b01, +609 instr_i[9:7], +610 riscv::OpcodeOpImm +611 }; +612 unreachable end else illegal_instr_o = 1'b1; +613 end +614 +615 3'b010: begin +616 1/1 if (CVA6Cfg.RVB) begin +617 // c.zext.h -> zext.h rd', rd' +618 1/1 if (CVA6Cfg.IS_XLEN64) begin +619 unreachable instr_o = { +620 7'h4, +621 5'h0, +622 2'b01, +623 instr_i[9:7], +624 3'b100, +625 2'b01, +626 instr_i[9:7], +627 riscv::OpcodeOp32 +628 }; +629 end else begin +630 1/1 instr_o = { +631 7'h4, +632 5'h0, +633 2'b01, +634 instr_i[9:7], +635 3'b100, +636 2'b01, +637 instr_i[9:7], +638 riscv::OpcodeOp +639 }; +640 end +641 unreachable end else illegal_instr_o = 1'b1; +642 end +643 +644 3'b011: begin +645 1/1 if (CVA6Cfg.RVB) begin +646 // c.sext.h -> sext.h rd', rd' +647 1/1 instr_o = { +648 7'h30, +649 5'h5, +650 2'b01, +651 instr_i[9:7], +652 3'b001, +653 2'b01, +654 instr_i[9:7], +655 riscv::OpcodeOpImm +656 }; +657 unreachable end else illegal_instr_o = 1'b1; +658 end +659 +660 3'b100: begin +661 1/1 if (CVA6Cfg.RVB) begin +662 // c.zext.w -> add.uw +663 1/1 if (CVA6Cfg.IS_XLEN64) begin +664 unreachable instr_o = { +665 7'h4, +666 5'h0, +667 2'b01, +668 instr_i[9:7], +669 3'b000, +670 2'b01, +671 instr_i[9:7], +672 riscv::OpcodeOp32 +673 }; +674 end else begin +675 1/1 illegal_instr_o = 1'b1; +676 end +677 unreachable end else illegal_instr_o = 1'b1; +678 end +679 +680 3'b101: begin +681 // c.not -> xori rd', rd', -1 +682 1/1 instr_o = { +683 12'hFFF, +684 2'b01, +685 instr_i[9:7], +686 3'b100, +687 2'b01, +688 instr_i[9:7], +689 riscv::OpcodeOpImm +690 }; +691 end +692 +693 default: begin +694 1/1 instr_o = instr_i; +695 1/1 illegal_instr_o = 1; +696 end +697 endcase +698 end + ==> MISSING_ELSE +699 end +700 endcase +701 end +702 endcase +703 end +704 +705 riscv::OpcodeC1J: begin +706 // 101: c.j -> jal x0, imm +707 1/1 instr_o = { +708 instr_i[12], +709 instr_i[8], +710 instr_i[10:9], +711 instr_i[6], +712 instr_i[7], +713 instr_i[2], +714 instr_i[11], +715 instr_i[5:3], +716 {9{instr_i[12]}}, +717 4'b0, +718 ~instr_i[15], +719 riscv::OpcodeJal +720 }; +721 end +722 +723 riscv::OpcodeC1Beqz, riscv::OpcodeC1Bnez: begin +724 // 0: c.beqz -> beq rs1', x0, imm +725 // 1: c.bnez -> bne rs1', x0, imm +726 1/1 instr_o = { +727 {4{instr_i[12]}}, +728 instr_i[6:5], +729 instr_i[2], +730 5'b0, +731 2'b01, +732 instr_i[9:7], +733 2'b00, +734 instr_i[13], +735 instr_i[11:10], +736 instr_i[4:3], +737 instr_i[12], +738 riscv::OpcodeBranch +739 }; +740 end +741 endcase +742 end +743 +744 // C2 +745 riscv::OpcodeC2: begin +746 1/1 unique case (instr_i[15:13]) +747 riscv::OpcodeC2Slli: begin +748 // c.slli -> slli rd, rd, shamt +749 1/1 instr_o = { +750 6'b0, +751 instr_i[12], +752 instr_i[6:2], +753 instr_i[11:7], +754 3'b001, +755 instr_i[11:7], +756 riscv::OpcodeOpImm +757 }; +758 end +759 +760 riscv::OpcodeC2Fldsp: begin +761 1/1 if (CVA6Cfg.FpPresent) begin +762 // c.fldsp -> fld rd, imm(x2) +763 unreachable instr_o = { +764 3'b0, +765 instr_i[4:2], +766 instr_i[12], +767 instr_i[6:5], +768 3'b000, +769 5'h02, +770 3'b011, +771 instr_i[11:7], +772 riscv::OpcodeLoadFp +773 }; +774 end else begin +775 1/1 illegal_instr_o = 1'b1; +776 end +777 end +778 +779 riscv::OpcodeC2Lwsp: begin +780 // c.lwsp -> lw rd, imm(x2) +781 1/1 instr_o = { +782 4'b0, +783 instr_i[3:2], +784 instr_i[12], +785 instr_i[6:4], +786 2'b00, +787 5'h02, +788 3'b010, +789 instr_i[11:7], +790 riscv::OpcodeLoad +791 }; +792 2/2 if (instr_i[11:7] == 5'b0) illegal_instr_o = 1'b1; + MISSING_ELSE +793 end +794 +795 riscv::OpcodeC2Ldsp: begin +796 // RV64 +797 // c.ldsp -> ld rd, imm(x2) +798 // RV32 +799 // c.flwsp -> flw fprd, imm(x2) +800 1/1 if (CVA6Cfg.IS_XLEN64) begin +801 unreachable instr_o = { +802 3'b0, +803 instr_i[4:2], +804 instr_i[12], +805 instr_i[6:5], +806 3'b000, +807 5'h02, +808 3'b011, +809 instr_i[11:7], +810 riscv::OpcodeLoad +811 }; +812 unreachable if (instr_i[11:7] == 5'b0) illegal_instr_o = 1'b1; + ==> MISSING_ELSE +813 end else begin +814 1/1 if (CVA6Cfg.FpPresent) begin +815 unreachable instr_o = { +816 4'b0, +817 instr_i[3:2], +818 instr_i[12], +819 instr_i[6:4], +820 2'b00, +821 5'h02, +822 3'b010, +823 instr_i[11:7], +824 riscv::OpcodeLoadFp +825 }; +826 end else begin +827 1/1 illegal_instr_o = 1'b1; +828 end +829 end +830 end +831 +832 riscv::OpcodeC2JalrMvAdd: begin +833 1/1 if (instr_i[12] == 1'b0) begin +834 // c.mv -> add rd/rs1, x0, rs2 +835 1/1 instr_o = {7'b0, instr_i[6:2], 5'b0, 3'b0, instr_i[11:7], riscv::OpcodeOp}; +836 +837 1/1 if (instr_i[6:2] == 5'b0) begin +838 // c.jr -> jalr x0, rd/rs1, 0 +839 1/1 instr_o = {12'b0, instr_i[11:7], 3'b0, 5'b0, riscv::OpcodeJalr}; +840 // rs1 != 0 +841 1/1 illegal_instr_o = (instr_i[11:7] != '0) ? 1'b0 : 1'b1; +842 end + MISSING_ELSE +843 end else begin +844 // c.add -> add rd, rd, rs2 +845 1/1 instr_o = {7'b0, instr_i[6:2], instr_i[11:7], 3'b0, instr_i[11:7], riscv::OpcodeOp}; +846 +847 1/1 if (instr_i[6:2] == 5'b0) begin +848 1/1 if (instr_i[11:7] == 5'b0) begin +849 // c.ebreak -> ebreak +850 1/1 instr_o = {32'h00_10_00_73}; +851 end else begin +852 // c.jalr -> jalr x1, rs1, 0 +853 1/1 instr_o = {12'b0, instr_i[11:7], 3'b000, 5'b00001, riscv::OpcodeJalr}; +854 end +855 end + MISSING_ELSE +856 end +857 end +858 +859 riscv::OpcodeC2Fsdsp: begin +860 1/1 if (CVA6Cfg.FpPresent) begin +861 // c.fsdsp -> fsd rs2, imm(x2) +862 unreachable instr_o = { +863 3'b0, +864 instr_i[9:7], +865 instr_i[12], +866 instr_i[6:2], +867 5'h02, +868 3'b011, +869 instr_i[11:10], +870 3'b000, +871 riscv::OpcodeStoreFp +872 }; +873 1/1 end else if (CVA6Cfg.RVZCMP && (instr_i[12:10] == 3'b110 || instr_i[12:10] == 3'b111 || instr_i[12:10] == 3'b011)) begin +874 unreachable is_macro_instr_o = 1; +875 unreachable instr_o = instr_i; +876 1/1 end else if (CVA6Cfg.RVZCMT && (instr_i[12:10] == 3'b000)) //jt/jalt instruction +877 unreachable is_zcmt_instr_o = 1'b1; +878 1/1 else illegal_instr_o = 1'b1; +879 end +880 riscv::OpcodeC2Swsp: begin +881 // c.swsp -> sw rs2, imm(x2) +882 1/1 instr_o = { +883 4'b0, +884 instr_i[8:7], +885 instr_i[12], +886 instr_i[6:2], +887 5'h02, +888 3'b010, +889 instr_i[11:9], +890 2'b00, +891 riscv::OpcodeStore +892 }; +893 end +894 +895 riscv::OpcodeC2Sdsp: begin +896 // RV64 +897 // c.sdsp -> sd rs2, imm(x2) +898 // RV32 +899 // c.fswsp -> fsw fprs2, imm(x2) +900 1/1 if (CVA6Cfg.IS_XLEN64) begin +901 unreachable instr_o = { +902 3'b0, +903 instr_i[9:7], +904 instr_i[12], +905 instr_i[6:2], +906 5'h02, +907 3'b011, +908 instr_i[11:10], +909 3'b000, +910 riscv::OpcodeStore +911 }; +912 end else begin +913 1/1 if (CVA6Cfg.FpPresent) begin +914 unreachable instr_o = { +915 4'b0, +916 instr_i[8:7], +917 instr_i[12], +918 instr_i[6:2], +919 5'h02, +920 3'b010, +921 instr_i[11:9], +922 2'b00, +923 riscv::OpcodeStoreFp +924 }; +925 end else begin +926 1/1 illegal_instr_o = 1'b1; +927 end +928 end +929 end +930 +931 default: begin +932 0/1 ==> illegal_instr_o = 1'b1; +933 end +934 endcase +935 end +936 +937 // normal instruction +938 1/1 default: is_compressed_o = 1'b0; +939 endcase +940 +941 // Check if the instruction was illegal, if it was then output the offending instruction (zero-extended) +942 1/1 if (illegal_instr_o) begin +943 1/1 instr_o = instr_i; +944 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : compressed_decoder + + Total Covered Percent +Conditions 20 20 100.00 +Logical 20 20 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 70 + EXPRESSION (instr_i[12:5] == 8'b0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 350 + EXPRESSION (instr_i[11:7] != 5'b0) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 401 + EXPRESSION (instr_i[11:7] == 5'h02) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 417 + EXPRESSION ({instr_i[12], instr_i[6:2]} == 6'b0) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 792 + EXPRESSION (instr_i[11:7] == 5'b0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 812 + EXPRESSION (instr_i[11:7] == 5'b0) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 833 + EXPRESSION (instr_i[12] == 1'b0) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 837 + EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 841 + EXPRESSION ((instr_i[11:7] != '0) ? 1'b0 : 1'b1) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 841 + SUB-EXPRESSION (instr_i[11:7] != '0) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 847 + EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 848 + EXPRESSION (instr_i[11:7] == 5'b0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk1[0].compressed_decoder_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.06 98.11 100.00 -- compressed_decoder + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : decoder +=============================================================================== +SCORE LINE COND ASSERT + 99.12 99.73 98.51 -- + +Source File(s) : + +cva6/core/decoder.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.12 99.73 98.51 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk2[0].decoder_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : decoder + + Line No. Total Covered Percent +TOTAL 376 375 99.73 +ALWAYS 163 318 317 99.69 +ALWAYS 1497 24 24 100.00 +ALWAYS 1581 34 34 100.00 + +162 +163 1/1 imm_select = NOIMM; +164 1/1 is_control_flow_instr_o = 1'b0; +165 1/1 illegal_instr = 1'b0; +166 1/1 illegal_instr_non_bm = 1'b0; +167 1/1 illegal_instr_bm = 1'b0; +168 1/1 illegal_instr_zic = 1'b0; +169 1/1 virtual_illegal_instr = 1'b0; +170 1/1 instruction_o.pc = pc_i; +171 1/1 instruction_o.trans_id = '0; +172 1/1 instruction_o.fu = NONE; +173 1/1 instruction_o.op = ariane_pkg::ADD; +174 1/1 instruction_o.rs1 = '0; +175 1/1 instruction_o.rs2 = '0; +176 1/1 instruction_o.rd = '0; +177 1/1 instruction_o.use_pc = 1'b0; +178 1/1 instruction_o.is_compressed = is_compressed_i; +179 1/1 instruction_o.is_macro_instr = is_macro_instr_i; +180 1/1 instruction_o.is_last_macro_instr = is_last_macro_instr_i; +181 1/1 instruction_o.is_double_rd_macro_instr = is_double_rd_macro_instr_i; +182 1/1 instruction_o.use_zimm = 1'b0; +183 1/1 instruction_o.bp = branch_predict_i; +184 1/1 instruction_o.vfp = 1'b0; +185 1/1 instruction_o.is_zcmt = is_zcmt_i; +186 1/1 ecall = 1'b0; +187 1/1 ebreak = 1'b0; +188 1/1 check_fprm = 1'b0; +189 +190 1/1 if (~ex_i.valid) begin +191 1/1 case (instr.rtype.opcode) +192 riscv::OpcodeSystem: begin +193 1/1 instruction_o.fu = CSR; +194 1/1 instruction_o.rs1 = instr.itype.rs1; +195 1/1 instruction_o.rs2 = instr.rtype.rs2; //IMPROVEMENT: needs to be checked if better way is available +196 1/1 instruction_o.rd = instr.itype.rd; +197 +198 1/1 unique case (instr.itype.funct3) +199 3'b000: begin +200 // check if the RD and and RS1 fields are zero, this may be reset for the SENCE.VMA instruction +201 1/1 if (instr.itype.rs1 != '0 || instr.itype.rd != '0) begin +202 1/1 if (CVA6Cfg.RVH && v_i) begin +203 unreachable virtual_illegal_instr = 1'b1; +204 end else begin +205 1/1 illegal_instr = 1'b1; +206 end +207 end + MISSING_ELSE +208 // decode the immiediate field +209 1/1 case (instr.itype.imm) +210 // ECALL -> inject exception +211 1/1 12'b0: ecall = 1'b1; +212 // EBREAK -> inject exception +213 1/1 12'b1: ebreak = 1'b1; +214 // SRET +215 12'b1_0000_0010: begin +216 1/1 if (CVA6Cfg.RVS) begin +217 unreachable instruction_o.op = ariane_pkg::SRET; +218 // check privilege level, SRET can only be executed in S and M mode +219 // we'll just decode an illegal instruction if we are in the wrong privilege level +220 unreachable if (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U) begin +221 unreachable if (CVA6Cfg.RVH && v_i) begin +222 unreachable virtual_illegal_instr = 1'b1; +223 end else begin +224 unreachable illegal_instr = 1'b1; +225 end +226 // do not change privilege level if this is an illegal instruction +227 unreachable instruction_o.op = ariane_pkg::ADD; +228 end + ==> MISSING_ELSE +229 // if we are in S-Mode and Trap SRET (tsr) is set -> trap on illegal instruction +230 unreachable if (priv_lvl_i == riscv::PRIV_LVL_S && tsr_i) begin +231 unreachable if (CVA6Cfg.RVH && v_i) begin +232 unreachable virtual_illegal_instr = 1'b1; +233 end else begin +234 unreachable illegal_instr = 1'b1; +235 end +236 // do not change privilege level if this is an illegal instruction +237 unreachable instruction_o.op = ariane_pkg::ADD; +238 end + ==> MISSING_ELSE +239 end else begin +240 1/1 illegal_instr = 1'b1; +241 1/1 instruction_o.op = ariane_pkg::ADD; +242 end +243 end +244 // MRET +245 12'b11_0000_0010: begin +246 1/1 instruction_o.op = ariane_pkg::MRET; +247 // check privilege level, MRET can only be executed in M mode +248 // otherwise we decode an illegal instruction +249 1/1 if ((CVA6Cfg.RVS && priv_lvl_i == riscv::PRIV_LVL_S) || (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U)) +250 unreachable illegal_instr = 1'b1; + MISSING_ELSE +251 end +252 // DRET +253 12'b111_1011_0010: begin +254 1/1 instruction_o.op = ariane_pkg::DRET; +255 1/1 if (CVA6Cfg.DebugEn) begin +256 // check that we are in debug mode when executing this instruction +257 unreachable illegal_instr = (!debug_mode_i) ? 1'b1 : illegal_instr; +258 end else begin +259 1/1 illegal_instr = 1'b1; +260 end +261 end +262 // WFI +263 12'b1_0000_0101: begin +264 1/1 instruction_o.op = ariane_pkg::WFI; +265 // if timeout wait is set, trap on an illegal instruction in S Mode +266 // (after 0 cycles timeout) +267 1/1 if (CVA6Cfg.RVS && priv_lvl_i == riscv::PRIV_LVL_S && tw_i) begin +268 unreachable illegal_instr = 1'b1; +269 unreachable instruction_o.op = ariane_pkg::ADD; +270 end + MISSING_ELSE +271 1/1 if (CVA6Cfg.RVH && priv_lvl_i == riscv::PRIV_LVL_S && v_i && vtw_i && !tw_i) begin +272 unreachable virtual_illegal_instr = 1'b1; +273 unreachable instruction_o.op = ariane_pkg::ADD; +274 end + MISSING_ELSE +275 // we don't support U mode interrupts so WFI is illegal in this context +276 1/1 if (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U) begin +277 unreachable if (CVA6Cfg.RVH && v_i) virtual_illegal_instr = 1'b1; +278 unreachable else illegal_instr = 1'b1; +279 unreachable instruction_o.op = ariane_pkg::ADD; +280 end + MISSING_ELSE +281 end +282 // SFENCE.VMA +283 default: begin +284 1/1 if (instr.instr[31:25] == 7'b1001) begin +285 // check privilege level, SFENCE.VMA can only be executed in M/S mode +286 // only if S mode is supported +287 // otherwise decode an illegal instruction +288 1/1 if (CVA6Cfg.RVH && v_i) begin +289 unreachable virtual_illegal_instr = (priv_lvl_i == riscv::PRIV_LVL_S) ? 1'b0 : 1'b1; +290 end else begin +291 1/1 illegal_instr = (CVA6Cfg.RVS && (priv_lvl_i inside {riscv::PRIV_LVL_M, riscv::PRIV_LVL_S}) && instr.itype.rd == '0) ? 1'b0 : 1'b1; +292 end +293 1/1 instruction_o.op = ariane_pkg::SFENCE_VMA; +294 // check TVM flag and intercept SFENCE.VMA call if necessary +295 1/1 if (CVA6Cfg.RVS && priv_lvl_i == riscv::PRIV_LVL_S && tvm_i) begin +296 unreachable if (CVA6Cfg.RVH && v_i) virtual_illegal_instr = 1'b1; +297 unreachable else illegal_instr = 1'b1; +298 end + MISSING_ELSE +299 1/1 end else if (CVA6Cfg.RVH) begin +300 unreachable if (instr.instr[31:25] == 7'b10001) begin +301 // check privilege level, HFENCE.VVMA can only be executed in M/S mode +302 // otherwise decode an illegal instruction or virtual illegal instruction +303 unreachable if (v_i) begin +304 unreachable virtual_illegal_instr = 1'b1; +305 end else begin +306 unreachable illegal_instr = (priv_lvl_i inside {riscv::PRIV_LVL_M, riscv::PRIV_LVL_S}) ? 1'b0 : 1'b1; +307 end +308 unreachable instruction_o.op = ariane_pkg::HFENCE_VVMA; +309 unreachable end else if (instr.instr[31:25] == 7'b110001) begin +310 // check privilege level, HFENCE.GVMA can only be executed in M/S mode +311 // otherwise decode an illegal instruction or virtual illegal instruction +312 unreachable if (v_i) begin +313 unreachable virtual_illegal_instr = 1'b1; +314 end else begin +315 unreachable illegal_instr = (priv_lvl_i inside {riscv::PRIV_LVL_M, riscv::PRIV_LVL_S}) ? 1'b0 : 1'b1; +316 end +317 unreachable instruction_o.op = ariane_pkg::HFENCE_GVMA; +318 // check TVM flag and intercept HFENCE.GVMA call if necessary +319 unreachable if (priv_lvl_i == riscv::PRIV_LVL_S && !v_i && tvm_i) illegal_instr = 1'b1; + ==> MISSING_ELSE +320 end else begin +321 unreachable illegal_instr = 1'b1; +322 end +323 end else begin +324 1/1 illegal_instr = 1'b1; +325 end +326 end +327 endcase +328 end +329 3'b100: begin +330 // Hypervisor load/store instructions +331 1/1 if (CVA6Cfg.RVH) begin +332 unreachable if (instr.instr[25] != 1'b0) begin +333 unreachable instruction_o.fu = STORE; +334 unreachable imm_select = NOIMM; +335 unreachable instruction_o.rs1 = instr.stype.rs1; +336 unreachable instruction_o.rs2 = instr.stype.rs2; +337 end else begin +338 unreachable instruction_o.fu = LOAD; +339 unreachable imm_select = NOIMM; +340 unreachable instruction_o.rs1 = instr.itype.rs1; +341 unreachable instruction_o.rd = instr.itype.rd; +342 end +343 +344 // Hypervisor load/store instructions when V=1 cause virtual instruction +345 unreachable if (v_i) virtual_illegal_instr = 1'b1; +346 // Hypervisor load/store instructions in U-mode when hstatus.HU=0 cause an illegal instruction trap. +347 unreachable else if (!hu_i && priv_lvl_i == riscv::PRIV_LVL_U) illegal_instr = 1'b1; + ==> MISSING_ELSE +348 unreachable unique case (instr.rtype.funct7) +349 7'b011_0000: begin +350 unreachable if (instr.rtype.rs2 == 5'b0) begin +351 unreachable instruction_o.op = ariane_pkg::HLV_B; +352 end + ==> MISSING_ELSE +353 unreachable if (instr.rtype.rs2 == 5'b1) begin +354 unreachable instruction_o.op = ariane_pkg::HLV_BU; +355 end + ==> MISSING_ELSE +356 end +357 7'b011_0010: begin +358 unreachable if (instr.rtype.rs2 == 5'b0) begin +359 unreachable instruction_o.op = ariane_pkg::HLV_H; +360 end + ==> MISSING_ELSE +361 unreachable if (instr.rtype.rs2 == 5'b1) begin +362 unreachable instruction_o.op = ariane_pkg::HLV_HU; +363 end + ==> MISSING_ELSE +364 unreachable if (instr.rtype.rs2 == 5'b11) begin +365 unreachable instruction_o.op = ariane_pkg::HLVX_HU; +366 end + ==> MISSING_ELSE +367 end +368 7'b011_0100: begin +369 unreachable if (instr.rtype.rs2 == 5'b0) begin +370 unreachable instruction_o.op = ariane_pkg::HLV_W; +371 end + ==> MISSING_ELSE +372 unreachable if (instr.rtype.rs2 == 5'b1) begin +373 unreachable instruction_o.op = ariane_pkg::HLV_WU; +374 end + ==> MISSING_ELSE +375 unreachable if (instr.rtype.rs2 == 5'b11) begin +376 unreachable instruction_o.op = ariane_pkg::HLVX_WU; +377 end + ==> MISSING_ELSE +378 end +379 unreachable 7'b011_0001: instruction_o.op = ariane_pkg::HSV_B; +380 unreachable 7'b011_0011: instruction_o.op = ariane_pkg::HSV_H; +381 unreachable 7'b011_0101: instruction_o.op = ariane_pkg::HSV_W; +382 unreachable 7'b011_0110: instruction_o.op = ariane_pkg::HLV_D; +383 unreachable 7'b011_0111: instruction_o.op = ariane_pkg::HSV_D; +384 unreachable default: illegal_instr = 1'b1; +385 +386 endcase +387 unreachable tinst = { +388 instr.rtype.funct7, +389 instr.rtype.rs2, +390 5'b0, +391 instr.rtype.funct3, +392 instr.rtype.rd, +393 instr.rtype.opcode +394 }; +395 end else begin +396 1/1 illegal_instr = 1'b1; +397 end +398 end +399 // atomically swaps values in the CSR and integer register +400 3'b001: begin // CSRRW +401 1/1 imm_select = IIMM; +402 1/1 instruction_o.op = ariane_pkg::CSR_WRITE; +403 end +404 // atomically set values in the CSR and write back to rd +405 3'b010: begin // CSRRS +406 1/1 imm_select = IIMM; +407 // this is just a read +408 2/2 if (instr.itype.rs1 == '0) instruction_o.op = ariane_pkg::CSR_READ; +409 1/1 else instruction_o.op = ariane_pkg::CSR_SET; +410 end +411 // atomically clear values in the CSR and write back to rd +412 3'b011: begin // CSRRC +413 1/1 imm_select = IIMM; +414 // this is just a read +415 2/2 if (instr.itype.rs1 == '0) instruction_o.op = ariane_pkg::CSR_READ; +416 1/1 else instruction_o.op = ariane_pkg::CSR_CLEAR; +417 end +418 // use zimm and iimm +419 3'b101: begin // CSRRWI +420 1/1 instruction_o.rs1 = instr.itype.rs1; +421 1/1 imm_select = IIMM; +422 1/1 instruction_o.use_zimm = 1'b1; +423 1/1 instruction_o.op = ariane_pkg::CSR_WRITE; +424 end +425 3'b110: begin // CSRRSI +426 1/1 instruction_o.rs1 = instr.itype.rs1; +427 1/1 imm_select = IIMM; +428 1/1 instruction_o.use_zimm = 1'b1; +429 // this is just a read +430 2/2 if (instr.itype.rs1 == 5'b0) instruction_o.op = ariane_pkg::CSR_READ; +431 1/1 else instruction_o.op = ariane_pkg::CSR_SET; +432 end +433 3'b111: begin // CSRRCI +434 1/1 instruction_o.rs1 = instr.itype.rs1; +435 1/1 imm_select = IIMM; +436 1/1 instruction_o.use_zimm = 1'b1; +437 // this is just a read +438 2/2 if (instr.itype.rs1 == '0) instruction_o.op = ariane_pkg::CSR_READ; +439 1/1 else instruction_o.op = ariane_pkg::CSR_CLEAR; +440 end +441 0/1 ==> default: illegal_instr = 1'b1; +442 endcase +443 end +444 // Memory ordering instructions +445 riscv::OpcodeMiscMem: begin +446 1/1 instruction_o.fu = CSR; +447 1/1 instruction_o.rs1 = '0; +448 1/1 instruction_o.rs2 = '0; +449 1/1 instruction_o.rd = '0; +450 +451 1/1 case (instr.stype.funct3) +452 // FENCE +453 // Currently implemented as a whole DCache flush boldly ignoring other things +454 1/1 3'b000: instruction_o.op = ariane_pkg::FENCE; +455 // FENCE.I +456 3'b001: +457 1/1 if (CVA6Cfg.RVZifencei) begin +458 unreachable instruction_o.op = ariane_pkg::FENCE_I; +459 end else begin +460 1/1 illegal_instr = 1'b1; +461 end +462 1/1 default: illegal_instr = 1'b1; +463 endcase +464 end +465 +466 // -------------------------- +467 // Reg-Reg Operations +468 // -------------------------- +469 riscv::OpcodeOp: begin +470 // -------------------------------------------- +471 // Vectorial Floating-Point Reg-Reg Operations +472 // -------------------------------------------- +473 1/1 if (instr.rvftype.funct2 == 2'b10) begin // Prefix 10 for all Xfvec ops +474 // only generate decoder if FP extensions are enabled (static) +475 1/1 if (CVA6Cfg.FpPresent && CVA6Cfg.XFVec && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin +476 automatic logic allow_replication; // control honoring of replication flag +477 +478 unreachable instruction_o.fu = FPU_VEC; // Same unit, but sets 'vectorial' signal +479 unreachable instruction_o.rs1 = instr.rvftype.rs1; +480 unreachable instruction_o.rs2 = instr.rvftype.rs2; +481 unreachable instruction_o.rd = instr.rvftype.rd; +482 unreachable check_fprm = 1'b1; +483 unreachable allow_replication = 1'b1; +484 // decode vectorial FP instruction +485 unreachable unique case (instr.rvftype.vecfltop) +486 5'b00001: begin +487 unreachable instruction_o.op = ariane_pkg::FADD; // vfadd.vfmt - Vectorial FP Addition +488 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +489 unreachable instruction_o.rs2 = instr.rvftype.rs1; // Operand B is set to rs1 +490 unreachable imm_select = IIMM; // Operand C is set to rs2 +491 end +492 5'b00010: begin +493 unreachable instruction_o.op = ariane_pkg::FSUB; // vfsub.vfmt - Vectorial FP Subtraction +494 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +495 unreachable instruction_o.rs2 = instr.rvftype.rs1; // Operand B is set to rs1 +496 unreachable imm_select = IIMM; // Operand C is set to rs2 +497 end +498 5'b00011: +499 unreachable instruction_o.op = ariane_pkg::FMUL; // vfmul.vfmt - Vectorial FP Multiplication +500 5'b00100: +501 unreachable instruction_o.op = ariane_pkg::FDIV; // vfdiv.vfmt - Vectorial FP Division +502 5'b00101: begin +503 unreachable instruction_o.op = ariane_pkg::VFMIN; // vfmin.vfmt - Vectorial FP Minimum +504 unreachable check_fprm = 1'b0; // rounding mode irrelevant +505 end +506 5'b00110: begin +507 unreachable instruction_o.op = ariane_pkg::VFMAX; // vfmax.vfmt - Vectorial FP Maximum +508 unreachable check_fprm = 1'b0; // rounding mode irrelevant +509 end +510 5'b00111: begin +511 unreachable instruction_o.op = ariane_pkg::FSQRT; // vfsqrt.vfmt - Vectorial FP Square Root +512 unreachable allow_replication = 1'b0; // only one operand +513 unreachable if (instr.rvftype.rs2 != 5'b00000) illegal_instr = 1'b1; // rs2 must be 0 + ==> MISSING_ELSE +514 end +515 5'b01000: begin +516 unreachable instruction_o.op = ariane_pkg::FMADD; // vfmac.vfmt - Vectorial FP Multiply-Accumulate +517 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +518 end +519 5'b01001: begin +520 unreachable instruction_o.op = ariane_pkg::FMSUB; // vfmre.vfmt - Vectorial FP Multiply-Reduce +521 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +522 end +523 5'b01100: begin +524 unreachable unique case (instr.rvftype.rs2) inside // operation encoded in rs2, `inside` for matching ? +525 5'b00000: begin +526 unreachable instruction_o.rs2 = instr.rvftype.rs1; // set rs2 = rs1 so we can map FMV to SGNJ in the unit +527 unreachable if (instr.rvftype.repl) +528 unreachable instruction_o.op = ariane_pkg::FMV_X2F; // vfmv.vfmt.x - GPR to FPR Move +529 unreachable else instruction_o.op = ariane_pkg::FMV_F2X; // vfmv.x.vfmt - FPR to GPR Move +530 unreachable check_fprm = 1'b0; // no rounding for moves +531 end +532 5'b00001: begin +533 unreachable instruction_o.op = ariane_pkg::FCLASS; // vfclass.vfmt - Vectorial FP Classify +534 unreachable check_fprm = 1'b0; // no rounding for classification +535 unreachable allow_replication = 1'b0; // R must not be set +536 end +537 5'b00010: +538 unreachable instruction_o.op = ariane_pkg::FCVT_F2I; // vfcvt.x.vfmt - Vectorial FP to Int Conversion +539 5'b00011: +540 unreachable instruction_o.op = ariane_pkg::FCVT_I2F; // vfcvt.vfmt.x - Vectorial Int to FP Conversion +541 5'b001??: begin +542 unreachable instruction_o.op = ariane_pkg::FCVT_F2F; // vfcvt.vfmt.vfmt - Vectorial FP to FP Conversion +543 unreachable instruction_o.rs2 = instr.rvftype.rd; // set rs2 = rd as target vector for conversion +544 unreachable imm_select = IIMM; // rs2 holds part of the intruction +545 // TODO CHECK R bit for valid fmt combinations +546 // determine source format +547 unreachable unique case (instr.rvftype.rs2[21:20]) +548 // Only process instruction if corresponding extension is active (static) +549 unreachable 2'b00: if (~CVA6Cfg.RVFVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +550 unreachable 2'b01: if (~CVA6Cfg.XF16ALTVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +551 unreachable 2'b10: if (~CVA6Cfg.XF16Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +552 unreachable 2'b11: if (~CVA6Cfg.XF8Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +553 unreachable default: illegal_instr = 1'b1; +554 endcase +555 end +556 unreachable default: illegal_instr = 1'b1; +557 endcase +558 end +559 5'b01101: begin +560 unreachable check_fprm = 1'b0; // no rounding for sign-injection +561 unreachable instruction_o.op = ariane_pkg::VFSGNJ; // vfsgnj.vfmt - Vectorial FP Sign Injection +562 end +563 5'b01110: begin +564 unreachable check_fprm = 1'b0; // no rounding for sign-injection +565 unreachable instruction_o.op = ariane_pkg::VFSGNJN; // vfsgnjn.vfmt - Vectorial FP Negated Sign Injection +566 end +567 5'b01111: begin +568 unreachable check_fprm = 1'b0; // no rounding for sign-injection +569 unreachable instruction_o.op = ariane_pkg::VFSGNJX; // vfsgnjx.vfmt - Vectorial FP XORed Sign Injection +570 end +571 5'b10000: begin +572 unreachable check_fprm = 1'b0; // no rounding for comparisons +573 unreachable instruction_o.op = ariane_pkg::VFEQ; // vfeq.vfmt - Vectorial FP Equality +574 end +575 5'b10001: begin +576 unreachable check_fprm = 1'b0; // no rounding for comparisons +577 unreachable instruction_o.op = ariane_pkg::VFNE; // vfne.vfmt - Vectorial FP Non-Equality +578 end +579 5'b10010: begin +580 unreachable check_fprm = 1'b0; // no rounding for comparisons +581 unreachable instruction_o.op = ariane_pkg::VFLT; // vfle.vfmt - Vectorial FP Less Than +582 end +583 5'b10011: begin +584 unreachable check_fprm = 1'b0; // no rounding for comparisons +585 unreachable instruction_o.op = ariane_pkg::VFGE; // vfge.vfmt - Vectorial FP Greater or Equal +586 end +587 5'b10100: begin +588 unreachable check_fprm = 1'b0; // no rounding for comparisons +589 unreachable instruction_o.op = ariane_pkg::VFLE; // vfle.vfmt - Vectorial FP Less or Equal +590 end +591 5'b10101: begin +592 unreachable check_fprm = 1'b0; // no rounding for comparisons +593 unreachable instruction_o.op = ariane_pkg::VFGT; // vfgt.vfmt - Vectorial FP Greater Than +594 end +595 5'b11000: begin +596 unreachable instruction_o.op = ariane_pkg::VFCPKAB_S; // vfcpka/b.vfmt.s - Vectorial FP Cast-and-Pack from 2x FP32, lowest 4 entries +597 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +598 unreachable if (~CVA6Cfg.RVF) +599 unreachable illegal_instr = 1'b1; // if we don't support RVF, we can't cast from FP32 + ==> MISSING_ELSE +600 // check destination format +601 unreachable unique case (instr.rvftype.vfmt) +602 // Only process instruction if corresponding extension is active and FLEN suffices (static) +603 2'b00: begin +604 unreachable if (~CVA6Cfg.RVFVec) +605 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +606 unreachable if (instr.rvftype.repl) +607 unreachable illegal_instr = 1'b1; // no entries 2/3 in vector of 2 fp32 + ==> MISSING_ELSE +608 end +609 2'b01: begin +610 unreachable if (~CVA6Cfg.XF16ALTVec) +611 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +612 end +613 2'b10: begin +614 unreachable if (~CVA6Cfg.XF16Vec) +615 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +616 end +617 2'b11: begin +618 unreachable if (~CVA6Cfg.XF8Vec) +619 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +620 end +621 unreachable default: illegal_instr = 1'b1; +622 endcase +623 end +624 5'b11001: begin +625 unreachable instruction_o.op = ariane_pkg::VFCPKCD_S; // vfcpkc/d.vfmt.s - Vectorial FP Cast-and-Pack from 2x FP32, second 4 entries +626 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +627 unreachable if (~CVA6Cfg.RVF) +628 unreachable illegal_instr = 1'b1; // if we don't support RVF, we can't cast from FP32 + ==> MISSING_ELSE +629 // check destination format +630 unreachable unique case (instr.rvftype.vfmt) +631 // Only process instruction if corresponding extension is active and FLEN suffices (static) +632 unreachable 2'b00: illegal_instr = 1'b1; // no entries 4-7 in vector of 2 FP32 +633 unreachable 2'b01: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16ALT +634 unreachable 2'b10: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16 +635 2'b11: begin +636 unreachable if (~CVA6Cfg.XF8Vec) +637 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +638 end +639 unreachable default: illegal_instr = 1'b1; +640 endcase +641 end +642 5'b11010: begin +643 unreachable instruction_o.op = ariane_pkg::VFCPKAB_D; // vfcpka/b.vfmt.d - Vectorial FP Cast-and-Pack from 2x FP64, lowest 4 entries +644 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +645 unreachable if (~CVA6Cfg.RVD) +646 unreachable illegal_instr = 1'b1; // if we don't support RVD, we can't cast from FP64 + ==> MISSING_ELSE +647 // check destination format +648 unreachable unique case (instr.rvftype.vfmt) +649 // Only process instruction if corresponding extension is active and FLEN suffices (static) +650 2'b00: begin +651 unreachable if (~CVA6Cfg.RVFVec) +652 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +653 unreachable if (instr.rvftype.repl) +654 unreachable illegal_instr = 1'b1; // no entries 2/3 in vector of 2 fp32 + ==> MISSING_ELSE +655 end +656 2'b01: begin +657 unreachable if (~CVA6Cfg.XF16ALTVec) +658 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +659 end +660 2'b10: begin +661 unreachable if (~CVA6Cfg.XF16Vec) +662 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +663 end +664 2'b11: begin +665 unreachable if (~CVA6Cfg.XF8Vec) +666 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +667 end +668 unreachable default: illegal_instr = 1'b1; +669 endcase +670 end +671 5'b11011: begin +672 unreachable instruction_o.op = ariane_pkg::VFCPKCD_D; // vfcpka/b.vfmt.d - Vectorial FP Cast-and-Pack from 2x FP64, second 4 entries +673 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +674 unreachable if (~CVA6Cfg.RVD) +675 unreachable illegal_instr = 1'b1; // if we don't support RVD, we can't cast from FP64 + ==> MISSING_ELSE +676 // check destination format +677 unreachable unique case (instr.rvftype.vfmt) +678 // Only process instruction if corresponding extension is active and FLEN suffices (static) +679 unreachable 2'b00: illegal_instr = 1'b1; // no entries 4-7 in vector of 2 FP32 +680 unreachable 2'b01: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16ALT +681 unreachable 2'b10: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16 +682 2'b11: begin +683 unreachable if (~CVA6Cfg.XF8Vec) +684 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +685 end +686 unreachable default: illegal_instr = 1'b1; +687 endcase +688 end +689 unreachable default: illegal_instr = 1'b1; +690 endcase +691 +692 // check format +693 unreachable unique case (instr.rvftype.vfmt) +694 // Only process instruction if corresponding extension is active (static) +695 unreachable 2'b00: if (~CVA6Cfg.RVFVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +696 unreachable 2'b01: if (~CVA6Cfg.XF16ALTVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +697 unreachable 2'b10: if (~CVA6Cfg.XF16Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +698 unreachable 2'b11: if (~CVA6Cfg.XF8Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +699 unreachable default: illegal_instr = 1'b1; +700 endcase +701 +702 // check disallowed replication +703 unreachable if (~allow_replication & instr.rvftype.repl) illegal_instr = 1'b1; + ==> MISSING_ELSE +704 +705 // check rounding mode +706 unreachable if (check_fprm) begin +707 unreachable unique case (frm_i) inside // actual rounding mode from frm csr +708 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +709 unreachable default: illegal_instr = 1'b1; +710 endcase +711 end + ==> MISSING_ELSE +712 +713 end else begin // No vectorial FP enabled (static) +714 1/1 illegal_instr = 1'b1; +715 end +716 +717 // --------------------------- +718 // Integer Reg-Reg Operations +719 // --------------------------- +720 end else begin +721 1/1 if (CVA6Cfg.RVB) begin +722 1/1 instruction_o.fu = (instr.rtype.funct7 == 7'b000_0001 || ((instr.rtype.funct7 == 7'b000_0101) && !(instr.rtype.funct3[14]))) ? MULT : ALU; +723 end else begin +724 unreachable instruction_o.fu = (instr.rtype.funct7 == 7'b000_0001) ? MULT : ALU; +725 end +726 1/1 instruction_o.rs1 = instr.rtype.rs1; +727 1/1 instruction_o.rs2 = instr.rtype.rs2; +728 1/1 instruction_o.rd = instr.rtype.rd; +729 +730 1/1 unique case ({ +731 instr.rtype.funct7, instr.rtype.funct3 +732 }) +733 1/1 {7'b000_0000, 3'b000} : instruction_o.op = ariane_pkg::ADD; // Add +734 1/1 {7'b010_0000, 3'b000} : instruction_o.op = ariane_pkg::SUB; // Sub +735 1/1 {7'b000_0000, 3'b010} : instruction_o.op = ariane_pkg::SLTS; // Set Lower Than +736 { +737 7'b000_0000, 3'b011 +738 } : +739 1/1 instruction_o.op = ariane_pkg::SLTU; // Set Lower Than Unsigned +740 1/1 {7'b000_0000, 3'b100} : instruction_o.op = ariane_pkg::XORL; // Xor +741 1/1 {7'b000_0000, 3'b110} : instruction_o.op = ariane_pkg::ORL; // Or +742 1/1 {7'b000_0000, 3'b111} : instruction_o.op = ariane_pkg::ANDL; // And +743 1/1 {7'b000_0000, 3'b001} : instruction_o.op = ariane_pkg::SLL; // Shift Left Logical +744 1/1 {7'b000_0000, 3'b101} : instruction_o.op = ariane_pkg::SRL; // Shift Right Logical +745 1/1 {7'b010_0000, 3'b101} : instruction_o.op = ariane_pkg::SRA; // Shift Right Arithmetic +746 // Multiplications +747 1/1 {7'b000_0001, 3'b000} : instruction_o.op = ariane_pkg::MUL; +748 1/1 {7'b000_0001, 3'b001} : instruction_o.op = ariane_pkg::MULH; +749 1/1 {7'b000_0001, 3'b010} : instruction_o.op = ariane_pkg::MULHSU; +750 1/1 {7'b000_0001, 3'b011} : instruction_o.op = ariane_pkg::MULHU; +751 1/1 {7'b000_0001, 3'b100} : instruction_o.op = ariane_pkg::DIV; +752 1/1 {7'b000_0001, 3'b101} : instruction_o.op = ariane_pkg::DIVU; +753 1/1 {7'b000_0001, 3'b110} : instruction_o.op = ariane_pkg::REM; +754 1/1 {7'b000_0001, 3'b111} : instruction_o.op = ariane_pkg::REMU; +755 default: begin +756 1/1 illegal_instr_non_bm = 1'b1; +757 end +758 endcase +759 1/1 if (CVA6Cfg.RVB) begin +760 1/1 unique case ({ +761 instr.rtype.funct7, instr.rtype.funct3 +762 }) +763 //Logical with Negate +764 1/1 {7'b010_0000, 3'b111} : instruction_o.op = ariane_pkg::ANDN; // Andn +765 1/1 {7'b010_0000, 3'b110} : instruction_o.op = ariane_pkg::ORN; // Orn +766 1/1 {7'b010_0000, 3'b100} : instruction_o.op = ariane_pkg::XNOR; // Xnor +767 //Shift and Add (Bitmanip) +768 1/1 {7'b001_0000, 3'b010} : instruction_o.op = ariane_pkg::SH1ADD; // Sh1add +769 1/1 {7'b001_0000, 3'b100} : instruction_o.op = ariane_pkg::SH2ADD; // Sh2add +770 1/1 {7'b001_0000, 3'b110} : instruction_o.op = ariane_pkg::SH3ADD; // Sh3add +771 // Integer maximum/minimum +772 1/1 {7'b000_0101, 3'b110} : instruction_o.op = ariane_pkg::MAX; // max +773 1/1 {7'b000_0101, 3'b111} : instruction_o.op = ariane_pkg::MAXU; // maxu +774 1/1 {7'b000_0101, 3'b100} : instruction_o.op = ariane_pkg::MIN; // min +775 1/1 {7'b000_0101, 3'b101} : instruction_o.op = ariane_pkg::MINU; // minu +776 // Single bit instructions +777 1/1 {7'b010_0100, 3'b001} : instruction_o.op = ariane_pkg::BCLR; // bclr +778 1/1 {7'b010_0100, 3'b101} : instruction_o.op = ariane_pkg::BEXT; // bext +779 1/1 {7'b011_0100, 3'b001} : instruction_o.op = ariane_pkg::BINV; // binv +780 1/1 {7'b001_0100, 3'b001} : instruction_o.op = ariane_pkg::BSET; // bset +781 // Carry-Less-Multiplication (clmul, clmulh, clmulr) +782 1/1 {7'b000_0101, 3'b001} : instruction_o.op = ariane_pkg::CLMUL; // clmul +783 1/1 {7'b000_0101, 3'b011} : instruction_o.op = ariane_pkg::CLMULH; // clmulh +784 1/1 {7'b000_0101, 3'b010} : instruction_o.op = ariane_pkg::CLMULR; // clmulr +785 // Bitwise Shifting +786 1/1 {7'b011_0000, 3'b001} : instruction_o.op = ariane_pkg::ROL; // rol +787 1/1 {7'b011_0000, 3'b101} : instruction_o.op = ariane_pkg::ROR; // ror +788 { +789 7'b000_0100, 3'b111 +790 } : begin +791 1/1(1 unreachable) if (CVA6Cfg.ZKN) instruction_o.op = ariane_pkg::PACK_H; //packh +792 1/1 else illegal_instr_bm = 1'b1; +793 end +794 // Zero Extend Op RV32 encoding +795 { +796 7'b000_0100, 3'b100 +797 } : begin +798 1/1 if (!CVA6Cfg.IS_XLEN64 && instr.instr[24:20] == 5'b00000) +799 1/1 instruction_o.op = ariane_pkg::ZEXTH; // Zero Extend Op RV32 encoding +800 1/1(1 unreachable) else if (CVA6Cfg.ZKN) instruction_o.op = ariane_pkg::PACK; // pack +801 1/1 else illegal_instr_bm = 1'b1; +802 end +803 default: begin +804 1/1 illegal_instr_bm = 1'b1; +805 end +806 endcase +807 end + ==> MISSING_ELSE +808 1/1 if (CVA6Cfg.RVZiCond) begin +809 unreachable unique case ({ +810 instr.rtype.funct7, instr.rtype.funct3 +811 }) +812 //Conditional move +813 unreachable {7'b000_0111, 3'b101} : instruction_o.op = ariane_pkg::CZERO_EQZ; // czero.eqz +814 unreachable {7'b000_0111, 3'b111} : instruction_o.op = ariane_pkg::CZERO_NEZ; // czero.nez +815 default: begin +816 unreachable illegal_instr_zic = 1'b1; +817 end +818 endcase +819 end + MISSING_ELSE +820 //VCS coverage on +821 1/1 unique case ({ +822 CVA6Cfg.RVB, CVA6Cfg.RVZiCond +823 }) +824 unreachable 2'b00: illegal_instr = illegal_instr_non_bm; +825 unreachable 2'b01: illegal_instr = illegal_instr_non_bm & illegal_instr_zic; +826 1/1 2'b10: illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +827 unreachable 2'b11: illegal_instr = illegal_instr_non_bm & illegal_instr_bm & illegal_instr_zic; +828 unreachable default: ; +829 endcase +830 end +831 end +832 +833 // -------------------------- +834 // 32bit Reg-Reg Operations +835 // -------------------------- +836 riscv::OpcodeOp32: begin +837 1/1 instruction_o.fu = (instr.rtype.funct7 == 7'b000_0001) ? MULT : ALU; +838 1/1 instruction_o.rs1 = instr.rtype.rs1; +839 1/1 instruction_o.rs2 = instr.rtype.rs2; +840 1/1 instruction_o.rd = instr.rtype.rd; +841 1/1 if (CVA6Cfg.IS_XLEN64) begin +842 unreachable unique case ({ +843 instr.rtype.funct7, instr.rtype.funct3 +844 }) +845 unreachable {7'b000_0000, 3'b000} : instruction_o.op = ariane_pkg::ADDW; // addw +846 unreachable {7'b010_0000, 3'b000} : instruction_o.op = ariane_pkg::SUBW; // subw +847 unreachable {7'b000_0000, 3'b001} : instruction_o.op = ariane_pkg::SLLW; // sllw +848 unreachable {7'b000_0000, 3'b101} : instruction_o.op = ariane_pkg::SRLW; // srlw +849 unreachable {7'b010_0000, 3'b101} : instruction_o.op = ariane_pkg::SRAW; // sraw +850 // Multiplications +851 unreachable {7'b000_0001, 3'b000} : instruction_o.op = ariane_pkg::MULW; +852 unreachable {7'b000_0001, 3'b100} : instruction_o.op = ariane_pkg::DIVW; +853 unreachable {7'b000_0001, 3'b101} : instruction_o.op = ariane_pkg::DIVUW; +854 unreachable {7'b000_0001, 3'b110} : instruction_o.op = ariane_pkg::REMW; +855 unreachable {7'b000_0001, 3'b111} : instruction_o.op = ariane_pkg::REMUW; +856 unreachable default: illegal_instr_non_bm = 1'b1; +857 endcase +858 unreachable if (CVA6Cfg.RVB) begin +859 unreachable unique case ({ +860 instr.rtype.funct7, instr.rtype.funct3 +861 }) +862 // Shift with Add (Unsigned Word) +863 unreachable {7'b001_0000, 3'b010} : instruction_o.op = ariane_pkg::SH1ADDUW; // sh1add.uw +864 unreachable {7'b001_0000, 3'b100} : instruction_o.op = ariane_pkg::SH2ADDUW; // sh2add.uw +865 unreachable {7'b001_0000, 3'b110} : instruction_o.op = ariane_pkg::SH3ADDUW; // sh3add.uw +866 // Unsigned word Op's +867 unreachable {7'b000_0100, 3'b000} : instruction_o.op = ariane_pkg::ADDUW; // add.uw +868 // Bitwise Shifting +869 unreachable {7'b011_0000, 3'b001} : instruction_o.op = ariane_pkg::ROLW; // rolw +870 unreachable {7'b011_0000, 3'b101} : instruction_o.op = ariane_pkg::RORW; // rorw +871 { +872 7'b000_0100, 3'b100 +873 } : begin +874 unreachable if (instr.instr[24:20] == 5'b00000) +875 unreachable instruction_o.op = ariane_pkg::ZEXTH; // Zero Extend Op RV64 encoding +876 unreachable else if (CVA6Cfg.ZKN) instruction_o.op = ariane_pkg::PACK_W; // packw +877 unreachable else illegal_instr_bm = 1'b1; +878 end +879 unreachable default: illegal_instr_bm = 1'b1; +880 endcase +881 unreachable illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +882 end else begin +883 unreachable illegal_instr = illegal_instr_non_bm; +884 end +885 1/1 end else illegal_instr = 1'b1; +886 end +887 // -------------------------------- +888 // Reg-Immediate Operations +889 // -------------------------------- +890 riscv::OpcodeOpImm: begin +891 1/1 instruction_o.fu = ALU; +892 1/1 imm_select = IIMM; +893 1/1 instruction_o.rs1 = instr.itype.rs1; +894 1/1 instruction_o.rd = instr.itype.rd; +895 1/1 unique case (instr.itype.funct3) +896 1/1 3'b000: instruction_o.op = ariane_pkg::ADD; // Add Immediate +897 1/1 3'b010: instruction_o.op = ariane_pkg::SLTS; // Set to one if Lower Than Immediate +898 3'b011: +899 1/1 instruction_o.op = ariane_pkg::SLTU; // Set to one if Lower Than Immediate Unsigned +900 1/1 3'b100: instruction_o.op = ariane_pkg::XORL; // Exclusive Or with Immediate +901 1/1 3'b110: instruction_o.op = ariane_pkg::ORL; // Or with Immediate +902 1/1 3'b111: instruction_o.op = ariane_pkg::ANDL; // And with Immediate +903 +904 3'b001: begin +905 1/1 instruction_o.op = ariane_pkg::SLL; // Shift Left Logical by Immediate +906 2/2 if (instr.instr[31:26] != 6'b0) illegal_instr_non_bm = 1'b1; + MISSING_ELSE +907 2/2 if (instr.instr[25] != 1'b0 && CVA6Cfg.XLEN == 32) illegal_instr_non_bm = 1'b1; + MISSING_ELSE +908 end +909 +910 3'b101: begin +911 1/1 if (instr.instr[31:26] == 6'b0) +912 1/1 instruction_o.op = ariane_pkg::SRL; // Shift Right Logical by Immediate +913 1/1 else if (instr.instr[31:26] == 6'b010_000) +914 1/1 instruction_o.op = ariane_pkg::SRA; // Shift Right Arithmetically by Immediate +915 1/1 else illegal_instr_non_bm = 1'b1; +916 2/2 if (instr.instr[25] != 1'b0 && CVA6Cfg.XLEN == 32) illegal_instr_non_bm = 1'b1; + MISSING_ELSE +917 end +918 endcase +919 1/1 if (CVA6Cfg.RVB) begin +920 1/1 unique case (instr.itype.funct3) +921 3'b001: begin +922 1/1 if (instr.instr[31:25] == 7'b0110000) begin +923 2/2 if (instr.instr[24:20] == 5'b00100) instruction_o.op = ariane_pkg::SEXTB; +924 2/2 else if (instr.instr[24:20] == 5'b00101) instruction_o.op = ariane_pkg::SEXTH; +925 2/2 else if (instr.instr[24:20] == 5'b00010) instruction_o.op = ariane_pkg::CPOP; +926 2/2 else if (instr.instr[24:20] == 5'b00000) instruction_o.op = ariane_pkg::CLZ; +927 2/2 else if (instr.instr[24:20] == 5'b00001) instruction_o.op = ariane_pkg::CTZ; +928 1/1 else illegal_instr_bm = 1'b1; +929 1/1 end else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b010010) +930 unreachable instruction_o.op = ariane_pkg::BCLRI; +931 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b0100100) +932 1/1 instruction_o.op = ariane_pkg::BCLRI; +933 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b011010) +934 unreachable instruction_o.op = ariane_pkg::BINVI; +935 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b0110100) +936 1/1 instruction_o.op = ariane_pkg::BINVI; +937 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b001010) +938 unreachable instruction_o.op = ariane_pkg::BSETI; +939 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b0010100) +940 1/1 instruction_o.op = ariane_pkg::BSETI; +941 1/1 else if (CVA6Cfg.ZKN && instr.instr[31:20] == 12'b000010001111) +942 unreachable instruction_o.op = ariane_pkg::ZIP; +943 1/1 else illegal_instr_bm = 1'b1; +944 end +945 3'b101: begin +946 2/2 if (instr.instr[31:20] == 12'b001010000111) instruction_o.op = ariane_pkg::ORCB; +947 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:20] == 12'b011010111000) +948 unreachable instruction_o.op = ariane_pkg::REV8; +949 1/1 else if (instr.instr[31:20] == 12'b011010011000) +950 1/1 instruction_o.op = ariane_pkg::REV8; +951 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b010_010) +952 unreachable instruction_o.op = ariane_pkg::BEXTI; +953 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b010_0100) +954 1/1 instruction_o.op = ariane_pkg::BEXTI; +955 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b011_000) +956 unreachable instruction_o.op = ariane_pkg::RORI; +957 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b011_0000) +958 1/1 instruction_o.op = ariane_pkg::RORI; +959 1/1 else if (CVA6Cfg.ZKN && instr.instr[31:20] == 12'b011010000111) +960 unreachable instruction_o.op = ariane_pkg::BREV8; +961 1/1 else if (CVA6Cfg.ZKN && instr.instr[31:20] == 12'b000010001111) +962 unreachable instruction_o.op = ariane_pkg::UNZIP; +963 1/1 else illegal_instr_bm = 1'b1; +964 end +965 1/1 default: illegal_instr_bm = 1'b1; +966 endcase +967 1/1 illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +968 end else begin +969 unreachable illegal_instr = illegal_instr_non_bm; +970 end +971 end +972 +973 // -------------------------------- +974 // 32 bit Reg-Immediate Operations +975 // -------------------------------- +976 riscv::OpcodeOpImm32: begin +977 1/1 instruction_o.fu = ALU; +978 1/1 imm_select = IIMM; +979 1/1 instruction_o.rs1 = instr.itype.rs1; +980 1/1 instruction_o.rd = instr.itype.rd; +981 1/1 if (CVA6Cfg.IS_XLEN64) begin +982 unreachable unique case (instr.itype.funct3) +983 unreachable 3'b000: instruction_o.op = ariane_pkg::ADDW; // Add Immediate +984 3'b001: begin +985 unreachable instruction_o.op = ariane_pkg::SLLW; // Shift Left Logical by Immediate +986 unreachable if (instr.instr[31:25] != 7'b0) illegal_instr_non_bm = 1'b1; + ==> MISSING_ELSE +987 end +988 3'b101: begin +989 unreachable if (instr.instr[31:25] == 7'b0) +990 unreachable instruction_o.op = ariane_pkg::SRLW; // Shift Right Logical by Immediate +991 unreachable else if (instr.instr[31:25] == 7'b010_0000) +992 unreachable instruction_o.op = ariane_pkg::SRAW; // Shift Right Arithmetically by Immediate +993 unreachable else illegal_instr_non_bm = 1'b1; +994 end +995 unreachable default: illegal_instr_non_bm = 1'b1; +996 endcase +997 unreachable if (CVA6Cfg.RVB) begin +998 unreachable unique case (instr.itype.funct3) +999 3'b001: begin +1000 unreachable if (instr.instr[31:25] == 7'b0110000) begin +1001 unreachable if (instr.instr[21:20] == 2'b10) instruction_o.op = ariane_pkg::CPOPW; +1002 unreachable else if (instr.instr[21:20] == 2'b00) instruction_o.op = ariane_pkg::CLZW; +1003 unreachable else if (instr.instr[21:20] == 2'b01) instruction_o.op = ariane_pkg::CTZW; +1004 unreachable else illegal_instr_bm = 1'b1; +1005 unreachable end else if (instr.instr[31:26] == 6'b000010) begin +1006 unreachable instruction_o.op = ariane_pkg::SLLIUW; // Shift Left Logic by Immediate (Unsigned Word) +1007 unreachable end else illegal_instr_bm = 1'b1; +1008 end +1009 3'b101: begin +1010 unreachable if (instr.instr[31:25] == 7'b011_0000) instruction_o.op = ariane_pkg::RORIW; +1011 unreachable else illegal_instr_bm = 1'b1; +1012 end +1013 unreachable default: illegal_instr_bm = 1'b1; +1014 endcase +1015 unreachable illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +1016 end else begin +1017 unreachable illegal_instr = illegal_instr_non_bm; +1018 end +1019 +1020 1/1 end else illegal_instr = 1'b1; +1021 end +1022 // -------------------------------- +1023 // LSU +1024 // -------------------------------- +1025 riscv::OpcodeStore: begin +1026 1/1 instruction_o.fu = STORE; +1027 1/1 imm_select = SIMM; +1028 1/1 instruction_o.rs1 = instr.stype.rs1; +1029 1/1 instruction_o.rs2 = instr.stype.rs2; +1030 // determine store size +1031 1/1 unique case (instr.stype.funct3) +1032 1/1 3'b000: instruction_o.op = ariane_pkg::SB; +1033 1/1 3'b001: instruction_o.op = ariane_pkg::SH; +1034 1/1 3'b010: instruction_o.op = ariane_pkg::SW; +1035 3'b011: +1036 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64) instruction_o.op = ariane_pkg::SD; +1037 1/1 else illegal_instr = 1'b1; +1038 1/1 default: illegal_instr = 1'b1; +1039 endcase +1040 1/1 if (CVA6Cfg.RVH) begin +1041 unreachable tinst = {7'b0, instr.stype.rs2, 5'b0, instr.stype.funct3, 5'b0, instr.stype.opcode}; +1042 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1043 end + MISSING_ELSE +1044 end +1045 +1046 riscv::OpcodeLoad: begin +1047 1/1 instruction_o.fu = LOAD; +1048 1/1 imm_select = IIMM; +1049 1/1 instruction_o.rs1 = instr.itype.rs1; +1050 1/1 instruction_o.rd = instr.itype.rd; +1051 // determine load size and signed type +1052 1/1 unique case (instr.itype.funct3) +1053 1/1 3'b000: instruction_o.op = ariane_pkg::LB; +1054 1/1 3'b001: instruction_o.op = ariane_pkg::LH; +1055 1/1 3'b010: instruction_o.op = ariane_pkg::LW; +1056 1/1 3'b100: instruction_o.op = ariane_pkg::LBU; +1057 1/1 3'b101: instruction_o.op = ariane_pkg::LHU; +1058 3'b110: +1059 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64) instruction_o.op = ariane_pkg::LWU; +1060 1/1 else illegal_instr = 1'b1; +1061 3'b011: +1062 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64) instruction_o.op = ariane_pkg::LD; +1063 1/1 else illegal_instr = 1'b1; +1064 1/1 default: illegal_instr = 1'b1; +1065 endcase +1066 1/1 if (CVA6Cfg.RVH) begin +1067 unreachable tinst = {17'b0, instr.itype.funct3, instr.itype.rd, instr.itype.opcode}; +1068 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1069 end + MISSING_ELSE +1070 end +1071 +1072 // -------------------------------- +1073 // Floating-Point Load/store +1074 // -------------------------------- +1075 riscv::OpcodeStoreFp: begin +1076 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1077 unreachable instruction_o.fu = STORE; +1078 unreachable imm_select = SIMM; +1079 unreachable instruction_o.rs1 = instr.stype.rs1; +1080 unreachable instruction_o.rs2 = instr.stype.rs2; +1081 // determine store size +1082 unreachable unique case (instr.stype.funct3) +1083 // Only process instruction if corresponding extension is active (static) +1084 3'b000: +1085 unreachable if (CVA6Cfg.XF8) instruction_o.op = ariane_pkg::FSB; +1086 unreachable else illegal_instr = 1'b1; +1087 3'b001: +1088 unreachable if (CVA6Cfg.XF16 | CVA6Cfg.XF16ALT) instruction_o.op = ariane_pkg::FSH; +1089 unreachable else illegal_instr = 1'b1; +1090 3'b010: +1091 unreachable if (CVA6Cfg.RVF) instruction_o.op = ariane_pkg::FSW; +1092 unreachable else illegal_instr = 1'b1; +1093 3'b011: +1094 unreachable if (CVA6Cfg.RVD) instruction_o.op = ariane_pkg::FSD; +1095 unreachable else illegal_instr = 1'b1; +1096 unreachable default: illegal_instr = 1'b1; +1097 endcase +1098 unreachable if (CVA6Cfg.RVH) begin +1099 unreachable tinst = {7'b0, instr.stype.rs2, 5'b0, instr.stype.funct3, 5'b0, instr.stype.opcode}; +1100 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1101 end + ==> MISSING_ELSE +1102 1/1 end else illegal_instr = 1'b1; +1103 end +1104 +1105 riscv::OpcodeLoadFp: begin +1106 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1107 unreachable instruction_o.fu = LOAD; +1108 unreachable imm_select = IIMM; +1109 unreachable instruction_o.rs1 = instr.itype.rs1; +1110 unreachable instruction_o.rd = instr.itype.rd; +1111 // determine load size +1112 unreachable unique case (instr.itype.funct3) +1113 // Only process instruction if corresponding extension is active (static) +1114 3'b000: +1115 unreachable if (CVA6Cfg.XF8) instruction_o.op = ariane_pkg::FLB; +1116 unreachable else illegal_instr = 1'b1; +1117 3'b001: +1118 unreachable if (CVA6Cfg.XF16 | CVA6Cfg.XF16ALT) instruction_o.op = ariane_pkg::FLH; +1119 unreachable else illegal_instr = 1'b1; +1120 3'b010: +1121 unreachable if (CVA6Cfg.RVF) instruction_o.op = ariane_pkg::FLW; +1122 unreachable else illegal_instr = 1'b1; +1123 3'b011: +1124 unreachable if (CVA6Cfg.RVD) instruction_o.op = ariane_pkg::FLD; +1125 unreachable else illegal_instr = 1'b1; +1126 unreachable default: illegal_instr = 1'b1; +1127 endcase +1128 unreachable if (CVA6Cfg.RVH) begin +1129 unreachable tinst = {17'b0, instr.itype.funct3, instr.itype.rd, instr.itype.opcode}; +1130 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1131 end + ==> MISSING_ELSE +1132 1/1 end else illegal_instr = 1'b1; +1133 end +1134 +1135 // ---------------------------------- +1136 // Floating-Point Reg-Reg Operations +1137 // ---------------------------------- +1138 riscv::OpcodeMadd, riscv::OpcodeMsub, riscv::OpcodeNmsub, riscv::OpcodeNmadd: begin +1139 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1140 unreachable instruction_o.fu = FPU; +1141 unreachable instruction_o.rs1 = instr.r4type.rs1; +1142 unreachable instruction_o.rs2 = instr.r4type.rs2; +1143 unreachable instruction_o.rd = instr.r4type.rd; +1144 unreachable imm_select = RS3; // rs3 into result field +1145 unreachable check_fprm = 1'b1; +1146 // select the correct fused operation +1147 unreachable unique case (instr.r4type.opcode) +1148 default: instruction_o.op = ariane_pkg::FMADD; // fmadd.fmt - FP Fused multiply-add +1149 riscv::OpcodeMsub: +1150 unreachable instruction_o.op = ariane_pkg::FMSUB; // fmsub.fmt - FP Fused multiply-subtract +1151 riscv::OpcodeNmsub: +1152 unreachable instruction_o.op = ariane_pkg::FNMSUB; // fnmsub.fmt - FP Negated fused multiply-subtract +1153 riscv::OpcodeNmadd: +1154 unreachable instruction_o.op = ariane_pkg::FNMADD; // fnmadd.fmt - FP Negated fused multiply-add +1148 unreachable default: instruction_o.op = ariane_pkg::FMADD; // fmadd.fmt - FP Fused multiply-add +1149 riscv::OpcodeMsub: +1150 instruction_o.op = ariane_pkg::FMSUB; // fmsub.fmt - FP Fused multiply-subtract +1151 riscv::OpcodeNmsub: +1152 instruction_o.op = ariane_pkg::FNMSUB; // fnmsub.fmt - FP Negated fused multiply-subtract +1153 riscv::OpcodeNmadd: +1154 instruction_o.op = ariane_pkg::FNMADD; // fnmadd.fmt - FP Negated fused multiply-add +1155 endcase +1156 +1157 // determine fp format +1158 unreachable unique case (instr.r4type.funct2) +1159 // Only process instruction if corresponding extension is active (static) +1160 unreachable 2'b00: if (~CVA6Cfg.RVF) illegal_instr = 1'b1; + ==> MISSING_ELSE +1161 unreachable 2'b01: if (~CVA6Cfg.RVD) illegal_instr = 1'b1; + ==> MISSING_ELSE +1162 unreachable 2'b10: if (~CVA6Cfg.XF16 & ~CVA6Cfg.XF16ALT) illegal_instr = 1'b1; + ==> MISSING_ELSE +1163 unreachable 2'b11: if (~CVA6Cfg.XF8) illegal_instr = 1'b1; + ==> MISSING_ELSE +1164 unreachable default: illegal_instr = 1'b1; +1165 endcase +1166 +1167 // check rounding mode +1168 unreachable if (check_fprm) begin +1169 unreachable unique case (instr.rftype.rm) inside +1170 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1171 3'b101: begin // Alternative Half-Precsision encded as fmt=10 and rm=101 +1172 unreachable if (~CVA6Cfg.XF16ALT || instr.rftype.fmt != 2'b10) illegal_instr = 1'b1; + ==> MISSING_ELSE +1173 unreachable unique case (frm_i) inside // actual rounding mode from frm csr +1174 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1175 unreachable default: illegal_instr = 1'b1; +1176 endcase +1177 end +1178 3'b111: begin +1179 // rounding mode from frm csr +1180 unreachable unique case (frm_i) inside +1181 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1182 unreachable default: illegal_instr = 1'b1; +1183 endcase +1184 end +1185 unreachable default: illegal_instr = 1'b1; +1186 endcase +1187 end + ==> MISSING_ELSE +1188 end else begin +1189 1/1 illegal_instr = 1'b1; +1190 end +1191 end +1192 +1193 riscv::OpcodeOpFp: begin +1194 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1195 unreachable instruction_o.fu = FPU; +1196 unreachable instruction_o.rs1 = instr.rftype.rs1; +1197 unreachable instruction_o.rs2 = instr.rftype.rs2; +1198 unreachable instruction_o.rd = instr.rftype.rd; +1199 unreachable check_fprm = 1'b1; +1200 // decode FP instruction +1201 unreachable unique case (instr.rftype.funct5) +1202 5'b00000: begin +1203 unreachable instruction_o.op = ariane_pkg::FADD; // fadd.fmt - FP Addition +1204 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +1205 unreachable instruction_o.rs2 = instr.rftype.rs1; // Operand B is set to rs1 +1206 unreachable imm_select = IIMM; // Operand C is set to rs2 +1207 end +1208 5'b00001: begin +1209 unreachable instruction_o.op = ariane_pkg::FSUB; // fsub.fmt - FP Subtraction +1210 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +1211 unreachable instruction_o.rs2 = instr.rftype.rs1; // Operand B is set to rs1 +1212 unreachable imm_select = IIMM; // Operand C is set to rs2 +1213 end +1214 unreachable 5'b00010: instruction_o.op = ariane_pkg::FMUL; // fmul.fmt - FP Multiplication +1215 unreachable 5'b00011: instruction_o.op = ariane_pkg::FDIV; // fdiv.fmt - FP Division +1216 5'b01011: begin +1217 unreachable instruction_o.op = ariane_pkg::FSQRT; // fsqrt.fmt - FP Square Root +1218 // rs2 must be zero +1219 unreachable if (instr.rftype.rs2 != 5'b00000) illegal_instr = 1'b1; + ==> MISSING_ELSE +1220 end +1221 5'b00100: begin +1222 unreachable instruction_o.op = ariane_pkg::FSGNJ; // fsgn{j[n]/jx}.fmt - FP Sign Injection +1223 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1224 unreachable if (CVA6Cfg.XF16ALT) begin // FP16ALT instructions encoded in rm separately (static) +1225 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010], [3'b100 : 3'b110]})) +1226 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1227 end else begin +1228 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010]})) illegal_instr = 1'b1; + ==> MISSING_ELSE +1229 end +1230 end +1231 5'b00101: begin +1232 unreachable instruction_o.op = ariane_pkg::FMIN_MAX; // fmin/fmax.fmt - FP Minimum / Maximum +1233 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1234 unreachable if (CVA6Cfg.XF16ALT) begin // FP16ALT instructions encoded in rm separately (static) +1235 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b001], [3'b100 : 3'b101]})) +1236 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1237 end else begin +1238 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b001]})) illegal_instr = 1'b1; + ==> MISSING_ELSE +1239 end +1240 end +1241 5'b01000: begin +1242 unreachable instruction_o.op = ariane_pkg::FCVT_F2F; // fcvt.fmt.fmt - FP to FP Conversion +1243 unreachable instruction_o.rs2 = instr.rvftype.rs1; // tie rs2 to rs1 to be safe (vectors use rs2) +1244 unreachable imm_select = IIMM; // rs2 holds part of the intruction +1245 unreachable if (|instr.rftype.rs2[24:23]) +1246 unreachable illegal_instr = 1'b1; // bits [22:20] used, other bits must be 0 + ==> MISSING_ELSE +1247 // check source format +1248 unreachable unique case (instr.rftype.rs2[22:20]) +1249 // Only process instruction if corresponding extension is active (static) +1250 unreachable 3'b000: if (~CVA6Cfg.RVF) illegal_instr = 1'b1; + ==> MISSING_ELSE +1251 unreachable 3'b001: if (~CVA6Cfg.RVD) illegal_instr = 1'b1; + ==> MISSING_ELSE +1252 unreachable 3'b010: if (~CVA6Cfg.XF16) illegal_instr = 1'b1; + ==> MISSING_ELSE +1253 unreachable 3'b110: if (~CVA6Cfg.XF16ALT) illegal_instr = 1'b1; + ==> MISSING_ELSE +1254 unreachable 3'b011: if (~CVA6Cfg.XF8) illegal_instr = 1'b1; + ==> MISSING_ELSE +1255 unreachable default: illegal_instr = 1'b1; +1256 endcase +1257 end +1258 5'b10100: begin +1259 unreachable instruction_o.op = ariane_pkg::FCMP; // feq/flt/fle.fmt - FP Comparisons +1260 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1261 unreachable if (CVA6Cfg.XF16ALT) begin // FP16ALT instructions encoded in rm separately (static) +1262 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010], [3'b100 : 3'b110]})) +1263 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1264 end else begin +1265 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010]})) illegal_instr = 1'b1; + ==> MISSING_ELSE +1266 end +1267 end +1268 5'b11000: begin +1269 unreachable instruction_o.op = ariane_pkg::FCVT_F2I; // fcvt.ifmt.fmt - FP to Int Conversion +1270 unreachable imm_select = IIMM; // rs2 holds part of the instruction +1271 unreachable if (|instr.rftype.rs2[24:22]) +1272 unreachable illegal_instr = 1'b1; // bits [21:20] used, other bits must be 0 + ==> MISSING_ELSE +1273 end +1274 5'b11010: begin +1275 unreachable instruction_o.op = ariane_pkg::FCVT_I2F; // fcvt.fmt.ifmt - Int to FP Conversion +1276 unreachable imm_select = IIMM; // rs2 holds part of the instruction +1277 unreachable if (|instr.rftype.rs2[24:22]) +1278 unreachable illegal_instr = 1'b1; // bits [21:20] used, other bits must be 0 + ==> MISSING_ELSE +1279 end +1280 5'b11100: begin +1281 unreachable instruction_o.rs2 = instr.rftype.rs1; // set rs2 = rs1 so we can map FMV to SGNJ in the unit +1282 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1283 unreachable if (instr.rftype.rm == 3'b000 || (CVA6Cfg.XF16ALT && instr.rftype.rm == 3'b100)) // FP16ALT has separate encoding +1284 unreachable instruction_o.op = ariane_pkg::FMV_F2X; // fmv.ifmt.fmt - FPR to GPR Move +1285 unreachable else if (instr.rftype.rm == 3'b001 || (CVA6Cfg.XF16ALT && instr.rftype.rm == 3'b101)) // FP16ALT has separate encoding +1286 unreachable instruction_o.op = ariane_pkg::FCLASS; // fclass.fmt - FP Classify +1287 unreachable else illegal_instr = 1'b1; +1288 // rs2 must be zero +1289 unreachable if (instr.rftype.rs2 != 5'b00000) illegal_instr = 1'b1; + ==> MISSING_ELSE +1290 end +1291 5'b11110: begin +1292 unreachable instruction_o.op = ariane_pkg::FMV_X2F; // fmv.fmt.ifmt - GPR to FPR Move +1293 unreachable instruction_o.rs2 = instr.rftype.rs1; // set rs2 = rs1 so we can map FMV to SGNJ in the unit +1294 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1295 unreachable if (!(instr.rftype.rm == 3'b000 || (CVA6Cfg.XF16ALT && instr.rftype.rm == 3'b100))) +1296 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1297 // rs2 must be zero +1298 unreachable if (instr.rftype.rs2 != 5'b00000) illegal_instr = 1'b1; + ==> MISSING_ELSE +1299 end +1300 unreachable default: illegal_instr = 1'b1; +1301 endcase +1302 +1303 // check format +1304 unreachable unique case (instr.rftype.fmt) +1305 // Only process instruction if corresponding extension is active (static) +1306 unreachable 2'b00: if (~CVA6Cfg.RVF) illegal_instr = 1'b1; + ==> MISSING_ELSE +1307 unreachable 2'b01: if (~CVA6Cfg.RVD) illegal_instr = 1'b1; + ==> MISSING_ELSE +1308 unreachable 2'b10: if (~CVA6Cfg.XF16 & ~CVA6Cfg.XF16ALT) illegal_instr = 1'b1; + ==> MISSING_ELSE +1309 unreachable 2'b11: if (~CVA6Cfg.XF8) illegal_instr = 1'b1; + ==> MISSING_ELSE +1310 unreachable default: illegal_instr = 1'b1; +1311 endcase +1312 +1313 // check rounding mode +1314 unreachable if (check_fprm) begin +1315 unreachable unique case (instr.rftype.rm) inside +1316 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1317 3'b101: begin // Alternative Half-Precsision encded as fmt=10 and rm=101 +1318 unreachable if (~CVA6Cfg.XF16ALT || instr.rftype.fmt != 2'b10) illegal_instr = 1'b1; + ==> MISSING_ELSE +1319 unreachable unique case (frm_i) inside // actual rounding mode from frm csr +1320 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1321 unreachable default: illegal_instr = 1'b1; +1322 endcase +1323 end +1324 3'b111: begin +1325 // rounding mode from frm csr +1326 unreachable unique case (frm_i) inside +1327 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1328 unreachable default: illegal_instr = 1'b1; +1329 endcase +1330 end +1331 unreachable default: illegal_instr = 1'b1; +1332 endcase +1333 end + ==> MISSING_ELSE +1334 end else begin +1335 1/1 illegal_instr = 1'b1; +1336 end +1337 end +1338 +1339 // ---------------------------------- +1340 // Atomic Operations +1341 // ---------------------------------- +1342 riscv::OpcodeAmo: begin +1343 // we are going to use the load unit for AMOs +1344 1/1 instruction_o.fu = STORE; +1345 1/1 instruction_o.rs1 = instr.atype.rs1; +1346 1/1 instruction_o.rs2 = instr.atype.rs2; +1347 1/1 instruction_o.rd = instr.atype.rd; +1348 // TODO(zarubaf): Ordering +1349 // words +1350 1/1 if (CVA6Cfg.RVA && instr.stype.funct3 == 3'h2) begin +1351 unreachable unique case (instr.instr[31:27]) +1352 unreachable 5'h0: instruction_o.op = ariane_pkg::AMO_ADDW; +1353 unreachable 5'h1: instruction_o.op = ariane_pkg::AMO_SWAPW; +1354 5'h2: begin +1355 unreachable instruction_o.op = ariane_pkg::AMO_LRW; +1356 unreachable if (instr.atype.rs2 != 0) illegal_instr = 1'b1; + ==> MISSING_ELSE +1357 end +1358 unreachable 5'h3: instruction_o.op = ariane_pkg::AMO_SCW; +1359 unreachable 5'h4: instruction_o.op = ariane_pkg::AMO_XORW; +1360 unreachable 5'h8: instruction_o.op = ariane_pkg::AMO_ORW; +1361 unreachable 5'hC: instruction_o.op = ariane_pkg::AMO_ANDW; +1362 unreachable 5'h10: instruction_o.op = ariane_pkg::AMO_MINW; +1363 unreachable 5'h14: instruction_o.op = ariane_pkg::AMO_MAXW; +1364 unreachable 5'h18: instruction_o.op = ariane_pkg::AMO_MINWU; +1365 unreachable 5'h1C: instruction_o.op = ariane_pkg::AMO_MAXWU; +1366 unreachable default: illegal_instr = 1'b1; +1367 endcase +1368 // double words +1369 1/1 end else if (CVA6Cfg.IS_XLEN64 && CVA6Cfg.RVA && instr.stype.funct3 == 3'h3) begin +1370 unreachable unique case (instr.instr[31:27]) +1371 unreachable 5'h0: instruction_o.op = ariane_pkg::AMO_ADDD; +1372 unreachable 5'h1: instruction_o.op = ariane_pkg::AMO_SWAPD; +1373 5'h2: begin +1374 unreachable instruction_o.op = ariane_pkg::AMO_LRD; +1375 unreachable if (instr.atype.rs2 != 0) illegal_instr = 1'b1; + ==> MISSING_ELSE +1376 end +1377 unreachable 5'h3: instruction_o.op = ariane_pkg::AMO_SCD; +1378 unreachable 5'h4: instruction_o.op = ariane_pkg::AMO_XORD; +1379 unreachable 5'h8: instruction_o.op = ariane_pkg::AMO_ORD; +1380 unreachable 5'hC: instruction_o.op = ariane_pkg::AMO_ANDD; +1381 unreachable 5'h10: instruction_o.op = ariane_pkg::AMO_MIND; +1382 unreachable 5'h14: instruction_o.op = ariane_pkg::AMO_MAXD; +1383 unreachable 5'h18: instruction_o.op = ariane_pkg::AMO_MINDU; +1384 unreachable 5'h1C: instruction_o.op = ariane_pkg::AMO_MAXDU; +1385 unreachable default: illegal_instr = 1'b1; +1386 endcase +1387 end else begin +1388 1/1 illegal_instr = 1'b1; +1389 end +1390 1/1 if (CVA6Cfg.RVH) begin +1391 unreachable tinst = { +1392 instr.atype.funct5, +1393 instr.atype.aq, +1394 instr.atype.rl, +1395 instr.atype.rs2, +1396 5'b0, +1397 instr.atype.funct3, +1398 instr.atype.rd, +1399 instr.atype.opcode +1400 }; +1401 end + MISSING_ELSE +1402 end +1403 +1404 // -------------------------------- +1405 // Control Flow Instructions +1406 // -------------------------------- +1407 riscv::OpcodeBranch: begin +1408 1/1 imm_select = SBIMM; +1409 1/1 instruction_o.fu = CTRL_FLOW; +1410 1/1 instruction_o.rs1 = instr.stype.rs1; +1411 1/1 instruction_o.rs2 = instr.stype.rs2; +1412 +1413 1/1 is_control_flow_instr_o = 1'b1; +1414 +1415 1/1 case (instr.stype.funct3) +1416 1/1 3'b000: instruction_o.op = ariane_pkg::EQ; +1417 1/1 3'b001: instruction_o.op = ariane_pkg::NE; +1418 1/1 3'b100: instruction_o.op = ariane_pkg::LTS; +1419 1/1 3'b101: instruction_o.op = ariane_pkg::GES; +1420 1/1 3'b110: instruction_o.op = ariane_pkg::LTU; +1421 1/1 3'b111: instruction_o.op = ariane_pkg::GEU; +1422 default: begin +1423 1/1 is_control_flow_instr_o = 1'b0; +1424 1/1 illegal_instr = 1'b1; +1425 end +1426 endcase +1427 end +1428 // Jump and link register +1429 riscv::OpcodeJalr: begin +1430 1/1 instruction_o.fu = CTRL_FLOW; +1431 1/1 instruction_o.op = ariane_pkg::JALR; +1432 1/1 instruction_o.rs1 = instr.itype.rs1; +1433 1/1 imm_select = IIMM; +1434 1/1 instruction_o.rd = instr.itype.rd; +1435 1/1 is_control_flow_instr_o = 1'b1; +1436 // invalid jump and link register -> reserved for vector encoding +1437 2/2 if (instr.itype.funct3 != 3'b0) illegal_instr = 1'b1; + MISSING_ELSE +1438 end +1439 // Jump and link +1440 riscv::OpcodeJal: begin +1441 1/1 instruction_o.fu = CTRL_FLOW; +1442 1/1 imm_select = JIMM; +1443 1/1 instruction_o.rd = instr.utype.rd; +1444 1/1 is_control_flow_instr_o = 1'b1; +1445 end +1446 +1447 riscv::OpcodeAuipc: begin +1448 1/1 instruction_o.fu = ALU; +1449 1/1 imm_select = UIMM; +1450 1/1 instruction_o.use_pc = 1'b1; +1451 1/1 instruction_o.rd = instr.utype.rd; +1452 end +1453 +1454 riscv::OpcodeLui: begin +1455 1/1 imm_select = UIMM; +1456 1/1 instruction_o.fu = ALU; +1457 1/1 instruction_o.rd = instr.utype.rd; +1458 end +1459 +1460 1/1 default: illegal_instr = 1'b1; +1461 endcase +1462 end + MISSING_ELSE +1463 1/1 if (CVA6Cfg.CvxifEn) begin +1464 1/1 if (~ex_i.valid && (is_illegal_i || illegal_instr)) begin +1465 1/1 instruction_o.fu = CVXIF; +1466 1/1 instruction_o.rs1 = instr.r4type.rs1; +1467 1/1 instruction_o.rs2 = instr.r4type.rs2; +1468 1/1 instruction_o.rd = instr.r4type.rd; +1469 1/1 instruction_o.op = ariane_pkg::OFFLOAD; +1470 1/1 imm_select = instr.rtype.opcode == riscv::OpcodeMadd || +1471 instr.rtype.opcode == riscv::OpcodeMsub || +1472 instr.rtype.opcode == riscv::OpcodeNmadd || +1473 instr.rtype.opcode == riscv::OpcodeNmsub ? RS3 : MUX_RD_RS3; +1474 end + MISSING_ELSE +1475 end + ==> MISSING_ELSE +1476 +1477 // Accelerator instructions. +1478 // These can overwrite the previous decoding entirely. +1479 1/1 if (CVA6Cfg.EnableAccelerator) begin // only generate decoder if accelerators are enabled (static) +1480 unreachable if (is_accel) begin +1481 unreachable instruction_o.fu = acc_instruction.fu; +1482 unreachable instruction_o.vfp = acc_instruction.vfp; +1483 unreachable instruction_o.rs1 = acc_instruction.rs1; +1484 unreachable instruction_o.rs2 = acc_instruction.rs2; +1485 unreachable instruction_o.rd = acc_instruction.rd; +1486 unreachable instruction_o.op = acc_instruction.op; +1487 unreachable illegal_instr = acc_illegal_instr; +1488 unreachable is_control_flow_instr_o = acc_is_control_flow_instr; +1489 end + ==> MISSING_ELSE +1490 end + MISSING_ELSE +1491 end +1492 +1493 // -------------------------------- +1494 // Sign extend immediate +1495 // -------------------------------- +1496 always_comb begin : sign_extend +1497 1/1 imm_i_type = {{CVA6Cfg.XLEN - 12{instruction_i[31]}}, instruction_i[31:20]}; +1498 1/1 imm_s_type = { +1499 {CVA6Cfg.XLEN - 12{instruction_i[31]}}, instruction_i[31:25], instruction_i[11:7] +1500 }; +1501 1/1 imm_sb_type = { +1502 {CVA6Cfg.XLEN - 13{instruction_i[31]}}, +1503 instruction_i[31], +1504 instruction_i[7], +1505 instruction_i[30:25], +1506 instruction_i[11:8], +1507 1'b0 +1508 }; +1509 1/1 imm_u_type = { +1510 {CVA6Cfg.XLEN - 32{instruction_i[31]}}, instruction_i[31:12], 12'b0 +1511 }; // JAL, AUIPC, sign extended to 64 bit +1512 // if zcmt then xlen jump address assign to immidiate +1513 1/1 if (CVA6Cfg.RVZCMT && is_zcmt_i) begin +1514 unreachable imm_uj_type = {{CVA6Cfg.XLEN - 32{jump_address_i[31]}}, jump_address_i[31:0]}; +1515 end else begin +1516 1/1 imm_uj_type = { +1517 {CVA6Cfg.XLEN - 20{instruction_i[31]}}, +1518 instruction_i[19:12], +1519 instruction_i[20], +1520 instruction_i[30:21], +1521 1'b0 +1522 }; +1523 end +1524 +1525 // NOIMM, IIMM, SIMM, SBIMM, UIMM, JIMM, RS3 +1526 // select immediate +1527 1/1 case (imm_select) +1528 IIMM: begin +1529 1/1 instruction_o.result = imm_i_type; +1530 1/1 instruction_o.use_imm = 1'b1; +1531 end +1532 SIMM: begin +1533 1/1 instruction_o.result = imm_s_type; +1534 1/1 instruction_o.use_imm = 1'b1; +1535 end +1536 SBIMM: begin +1537 1/1 instruction_o.result = imm_sb_type; +1538 1/1 instruction_o.use_imm = 1'b1; +1539 end +1540 UIMM: begin +1541 1/1 instruction_o.result = imm_u_type; +1542 1/1 instruction_o.use_imm = 1'b1; +1543 end +1544 JIMM: begin +1545 1/1 instruction_o.result = imm_uj_type; +1546 1/1 instruction_o.use_imm = 1'b1; +1547 end +1548 RS3: begin +1549 // result holds address of fp operand rs3 +1550 1/1 instruction_o.result = {{CVA6Cfg.XLEN - 5{1'b0}}, instr.r4type.rs3}; +1551 1/1 instruction_o.use_imm = 1'b0; +1552 end +1553 MUX_RD_RS3: begin +1554 // result holds address of operand rs3 which is in rd field +1555 1/1 instruction_o.result = {{CVA6Cfg.XLEN - 5{1'b0}}, instr.rtype.rd}; +1556 1/1 instruction_o.use_imm = 1'b0; +1557 end +1558 default: begin +1559 1/1 instruction_o.result = {CVA6Cfg.XLEN{1'b0}}; +1560 1/1 instruction_o.use_imm = 1'b0; +1561 end +1562 endcase +1563 +1564 1/1 if (CVA6Cfg.EnableAccelerator) begin +1565 unreachable if (is_accel) begin +1566 unreachable instruction_o.result = acc_instruction.result; +1567 unreachable instruction_o.use_imm = acc_instruction.use_imm; +1568 end + ==> MISSING_ELSE +1569 end + MISSING_ELSE +1570 end +1571 +1572 // --------------------- +1573 // Exception handling +1574 // --------------------- +1575 logic [CVA6Cfg.XLEN-1:0] interrupt_cause; +1576 +1577 // this instruction has already executed if the exception is valid +1578 assign instruction_o.valid = instruction_o.ex.valid; +1579 +1580 always_comb begin : exception_handling +1581 1/1 interrupt_cause = '0; +1582 1/1 instruction_o.ex = ex_i; +1583 1/1 orig_instr_o = (is_compressed_i) ? {{CVA6Cfg.XLEN-16{1'b0}}, compressed_instr_i} : {{CVA6Cfg.XLEN-32{1'b0}}, instruction_i}; +1584 // look if we didn't already get an exception in any previous +1585 // stage - we should not overwrite it as we retain order regarding the exception +1586 1/1 if (~ex_i.valid) begin +1587 // if we didn't already get an exception save the instruction here as we may need it +1588 // in the commit stage if we got a access exception to one of the CSR registers +1589 1/1 if (CVA6Cfg.TvalEn) +1590 unreachable instruction_o.ex.tval = (is_compressed_i) ? {{CVA6Cfg.XLEN-16{1'b0}}, compressed_instr_i} : {{CVA6Cfg.XLEN-32{1'b0}}, instruction_i}; +1591 1/1 else instruction_o.ex.tval = '0; +1592 1/1(1 unreachable) if (CVA6Cfg.RVH) instruction_o.ex.tinst = tinst; +1593 1/1 else instruction_o.ex.tinst = '0; +1594 // instructions which will throw an exception are marked as valid +1595 // e.g.: they can be committed anytime and do not need to wait for any functional unit +1596 // check here if we decoded an invalid instruction or if the compressed decoder already decoded +1597 // a invalid instruction +1598 1/1 if (illegal_instr || is_illegal_i) begin +1599 1/1(1 unreachable) if (!CVA6Cfg.CvxifEn) instruction_o.ex.valid = 1'b1; + MISSING_ELSE +1600 // we decoded an illegal exception here +1601 1/1 instruction_o.ex.cause = riscv::ILLEGAL_INSTR; +1602 1/1 end else if (CVA6Cfg.RVH && virtual_illegal_instr) begin +1603 unreachable instruction_o.ex.valid = 1'b1; +1604 // we decoded an virtual illegal exception here +1605 unreachable instruction_o.ex.cause = riscv::VIRTUAL_INSTRUCTION; +1606 // we got an ecall, set the correct cause depending on the current privilege level +1607 1/1 end else if (ecall) begin +1608 // this exception is valid +1609 1/1 instruction_o.ex.valid = 1'b1; +1610 // depending on the privilege mode, set the appropriate cause +1611 1/1 if (priv_lvl_i == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +1612 unreachable instruction_o.ex.cause = (CVA6Cfg.RVH && v_i) ? riscv::ENV_CALL_VSMODE : riscv::ENV_CALL_SMODE; +1613 1/1 end else if (priv_lvl_i == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +1614 unreachable instruction_o.ex.cause = riscv::ENV_CALL_UMODE; +1615 // we are in M-mode +1616 end else begin +1617 1/1 instruction_o.ex.cause = riscv::ENV_CALL_MMODE; +1618 end +1619 1/1 end else if (ebreak) begin +1620 // this exception is valid +1621 1/1 instruction_o.ex.valid = 1'b1; +1622 // set breakpoint cause +1623 1/1 instruction_o.ex.cause = riscv::BREAKPOINT; +1624 // set gva bit +1625 1/1(1 unreachable) if (CVA6Cfg.RVH) instruction_o.ex.gva = v_i; +1626 1/1 else instruction_o.ex.gva = 1'b0; +1627 end + MISSING_ELSE +1628 // ----------------- +1629 // Interrupt Control +1630 // ----------------- +1631 // we decode an interrupt the same as an exception, hence it will be taken if the instruction did not +1632 // throw any previous exception. +1633 // we have three interrupt sources: external interrupts, software interrupts, timer interrupts (order of precedence) +1634 // for two privilege levels: Supervisor and Machine Mode +1635 // Virtual Supervisor Timer Interrupt +1636 1/1 if (CVA6Cfg.RVH) begin +1637 unreachable if (irq_ctrl_i.mie[riscv::IRQ_VS_TIMER] && irq_ctrl_i.mip[riscv::IRQ_VS_TIMER]) begin +1638 unreachable interrupt_cause = INTERRUPTS.VS_TIMER; +1639 end + ==> MISSING_ELSE +1640 // Virtual Supervisor Software Interrupt +1641 unreachable if (irq_ctrl_i.mie[riscv::IRQ_VS_SOFT] && irq_ctrl_i.mip[riscv::IRQ_VS_SOFT]) begin +1642 unreachable interrupt_cause = INTERRUPTS.VS_SW; +1643 end + ==> MISSING_ELSE +1644 // Virtual Supervisor External Interrupt +1645 unreachable if (irq_ctrl_i.mie[riscv::IRQ_VS_EXT] && (irq_ctrl_i.mip[riscv::IRQ_VS_EXT])) begin +1646 unreachable interrupt_cause = INTERRUPTS.VS_EXT; +1647 end + ==> MISSING_ELSE +1648 // Hypervisor Guest External Interrupts +1649 unreachable if (irq_ctrl_i.mie[riscv::IRQ_HS_EXT] && irq_ctrl_i.mip[riscv::IRQ_HS_EXT]) begin +1650 unreachable interrupt_cause = INTERRUPTS.HS_EXT; +1651 end + ==> MISSING_ELSE +1652 end + MISSING_ELSE +1653 1/1 if (CVA6Cfg.RVS) begin +1654 // Supervisor Timer Interrupt +1655 unreachable if (irq_ctrl_i.mie[riscv::IRQ_S_TIMER] && irq_ctrl_i.mip[riscv::IRQ_S_TIMER]) begin +1656 unreachable interrupt_cause = INTERRUPTS.S_TIMER; +1657 end + ==> MISSING_ELSE +1658 // Supervisor Software Interrupt +1659 unreachable if (irq_ctrl_i.mie[riscv::IRQ_S_SOFT] && irq_ctrl_i.mip[riscv::IRQ_S_SOFT]) begin +1660 unreachable interrupt_cause = INTERRUPTS.S_SW; +1661 end + ==> MISSING_ELSE +1662 // Supervisor External Interrupt +1663 // The logical-OR of the software-writable bit and the signal from the external interrupt controller is +1664 // used to generate external interrupts to the supervisor +1665 unreachable if (irq_ctrl_i.mie[riscv::IRQ_S_EXT] && (irq_ctrl_i.mip[riscv::IRQ_S_EXT] | irq_i[ariane_pkg::SupervisorIrq])) begin +1666 unreachable interrupt_cause = INTERRUPTS.S_EXT; +1667 end + ==> MISSING_ELSE +1668 end + MISSING_ELSE +1669 // Machine Timer Interrupt +1670 1/1 if (irq_ctrl_i.mip[riscv::IRQ_M_TIMER] && irq_ctrl_i.mie[riscv::IRQ_M_TIMER]) begin +1671 1/1 interrupt_cause = INTERRUPTS.M_TIMER; +1672 end + MISSING_ELSE +1673 1/1 if (CVA6Cfg.SoftwareInterruptEn) begin +1674 // Machine Mode Software Interrupt +1675 unreachable if (irq_ctrl_i.mip[riscv::IRQ_M_SOFT] && irq_ctrl_i.mie[riscv::IRQ_M_SOFT]) begin +1676 unreachable interrupt_cause = INTERRUPTS.M_SW; +1677 end + ==> MISSING_ELSE +1678 end + MISSING_ELSE +1679 // Machine Mode External Interrupt +1680 1/1 if (irq_ctrl_i.mip[riscv::IRQ_M_EXT] && irq_ctrl_i.mie[riscv::IRQ_M_EXT]) begin +1681 1/1 interrupt_cause = INTERRUPTS.M_EXT; +1682 end + MISSING_ELSE +1683 +1684 1/1 if (interrupt_cause[CVA6Cfg.XLEN-1] && irq_ctrl_i.global_enable) begin +1685 // However, if bit i in mideleg is set, interrupts are considered to be globally enabled if the hart’s current privilege +1686 // mode equals the delegated privilege mode (S or U) and that mode’s interrupt enable bit +1687 // (SIE or UIE in mstatus) is set, or if the current privilege mode is less than the delegated privilege mode. +1688 1/1 if (CVA6Cfg.RVS && irq_ctrl_i.mideleg[interrupt_cause[$clog2(CVA6Cfg.XLEN)-1:0]]) begin +1689 unreachable if (CVA6Cfg.RVH) begin : hyp_int_gen +1690 unreachable if (v_i && irq_ctrl_i.hideleg[interrupt_cause[$clog2(CVA6Cfg.XLEN)-1:0]]) begin +1691 unreachable if ((irq_ctrl_i.sie && priv_lvl_i == riscv::PRIV_LVL_S) || priv_lvl_i == riscv::PRIV_LVL_U) begin +1692 unreachable instruction_o.ex.valid = 1'b1; +1693 unreachable instruction_o.ex.cause = interrupt_cause; +1694 end + ==> MISSING_ELSE +1695 unreachable end else if (v_i && ~irq_ctrl_i.hideleg[interrupt_cause[$clog2( +1696 CVA6Cfg.XLEN +1697 )-1:0]]) begin +1698 unreachable instruction_o.ex.valid = 1'b1; +1699 unreachable instruction_o.ex.cause = interrupt_cause; +1700 unreachable end else if (!v_i && ((irq_ctrl_i.sie && priv_lvl_i == riscv::PRIV_LVL_S) || priv_lvl_i == riscv::PRIV_LVL_U) && ~irq_ctrl_i.hideleg[interrupt_cause[$clog2( +1701 CVA6Cfg.XLEN +1702 )-1:0]]) begin +1703 unreachable instruction_o.ex.valid = 1'b1; +1704 unreachable instruction_o.ex.cause = interrupt_cause; +1705 end + ==> MISSING_ELSE +1706 end else begin +1707 unreachable if ((CVA6Cfg.RVS && irq_ctrl_i.sie && priv_lvl_i == riscv::PRIV_LVL_S) || (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U)) begin +1708 unreachable instruction_o.ex.valid = 1'b1; +1709 unreachable instruction_o.ex.cause = interrupt_cause; +1710 end + ==> MISSING_ELSE +1711 end +1712 end else begin +1713 1/1 instruction_o.ex.valid = 1'b1; +1714 1/1 instruction_o.ex.cause = interrupt_cause; +1715 end +1716 end + MISSING_ELSE +1717 end + MISSING_ELSE +1718 +1719 // a debug request has precendece over everything else +1720 1/1 if (CVA6Cfg.DebugEn && debug_req_i && !debug_mode_i) begin +1721 unreachable instruction_o.ex.valid = 1'b1; +1722 unreachable instruction_o.ex.cause = riscv::DEBUG_REQUEST; +1723 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : decoder + + Total Covered Percent +Conditions 134 132 98.51 +Logical 134 132 98.51 +Non-Logical 0 0 +Event 0 0 + + LINE 201 + EXPRESSION ((instr.itype.rs1 != '0) || (instr.itype.rd != '0)) + -----------1----------- -----------2---------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 201 + SUB-EXPRESSION (instr.itype.rs1 != '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 201 + SUB-EXPRESSION (instr.itype.rd != '0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 230 + EXPRESSION ((priv_lvl_i == PRIV_LVL_S) && tsr_i) + -------------1------------ --2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 230 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 257 + EXPRESSION (((!debug_mode_i)) ? 1'b1 : illegal_instr) + --------1-------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 284 + EXPRESSION (instr.instr[31:25] == 7'b0001001) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 289 + EXPRESSION ((priv_lvl_i == PRIV_LVL_S) ? 1'b0 : 1'b1) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 289 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 300 + EXPRESSION (instr.instr[31:25] == 7'b0010001) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 306 + EXPRESSION ((priv_lvl_i inside {PRIV_LVL_M, PRIV_LVL_S}) ? 1'b0 : 1'b1) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 309 + EXPRESSION (instr.instr[31:25] == 7'b0110001) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 315 + EXPRESSION ((priv_lvl_i inside {PRIV_LVL_M, PRIV_LVL_S}) ? 1'b0 : 1'b1) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 319 + EXPRESSION ((priv_lvl_i == PRIV_LVL_S) && ((!v_i)) && tvm_i) + -------------1------------ ----2--- --3-- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 319 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 332 + EXPRESSION (instr.instr[25] != 1'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 347 + EXPRESSION (((!hu_i)) && (priv_lvl_i == PRIV_LVL_U)) + ----1---- -------------2------------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 347 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_U) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 350 + EXPRESSION (instr.rtype.rs2 == 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 353 + EXPRESSION (instr.rtype.rs2 == 5'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 358 + EXPRESSION (instr.rtype.rs2 == 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 361 + EXPRESSION (instr.rtype.rs2 == 5'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 364 + EXPRESSION (instr.rtype.rs2 == 5'b00011) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 369 + EXPRESSION (instr.rtype.rs2 == 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 372 + EXPRESSION (instr.rtype.rs2 == 5'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 375 + EXPRESSION (instr.rtype.rs2 == 5'b00011) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 408 + EXPRESSION (instr.itype.rs1 == '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 415 + EXPRESSION (instr.itype.rs1 == '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 430 + EXPRESSION (instr.itype.rs1 == 5'b0) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 438 + EXPRESSION (instr.itype.rs1 == '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 473 + EXPRESSION (instr.rvftype.funct2 == 2'b10) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 513 + EXPRESSION (instr.rvftype.rs2 != 5'b0) + -------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 703 + EXPRESSION (((~allow_replication)) & instr.rvftype.repl) + -----------1---------- ---------2-------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 722 + EXPRESSION (((instr.rtype.funct7 == 7'b1) || ((instr.rtype.funct7 == 7'b0000101) && ((!instr.rtype.funct3[14])))) ? MULT : ALU) + --------------------------------------------------1-------------------------------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 722 + SUB-EXPRESSION ((instr.rtype.funct7 == 7'b1) || ((instr.rtype.funct7 == 7'b0000101) && ((!instr.rtype.funct3[14])))) + --------------1------------- ---------------------------------2--------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 722 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b1) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 722 + SUB-EXPRESSION ((instr.rtype.funct7 == 7'b0000101) && ((!instr.rtype.funct3[14]))) + -----------------1---------------- -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 722 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b0000101) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 724 + EXPRESSION ((instr.rtype.funct7 == 7'b1) ? MULT : ALU) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 724 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b1) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 798 + EXPRESSION (((!1'b0)) && (instr.instr[24:20] == 5'b0)) + ----1---- --------------2------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 798 + SUB-EXPRESSION (instr.instr[24:20] == 5'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 825 + EXPRESSION (illegal_instr_non_bm & illegal_instr_zic) + ----------1--------- --------2-------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 826 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 827 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm & illegal_instr_zic) + ----------1--------- --------2------- --------3-------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 837 + EXPRESSION ((instr.rtype.funct7 == 7'b1) ? MULT : ALU) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 837 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b1) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 874 + EXPRESSION (instr.instr[24:20] == 5'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 881 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 906 + EXPRESSION (instr.instr[31:26] != 6'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 907 + EXPRESSION ((instr.instr[25] != 1'b0) && (32'b00000000000000000000000000100000 == 32)) + ------------1------------ ----------------------2--------------------- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 907 + SUB-EXPRESSION (instr.instr[25] != 1'b0) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 907 + SUB-EXPRESSION (32'b00000000000000000000000000100000 == 32) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Covered + + LINE 911 + EXPRESSION (instr.instr[31:26] == 6'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 913 + EXPRESSION (instr.instr[31:26] == 6'b010000) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 916 + EXPRESSION ((instr.instr[25] != 1'b0) && (32'b00000000000000000000000000100000 == 32)) + ------------1------------ ----------------------2--------------------- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 916 + SUB-EXPRESSION (instr.instr[25] != 1'b0) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 916 + SUB-EXPRESSION (32'b00000000000000000000000000100000 == 32) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Covered + + LINE 922 + EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 923 + EXPRESSION (instr.instr[24:20] == 5'b00100) + ----------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 924 + EXPRESSION (instr.instr[24:20] == 5'b00101) + ----------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 925 + EXPRESSION (instr.instr[24:20] == 5'b00010) + ----------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 926 + EXPRESSION (instr.instr[24:20] == 5'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 927 + EXPRESSION (instr.instr[24:20] == 5'b1) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 931 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0100100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 931 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0100100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 935 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0110100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 935 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0110100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 939 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0010100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 939 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0010100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 946 + EXPRESSION (instr.instr[31:20] == 12'b001010000111) + --------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 949 + EXPRESSION (instr.instr[31:20] == 12'b011010011000) + --------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 953 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0100100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 953 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0100100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 957 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0110000)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 957 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 967 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 986 + EXPRESSION (instr.instr[31:25] != 7'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 989 + EXPRESSION (instr.instr[31:25] == 7'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 991 + EXPRESSION (instr.instr[31:25] == 7'b0100000) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1000 + EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1001 + EXPRESSION (instr.instr[21:20] == 2'b10) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1002 + EXPRESSION (instr.instr[21:20] == 2'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1003 + EXPRESSION (instr.instr[21:20] == 2'b1) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1005 + EXPRESSION (instr.instr[31:26] == 6'b000010) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1010 + EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1015 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1042 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1068 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1100 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1130 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1219 + EXPRESSION (instr.rftype.rs2 != 5'b0) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1283 + EXPRESSION ((instr.rftype.rm == 3'b0) || ((1'b0 && (instr.rftype.rm == 3'b100)))) + ------------1------------ -------------------2------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1283 + SUB-EXPRESSION (instr.rftype.rm == 3'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1285 + EXPRESSION ((instr.rftype.rm == 3'b1) || ((1'b0 && (instr.rftype.rm == 3'b101)))) + ------------1------------ -------------------2------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1285 + SUB-EXPRESSION (instr.rftype.rm == 3'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1289 + EXPRESSION (instr.rftype.rs2 != 5'b0) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1295 + EXPRESSION ( ! ((instr.rftype.rm == 3'b0) || ((1'b0 && (instr.rftype.rm == 3'b100)))) ) + -----------------------------------1---------------------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1295 + SUB-EXPRESSION ((instr.rftype.rm == 3'b0) || ((1'b0 && (instr.rftype.rm == 3'b100)))) + ------------1------------ -------------------2------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1295 + SUB-EXPRESSION (instr.rftype.rm == 3'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1298 + EXPRESSION (instr.rftype.rs2 != 5'b0) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1356 + EXPRESSION (instr.atype.rs2 != 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1375 + EXPRESSION (instr.atype.rs2 != 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1437 + EXPRESSION (instr.itype.funct3 != 3'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1464 + EXPRESSION (((~ex_i.valid)) && (is_illegal_i || illegal_instr)) + -------1------- ---------------2--------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 1464 + SUB-EXPRESSION (is_illegal_i || illegal_instr) + ------1----- ------2------ + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Not Covered + + LINE 1470 + EXPRESSION + Number Term + 1 ((instr.rtype.opcode == riscv::OpcodeMadd) || (instr.rtype.opcode == riscv::OpcodeMsub) || (instr.rtype.opcode == riscv::OpcodeNmadd) || (instr.rtype.opcode == riscv::OpcodeNmsub)) ? RS3 : MUX_RD_RS3) + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION + Number Term + 1 (instr.rtype.opcode == riscv::OpcodeMadd) || + 2 (instr.rtype.opcode == riscv::OpcodeMsub) || + 3 (instr.rtype.opcode == riscv::OpcodeNmadd) || + 4 (instr.rtype.opcode == riscv::OpcodeNmsub)) + +-1- -2- -3- -4- Status + 0 0 0 0 Covered + 0 0 0 1 Covered + 0 0 1 0 Covered + 0 1 0 0 Covered + 1 0 0 0 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeMadd) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeMsub) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeNmadd) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeNmsub) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1583 + EXPRESSION + Number Term + 1 is_compressed_i ? ({{(32'b00000000000000000000000000100000 - 16) {1'b0}}, compressed_instr_i}) : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, instruction_i})) + +-1- Status + 0 Covered + 1 Covered + + LINE 1590 + EXPRESSION + Number Term + 1 is_compressed_i ? ({{(32'b00000000000000000000000000100000 - 16) {1'b0}}, compressed_instr_i}) : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, instruction_i})) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1598 + EXPRESSION (illegal_instr || is_illegal_i) + ------1------ ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 1637 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_VS_TIMER] && irq_ctrl_i.mip[riscv::IRQ_VS_TIMER]) + -----------------1----------------- -----------------2----------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1641 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_VS_SOFT] && irq_ctrl_i.mip[riscv::IRQ_VS_SOFT]) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1645 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_VS_EXT] && irq_ctrl_i.mip[riscv::IRQ_VS_EXT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1649 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_HS_EXT] && irq_ctrl_i.mip[riscv::IRQ_HS_EXT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1655 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_S_TIMER] && irq_ctrl_i.mip[riscv::IRQ_S_TIMER]) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1659 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_S_SOFT] && irq_ctrl_i.mip[riscv::IRQ_S_SOFT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1665 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_S_EXT] && (irq_ctrl_i.mip[riscv::IRQ_S_EXT] | irq_i[ariane_pkg::SupervisorIrq])) + ----------------1--------------- ----------------------------------2---------------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1665 + SUB-EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_S_EXT] | irq_i[ariane_pkg::SupervisorIrq]) + ----------------1--------------- ----------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1670 + EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_M_TIMER] && irq_ctrl_i.mie[riscv::IRQ_M_TIMER]) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1675 + EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_M_SOFT] && irq_ctrl_i.mie[riscv::IRQ_M_SOFT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1680 + EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_M_EXT] && irq_ctrl_i.mie[riscv::IRQ_M_EXT]) + ----------------1--------------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1684 + EXPRESSION (interrupt_cause[(32'b00000000000000000000000000100000 - 1)] && irq_ctrl_i.global_enable) + -----------------------------1----------------------------- ------------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1691 + EXPRESSION ((irq_ctrl_i.sie && (priv_lvl_i == PRIV_LVL_S)) || (priv_lvl_i == PRIV_LVL_U)) + -----------------------1---------------------- -------------2------------ + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1691 + SUB-EXPRESSION (irq_ctrl_i.sie && (priv_lvl_i == PRIV_LVL_S)) + -------1------ -------------2------------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1691 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1691 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_U) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk2[0].decoder_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.12 99.73 98.51 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.12 99.73 98.51 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.12 99.73 98.51 -- decoder + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : serdiv +=============================================================================== +SCORE LINE COND ASSERT + 99.13 100.00 98.26 -- + +Source File(s) : + +cva6/core/serdiv.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div + + + +------------------------------------------------------------------------------- +Line Coverage for Module : serdiv + + Line No. Total Covered Percent +TOTAL 60 60 100.00 +ALWAYS 169 35 35 100.00 +ALWAYS 248 25 25 100.00 + +168 // default +169 1/1 state_d = state_q; +170 1/1 in_rdy_o = 1'b0; +171 1/1 out_vld_o = 1'b0; +172 1/1 load_en = 1'b0; +173 1/1 a_reg_en = 1'b0; +174 1/1 b_reg_en = 1'b0; +175 1/1 res_reg_en = 1'b0; +176 +177 1/1 unique case (state_q) +178 IDLE: begin +179 1/1 in_rdy_o = 1'b1; +180 +181 1/1 if (in_vld_i) begin +182 // CVA6: there is a cycle delay until the valid signal is asserted by the id stage +183 // Ara: we need a stable handshake +184 1/1 in_rdy_o = (STABLE_HANDSHAKE) ? 1'b1 : 1'b0; +185 1/1 a_reg_en = 1'b1; +186 1/1 b_reg_en = 1'b1; +187 1/1 load_en = 1'b1; +188 1/1 state_d = DIVIDE; +189 end + MISSING_ELSE +190 end +191 DIVIDE: begin +192 1/1 if (~(div_res_zero_q | op_b_zero_q | op_b_neg_one_q)) begin +193 1/1 a_reg_en = ab_comp; +194 1/1 b_reg_en = 1'b1; +195 1/1 res_reg_en = 1'b1; +196 end + MISSING_ELSE +197 // can end the division immediately if the result is known +198 1/1 if (div_res_zero_q | op_b_zero_q | op_b_neg_one_q) begin +199 1/1 out_vld_o = 1'b1; +200 1/1 state_d = FINISH; +201 1/1 if (out_rdy_i) begin +202 // in_rdy_o = 1'b1;// there is a cycle delay until the valid signal is asserted by the id stage +203 1/1 state_d = IDLE; +204 end + ==> MISSING_ELSE +205 1/1 end else if (cnt_zero) begin +206 1/1 state_d = FINISH; +207 end + MISSING_ELSE +208 end +209 FINISH: begin +210 1/1 out_vld_o = 1'b1; +211 +212 1/1 if (out_rdy_i) begin +213 // in_rdy_o = 1'b1;// there is a cycle delay until the valid signal is asserted by the id stage +214 1/1 state_d = IDLE; +215 end + ==> MISSING_ELSE +216 end +217 1/1 default: state_d = IDLE; +218 endcase +219 +220 1/1 if (flush_i) begin +221 1/1 a_reg_en = 1'b0; +222 1/1 b_reg_en = 1'b0; +223 1/1 load_en = 1'b0; +224 1/1 state_d = IDLE; +225 end + MISSING_ELSE +226 end +227 +228 ///////////////////////////////////// +229 // regs, flags +230 ///////////////////////////////////// +231 +232 // get flags +233 assign rem_sel_d = (load_en) ? opcode_i[1] : rem_sel_q; +234 assign comp_inv_d = (load_en) ? opcode_i[0] & op_b_sign : comp_inv_q; +235 assign op_b_zero_d = (load_en) ? op_b_zero : op_b_zero_q; +236 assign op_b_neg_one_d = (load_en) ? op_b_neg_one : op_b_neg_one_q; +237 assign res_inv_d = (load_en) ? (~op_b_zero | opcode_i[1]) & opcode_i[0] & (op_a_sign ^ op_b_sign ^ op_b_neg_one) : res_inv_q; +238 +239 // transaction id +240 assign id_d = (load_en) ? id_i : id_q; +241 assign id_o = id_q; +242 +243 assign op_a_d = (a_reg_en) ? add_out : op_a_q; +244 assign op_b_d = (b_reg_en) ? b_mux : op_b_q; +245 assign res_d = (load_en) ? '0 : (res_reg_en) ? {res_q[$high(res_q)-1:0], ab_comp} : res_q; +246 +247 always_ff @(posedge clk_i or negedge rst_ni) begin : p_regs +248 1/1 if (~rst_ni) begin +249 1/1 state_q <= IDLE; +250 1/1 op_a_q <= '0; +251 1/1 op_b_q <= '0; +252 1/1 res_q <= '0; +253 1/1 cnt_q <= '0; +254 1/1 id_q <= '0; +255 1/1 rem_sel_q <= 1'b0; +256 1/1 comp_inv_q <= 1'b0; +257 1/1 res_inv_q <= 1'b0; +258 1/1 op_b_zero_q <= 1'b0; +259 1/1 op_b_neg_one_q <= 1'b0; +260 1/1 div_res_zero_q <= 1'b0; +261 end else begin +262 1/1 state_q <= state_d; +263 1/1 op_a_q <= op_a_d; +264 1/1 op_b_q <= op_b_d; +265 1/1 res_q <= res_d; +266 1/1 cnt_q <= cnt_d; +267 1/1 id_q <= id_d; +268 1/1 rem_sel_q <= rem_sel_d; +269 1/1 comp_inv_q <= comp_inv_d; +270 1/1 res_inv_q <= res_inv_d; +271 1/1 op_b_zero_q <= op_b_zero_d; +272 1/1 op_b_neg_one_q <= op_b_neg_one_d; +273 1/1 div_res_zero_q <= div_res_zero_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : serdiv + + Total Covered Percent +Conditions 115 113 98.26 +Logical 115 113 98.26 +Non-Logical 0 0 +Event 0 0 + + LINE 192 + SUB-EXPRESSION (div_res_zero_q | op_b_zero_q | op_b_neg_one_q) + -------1------ -----2----- -------3------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 198 + EXPRESSION (div_res_zero_q | op_b_zero_q | op_b_neg_one_q) + -------1------ -----2----- -------3------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 103 + EXPRESSION (lzc_b_no_one & ((~op_b_sign))) + ------1----- -------2------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 104 + EXPRESSION (lzc_b_no_one & op_b_sign) + ------1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 106 + EXPRESSION ((opcode_i[0] & op_a_sign) ? ({(~op_a_i[(31 - 1):0]), 1'b1}) : op_a_i) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 106 + SUB-EXPRESSION (opcode_i[0] & op_a_sign) + -----1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 107 + EXPRESSION ((opcode_i[0] & op_b_sign) ? ((~op_b_i)) : op_b_i) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 107 + SUB-EXPRESSION (opcode_i[0] & op_b_sign) + -----1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 127 + EXPRESSION (lzc_a_no_one ? WIDTH : ({1'b0, lzc_a_result})) + ------1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 133 + EXPRESSION (load_en ? div_shift[6] : div_res_zero_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 139 + EXPRESSION (load_en & ( ~ (opcode_i[0] & (op_a_sign ^ op_b_sign)) )) + ---1--- ----------------------2---------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 139 + SUB-EXPRESSION (opcode_i[0] & (op_a_sign ^ op_b_sign)) + -----1----- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 139 + SUB-EXPRESSION (op_a_sign ^ op_b_sign) + ----1---- ----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 142 + EXPRESSION (load_en ? op_a_i : op_b_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 145 + EXPRESSION (load_en ? op_b : ({comp_inv_q, op_b_q[31:1]})) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + EXPRESSION (rem_sel_q ? (op_b_neg_one_q ? '0 : op_a_q) : (op_b_zero_q ? '1 : (op_b_neg_one_q ? op_a_q : res_q))) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + SUB-EXPRESSION (op_b_neg_one_q ? '0 : op_a_q) + -------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + SUB-EXPRESSION (op_b_zero_q ? '1 : (op_b_neg_one_q ? op_a_q : res_q)) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + SUB-EXPRESSION (op_b_neg_one_q ? op_a_q : res_q) + -------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 151 + EXPRESSION (res_inv_q ? ((-$signed(out_mux))) : out_mux) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 154 + EXPRESSION (((op_a_q == op_b_q) | ((op_a_q > op_b_q) ^ comp_inv_q)) & (((|op_a_q)) | op_b_zero_q)) + ---------------------------1--------------------------- -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 154 + SUB-EXPRESSION ((op_a_q == op_b_q) | ((op_a_q > op_b_q) ^ comp_inv_q)) + ---------1-------- ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 154 + SUB-EXPRESSION (op_a_q == op_b_q) + ---------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 154 + SUB-EXPRESSION ((op_a_q > op_b_q) ^ comp_inv_q) + --------1-------- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 154 + SUB-EXPRESSION (((|op_a_q)) | op_b_zero_q) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 157 + EXPRESSION (load_en ? 0 : op_a_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 158 + EXPRESSION (pm_sel ? ((add_tmp + add_mux)) : ((add_tmp - $signed(add_mux)))) + ---1-- + +-1- Status + 0 Covered + 1 Covered + + LINE 164 + EXPRESSION (cnt_q == 5'b0) + -------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 165 + EXPRESSION (load_en ? div_shift[($clog2(WIDTH) - 1):0] : (((~cnt_zero)) ? ((cnt_q - 1)) : cnt_q)) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 165 + SUB-EXPRESSION (((~cnt_zero)) ? ((cnt_q - 1)) : cnt_q) + ------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 233 + EXPRESSION (load_en ? opcode_i[1] : rem_sel_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 234 + EXPRESSION (load_en ? (opcode_i[0] & op_b_sign) : comp_inv_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 234 + SUB-EXPRESSION (opcode_i[0] & op_b_sign) + -----1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 235 + EXPRESSION (load_en ? op_b_zero : op_b_zero_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 236 + EXPRESSION (load_en ? op_b_neg_one : op_b_neg_one_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 237 + EXPRESSION (load_en ? ((((~op_b_zero)) | opcode_i[1]) & opcode_i[0] & (op_a_sign ^ op_b_sign ^ op_b_neg_one)) : res_inv_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 237 + SUB-EXPRESSION ((((~op_b_zero)) | opcode_i[1]) & opcode_i[0] & (op_a_sign ^ op_b_sign ^ op_b_neg_one)) + ---------------1-------------- -----2----- -------------------3------------------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 237 + SUB-EXPRESSION (((~op_b_zero)) | opcode_i[1]) + -------1------ -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 237 + SUB-EXPRESSION (op_a_sign ^ op_b_sign ^ op_b_neg_one) + ----1---- ----2---- ------3----- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Not Covered + 0 1 0 Covered + 0 1 1 Covered + 1 0 0 Covered + 1 0 1 Not Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 240 + EXPRESSION (load_en ? id_i : id_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 243 + EXPRESSION (a_reg_en ? add_out : op_a_q) + ----1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 244 + EXPRESSION (b_reg_en ? b_mux : op_b_q) + ----1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 245 + EXPRESSION (load_en ? '0 : (res_reg_en ? ({res_q[(31 - 1):0], ab_comp}) : res_q)) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 245 + SUB-EXPRESSION (res_reg_en ? ({res_q[(31 - 1):0], ab_comp}) : res_q) + -----1---- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.13 100.00 98.26 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.82 100.00 99.64 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- serdiv + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_mult + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_lzc_a +100.00 100.00 100.00 -- i_lzc_b + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : frontend +=============================================================================== +SCORE LINE COND ASSERT + 99.24 100.00 98.47 -- + +Source File(s) : + +cva6/core/frontend/frontend.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend + + + +------------------------------------------------------------------------------- +Line Coverage for Module : frontend + + Line No. Total Covered Percent +TOTAL 159 159 100.00 +ALWAYS 243 38 38 100.00 +ALWAYS 319 3 3 100.00 +ALWAYS 412 11 11 100.00 +ALWAYS 440 10 10 100.00 +ALWAYS 496 18 18 100.00 +ALWAYS 572 11 11 100.00 +ALWAYS 601 16 16 100.00 +ALWAYS 664 22 22 100.00 +ALWAYS 727 30 30 100.00 + +242 always_comb begin +243 1/1 taken_rvi_cf = '0; +244 1/1 taken_rvc_cf = '0; +245 1/1 predict_address = '0; +246 +247 2/2 for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) cf_type[i] = ariane_pkg::NoCF; +248 +249 1/1 ras_push = 1'b0; +250 1/1 ras_pop = 1'b0; +251 1/1 ras_update = '0; +252 +253 // lower most prediction gets precedence +254 1/1 for (int i = CVA6Cfg.INSTR_PER_FETCH - 1; i >= 0; i--) begin +255 1/1 unique case ({ +256 is_branch[i], is_return[i], is_jump[i], is_jalr[i] +257 }) +258 1/1 4'b0000: ; // regular instruction e.g.: no branch +259 // unconditional jump to register, we need the BTB to resolve this +260 4'b0001: begin +261 1/1 ras_pop = 1'b0; +262 1/1 ras_push = 1'b0; +263 1/1 if (CVA6Cfg.BTBEntries != 0 && btb_prediction_shifted[i].valid) begin +264 unreachable predict_address = btb_prediction_shifted[i].target_address; +265 unreachable cf_type[i] = ariane_pkg::JumpR; +266 end + MISSING_ELSE +267 end +268 // its an unconditional jump to an immediate +269 4'b0010: begin +270 1/1 ras_pop = 1'b0; +271 1/1 ras_push = 1'b0; +272 1/1 taken_rvi_cf[i] = rvi_jump[i]; +273 1/1 taken_rvc_cf[i] = rvc_jump[i]; +274 1/1 cf_type[i] = ariane_pkg::Jump; +275 end +276 // return +277 4'b0100: begin +278 // make sure to only alter the RAS if we actually consumed the instruction +279 1/1 ras_pop = ras_predict.valid & instr_queue_consumed[i]; +280 1/1 ras_push = 1'b0; +281 1/1 predict_address = ras_predict.ra; +282 1/1 cf_type[i] = ariane_pkg::Return; +283 end +284 // branch prediction +285 4'b1000: begin +286 1/1 ras_pop = 1'b0; +287 1/1 ras_push = 1'b0; +288 // if we have a valid dynamic prediction use it +289 1/1 if (bht_prediction_shifted[i].valid) begin +290 1/1 taken_rvi_cf[i] = rvi_branch[i] & bht_prediction_shifted[i].taken; +291 1/1 taken_rvc_cf[i] = rvc_branch[i] & bht_prediction_shifted[i].taken; +292 // otherwise default to static prediction +293 end else begin +294 // set if immediate is negative - static prediction +295 1/1 taken_rvi_cf[i] = rvi_branch[i] & rvi_imm[i][CVA6Cfg.VLEN-1]; +296 1/1 taken_rvc_cf[i] = rvc_branch[i] & rvc_imm[i][CVA6Cfg.VLEN-1]; +297 end +298 1/1 if (taken_rvi_cf[i] || taken_rvc_cf[i]) begin +299 1/1 cf_type[i] = ariane_pkg::Branch; +300 end + MISSING_ELSE +301 end +302 1/1 default: ; +303 // default: $error("Decoded more than one control flow"); +304 endcase +305 // if this instruction, in addition, is a call, save the resulting address +306 // but only if we actually consumed the address +307 1/1 if (is_call[i]) begin +308 1/1 ras_push = instr_queue_consumed[i]; +309 1/1 ras_update = addr[i] + (rvc_call[i] ? 2 : 4); +310 end + MISSING_ELSE +311 // calculate the jump target address +312 1/1 if (taken_rvc_cf[i] || taken_rvi_cf[i]) begin +313 1/1 predict_address = addr[i] + (taken_rvc_cf[i] ? rvc_imm[i] : rvi_imm[i]); +314 end + MISSING_ELSE +315 end +316 end +317 // or reduce struct +318 always_comb begin +319 1/1 bp_valid = 1'b0; +320 // BP cannot be valid if we have a return instruction and the RAS is not giving a valid address +321 // Check that we encountered a control flow and that for a return the RAS +322 // contains a valid prediction. +323 1/1 for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) +324 1/1 bp_valid |= ((cf_type[i] != NoCF & cf_type[i] != Return) | ((cf_type[i] == Return) & ras_predict.valid)); +325 end +326 assign is_mispredict = resolved_branch_i.is_mispredict; +327 +328 logic spec_req_non_idempot; +329 +330 // MMU interface +331 assign areq_o.fetch_vaddr = (vaddr_q >> CVA6Cfg.FETCH_ALIGN_BITS) << CVA6Cfg.FETCH_ALIGN_BITS; +332 +333 // CHECK PMA regions +334 +335 logic paddr_is_cacheable, paddr_is_cacheable_q; // asserted if physical address is non-cacheable +336 assign paddr_is_cacheable = config_pkg::is_inside_cacheable_regions( +337 CVA6Cfg, {{64 - CVA6Cfg.PLEN{1'b0}}, obi_fetch_req_o.a.addr} //TO DO CHECK GRANULARITY +338 ); +339 +340 logic paddr_nonidempotent; +341 assign paddr_nonidempotent = config_pkg::is_inside_nonidempotent_regions( +342 CVA6Cfg, {{64 - CVA6Cfg.PLEN{1'b0}}, obi_fetch_req_o.a.addr} //TO DO CHECK GRANULARITY +343 ); +344 +345 // Caches optimisation signals +346 +347 logic [CVA6Cfg.VLEN-1:0] vaddr_rvalid; +348 logic rvalid; +349 logic ex_rvalid; +350 logic pop_fetch; +351 +352 // in order to decouple the response interface from the request interface, +353 // we need a a buffer which can hold all inflight memory fetch requests +354 typedef struct packed { +355 logic [CVA6Cfg.VLEN-1:0] vaddr; // scoreboard identifier +356 } fetchbuf_t; +357 +358 logic [CVA6Cfg.PLEN-1:0] paddr; +359 +360 // to support a throughput of one fetch per cycle, if the number of entries +361 // of the fetch buffer is 1, implement a fall-through mode. This however +362 // adds a combinational path between the request and response interfaces +363 // towards the cache. +364 localparam logic FETCHBUF_FALLTHROUGH = (CVA6Cfg.NrFetchBufEntries == 1); +365 localparam int unsigned REQ_ID_BITS = CVA6Cfg.NrFetchBufEntries > 1 ? $clog2( +366 CVA6Cfg.NrFetchBufEntries +367 ) : 1; +368 +369 typedef logic [REQ_ID_BITS-1:0] fetchbuf_id_t; +370 +371 logic [CVA6Cfg.NrFetchBufEntries-1:0] fetchbuf_valid_q, fetchbuf_valid_d; +372 logic [CVA6Cfg.NrFetchBufEntries-1:0] fetchbuf_flushed_q, fetchbuf_flushed_d; +373 fetchbuf_t [CVA6Cfg.NrFetchBufEntries-1:0] fetchbuf_q; +374 logic fetchbuf_empty, fetchbuf_full; +375 fetchbuf_id_t fetchbuf_free_index; +376 logic fetchbuf_w, fetchbuf_w_q; +377 fetchbuf_id_t fetchbuf_windex, fetchbuf_windex_q; +378 logic fetchbuf_r; +379 fetchbuf_t fetchbuf_rdata; +380 fetchbuf_id_t fetchbuf_rindex; +381 fetchbuf_id_t fetchbuf_last_id_q; +382 +383 logic kill_req_d, kill_req_q; +384 logic ex_s1; +385 +386 +387 assign fetchbuf_full = &fetchbuf_valid_q && !(FETCHBUF_FALLTHROUGH && fetchbuf_r); +388 +389 +390 // +391 // buffer of outstanding fetchs +392 +393 // write in the first available slot +394 generate +395 if (CVA6Cfg.NrFetchBufEntries > 1) begin : fetchbuf_free_index_multi_gen +396 lzc #( +397 .WIDTH(CVA6Cfg.NrFetchBufEntries), +398 .MODE (1'b0) // Count leading zeros +399 ) lzc_windex_i ( +400 .in_i (~fetchbuf_valid_q), +401 .cnt_o (fetchbuf_free_index), +402 .empty_o(fetchbuf_empty) +403 ); +404 end else begin : fetchbuf_free_index_single_gen +405 assign fetchbuf_free_index = 1'b0; +406 end +407 endgenerate +408 +409 assign fetchbuf_windex = (FETCHBUF_FALLTHROUGH && fetchbuf_r) ? fetchbuf_rindex : fetchbuf_free_index; +410 +411 always_comb begin : fetchbuf_comb +412 1/1 fetchbuf_flushed_d = fetchbuf_flushed_q; +413 1/1 fetchbuf_valid_d = fetchbuf_valid_q; +414 +415 // In case of flush, raise the flushed flag in all slots. +416 1/1 if (flush_i) begin +417 1/1 fetchbuf_flushed_d = '1; +418 end + MISSING_ELSE +419 // Free read entry (in the case of fall-through mode, free the entry +420 // only if there is no pending fetch) +421 1/1 if (fetchbuf_r && (!FETCHBUF_FALLTHROUGH || !fetchbuf_w)) begin +422 1/1 fetchbuf_valid_d[fetchbuf_rindex] = 1'b0; +423 end + MISSING_ELSE +424 // Flush on bp_valid +425 1/1 if (bp_valid) begin +426 1/1 fetchbuf_flushed_d[fetchbuf_last_id_q] = 1'b1; +427 end + MISSING_ELSE +428 // Free on exception +429 //if (fetchbuf_w_q && ((CVA6Cfg.MmuPresent && ex_s1) || bp_valid) || kill_req_q) begin +430 // fetchbuf_valid_d[fetchbuf_windex_q] = 1'b0; +431 //end +432 // Track a new outstanding operation in the fetch buffer +433 1/1 if (fetchbuf_w) begin +434 1/1 fetchbuf_flushed_d[fetchbuf_windex] = 1'b0; +435 1/1 fetchbuf_valid_d[fetchbuf_windex] = 1'b1; +436 end + MISSING_ELSE +437 end +438 +439 always_ff @(posedge clk_i or negedge rst_ni) begin : fetchbuf_ff +440 1/1 if (!rst_ni) begin +441 1/1 fetchbuf_flushed_q <= '0; +442 1/1 fetchbuf_valid_q <= '0; +443 1/1 fetchbuf_last_id_q <= '0; +444 1/1 fetchbuf_q <= '0; +445 end else begin +446 1/1 fetchbuf_flushed_q <= fetchbuf_flushed_d; +447 1/1 fetchbuf_valid_q <= fetchbuf_valid_d; +448 1/1 if (fetchbuf_w) begin +449 1/1 fetchbuf_last_id_q <= fetchbuf_windex; +450 1/1 fetchbuf_q[fetchbuf_windex].vaddr <= vaddr_d; +451 end + MISSING_ELSE +452 end +453 end +454 +455 +456 +457 typedef enum logic [1:0] { +458 TRANSPARENT, +459 REGISTRED +460 } obi_a_state_e; +461 obi_a_state_e obi_a_state_d, obi_a_state_q; +462 +463 +464 logic stall_obi, stall_translation; +465 logic data_req, data_rvalid; +466 +467 assign stall_ni = spec_req_non_idempot; +468 assign stall_obi = (obi_a_state_q == REGISTRED); //&& !obi_load_rsp_i.gnt; +469 assign stall_translation = CVA6Cfg.MmuPresent ? areq_o.fetch_req && (!arsp_i.fetch_valid) : 1'b0; +470 assign stall_instr_queue = instr_queue_ready; +471 +472 assign ex_s1 = (CVA6Cfg.MmuPresent && arsp_i.fetch_exception.valid); +473 +474 // We need to flush the cache pipeline if: +475 // 1. We mispredicted +476 // 2. Want to flush the whole processor front-end +477 // 3. Need to replay an instruction because the fetch-fifo was full +478 assign kill_s1 = is_mispredict | flush_i | replay; +479 // if we have a valid branch-prediction we need to only kill the last cache request +480 // also if we killed the first stage we also need to kill the second stage (inclusive flush) +481 assign kill_s2 = kill_s1 | bp_valid; +482 +483 assign fetch_req_o.kill_req = kill_req_q || kill_s2 || ex_s1; +484 +485 assign data_rvalid = fetchbuf_r && !fetchbuf_flushed_q[fetchbuf_rindex] && !kill_s2; +486 +487 //assign obi_vaddr_d = pop_fetch ? : obi_vaddr_qvaddr_d; +488 assign vaddr_d = (pop_fetch || kill_s2) ? npc_fetch_address : vaddr_q; +489 assign fetch_req_o.vaddr = npc_fetch_address; +490 assign paddr = CVA6Cfg.MmuPresent ? arsp_i.fetch_paddr : npc_fetch_address; +491 +492 assign data_req = (CVA6Cfg.MmuPresent ? fetchbuf_w_q && !ex_s1 && !bp_valid : fetchbuf_w); +493 +494 always_comb begin : p_fsm_common +495 // default assignmen +496 1/1 kill_req_d = 1'b0; +497 1/1 fetchbuf_w = 1'b0; +498 //response +499 1/1 vaddr_rvalid = npc_fetch_address; +500 1/1 rvalid = 1'b0; +501 1/1 ex_rvalid = 1'b0; +502 1/1 pop_fetch = 1'b0; // release lsu_bypass fifo +503 +504 // REQUEST +505 //if (instr_queue_ready) begin +506 1/1 areq_o.fetch_req = 1'b1; +507 1/1 fetch_req_o.req = 1'b1; +508 1/1 if (!CVA6Cfg.MmuPresent || fetch_rsp_i.ready) begin +509 1/1 if (stall_ni || stall_obi || !instr_queue_ready || fetchbuf_full) begin +510 1/1 kill_req_d = CVA6Cfg.MmuPresent ? 1'b1 : 1'b0; // MmuPresent only : next cycle is s2 but we need to kill because not ready to sent tag +511 end else begin +512 1/1 fetchbuf_w = !kill_s1 && !flush_i; // record request into outstanding fetch fifo and trigger OBI request +513 1/1 pop_fetch = 1'b1; // release lsu_bypass fifo +514 end +515 end + ==> MISSING_ELSE +516 //end +517 // RETIRE FETCH +518 // we got an rvalid and it's corresponding request was not flushed +519 1/1 if (data_rvalid) begin +520 1/1 vaddr_rvalid = fetchbuf_q[fetchbuf_rindex].vaddr; +521 1/1 rvalid = !bp_valid && !flush_i; +522 1/1 ex_rvalid = 1'b0; +523 // RETIRE EXCEPTION (low priority) +524 1/1 end else if (CVA6Cfg.MmuPresent && ex_s1) begin +525 unreachable vaddr_rvalid = CVA6Cfg.MmuPresent ? fetchbuf_q[fetchbuf_windex_q].vaddr : npc_fetch_address; +526 unreachable rvalid = !bp_valid && !flush_i; +527 unreachable ex_rvalid = 1'b1; +528 unreachable pop_fetch = 1'b1; // release lsu_bypass fifo +529 end + MISSING_ELSE +530 +531 end +532 +533 // --------------- +534 // Retire Load +535 // --------------- +536 assign fetchbuf_rindex = (CVA6Cfg.NrFetchBufEntries > 1) ? fetchbuf_id_t'(obi_fetch_rsp_i.r.rid) : 1'b0; +537 assign fetchbuf_rdata = fetchbuf_q[fetchbuf_rindex]; +538 +539 // read the pending fetch buffer +540 assign fetchbuf_r = obi_fetch_rsp_i.rvalid; +541 +542 +543 //default obi state registred +544 assign obi_fetch_req_o.reqpar = !obi_fetch_req_o.req; +545 assign obi_fetch_req_o.a.addr = { +546 obi_a_state_q == TRANSPARENT ? paddr[CVA6Cfg.PLEN-1:CVA6Cfg.FETCH_ALIGN_BITS] : paddr_q[CVA6Cfg.PLEN-1:CVA6Cfg.FETCH_ALIGN_BITS], +547 {CVA6Cfg.FETCH_ALIGN_BITS{1'b0}} +548 }; +549 assign obi_fetch_req_o.a.we = '0; +550 assign obi_fetch_req_o.a.be = '1; +551 assign obi_fetch_req_o.a.wdata = '0; +552 assign obi_fetch_req_o.a.aid = (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? fetchbuf_windex : fetchbuf_windex_q; +553 assign obi_fetch_req_o.a.a_optional.auser = '0; +554 assign obi_fetch_req_o.a.a_optional.wuser = '0; +555 assign obi_fetch_req_o.a.a_optional.atop = '0; +556 assign obi_fetch_req_o.a.a_optional.memtype[0] = '0; +557 assign obi_fetch_req_o.a.a_optional.memtype[1]= (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q; +558 assign obi_fetch_req_o.a.a_optional.mid = '0; +559 assign obi_fetch_req_o.a.a_optional.prot[0] = '0; +560 assign obi_fetch_req_o.a.a_optional.prot[2:1] = 2'b11; +561 assign obi_fetch_req_o.a.a_optional.dbg = '0; +562 assign obi_fetch_req_o.a.a_optional.achk = '0; +563 +564 assign obi_fetch_req_o.rready = '1; //always ready +565 assign obi_fetch_req_o.rreadypar = '0; +566 +567 +568 +569 +570 always_comb begin : p_fsm_obi_a +571 // default assignment +572 1/1 obi_a_state_d = obi_a_state_q; +573 1/1 obi_fetch_req_o.req = 1'b0; +574 +575 1/1 unique case (obi_a_state_q) +576 TRANSPARENT: begin +577 1/1 if (data_req) begin +578 1/1 obi_fetch_req_o.req = 1'b1; +579 1/1 if (!obi_fetch_rsp_i.gnt) begin +580 1/1 obi_a_state_d = REGISTRED; +581 end + MISSING_ELSE +582 end + MISSING_ELSE +583 end +584 +585 REGISTRED: begin +586 1/1 obi_fetch_req_o.req = 1'b1; +587 1/1 if (obi_fetch_rsp_i.gnt) begin +588 1/1 obi_a_state_d = TRANSPARENT; +589 end + MISSING_ELSE +590 end +591 +592 default: begin +593 // we should never get here +594 1/1 obi_a_state_d = TRANSPARENT; +595 end +596 endcase +597 end +598 +599 // latch physical address for the tag cycle (one cycle after applying the index) +600 always_ff @(posedge clk_i or negedge rst_ni) begin +601 1/1 if (~rst_ni) begin +602 1/1 obi_a_state_q <= TRANSPARENT; +603 1/1 paddr_q <= '0; +604 1/1 paddr_is_cacheable_q <= '0; +605 1/1 kill_req_q <= '0; +606 1/1 fetchbuf_windex_q <= '0; +607 1/1 fetchbuf_w_q <= '0; +608 1/1 vaddr_q <= '0; +609 end else begin +610 1/1 if (obi_a_state_q == TRANSPARENT) begin +611 1/1 paddr_q <= paddr; +612 1/1 paddr_is_cacheable_q <= paddr_is_cacheable; +613 end + MISSING_ELSE +614 1/1 obi_a_state_q <= obi_a_state_d; +615 1/1 kill_req_q <= kill_req_d; +616 //if (!ex_s1) begin +617 1/1 fetchbuf_windex_q <= fetchbuf_windex; +618 1/1 fetchbuf_w_q <= fetchbuf_w; +619 //end +620 1/1 vaddr_q <= vaddr_d; +621 end +622 end +623 +624 // Update Control Flow Predictions +625 bht_update_t bht_update; +626 btb_update_t btb_update; +627 +628 logic speculative_q, speculative_d; +629 assign speculative_d = (speculative_q && !resolved_branch_i.valid || |is_branch || |is_return || |is_jalr) && !flush_i; +630 +631 assign spec_req_non_idempot = CVA6Cfg.NonIdemPotenceEn ? speculative_d && paddr_nonidempotent : 1'b0; +632 +633 +634 assign bht_update.valid = resolved_branch_i.valid +635 & (resolved_branch_i.cf_type == ariane_pkg::Branch); +636 assign bht_update.pc = resolved_branch_i.pc; +637 assign bht_update.taken = resolved_branch_i.is_taken; +638 // only update mispredicted branches e.g. no returns from the RAS +639 assign btb_update.valid = resolved_branch_i.is_mispredict +640 & (resolved_branch_i.cf_type == ariane_pkg::JumpR); +641 assign btb_update.pc = resolved_branch_i.pc; +642 assign btb_update.target_address = resolved_branch_i.target_address; +643 +644 // ------------------- +645 // Next PC +646 // ------------------- +647 // next PC (NPC) can come from (in order of precedence): +648 // 0. Default assignment/replay instruction +649 // 1. Branch Predict taken +650 // 2. Control flow change request (misprediction) +651 // 3. Return from environment call +652 // 4. Exception/Interrupt +653 // 5. Pipeline Flush because of CSR side effects +654 // Mis-predict handling is a little bit different +655 // select PC a.k.a PC Gen +656 always_comb begin : npc_select +657 automatic logic [CVA6Cfg.VLEN-1:0] fetch_address; +658 // check whether we come out of reset +659 // this is a workaround. some tools have issues +660 // having boot_addr_i in the asynchronous +661 // reset assignment to npc_q, even though +662 // boot_addr_i will be assigned a constant +663 // on the top-level. +664 1/1 if (npc_rst_load_q) begin +665 1/1 npc_d = boot_addr_i; +666 1/1 fetch_address = boot_addr_i; +667 end else begin +668 1/1 fetch_address = npc_q; +669 // keep stable by default +670 1/1 npc_d = npc_q; +671 end +672 // 0. Branch Prediction +673 1/1 if (bp_valid) begin +674 1/1 fetch_address = predict_address; +675 1/1 npc_d = predict_address; +676 end + MISSING_ELSE +677 // 1. Default assignment +678 1/1 if (pop_fetch) begin +679 1/1 npc_d = { +680 fetch_address[CVA6Cfg.VLEN-1:CVA6Cfg.FETCH_ALIGN_BITS] + 1, {CVA6Cfg.FETCH_ALIGN_BITS{1'b0}} +681 }; +682 end + MISSING_ELSE +683 // 2. Replay instruction fetch +684 1/1 if (replay) begin +685 1/1 npc_d = replay_addr; +686 end + MISSING_ELSE +687 // 3. Control flow change request +688 1/1 if (is_mispredict) begin +689 1/1 npc_d = resolved_branch_i.target_address; +690 end + MISSING_ELSE +691 // 4. Return from environment call +692 1/1 if (eret_i) begin +693 1/1 npc_d = epc_i; +694 end + MISSING_ELSE +695 // 5. Exception/Interrupt +696 1/1 if (ex_valid_i) begin +697 1/1 npc_d = trap_vector_base_i; +698 end + MISSING_ELSE +699 // 6. Pipeline Flush because of CSR side effects +700 // On a pipeline flush start fetching from the next address +701 // of the instruction in the commit stage +702 // we either came here from a flush request of a CSR instruction or AMO, +703 // so as CSR or AMO instructions do not exist in a compressed form +704 // we can unconditionally do PC + 4 here +705 // or if the commit stage is halted, just take the current pc of the +706 // instruction in the commit stage +707 // IMPROVEMENT: This adder can at least be merged with the one in the csr_regfile stage +708 1/1 if (set_pc_commit_i) begin +709 1/1 npc_d = pc_commit_i + (halt_i ? '0 : {{CVA6Cfg.VLEN - 3{1'b0}}, 3'b100}); +710 end + MISSING_ELSE +711 // 7. Debug +712 // enter debug on a hard-coded base-address +713 1/1 if (CVA6Cfg.DebugEn && set_debug_pc_i) +714 unreachable npc_d = CVA6Cfg.DmBaseAddress[CVA6Cfg.VLEN-1:0] + CVA6Cfg.HaltAddress[CVA6Cfg.VLEN-1:0]; + MISSING_ELSE +715 1/1 npc_fetch_address = fetch_address; +716 end +717 +718 logic [CVA6Cfg.FETCH_WIDTH-1:0] fetch_data; +719 logic fetch_valid_d; +720 +721 // re-align the cache line +722 assign fetch_data = ex_rvalid && CVA6Cfg.MmuPresent ? '0 : obi_fetch_rsp_i.r.rdata >> {shamt, 4'b0}; +723 assign fetch_valid_d = rvalid; +724 assign fetch_vaddr_d = vaddr_rvalid; +725 +726 always_ff @(posedge clk_i or negedge rst_ni) begin +727 1/1 if (!rst_ni) begin +728 1/1 npc_rst_load_q <= 1'b1; +729 1/1 npc_q <= '0; +730 1/1 speculative_q <= '0; +731 1/1 fetch_data_q <= '0; +732 1/1 fetch_valid_q <= 1'b0; +733 1/1 fetch_vaddr_q <= 'b0; +734 1/1 fetch_gpaddr_q <= 'b0; +735 1/1 fetch_tinst_q <= 'b0; +736 1/1 fetch_gva_q <= 1'b0; +737 1/1 fetch_ex_valid_q <= ariane_pkg::FE_NONE; +738 1/1 btb_q <= '0; +739 1/1 bht_q <= '0; +740 end else begin +741 1/1 npc_rst_load_q <= 1'b0; +742 1/1 npc_q <= npc_d; +743 1/1 speculative_q <= speculative_d; +744 1/1 fetch_valid_q <= fetch_valid_d; +745 1/1 if (fetch_valid_d) begin +746 1/1 fetch_data_q <= fetch_data; +747 1/1 fetch_vaddr_q <= fetch_vaddr_d; +748 1/1 if (CVA6Cfg.RVH) begin +749 unreachable fetch_gpaddr_q <= arsp_i.fetch_exception.tval2[CVA6Cfg.GPLEN-1:0]; +750 unreachable fetch_tinst_q <= arsp_i.fetch_exception.tinst; +751 unreachable fetch_gva_q <= arsp_i.fetch_exception.gva; +752 end else begin +753 1/1 fetch_gpaddr_q <= 'b0; +754 1/1 fetch_tinst_q <= 'b0; +755 1/1 fetch_gva_q <= 1'b0; +756 end +757 +758 // Map the only three exceptions which can occur in the frontend to a two bit enum +759 1/1 if (CVA6Cfg.MmuPresent && arsp_i.fetch_exception.cause == riscv::INSTR_GUEST_PAGE_FAULT) begin +760 unreachable fetch_ex_valid_q <= ariane_pkg::FE_INSTR_GUEST_PAGE_FAULT; +761 1/1 end else if (CVA6Cfg.MmuPresent && arsp_i.fetch_exception.cause == riscv::INSTR_PAGE_FAULT) begin +762 unreachable fetch_ex_valid_q <= ariane_pkg::FE_INSTR_PAGE_FAULT; +763 1/1 end else if (CVA6Cfg.NrPMPEntries != 0 && arsp_i.fetch_exception.cause == riscv::INSTR_ACCESS_FAULT) begin +764 unreachable fetch_ex_valid_q <= ariane_pkg::FE_INSTR_ACCESS_FAULT; +765 end else begin +766 1/1 fetch_ex_valid_q <= ariane_pkg::FE_NONE; +767 end +768 // save the uppermost prediction +769 1/1 btb_q <= btb_prediction[CVA6Cfg.INSTR_PER_FETCH-1]; +770 1/1 bht_q <= bht_prediction[CVA6Cfg.INSTR_PER_FETCH-1]; +771 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : frontend + + Total Covered Percent +Conditions 131 129 98.47 +Logical 131 129 98.47 +Non-Logical 0 0 +Event 0 0 + + LINE 279 + EXPRESSION (ras_predict.valid & instr_queue_consumed[i]) + --------1-------- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 290 + EXPRESSION (rvi_branch[i] & bht_prediction_shifted[i].taken) + ------1------ ---------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 291 + EXPRESSION (rvc_branch[i] & bht_prediction_shifted[i].taken) + ------1------ ---------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 295 + EXPRESSION (rvi_branch[i] & rvi_imm[i][(32'b00000000000000000000000000100000 - 1)]) + ------1------ ---------------------------2-------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 296 + EXPRESSION (rvc_branch[i] & rvc_imm[i][(32'b00000000000000000000000000100000 - 1)]) + ------1------ ---------------------------2-------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 298 + EXPRESSION (taken_rvi_cf[i] || taken_rvc_cf[i]) + -------1------- -------2------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 309 + EXPRESSION (rvc_call[i] ? 2 : 4) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 312 + EXPRESSION (taken_rvc_cf[i] || taken_rvi_cf[i]) + -------1------- -------2------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 313 + EXPRESSION (taken_rvc_cf[i] ? rvc_imm[i] : rvi_imm[i]) + -------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + EXPRESSION (((cf_type[i] != NoCF) & (cf_type[i] != Return)) | ((cf_type[i] == Return) & ras_predict.valid)) + -----------------------1----------------------- ----------------------2--------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 324 + SUB-EXPRESSION ((cf_type[i] != NoCF) & (cf_type[i] != Return)) + ----------1--------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 324 + SUB-EXPRESSION (cf_type[i] != NoCF) + ----------1--------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + SUB-EXPRESSION (cf_type[i] != Return) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + SUB-EXPRESSION ((cf_type[i] == Return) & ras_predict.valid) + -----------1---------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 324 + SUB-EXPRESSION (cf_type[i] == Return) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 421 + EXPRESSION (fetchbuf_r && (((!FETCHBUF_FALLTHROUGH)) || ((!fetchbuf_w)))) + -----1---- -----------------------2---------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 421 + SUB-EXPRESSION (((!FETCHBUF_FALLTHROUGH)) || ((!fetchbuf_w))) + ------------1------------ -------2------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 509 + EXPRESSION (stall_ni || stall_obi || ((!instr_queue_ready)) || fetchbuf_full) + ----1--- ----2---- -----------3---------- ------4------ + +-1- -2- -3- -4- Status + 0 0 0 0 Covered + 0 0 0 1 Covered + 0 0 1 0 Covered + 0 1 0 0 Covered + 1 0 0 0 Unreachable + + LINE 512 + EXPRESSION (((!kill_s1)) && ((!flush_i))) + ------1----- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 521 + EXPRESSION (((!bp_valid)) && ((!flush_i))) + ------1------ ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 526 + EXPRESSION (((!bp_valid)) && ((!flush_i))) + ------1------ ------2----- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 610 + EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 709 + EXPRESSION (halt_i ? '0 : ({{(32'b00000000000000000000000000100000 - 3) {1'b0}}, 3'b100})) + ---1-- + +-1- Status + 0 Covered + 1 Not Covered + + LINE 387 + EXPRESSION (((&fetchbuf_valid_q)) && ( ! (FETCHBUF_FALLTHROUGH && fetchbuf_r) )) + ----------1---------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 387 + SUB-EXPRESSION ( ! (FETCHBUF_FALLTHROUGH && fetchbuf_r) ) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 387 + SUB-EXPRESSION (FETCHBUF_FALLTHROUGH && fetchbuf_r) + ----------1--------- -----2---- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 409 + EXPRESSION ((FETCHBUF_FALLTHROUGH && fetchbuf_r) ? fetchbuf_rindex : fetchbuf_free_index) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 409 + SUB-EXPRESSION (FETCHBUF_FALLTHROUGH && fetchbuf_r) + ----------1--------- -----2---- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 468 + EXPRESSION (obi_a_state_q == REGISTRED) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 478 + EXPRESSION (is_mispredict | flush_i | replay) + ------1------ ---2--- ---3-- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 481 + EXPRESSION (kill_s1 | bp_valid) + ---1--- ----2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 483 + EXPRESSION (kill_req_q || kill_s2 || ex_s1) + -----1---- ---2--- --3-- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Covered + 1 0 0 Unreachable + + LINE 485 + EXPRESSION (fetchbuf_r && ((!fetchbuf_flushed_q[fetchbuf_rindex])) && ((!kill_s2))) + -----1---- --------------------2------------------- ------3----- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 488 + EXPRESSION ((pop_fetch || kill_s2) ? npc_fetch_address : vaddr_q) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 488 + SUB-EXPRESSION (pop_fetch || kill_s2) + ----1---- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 545 + SUB-EXPRESSION + Number Term + 1 (obi_a_state_q == TRANSPARENT) ? paddr[32'b00000000000000000000000000100001:32'b00000000000000000000000000000010] : paddr_q[32'b00000000000000000000000000100001:32'b00000000000000000000000000000010]) + +-1- Status + 0 Covered + 1 Covered + + LINE 545 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 552 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? fetchbuf_windex : fetchbuf_windex_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 552 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 552 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 557 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 557 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 557 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 629 + EXPRESSION (((speculative_q && ((!resolved_branch_i.valid))) || ((|is_branch)) || ((|is_return)) || ((|is_jalr))) && ((!flush_i))) + --------------------------------------------------1-------------------------------------------------- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 629 + SUB-EXPRESSION ((speculative_q && ((!resolved_branch_i.valid))) || ((|is_branch)) || ((|is_return)) || ((|is_jalr))) + -----------------------1----------------------- -------2------ -------3------ ------4----- + +-1- -2- -3- -4- Status + 0 0 0 0 Covered + 0 0 0 1 Covered + 0 0 1 0 Covered + 0 1 0 0 Covered + 1 0 0 0 Covered + + LINE 629 + SUB-EXPRESSION (speculative_q && ((!resolved_branch_i.valid))) + ------1------ --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 634 + EXPRESSION (resolved_branch_i.valid & (resolved_branch_i.cf_type == Branch)) + -----------1----------- ------------------2------------------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 634 + SUB-EXPRESSION (resolved_branch_i.cf_type == Branch) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 639 + EXPRESSION (resolved_branch_i.is_mispredict & (resolved_branch_i.cf_type == JumpR)) + ---------------1--------------- ------------------2----------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 639 + SUB-EXPRESSION (resolved_branch_i.cf_type == JumpR) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 201 + EXPRESSION (serving_unaligned ? bht_q : bht_prediction[addr[0][$clog2(32'b00000000000000000000000000000010):1]]) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 204 + EXPRESSION (serving_unaligned ? btb_q : btb_prediction[addr[0][$clog2(32'b00000000000000000000000000000010):1]]) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.24 100.00 98.47 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.70 100.00 99.39 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- frontend + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- bht_gen.i_bht +100.00 100.00 100.00 -- gen_instr_scan[0].i_instr_scan +100.00 100.00 100.00 -- i_instr_queue +100.00 100.00 100.00 -- i_instr_realign +100.00 100.00 100.00 -- ras_gen.i_ras + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : ariane_regfile +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/ariane_regfile_ff.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.gen_asic_regfile.i_ariane_regfile + + + +------------------------------------------------------------------------------- +Line Coverage for Module : ariane_regfile + + Line No. Total Covered Percent +TOTAL 13 13 100.00 +ALWAYS 53 0 0 +ALWAYS 53 5 5 100.00 +ALWAYS 63 8 8 100.00 + +52 always_comb begin : we_decoder +53 1/1 for (int unsigned j = 0; j < CVA6Cfg.NrCommitPorts; j++) begin +54 1/1 for (int unsigned i = 0; i < NUM_WORDS; i++) begin +55 2/2 if (waddr_i[j] == i) we_dec[j][i] = we_i[j]; +56 1/1 else we_dec[j][i] = 1'b0; +57 end +58 end +59 end +60 +61 // loop from 1 to NUM_WORDS-1 as R0 is nil +62 always_ff @(posedge clk_i, negedge rst_ni) begin : register_write_behavioral +63 1/1 if (~rst_ni) begin +64 1/1 mem <= '{default: '0}; +65 end else begin +66 1/1 for (int unsigned j = 0; j < CVA6Cfg.NrCommitPorts; j++) begin +67 1/1 for (int unsigned i = 0; i < NUM_WORDS; i++) begin +68 1/1 if (we_dec[j][i]) begin +69 1/1 mem[i] <= wdata_i[j]; +70 end + MISSING_ELSE +71 end +72 1/1 if (ZERO_REG_ZERO) begin +73 1/1 mem[0] <= '0; +74 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : ariane_regfile + + Total Covered Percent +Conditions 2 2 100.00 +Logical 2 2 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 55 + EXPRESSION (waddr_i[j] == i) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.gen_asic_regfile.i_ariane_regfile +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ariane_regfile + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : issue_read_operands +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/issue_read_operands.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands + + + +------------------------------------------------------------------------------- +Line Coverage for Module : issue_read_operands + + Line No. Total Covered Percent +TOTAL 192 192 100.00 +ALWAYS 284 15 15 100.00 +ALWAYS 382 12 12 100.00 +ALWAYS 417 11 11 100.00 +ALWAYS 639 22 22 100.00 +ALWAYS 722 19 19 100.00 +ALWAYS 776 28 28 100.00 +ALWAYS 836 19 19 100.00 +ALWAYS 861 11 11 100.00 +ALWAYS 880 5 5 100.00 +ALWAYS 891 9 9 100.00 +ALWAYS 928 0 0 +ALWAYS 928 11 11 100.00 +ALWAYS 1024 2 2 100.00 +ALWAYS 1107 11 11 100.00 +ALWAYS 1130 17 17 100.00 +INITIAL 1158 0 0 +INITIAL 1173 0 0 + +283 always_comb begin : structural_hazards +284 1/1 fus_busy = '0; +285 // CVXIF is always ready to try a new transaction on 1st issue port +286 // If a transaction is already pending then we stall until the transaction is done.(issue_ack_o[0] = 0) +287 // Since we can not have two CVXIF instruction on 1st issue port, CVXIF is always ready for the pending instruction. +288 1/1 if (!flu_ready_i) begin +289 1/1 fus_busy[0].alu = 1'b1; +290 1/1 fus_busy[0].ctrl_flow = 1'b1; +291 1/1 fus_busy[0].csr = 1'b1; +292 1/1 fus_busy[0].mult = 1'b1; +293 end + MISSING_ELSE +294 +295 // after a multiplication was issued we can only issue another multiplication +296 // otherwise we will get contentions on the fixed latency bus +297 1/1 if (|mult_valid_q) begin +298 1/1 fus_busy[0].alu = 1'b1; +299 1/1 fus_busy[0].ctrl_flow = 1'b1; +300 1/1 fus_busy[0].csr = 1'b1; +301 end + MISSING_ELSE +302 +303 1/1 if (CVA6Cfg.FpPresent && !fpu_ready_i) begin +304 unreachable fus_busy[0].fpu = 1'b1; +305 unreachable fus_busy[0].fpu_vec = 1'b1; +306 unreachable if (CVA6Cfg.SuperscalarEn) fus_busy[0].alu2 = 1'b1; + ==> MISSING_ELSE +307 end + MISSING_ELSE +308 +309 1/1 if (!lsu_ready_i) begin +310 1/1 fus_busy[0].load = 1'b1; +311 1/1 fus_busy[0].store = 1'b1; +312 end + MISSING_ELSE +313 +314 1/1 if (CVA6Cfg.SuperscalarEn) begin +315 unreachable fus_busy[1] = fus_busy[0]; +316 +317 // Never issue CSR instruction on second issue port. +318 unreachable fus_busy[1].csr = 1'b1; +319 // Never issue CVXIF instruction on second issue port. +320 unreachable fus_busy[1].cvxif = 1'b1; +321 +322 unreachable unique case (issue_instr_i[0].fu) +323 unreachable NONE: fus_busy[1].none = 1'b1; +324 CTRL_FLOW: begin +325 unreachable if (CVA6Cfg.SpeculativeSb) begin +326 // Issue speculative instruction, will be removed on BMISS +327 unreachable fus_busy[1].alu = 1'b1; +328 unreachable fus_busy[1].ctrl_flow = 1'b1; +329 unreachable fus_busy[1].csr = 1'b1; +330 // Speculative non-idempotent loads are not supported yet +331 unreachable fus_busy[1].load = 1'b1; +332 // The store buffer cannot be partially flushed yet +333 unreachable fus_busy[1].store = 1'b1; +334 end else begin +335 // There are no branch misses on a JAL +336 unreachable if (issue_instr_i[0].op == ariane_pkg::ADD) begin +337 unreachable fus_busy[1].alu = 1'b1; +338 unreachable fus_busy[1].ctrl_flow = 1'b1; +339 unreachable fus_busy[1].csr = 1'b1; +340 end else begin +341 // Control hazard +342 unreachable fus_busy[1] = '1; +343 end +344 end +345 end +346 ALU: begin +347 unreachable if (CVA6Cfg.SuperscalarEn && !fus_busy[0].alu2) begin +348 unreachable fus_busy[1].alu2 = 1'b1; +349 // TODO is there a minimum float execution time? +350 // If so we could issue FPU & ALU2 the same cycle +351 unreachable fus_busy[1].fpu = 1'b1; +352 unreachable fus_busy[1].fpu_vec = 1'b1; +353 end else begin +354 unreachable fus_busy[1].alu = 1'b1; +355 unreachable fus_busy[1].ctrl_flow = 1'b1; +356 unreachable fus_busy[1].csr = 1'b1; +357 end +358 end +359 CSR: begin +360 // Control hazard +361 unreachable fus_busy[1] = '1; +362 end +363 unreachable MULT: fus_busy[1].mult = 1'b1; +364 FPU, FPU_VEC: begin +365 unreachable fus_busy[1].fpu = 1'b1; +366 unreachable fus_busy[1].fpu_vec = 1'b1; +367 end +368 LOAD, STORE: begin +369 unreachable fus_busy[1].load = 1'b1; +370 unreachable fus_busy[1].store = 1'b1; +371 end +372 unreachable CVXIF: ; +373 unreachable default: ; +374 endcase +375 end + MISSING_ELSE +376 end +377 +378 // select the right busy signal +379 // this obviously depends on the functional unit we need +380 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +381 always_comb begin +382 1/1 unique case (issue_instr_i[i].fu) +383 1/1 NONE: fu_busy[i] = fus_busy[i].none; +384 ALU: begin +385 1/1 if (CVA6Cfg.SuperscalarEn && !fus_busy[i].alu2) begin +386 unreachable fu_busy[i] = fus_busy[i].alu2; +387 end else begin +388 1/1 fu_busy[i] = fus_busy[i].alu; +389 end +390 end +391 1/1 CTRL_FLOW: fu_busy[i] = fus_busy[i].ctrl_flow; +392 1/1 CSR: fu_busy[i] = fus_busy[i].csr; +393 1/1 MULT: fu_busy[i] = fus_busy[i].mult; +394 1/1 LOAD: fu_busy[i] = fus_busy[i].load; +395 1/1 STORE: fu_busy[i] = fus_busy[i].store; +396 1/1 CVXIF: fu_busy[i] = fus_busy[i].cvxif; +397 default: +398 1/1 if (CVA6Cfg.FpPresent) begin +399 unreachable unique case (issue_instr_i[i].fu) +400 unreachable FPU: fu_busy[i] = fus_busy[i].fpu; +401 unreachable FPU_VEC: fu_busy[i] = fus_busy[i].fpu_vec; +402 unreachable default: fu_busy[i] = 1'b0; +403 endcase +404 end else begin +405 1/1 fu_busy[i] = 1'b0; +406 end +407 endcase +408 end +409 end +410 +411 // ------------------- +412 // RD clobber process +413 // ------------------- +414 // rd_clobber output: output currently clobbered destination registers +415 +416 always_comb begin : clobber_assign +417 1/1 gpr_clobber_vld = '0; +418 1/1 fpr_clobber_vld = '0; +419 +420 // default (highest entry hast lowest prio in arbiter tree below) +421 1/1 clobber_fu[CVA6Cfg.NR_SB_ENTRIES] = ariane_pkg::NONE; +422 1/1 for (int unsigned i = 0; i < 2 ** ariane_pkg::REG_ADDR_SIZE; i++) begin +423 1/1 gpr_clobber_vld[i][CVA6Cfg.NR_SB_ENTRIES] = 1'b1; +424 1/1 fpr_clobber_vld[i][CVA6Cfg.NR_SB_ENTRIES] = 1'b1; +425 end +426 +427 // check for all valid entries and set the clobber accordingly +428 +429 1/1 for (int unsigned i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +430 1/1 gpr_clobber_vld[fwd_i.sbe[i].rd][i] = fwd_i.still_issued[i] & ~(CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +431 fwd_i.sbe[i].op)); +432 1/1 fpr_clobber_vld[fwd_i.sbe[i].rd][i] = fwd_i.still_issued[i] & (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +433 fwd_i.sbe[i].op)); +434 1/1 clobber_fu[i] = fwd_i.sbe[i].fu; +435 end +436 +437 // GPR[0] is always free +438 1/1 gpr_clobber_vld[0] = '0; +439 end +440 +441 for (genvar k = 0; k < 2 ** ariane_pkg::REG_ADDR_SIZE; k++) begin : gen_sel_clobbers +442 // get fu that is going to clobber this register (there should be only one) +443 rr_arb_tree #( +444 .NumIn(CVA6Cfg.NR_SB_ENTRIES + 1), +445 .DataType(ariane_pkg::fu_t), +446 .ExtPrio(1'b1), +447 .AxiVldRdy(1'b1) +448 ) i_sel_gpr_clobbers ( +449 .clk_i (clk_i), +450 .rst_ni (rst_ni), +451 .flush_i(1'b0), +452 .rr_i ('0), +453 .req_i (gpr_clobber_vld[k]), +454 .gnt_o (), +455 .data_i (clobber_fu), +456 .gnt_i (1'b1), +457 .req_o (), +458 .data_o (rd_clobber_gpr[k]), +459 .idx_o () +460 ); +461 if (CVA6Cfg.FpPresent) begin +462 rr_arb_tree #( +463 .NumIn(CVA6Cfg.NR_SB_ENTRIES + 1), +464 .DataType(ariane_pkg::fu_t), +465 .ExtPrio(1'b1), +466 .AxiVldRdy(1'b1) +467 ) i_sel_fpr_clobbers ( +468 .clk_i (clk_i), +469 .rst_ni (rst_ni), +470 .flush_i(1'b0), +471 .rr_i ('0), +472 .req_i (fpr_clobber_vld[k]), +473 .gnt_o (), +474 .data_i (clobber_fu), +475 .gnt_i (1'b1), +476 .req_o (), +477 .data_o (rd_clobber_fpr[k]), +478 .idx_o () +479 ); +480 end else begin +481 assign rd_clobber_fpr[k] = NONE; +482 end +483 end +484 +485 // ---------------------------------- +486 // Read Operands (a.k.a forwarding) +487 // ---------------------------------- +488 // read operand interface: same logic as register file +489 +490 // WB ports have higher prio than entries +491 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +492 for (genvar k = 0; unsigned'(k) < CVA6Cfg.NrWbPorts; k++) begin : gen_rs_wb +493 +494 assign rs1_fwd_req[i][k] = (fwd_i.sbe[fwd_i.wb[k].trans_id].rd == issue_instr_i[i].rs1) & (fwd_i.still_issued[fwd_i.wb[k].trans_id]) & fwd_i.wb[k].valid & (~fwd_i.wb[k].ex_valid) & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +495 fwd_i.sbe[fwd_i.wb[k].trans_id].op +496 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs1_fpr( +497 issue_instr_i[i].op +498 ))); +499 +500 assign rs2_fwd_req[i][k] = (fwd_i.sbe[fwd_i.wb[k].trans_id].rd == issue_instr_i[i].rs2) & (fwd_i.still_issued[fwd_i.wb[k].trans_id]) & fwd_i.wb[k].valid & (~fwd_i.wb[k].ex_valid) & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +501 fwd_i.sbe[fwd_i.wb[k].trans_id].op +502 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs2_fpr( +503 issue_instr_i[i].op +504 ))); +505 +506 assign rs3_fwd_req[i][k] = (fwd_i.sbe[fwd_i.wb[k].trans_id].rd == issue_instr_i[i].result[ariane_pkg::REG_ADDR_SIZE-1:0]) & (fwd_i.still_issued[fwd_i.wb[k].trans_id]) & fwd_i.wb[k].valid & (~fwd_i.wb[k].ex_valid) & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +507 fwd_i.sbe[fwd_i.wb[k].trans_id].op +508 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_imm_fpr( +509 issue_instr_i[i].op +510 ))); +511 +512 assign rs_data[i][k] = fwd_i.wb[k].data; +513 end +514 +515 for (genvar k = 0; unsigned'(k) < CVA6Cfg.NR_SB_ENTRIES; k++) begin : gen_rs_entries +516 +517 assign rs1_fwd_req[i][k+CVA6Cfg.NrWbPorts] = (fwd_i.sbe[k].rd == issue_instr_i[i].rs1) & fwd_i.still_issued[k] & fwd_i.sbe[k].valid & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +518 fwd_i.sbe[k].op +519 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs1_fpr( +520 issue_instr_i[i].op +521 ))); +522 +523 assign rs2_fwd_req[i][k+CVA6Cfg.NrWbPorts] = (fwd_i.sbe[k].rd == issue_instr_i[i].rs2) & fwd_i.still_issued[k] & fwd_i.sbe[k].valid & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +524 fwd_i.sbe[k].op +525 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs2_fpr( +526 issue_instr_i[i].op +527 ))); +528 +529 assign rs3_fwd_req[i][k+CVA6Cfg.NrWbPorts] = (fwd_i.sbe[k].rd == issue_instr_i[i].result[ariane_pkg::REG_ADDR_SIZE-1:0]) & fwd_i.still_issued[k] & fwd_i.sbe[k].valid & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +530 fwd_i.sbe[k].op +531 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_imm_fpr( +532 issue_instr_i[i].op +533 ))); +534 +535 assign rs_data[i][k+CVA6Cfg.NrWbPorts] = fwd_i.sbe[k].result; +536 end +537 +538 // use fixed prio here +539 // this implicitly gives higher prio to WB ports +540 rr_arb_tree #( +541 .NumIn(CVA6Cfg.NR_SB_ENTRIES + CVA6Cfg.NrWbPorts), +542 .DataWidth(CVA6Cfg.XLEN), +543 .ExtPrio(1'b1), +544 .AxiVldRdy(1'b1) +545 ) i_sel_rs1 ( +546 .clk_i (clk_i), +547 .rst_ni (rst_ni), +548 .flush_i(1'b0), +549 .rr_i ('0), +550 .req_i (rs1_fwd_req[i]), +551 .gnt_o (), +552 .data_i (rs_data[i]), +553 .gnt_i (1'b1), +554 .req_o (rs1_available[i]), +555 .data_o (rs1_res[i]), +556 .idx_o () +557 ); +558 +559 rr_arb_tree #( +560 .NumIn(CVA6Cfg.NR_SB_ENTRIES + CVA6Cfg.NrWbPorts), +561 .DataWidth(CVA6Cfg.XLEN), +562 .ExtPrio(1'b1), +563 .AxiVldRdy(1'b1) +564 ) i_sel_rs2 ( +565 .clk_i (clk_i), +566 .rst_ni (rst_ni), +567 .flush_i(1'b0), +568 .rr_i ('0), +569 .req_i (rs2_fwd_req[i]), +570 .gnt_o (), +571 .data_i (rs_data[i]), +572 .gnt_i (1'b1), +573 .req_o (rs2_available[i]), +574 .data_o (rs2_res[i]), +575 .idx_o () +576 ); +577 +578 +579 rr_arb_tree #( +580 .NumIn(CVA6Cfg.NR_SB_ENTRIES + CVA6Cfg.NrWbPorts), +581 .DataWidth(CVA6Cfg.XLEN), +582 .ExtPrio(1'b1), +583 .AxiVldRdy(1'b1) +584 ) i_sel_rs3 ( +585 .clk_i (clk_i), +586 .rst_ni (rst_ni), +587 .flush_i(1'b0), +588 .rr_i ('0), +589 .req_i (rs3_fwd_req[i]), +590 .gnt_o (), +591 .data_i (rs_data[i]), +592 .gnt_i (1'b1), +593 .req_o (rs3_available[i]), +594 .data_o (rs3[i]), +595 .idx_o () +596 ); +597 +598 if (CVA6Cfg.NrRgprPorts == 3) begin : gen_gp_three_port +599 assign rs3_res[i] = rs3[i][riscv::XLEN-1:0]; +600 end else begin : gen_fp_three_port +601 assign rs3_res[i] = rs3[i][CVA6Cfg.FLen-1:0]; +602 end +603 +604 assign rs1_has_raw[i] = !issue_instr_i[i].use_zimm && ((CVA6Cfg.FpPresent && is_rs1_fpr( +605 issue_instr_i[i].op +606 )) ? rd_clobber_fpr[issue_instr_i[i].rs1] != NONE : +607 rd_clobber_gpr[issue_instr_i[i].rs1] != NONE); +608 +609 assign rs1_valid[i] = rs1_available[i] && (CVA6Cfg.FpPresent && is_rs1_fpr( +610 issue_instr_i[i].op +611 ) ? 1'b1 : ((rd_clobber_gpr[issue_instr_i[i].rs1] != CSR) || +612 (CVA6Cfg.RVS && issue_instr_i[i].op == SFENCE_VMA))); +613 +614 assign rs2_has_raw[i] = ((CVA6Cfg.FpPresent && is_rs2_fpr( +615 issue_instr_i[i].op +616 )) ? rd_clobber_fpr[issue_instr_i[i].rs2] != NONE : +617 rd_clobber_gpr[issue_instr_i[i].rs2] != NONE); +618 +619 assign rs2_valid[i] = rs2_available[i] && (CVA6Cfg.FpPresent && is_rs2_fpr( +620 issue_instr_i[i].op +621 ) ? 1'b1 : ((rd_clobber_gpr[issue_instr_i[i].rs2] != CSR) || +622 (CVA6Cfg.RVS && issue_instr_i[i].op == SFENCE_VMA))); +623 +624 assign rs3_has_raw[i] = ((CVA6Cfg.FpPresent && is_imm_fpr( +625 issue_instr_i[i].op +626 )) ? rd_clobber_fpr[issue_instr_i[i].result[REG_ADDR_SIZE-1:0]] != NONE : 0); +627 +628 assign rs3_valid[i] = rs3_available[i]; +629 assign rs3_fpr[i] = (CVA6Cfg.FpPresent && ariane_pkg::is_imm_fpr(issue_instr_i[i].op)); +630 +631 end +632 +633 // --------------- +634 // Register stage +635 // --------------- +636 // check that all operands are available, otherwise stall +637 // forward corresponding register +638 always_comb begin : operands_available +639 1/1 stall_raw = '{default: stall_i}; +640 1/1 stall_rs1 = '{default: stall_i}; +641 1/1 stall_rs2 = '{default: stall_i}; +642 1/1 stall_rs3 = '{default: stall_i}; +643 // operand forwarding signals +644 1/1 forward_rs1 = '0; +645 1/1 forward_rs2 = '0; +646 1/1 forward_rs3 = '0; // FPR only +647 +648 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +649 1/1 if (rs1_has_raw[i]) begin +650 1/1 if (rs1_valid[i]) begin +651 1/1 forward_rs1[i] = 1'b1; +652 end else begin // the operand is not available -> stall +653 1/1 stall_raw[i] = 1'b1; +654 1/1 stall_rs1[i] = 1'b1; +655 end +656 end + MISSING_ELSE +657 +658 1/1 if (rs2_has_raw[i]) begin +659 1/1 if (rs2_valid[i]) begin +660 1/1 forward_rs2[i] = 1'b1; +661 end else begin // the operand is not available -> stall +662 1/1 stall_raw[i] = 1'b1; +663 1/1 stall_rs2[i] = 1'b1; +664 end +665 end + MISSING_ELSE +666 +667 1/1 if (CVA6Cfg.NrRgprPorts == 3 && rs3_has_raw[i] && rs3_fpr[i]) begin +668 unreachable if (rs3_valid[i]) begin +669 unreachable forward_rs3[i] = 1'b1; +670 end else begin // the operand is not available -> stall +671 unreachable stall_raw[i] = 1'b1; +672 unreachable stall_rs3[i] = 1'b1; +673 end +674 end + MISSING_ELSE +675 +676 1/1 if (CVA6Cfg.CvxifEn) begin +677 1/1 stall_raw[0] = x_transaction_rejected ? 1'b0 : stall_rs1[0] || stall_rs2[0] || (CVA6Cfg.NrRgprPorts == 3 && stall_rs3[0]); +678 end + ==> MISSING_ELSE +679 end +680 +681 1/1 if (CVA6Cfg.SuperscalarEn) begin +682 unreachable if (!issue_instr_i[1].use_zimm && (!CVA6Cfg.FpPresent || (is_rs1_fpr( +683 issue_instr_i[1].op +684 ) == is_rd_fpr( +685 issue_instr_i[0].op +686 ))) && issue_instr_i[1].rs1 == issue_instr_i[0].rd && issue_instr_i[1].rs1 != '0) begin +687 unreachable stall_raw[1] = 1'b1; +688 end + ==> MISSING_ELSE +689 +690 unreachable if ((!CVA6Cfg.FpPresent || (is_rs2_fpr( +691 issue_instr_i[1].op +692 ) == is_rd_fpr( +693 issue_instr_i[0].op +694 ))) && issue_instr_i[1].rs2 == issue_instr_i[0].rd && issue_instr_i[1].rs2 != '0) begin +695 unreachable stall_raw[1] = 1'b1; +696 end + ==> MISSING_ELSE +697 +698 // Only check clobbered gpr for OFFLOADED instruction +699 unreachable if ((CVA6Cfg.FpPresent && is_imm_fpr( +700 issue_instr_i[1].op +701 )) ? is_rd_fpr( +702 issue_instr_i[0].op +703 ) && issue_instr_i[0].rd == issue_instr_i[1].result[REG_ADDR_SIZE-1:0] : +704 issue_instr_i[1].op == OFFLOAD && OPERANDS_PER_INSTR == 3 ? +705 issue_instr_i[0].rd == issue_instr_i[1].result[REG_ADDR_SIZE-1:0] : 1'b0) begin +706 unreachable stall_raw[1] = 1'b1; +707 end + ==> MISSING_ELSE +708 end + MISSING_ELSE +709 end +710 +711 // third operand from fp regfile or gp regfile if NR_RGPR_PORTS == 3 +712 if (OPERANDS_PER_INSTR == 3) begin : gen_gp_rs3 +713 assign imm_forward_rs3 = rs3_res[0]; +714 end else begin : gen_fp_rs3 +715 assign imm_forward_rs3 = {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, rs3_res[0]}; +716 end +717 +718 // Forwarding/Output MUX +719 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +720 always_comb begin : forwarding_operand_select +721 // default is regfiles (gpr or fpr) +722 1/1 fu_data_n[i].operand_a = operand_a_regfile[i]; +723 1/1 fu_data_n[i].operand_b = operand_b_regfile[i]; +724 +725 // immediates are the third operands in the store case +726 // for FP operations, the imm field can also be the third operand from the regfile +727 1/1 if (OPERANDS_PER_INSTR == 3) begin +728 unreachable fu_data_n[i].imm = (CVA6Cfg.FpPresent && is_imm_fpr(issue_instr_i[i].op)) ? +729 {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, operand_c_regfile[i]} : +730 issue_instr_i[i].op == OFFLOAD ? operand_c_regfile[i] : issue_instr_i[i].result; +731 end else begin +732 1/1 fu_data_n[i].imm = (CVA6Cfg.FpPresent && is_imm_fpr(issue_instr_i[i].op)) ? +733 {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, operand_c_regfile[i]} : issue_instr_i[i].result; +734 end +735 1/1 fu_data_n[i].trans_id = issue_instr_i[i].trans_id; +736 1/1 fu_data_n[i].fu = issue_instr_i[i].fu; +737 1/1 fu_data_n[i].operation = issue_instr_i[i].op; +738 1/1 if (CVA6Cfg.RVH) begin +739 unreachable tinst_n[i] = issue_instr_i[i].ex.tinst; +740 end + MISSING_ELSE +741 +742 // or should we forward +743 1/1 if (forward_rs1[i]) begin +744 1/1 fu_data_n[i].operand_a = rs1_res[i]; +745 end + MISSING_ELSE +746 1/1 if (forward_rs2[i]) begin +747 1/1 fu_data_n[i].operand_b = rs2_res[i]; +748 end + MISSING_ELSE +749 1/1 if ((CVA6Cfg.FpPresent || (CVA6Cfg.CvxifEn && OPERANDS_PER_INSTR == 3)) && forward_rs3[i]) begin +750 unreachable fu_data_n[i].imm = imm_forward_rs3; +751 end + MISSING_ELSE +752 +753 // use the PC as operand a +754 1/1 if (issue_instr_i[i].use_pc) begin +755 1/1 fu_data_n[i].operand_a = { +756 {CVA6Cfg.XLEN - CVA6Cfg.VLEN{issue_instr_i[i].pc[CVA6Cfg.VLEN-1]}}, issue_instr_i[i].pc +757 }; +758 end + MISSING_ELSE +759 +760 // use the zimm as operand a +761 1/1 if (issue_instr_i[i].use_zimm) begin +762 // zero extend operand a +763 1/1 fu_data_n[i].operand_a = {{CVA6Cfg.XLEN - 5{1'b0}}, issue_instr_i[i].rs1[4:0]}; +764 end + MISSING_ELSE +765 // or is it an immediate (including PC), this is not the case for a store, control flow, and accelerator instructions +766 // also make sure operand B is not already used as an FP operand +767 1/1 if (issue_instr_i[i].use_imm && (issue_instr_i[i].fu != STORE) && (issue_instr_i[i].fu != CTRL_FLOW) && (issue_instr_i[i].fu != ACCEL) && !(CVA6Cfg.FpPresent && is_rs2_fpr( +768 issue_instr_i[i].op +769 ))) begin +770 1/1 fu_data_n[i].operand_b = issue_instr_i[i].result; +771 end + MISSING_ELSE +772 end +773 end +774 +775 always_comb begin +776 1/1 alu_valid_n = '0; +777 1/1 lsu_valid_n = '0; +778 1/1 mult_valid_n = '0; +779 1/1 fpu_valid_n = '0; +780 1/1 fpu_fmt_n = '0; +781 1/1 fpu_rm_n = '0; +782 1/1 alu2_valid_n = '0; +783 1/1 csr_valid_n = '0; +784 1/1 branch_valid_n = '0; +785 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +786 1/1 if (!issue_instr_i[i].ex.valid && issue_instr_valid_i[i] && issue_ack_o[i]) begin +787 1/1 case (issue_instr_i[i].fu) +788 ALU: begin +789 1/1 if (CVA6Cfg.SuperscalarEn && !fus_busy[i].alu2) begin +790 unreachable alu2_valid_n[i] = 1'b1; +791 end else begin +792 1/1 alu_valid_n[i] = 1'b1; +793 end +794 end +795 CTRL_FLOW: begin +796 1/1 branch_valid_n[i] = 1'b1; +797 end +798 MULT: begin +799 1/1 mult_valid_n[i] = 1'b1; +800 end +801 LOAD, STORE: begin +802 1/1 lsu_valid_n[i] = 1'b1; +803 end +804 CSR: begin +805 1/1 csr_valid_n[i] = 1'b1; +806 end +807 default: begin +808 1/1 if (issue_instr_i[i].fu == FPU && CVA6Cfg.FpPresent) begin +809 unreachable fpu_valid_n[i] = 1'b1; +810 unreachable fpu_fmt_n = orig_instr.rftype.fmt; // fmt bits from instruction +811 unreachable fpu_rm_n = orig_instr.rftype.rm; // rm bits from instruction +812 1/1 end else if (issue_instr_i[i].fu == FPU_VEC && CVA6Cfg.FpPresent) begin +813 unreachable fpu_valid_n[i] = 1'b1; +814 unreachable fpu_fmt_n = orig_instr.rvftype.vfmt; // vfmt bits from instruction +815 unreachable fpu_rm_n = {2'b0, orig_instr.rvftype.repl}; // repl bit from instruction +816 end + MISSING_ELSE +817 end +818 endcase +819 end + MISSING_ELSE +820 end +821 // if we got a flush request, de-assert the valid flag, otherwise we will start this +822 // functional unit with the wrong inputs +823 1/1 if (flush_i) begin +824 1/1 alu_valid_n = '0; +825 1/1 lsu_valid_n = '0; +826 1/1 mult_valid_n = '0; +827 1/1 fpu_valid_n = '0; +828 1/1 alu2_valid_n = '0; +829 1/1 csr_valid_n = '0; +830 1/1 branch_valid_n = '0; +831 end + MISSING_ELSE +832 end +833 // FU select, assert the correct valid out signal (in the next cycle) +834 // This needs to be like this to make verilator happy. I know its ugly. +835 always_ff @(posedge clk_i or negedge rst_ni) begin +836 1/1 if (!rst_ni) begin +837 1/1 alu_valid_q <= '0; +838 1/1 lsu_valid_q <= '0; +839 1/1 mult_valid_q <= '0; +840 1/1 fpu_valid_q <= '0; +841 1/1 fpu_fmt_q <= '0; +842 1/1 fpu_rm_q <= '0; +843 1/1 alu2_valid_q <= '0; +844 1/1 csr_valid_q <= '0; +845 1/1 branch_valid_q <= '0; +846 end else begin +847 1/1 alu_valid_q <= alu_valid_n; +848 1/1 lsu_valid_q <= lsu_valid_n; +849 1/1 mult_valid_q <= mult_valid_n; +850 1/1 fpu_valid_q <= fpu_valid_n; +851 1/1 fpu_fmt_q <= fpu_fmt_n; +852 1/1 fpu_rm_q <= fpu_rm_n; +853 1/1 alu2_valid_q <= alu2_valid_n; +854 1/1 csr_valid_q <= csr_valid_n; +855 1/1 branch_valid_q <= branch_valid_n; +856 end +857 end +858 +859 if (CVA6Cfg.CvxifEn) begin +860 always_comb begin +861 1/1 cvxif_valid_n = '0; +862 1/1 cvxif_off_instr_n = 32'b0; +863 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +864 1/1 if (!issue_instr_i[i].ex.valid && issue_instr_valid_i[i] && issue_ack_o[i]) begin +865 1/1 case (issue_instr_i[i].fu) +866 CVXIF: begin +867 1/1 cvxif_valid_n[i] = 1'b1; +868 1/1 cvxif_off_instr_n = orig_instr[i]; +869 end +870 1/1 default: ; +871 endcase +872 end + MISSING_ELSE +873 end +874 1/1 if (flush_i) begin +875 1/1 cvxif_valid_n = '0; +876 1/1 cvxif_off_instr_n = 32'b0; +877 end + MISSING_ELSE +878 end +879 always_ff @(posedge clk_i or negedge rst_ni) begin +880 1/1 if (!rst_ni) begin +881 1/1 cvxif_valid_q <= '0; +882 1/1 cvxif_off_instr_q <= 32'b0; +883 end else begin +884 1/1 cvxif_valid_q <= cvxif_valid_n; +885 1/1 cvxif_off_instr_q <= cvxif_off_instr_n; +886 end +887 end +888 end +889 +890 always_comb begin : gen_check_waw_dependencies +891 1/1 stall_waw = '1; +892 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +893 1/1 if (issue_instr_valid_i[i] && !fu_busy[i]) begin +894 // ----------------------------------------- +895 // WAW - Write After Write Dependency Check +896 // ----------------------------------------- +897 // no other instruction has the same destination register -> issue the instruction +898 1/1 if ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +899 issue_instr_i[i].op +900 )) ? (rd_clobber_fpr[issue_instr_i[i].rd] == NONE) : +901 (rd_clobber_gpr[issue_instr_i[i].rd] == NONE)) begin +902 1/1 stall_waw[i] = 1'b0; +903 end + MISSING_ELSE +904 // or check that the target destination register will be written in this cycle by the +905 // commit stage +906 1/1 for (int unsigned c = 0; c < CVA6Cfg.NrCommitPorts; c++) begin +907 1/1 if ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +908 issue_instr_i[i].op +909 )) ? (we_fpr_i[c] && waddr_i[c] == issue_instr_i[i].rd) : +910 (we_gpr_i[c] && waddr_i[c] == issue_instr_i[i].rd)) begin +911 1/1 stall_waw[i] = 1'b0; +912 end + MISSING_ELSE +913 end +914 1/1 if (CVA6Cfg.SuperscalarEn && i > 0) begin +915 unreachable if ((issue_instr_i[i].rd == issue_instr_i[i-1].rd) && (issue_instr_i[i].rd != '0)) begin +916 unreachable stall_waw[i] = 1'b1; +917 end + ==> MISSING_ELSE +918 end + MISSING_ELSE +919 end + MISSING_ELSE +920 end +921 end +922 +923 +924 // We can issue an instruction if we do not detect that any other instruction is writing the same +925 // destination register. +926 // We also need to check if there is an unresolved branch in the scoreboard. +927 always_comb begin : issue_scoreboard +928 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +929 // default assignment +930 1/1 issue_ack[i] = 1'b0; +931 // check that the instruction we got is valid +932 // and that the functional unit we need is not busy +933 1/1 if (issue_instr_valid_i[i] && !fu_busy[i]) begin +934 1/1 if (!stall_raw[i] && !stall_waw[i]) begin +935 1/1 issue_ack[i] = 1'b1; +936 end + MISSING_ELSE +937 1/1 if (issue_instr_i[i].ex.valid) begin +938 1/1 issue_ack[i] = 1'b1; +939 end + MISSING_ELSE +940 end + MISSING_ELSE +941 end +942 +943 1/1 issue_ack_o = issue_ack; +944 // Do not acknoledge the issued instruction if transaction is not completed. +945 1/1 if (issue_instr_i[0].fu == CVXIF && !(x_transaction_accepted_o || x_transaction_rejected)) begin +946 1/1 issue_ack_o[0] = issue_instr_i[0].ex.valid && issue_instr_valid_i[0]; +947 end + MISSING_ELSE +948 1/1 if (CVA6Cfg.SuperscalarEn) begin +949 unreachable if (!issue_ack_o[0]) begin +950 unreachable issue_ack_o[1] = 1'b0; +951 end + ==> MISSING_ELSE +952 end + MISSING_ELSE +953 end +954 +955 // ---------------------- +956 // Integer Register File +957 // ---------------------- +958 logic [ CVA6Cfg.NrRgprPorts-1:0][CVA6Cfg.XLEN-1:0] rdata; +959 logic [ CVA6Cfg.NrRgprPorts-1:0][ 4:0] raddr_pack; +960 +961 // pack signals +962 logic [CVA6Cfg.NrCommitPorts-1:0][ 4:0] waddr_pack; +963 logic [CVA6Cfg.NrCommitPorts-1:0][CVA6Cfg.XLEN-1:0] wdata_pack; +964 logic [CVA6Cfg.NrCommitPorts-1:0] we_pack; +965 +966 //adjust address to read from register file (when synchronous RAM is used reads take one cycle, so we advance the address) +967 for (genvar i = 0; i <= CVA6Cfg.NrIssuePorts - 1; i++) begin +968 assign raddr_pack[i*OPERANDS_PER_INSTR+0] = CVA6Cfg.FpgaEn && CVA6Cfg.FpgaAlteraEn ? issue_instr_i_prev[i].rs1[4:0] : issue_instr_i[i].rs1[4:0]; +969 assign raddr_pack[i*OPERANDS_PER_INSTR+1] = CVA6Cfg.FpgaEn && CVA6Cfg.FpgaAlteraEn ? issue_instr_i_prev[i].rs2[4:0] : issue_instr_i[i].rs2[4:0]; +970 if (OPERANDS_PER_INSTR == 3) begin +971 assign raddr_pack[i*OPERANDS_PER_INSTR+2] = CVA6Cfg.FpgaEn && CVA6Cfg.FpgaAlteraEn ? issue_instr_i_prev[i].result[4:0] : issue_instr_i[i].result[4:0]; +972 end +973 end +974 +975 for (genvar i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin : gen_write_back_port +976 assign waddr_pack[i] = waddr_i[i]; +977 assign wdata_pack[i] = wdata_i[i]; +978 assign we_pack[i] = we_gpr_i[i]; +979 end +980 if (CVA6Cfg.FpgaEn) begin : gen_fpga_regfile +981 ariane_regfile_fpga #( +982 .CVA6Cfg (CVA6Cfg), +983 .DATA_WIDTH (CVA6Cfg.XLEN), +984 .NR_READ_PORTS(CVA6Cfg.NrRgprPorts), +985 .ZERO_REG_ZERO(1) +986 ) i_ariane_regfile_fpga ( +987 .clk_i, +988 .rst_ni, +989 .test_en_i(1'b0), +990 .raddr_i (raddr_pack), +991 .rdata_o (rdata), +992 .waddr_i (waddr_pack), +993 .wdata_i (wdata_pack), +994 .we_i (we_pack) +995 ); +996 end else begin : gen_asic_regfile +997 ariane_regfile #( +998 .CVA6Cfg (CVA6Cfg), +999 .DATA_WIDTH (CVA6Cfg.XLEN), +1000 .NR_READ_PORTS(CVA6Cfg.NrRgprPorts), +1001 .ZERO_REG_ZERO(1) +1002 ) i_ariane_regfile ( +1003 .clk_i, +1004 .rst_ni, +1005 .test_en_i(1'b0), +1006 .raddr_i (raddr_pack), +1007 .rdata_o (rdata), +1008 .waddr_i (waddr_pack), +1009 .wdata_i (wdata_pack), +1010 .we_i (we_pack) +1011 ); +1012 end +1013 +1014 // ----------------------------- +1015 // Floating-Point Register File +1016 // ----------------------------- +1017 logic [2:0][CVA6Cfg.FLen-1:0] fprdata; +1018 +1019 // pack signals +1020 logic [2:0][4:0] fp_raddr_pack; +1021 logic [CVA6Cfg.NrCommitPorts-1:0][CVA6Cfg.XLEN-1:0] fp_wdata_pack; +1022 +1023 always_comb begin : assign_fp_raddr_pack +1024 1/1 fp_raddr_pack = { +1025 issue_instr_i[0].result[4:0], issue_instr_i[0].rs2[4:0], issue_instr_i[0].rs1[4:0] +1026 }; +1027 +1028 1/1 if (CVA6Cfg.SuperscalarEn) begin +1029 unreachable if (!(issue_instr_i[0].fu inside {FPU, FPU_VEC})) begin +1030 unreachable fp_raddr_pack = { +1031 issue_instr_i[1].result[4:0], issue_instr_i[1].rs2[4:0], issue_instr_i[1].rs1[4:0] +1032 }; +1033 end + ==> MISSING_ELSE +1034 end + MISSING_ELSE +1035 end +1036 +1037 generate +1038 if (CVA6Cfg.FpPresent) begin : float_regfile_gen +1039 for (genvar i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin : gen_fp_wdata_pack +1040 assign fp_wdata_pack[i] = {wdata_i[i][CVA6Cfg.FLen-1:0]}; +1041 end +1042 if (CVA6Cfg.FpgaEn) begin : gen_fpga_fp_regfile +1043 ariane_regfile_fpga #( +1044 .CVA6Cfg (CVA6Cfg), +1045 .DATA_WIDTH (CVA6Cfg.FLen), +1046 .NR_READ_PORTS(3), +1047 .ZERO_REG_ZERO(0) +1048 ) i_ariane_fp_regfile_fpga ( +1049 .clk_i, +1050 .rst_ni, +1051 .test_en_i(1'b0), +1052 .raddr_i (fp_raddr_pack), +1053 .rdata_o (fprdata), +1054 .waddr_i (waddr_pack), +1055 .wdata_i (fp_wdata_pack), +1056 .we_i (we_fpr_i) +1057 ); +1058 end else begin : gen_asic_fp_regfile +1059 ariane_regfile #( +1060 .CVA6Cfg (CVA6Cfg), +1061 .DATA_WIDTH (CVA6Cfg.FLen), +1062 .NR_READ_PORTS(3), +1063 .ZERO_REG_ZERO(0) +1064 ) i_ariane_fp_regfile ( +1065 .clk_i, +1066 .rst_ni, +1067 .test_en_i(1'b0), +1068 .raddr_i (fp_raddr_pack), +1069 .rdata_o (fprdata), +1070 .waddr_i (waddr_pack), +1071 .wdata_i (fp_wdata_pack), +1072 .we_i (we_fpr_i) +1073 ); +1074 end +1075 end else begin : no_fpr_gen +1076 assign fprdata = '{default: '0}; +1077 end +1078 endgenerate +1079 +1080 if (OPERANDS_PER_INSTR == 3) begin : gen_operand_c +1081 assign operand_c_fpr = {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, fprdata[2]}; +1082 end else begin +1083 assign operand_c_fpr = fprdata[2]; +1084 end +1085 +1086 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +1087 if (OPERANDS_PER_INSTR == 3) begin : gen_operand_c +1088 assign operand_c_gpr[i] = rdata[i*OPERANDS_PER_INSTR+2]; +1089 end +1090 +1091 assign operand_a_regfile[i] = (CVA6Cfg.FpPresent && is_rs1_fpr( +1092 issue_instr_i[i].op +1093 )) ? {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, fprdata[0]} : rdata[i*OPERANDS_PER_INSTR+0]; +1094 assign operand_b_regfile[i] = (CVA6Cfg.FpPresent && is_rs2_fpr( +1095 issue_instr_i[i].op +1096 )) ? {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, fprdata[1]} : rdata[i*OPERANDS_PER_INSTR+1]; +1097 assign operand_c_regfile[i] = (OPERANDS_PER_INSTR == 3) ? ((CVA6Cfg.FpPresent && is_imm_fpr( +1098 issue_instr_i[i].op +1099 )) ? operand_c_fpr : operand_c_gpr[i]) : operand_c_fpr; +1100 end +1101 +1102 // ---------------------- +1103 // Registers (ID <-> EX) +1104 // ---------------------- +1105 +1106 always_comb begin +1107 1/1 pc_n = '0; +1108 1/1 is_compressed_instr_n = 1'b0; +1109 1/1 branch_predict_n = {cf_t'(0), {CVA6Cfg.VLEN{1'b0}}}; +1110 1/1 if (CVA6Cfg.SuperscalarEn) begin +1111 unreachable if (issue_instr_i[1].fu == CTRL_FLOW) begin +1112 unreachable pc_n = issue_instr_i[1].pc; +1113 unreachable is_compressed_instr_n = issue_instr_i[1].is_compressed; +1114 unreachable branch_predict_n = issue_instr_i[1].bp; +1115 end + ==> MISSING_ELSE +1116 end + MISSING_ELSE +1117 1/1 if (issue_instr_i[0].fu == CTRL_FLOW) begin +1118 1/1 pc_n = issue_instr_i[0].pc; +1119 1/1 is_compressed_instr_n = issue_instr_i[0].is_compressed; +1120 1/1 branch_predict_n = issue_instr_i[0].bp; +1121 end + MISSING_ELSE +1122 1/1 x_transaction_rejected_n = 1'b0; +1123 1/1 if (issue_instr_i[0].fu == CVXIF) begin +1124 1/1 x_transaction_rejected_n = x_transaction_rejected; +1125 end + MISSING_ELSE +1126 end +1127 +1128 +1129 always_ff @(posedge clk_i or negedge rst_ni) begin +1130 1/1 if (!rst_ni) begin +1131 1/1 fu_data_q <= '0; +1132 1/1 if (CVA6Cfg.RVH) begin +1133 unreachable tinst_q <= '0; +1134 end + MISSING_ELSE +1135 1/1 pc_o <= '0; +1136 1/1 is_zcmt_o <= '0; +1137 1/1 is_compressed_instr_o <= 1'b0; +1138 1/1 branch_predict_o <= {cf_t'(0), {CVA6Cfg.VLEN{1'b0}}}; +1139 1/1 x_transaction_rejected_o <= 1'b0; +1140 end else begin +1141 1/1 fu_data_q <= fu_data_n; +1142 1/1 pc_o <= pc_n; +1143 1/1 is_compressed_instr_o <= is_compressed_instr_n; +1144 1/1 branch_predict_o <= branch_predict_n; +1145 1/1 if (CVA6Cfg.RVH) begin +1146 unreachable tinst_q <= tinst_n; +1147 end + MISSING_ELSE +1148 1/1 if (issue_instr_i[0].fu == CTRL_FLOW) begin +1149 1/1(1 unreachable) if (CVA6Cfg.RVZCMT) is_zcmt_o <= issue_instr_i[0].is_zcmt; +1150 1/1 else is_zcmt_o <= '0; +1151 end + MISSING_ELSE +1152 1/1 x_transaction_rejected_o <= x_transaction_rejected_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : issue_read_operands + + Total Covered Percent +Conditions 58 58 100.00 +Logical 58 58 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 336 + EXPRESSION (issue_instr_i[0].op == ADD) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 677 + EXPRESSION (x_transaction_rejected ? 1'b0 : (stall_rs1[0] || stall_rs2[0] || (((32'b00000000000000000000000000000010 == 3) && stall_rs3[0])))) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 677 + SUB-EXPRESSION (stall_rs1[0] || stall_rs2[0] || (((32'b00000000000000000000000000000010 == 3) && stall_rs3[0]))) + ------1----- ------2----- -------------------------------3------------------------------- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Covered + 1 0 0 Covered + + LINE 786 + EXPRESSION (((!issue_instr_i[i].ex.valid)) && issue_instr_valid_i[i] && issue_ack_o[i]) + ---------------1-------------- -----------2---------- -------3------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 893 + EXPRESSION (issue_instr_valid_i[i] && ((!fu_busy[i]))) + -----------1---------- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 915 + EXPRESSION ((issue_instr_i[i].rd == issue_instr_i[(i - 1)].rd) && (issue_instr_i[i].rd != '0)) + -------------------------1------------------------ -------------2------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 915 + SUB-EXPRESSION (issue_instr_i[i].rd == issue_instr_i[(i - 1)].rd) + -------------------------1------------------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 915 + SUB-EXPRESSION (issue_instr_i[i].rd != '0) + -------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 933 + EXPRESSION (issue_instr_valid_i[i] && ((!fu_busy[i]))) + -----------1---------- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 934 + EXPRESSION (((!stall_raw[i])) && ((!stall_waw[i]))) + --------1-------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 945 + EXPRESSION ((issue_instr_i[0].fu == CVXIF) && ( ! (x_transaction_accepted_o || x_transaction_rejected) )) + ---------------1-------------- -----------------------------2---------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 945 + SUB-EXPRESSION (issue_instr_i[0].fu == CVXIF) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 945 + SUB-EXPRESSION ( ! (x_transaction_accepted_o || x_transaction_rejected) ) + --------------------------1------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 945 + SUB-EXPRESSION (x_transaction_accepted_o || x_transaction_rejected) + ------------1----------- -----------2---------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 946 + EXPRESSION (issue_instr_i[0].ex.valid && issue_instr_valid_i[0]) + ------------1------------ -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1111 + EXPRESSION (issue_instr_i[1].fu == CTRL_FLOW) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1117 + EXPRESSION (issue_instr_i[0].fu == CTRL_FLOW) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1123 + EXPRESSION (issue_instr_i[0].fu == CVXIF) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1148 + EXPRESSION (issue_instr_i[0].fu == CTRL_FLOW) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 728 + SUB-EXPRESSION ((issue_instr_i[0].op == OFFLOAD) ? operand_c_regfile[0] : issue_instr_i[0].result) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 728 + SUB-EXPRESSION (issue_instr_i[0].op == OFFLOAD) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 864 + EXPRESSION (((!issue_instr_i[i].ex.valid)) && issue_instr_valid_i[i] && issue_ack_o[i]) + ---------------1-------------- -----------2---------- -------3------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 249 + EXPRESSION ((issue_instr_i[0].fu == CVXIF) && ((!stall_waw[0]))) + ---------------1-------------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 249 + SUB-EXPRESSION (issue_instr_i[0].fu == CVXIF) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 250 + EXPRESSION (((!issue_instr_i[0].ex.valid)) && issue_instr_valid_i[0] && cvxif_req_allowed) + ---------------1-------------- -----------2---------- --------3-------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 251 + EXPRESSION (x_issue_valid_o && x_issue_ready_i && x_issue_resp_i.accept) + -------1------- -------2------- ----------3---------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 252 + EXPRESSION (x_issue_valid_o && x_issue_ready_i && ((~x_issue_resp_i.accept))) + -------1------- -------2------- -------------3------------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 97.46 100.00 94.92 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- issue_read_operands + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- issue_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- gen_asic_regfile.i_ariane_regfile + 94.19 -- 94.19 -- genblk5[0].i_sel_rs1 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs2 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs3 +100.00 100.00 100.00 -- i_cvxif_issue_register_commit_if_driver + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cvxif_compressed_if_driver +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cvxif_compressed_if_driver.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk6.i_cvxif_compressed_if_driver_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cvxif_compressed_if_driver + + Line No. Total Covered Percent +TOTAL 19 19 100.00 +ALWAYS 41 19 19 100.00 + +40 always_comb begin +41 1/1 is_illegal_o = is_illegal_i; +42 1/1 instruction_o = instruction_i; +43 1/1 is_compressed_o = is_compressed_i; +44 1/1 compressed_valid_o = 1'b0; +45 1/1 compressed_req_o.instr = '0; +46 1/1 compressed_req_o.hartid = hart_id_i; +47 1/1 stall_o = stall_i; +48 1/1 if (is_illegal_i) begin +49 1/1 compressed_valid_o = is_illegal_i; +50 1/1 compressed_req_o.instr = instruction_i[15:0]; +51 1/1 is_illegal_o = ~compressed_resp_i.accept; +52 1/1 instruction_o = compressed_resp_i.accept ? compressed_resp_i.instr : instruction_i; +53 1/1 is_compressed_o = compressed_resp_i.accept ? 1'b0 : is_compressed_i; +54 1/1 if (~stall_i) begin +55 // Propagate stall from macro decoder or wait for compressed ready if compressed transaction is happening. +56 1/1 stall_o = ~compressed_ready_i; +57 end + ==> MISSING_ELSE +58 end + MISSING_ELSE +59 1/1 if (flush_i) begin +60 1/1 compressed_valid_o = 1'b0; +61 1/1 compressed_req_o.instr = '0; +62 1/1 compressed_req_o.hartid = hart_id_i; +63 end + MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : cvxif_compressed_if_driver + + Total Covered Percent +Conditions 4 4 100.00 +Logical 4 4 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 52 + EXPRESSION (compressed_resp_i.accept ? compressed_resp_i.instr : instruction_i) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 53 + EXPRESSION (compressed_resp_i.accept ? 1'b0 : is_compressed_i) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk6.i_cvxif_compressed_if_driver_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cvxif_compressed_if_driver + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : lzc +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/vendor/pulp-platform/common_cells/src/lzc.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b + + + +------------------------------------------------------------------------------- +Line Coverage for Module : lzc + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Module : lzc ( parameter WIDTH=32,MODE=1,CNT_WIDTH=5,gen_lzc.NumLevels=5 ) +Cond Coverage for Module self-instances : +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b +---------------- +SCORE COND +100.00 100.00 + +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a +---------------- +SCORE COND +100.00 100.00 + +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b +---------------- +SCORE COND +100.00 100.00 + + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +Cond Coverage for Module : lzc ( parameter WIDTH=2,MODE=0,CNT_WIDTH=1,gen_lzc.NumLevels=1 ) +Cond Coverage for Module self-instances : +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index +---------------- +SCORE COND +100.00 100.00 + + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_instr_queue + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- i_div + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- i_div + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +=============================================================================== +Module : alu +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/alu.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : alu + + Line No. Total Covered Percent +TOTAL 53 53 100.00 +ALWAYS 78 9 9 100.00 +ALWAYS 117 6 6 100.00 +ALWAYS 188 4 4 100.00 +ALWAYS 295 34 34 100.00 + +77 always_comb begin +78 1/1 operand_a_bitmanip = fu_data_i.operand_a; +79 +80 1/1 if (CVA6Cfg.RVB) begin +81 1/1 if (CVA6Cfg.IS_XLEN64) begin +82 unreachable unique case (fu_data_i.operation) +83 unreachable SH1ADDUW: operand_a_bitmanip = fu_data_i.operand_a[31:0] << 1; +84 unreachable SH2ADDUW: operand_a_bitmanip = fu_data_i.operand_a[31:0] << 2; +85 unreachable SH3ADDUW: operand_a_bitmanip = fu_data_i.operand_a[31:0] << 3; +86 unreachable CTZW: operand_a_bitmanip = operand_a_rev32; +87 unreachable ADDUW, CPOPW, CLZW: operand_a_bitmanip = fu_data_i.operand_a[31:0]; +88 unreachable default: ; +89 endcase +90 end + MISSING_ELSE +91 1/1 unique case (fu_data_i.operation) +92 1/1 SH1ADD: operand_a_bitmanip = fu_data_i.operand_a << 1; +93 1/1 SH2ADD: operand_a_bitmanip = fu_data_i.operand_a << 2; +94 1/1 SH3ADD: operand_a_bitmanip = fu_data_i.operand_a << 3; +95 1/1 CTZ: operand_a_bitmanip = operand_a_rev; +96 1/1 default: ; +97 endcase +98 end + ==> MISSING_ELSE +99 end +100 +101 // prepare operand a +102 assign adder_in_a = {operand_a_bitmanip, 1'b1}; +103 +104 // prepare operand b +105 assign operand_b_neg = {fu_data_i.operand_b, 1'b0} ^ {CVA6Cfg.XLEN + 1{adder_op_b_negate}}; +106 assign adder_in_b = operand_b_neg; +107 +108 // actual adder +109 assign adder_result_ext_o = adder_in_a + adder_in_b; +110 assign adder_result = adder_result_ext_o[CVA6Cfg.XLEN:1]; +111 assign adder_z_flag = ~|adder_result; +112 +113 // get the right branch comparison result +114 if (HasBranch) begin +115 always_comb begin : branch_resolve +116 // set comparison by default +117 1/1 case (fu_data_i.operation) +118 1/1 EQ: alu_branch_res_o = adder_z_flag; +119 1/1 NE: alu_branch_res_o = ~adder_z_flag; +120 1/1 LTS, LTU: alu_branch_res_o = less; +121 1/1 GES, GEU: alu_branch_res_o = ~less; +122 1/1 default: alu_branch_res_o = 1'b1; +123 endcase +124 end +125 end else begin +126 assign alu_branch_res_o = 1'b0; +127 end +128 +129 // --------- +130 // Shifts +131 // --------- +132 +133 logic shift_left; // should we shift left +134 logic shift_arithmetic; +135 +136 logic [CVA6Cfg.XLEN-1:0] shift_amt; // amount of shift, to the right +137 logic [CVA6Cfg.XLEN-1:0] shift_op_a; // input of the shifter +138 logic [ 31:0] shift_op_a32; // input to the 32 bit shift operation +139 +140 logic [CVA6Cfg.XLEN-1:0] shift_result; +141 logic [ 31:0] shift_result32; +142 +143 logic [ CVA6Cfg.XLEN:0] shift_right_result; +144 logic [ 32:0] shift_right_result32; +145 +146 logic [CVA6Cfg.XLEN-1:0] shift_left_result; +147 logic [ 31:0] shift_left_result32; +148 +149 assign shift_amt = fu_data_i.operand_b; +150 +151 assign shift_left = (fu_data_i.operation == SLL) | (CVA6Cfg.IS_XLEN64 && fu_data_i.operation == SLLW); +152 +153 assign shift_arithmetic = (fu_data_i.operation == SRA) | (CVA6Cfg.IS_XLEN64 && fu_data_i.operation == SRAW); +154 +155 // right shifts, we let the synthesizer optimize this +156 logic [CVA6Cfg.XLEN:0] shift_op_a_64; +157 logic [32:0] shift_op_a_32; +158 +159 // choose the bit reversed or the normal input for shift operand a +160 assign shift_op_a = shift_left ? operand_a_rev : fu_data_i.operand_a; +161 assign shift_op_a32 = shift_left ? operand_a_rev32 : fu_data_i.operand_a[31:0]; +162 +163 assign shift_op_a_64 = {shift_arithmetic & shift_op_a[CVA6Cfg.XLEN-1], shift_op_a}; +164 assign shift_op_a_32 = {shift_arithmetic & shift_op_a[31], shift_op_a32}; +165 +166 assign shift_right_result = $unsigned($signed(shift_op_a_64) >>> shift_amt[5:0]); +167 +168 assign shift_right_result32 = $unsigned($signed(shift_op_a_32) >>> shift_amt[4:0]); +169 // bit reverse the shift_right_result for left shifts +170 genvar j; +171 generate +172 for (j = 0; j < CVA6Cfg.XLEN; j++) +173 assign shift_left_result[j] = shift_right_result[CVA6Cfg.XLEN-1-j]; +174 +175 for (j = 0; j < 32; j++) assign shift_left_result32[j] = shift_right_result32[31-j]; +176 +177 endgenerate +178 +179 assign shift_result = shift_left ? shift_left_result : shift_right_result[CVA6Cfg.XLEN-1:0]; +180 assign shift_result32 = shift_left ? shift_left_result32 : shift_right_result32[31:0]; +181 +182 // ------------ +183 // Comparisons +184 // ------------ +185 +186 always_comb begin +187 logic sgn; +188 1/1 sgn = 1'b0; +189 +190 1/1 if ((fu_data_i.operation == SLTS) || +191 (fu_data_i.operation == LTS) || +192 (fu_data_i.operation == GES) || +193 (fu_data_i.operation == MAX) || +194 (fu_data_i.operation == MIN)) +195 1/1 sgn = 1'b1; + MISSING_ELSE +196 +197 1/1 less = ($signed({sgn & fu_data_i.operand_a[CVA6Cfg.XLEN-1], fu_data_i.operand_a}) < +198 $signed({sgn & fu_data_i.operand_b[CVA6Cfg.XLEN-1], fu_data_i.operand_b})); +199 end +200 +201 if (CVA6Cfg.RVB) begin : gen_bitmanip +202 // Count Population + Count population Word +203 +204 popcount #( +205 .INPUT_WIDTH(CVA6Cfg.XLEN) +206 ) i_cpop_count ( +207 .data_i (operand_a_bitmanip), +208 .popcount_o(cpop) +209 ); +210 +211 // Count Leading/Trailing Zeros +212 // 64b +213 lzc #( +214 .WIDTH(CVA6Cfg.XLEN), +215 .MODE (1) +216 ) i_clz_64b ( +217 .in_i(operand_a_bitmanip), +218 .cnt_o(lz_tz_count), +219 .empty_o(lz_tz_empty) +220 ); +221 if (CVA6Cfg.IS_XLEN64) begin +222 //32b +223 lzc #( +224 .WIDTH(32), +225 .MODE (1) +226 ) i_clz_32b ( +227 .in_i(operand_a_bitmanip[31:0]), +228 .cnt_o(lz_tz_wcount), +229 .empty_o(lz_tz_wempty) +230 ); +231 end +232 end +233 +234 if (CVA6Cfg.RVB) begin : gen_orcbw_rev8w_results +235 assign orcbw = { +236 {8{|fu_data_i.operand_a[31:24]}}, +237 {8{|fu_data_i.operand_a[23:16]}}, +238 {8{|fu_data_i.operand_a[15:8]}}, +239 {8{|fu_data_i.operand_a[7:0]}} +240 }; +241 assign rev8w = { +242 {fu_data_i.operand_a[7:0]}, +243 {fu_data_i.operand_a[15:8]}, +244 {fu_data_i.operand_a[23:16]}, +245 {fu_data_i.operand_a[31:24]} +246 }; +247 if (CVA6Cfg.IS_XLEN64) begin : gen_64b +248 assign orcbw_result = { +249 {8{|fu_data_i.operand_a[63:56]}}, +250 {8{|fu_data_i.operand_a[55:48]}}, +251 {8{|fu_data_i.operand_a[47:40]}}, +252 {8{|fu_data_i.operand_a[39:32]}}, +253 orcbw +254 }; +255 assign rev8w_result = { +256 rev8w, +257 {fu_data_i.operand_a[39:32]}, +258 {fu_data_i.operand_a[47:40]}, +259 {fu_data_i.operand_a[55:48]}, +260 {fu_data_i.operand_a[63:56]} +261 }; +262 end else begin : gen_32b +263 assign orcbw_result = orcbw; +264 assign rev8w_result = rev8w; +265 end +266 end +267 +268 // ZKN gen block +269 if (CVA6Cfg.ZKN && CVA6Cfg.RVB) begin : zkn_gen_block +270 genvar i, m, n; +271 // Generate brev8_reversed by reversing bits within each byte +272 for (i = 0; i < (CVA6Cfg.XLEN / 8); i++) begin : brev8_gen +273 for (m = 0; m < 8; m++) begin : reverse_bits +274 // Reversing the order of bits within a single byte +275 assign brev8_reversed[(i<<3)+m] = fu_data_i.operand_a[(i<<3)+(7-m)]; +276 end +277 end +278 // Generate zip and unzip results +279 if (CVA6Cfg.IS_XLEN32) begin +280 for (n = 0; n < 16; n++) begin : zip_unzip_gen +281 // Assigning lower and upper half of operand into the even and odd positions of result +282 assign zip_gen[n<<1] = fu_data_i.operand_a[n]; +283 assign zip_gen[(n<<1)+1] = fu_data_i.operand_a[n+16]; +284 // Assigning even and odd bits of operand into lower and upper halves of result +285 assign unzip_gen[n] = fu_data_i.operand_a[n<<1]; +286 assign unzip_gen[n+16] = fu_data_i.operand_a[(n<<1)+1]; +287 end +288 end +289 end +290 +291 // ----------- +292 // Result MUX +293 // ----------- +294 always_comb begin +295 1/1 result_o = '0; +296 1/1 if (CVA6Cfg.IS_XLEN64) begin +297 unreachable unique case (fu_data_i.operation) +298 // Add word: Ignore the upper bits and sign extend to 64 bit +299 unreachable ADDW, SUBW: result_o = {{CVA6Cfg.XLEN - 32{adder_result[31]}}, adder_result[31:0]}; +300 unreachable SH1ADDUW, SH2ADDUW, SH3ADDUW: result_o = adder_result; +301 // Shifts 32 bit +302 SLLW, SRLW, SRAW: +303 unreachable result_o = {{CVA6Cfg.XLEN - 32{shift_result32[31]}}, shift_result32[31:0]}; +304 unreachable default: ; +305 endcase +306 end + MISSING_ELSE +307 1/1 unique case (fu_data_i.operation) +308 // Standard Operations +309 1/1 ANDL, ANDN: result_o = fu_data_i.operand_a & operand_b_neg[CVA6Cfg.XLEN:1]; +310 1/1 ORL, ORN: result_o = fu_data_i.operand_a | operand_b_neg[CVA6Cfg.XLEN:1]; +311 1/1 XORL, XNOR: result_o = fu_data_i.operand_a ^ operand_b_neg[CVA6Cfg.XLEN:1]; +312 // Adder Operations +313 1/1 ADD, SUB, ADDUW, SH1ADD, SH2ADD, SH3ADD: result_o = adder_result; +314 // Shift Operations +315 1/1 SLL, SRL, SRA: result_o = (CVA6Cfg.IS_XLEN64) ? shift_result : shift_result32; +316 // Comparison Operations +317 1/1 SLTS, SLTU: result_o = {{CVA6Cfg.XLEN - 1{1'b0}}, less}; +318 1/1 default: ; // default case to suppress unique warning +319 endcase +320 +321 1/1 if (CVA6Cfg.RVB) begin +322 // Index for Bitwise Rotation +323 1/1 bit_indx = 1 << (fu_data_i.operand_b & (CVA6Cfg.XLEN - 1)); +324 1/1 if (CVA6Cfg.IS_XLEN64) begin +325 // rolw, roriw, rorw +326 unreachable rolw = ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} << fu_data_i.operand_b[4:0]) | ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} >> (CVA6Cfg.XLEN-32-fu_data_i.operand_b[4:0])); +327 unreachable rorw = ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} >> fu_data_i.operand_b[4:0]) | ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} << (CVA6Cfg.XLEN-32-fu_data_i.operand_b[4:0])); +328 unreachable unique case (fu_data_i.operation) +329 CLZW, CTZW: +330 unreachable result_o = (lz_tz_wempty) ? 32 : {{CVA6Cfg.XLEN - 5{1'b0}}, lz_tz_wcount}; // change +331 unreachable ROLW: result_o = {{CVA6Cfg.XLEN - 32{rolw[31]}}, rolw}; +332 unreachable RORW, RORIW: result_o = {{CVA6Cfg.XLEN - 32{rorw[31]}}, rorw}; +333 unreachable default: ; +334 endcase +335 end + MISSING_ELSE +336 1/1 unique case (fu_data_i.operation) +337 // Integer minimum/maximum +338 1/1 MAX: result_o = less ? fu_data_i.operand_b : fu_data_i.operand_a; +339 1/1 MAXU: result_o = less ? fu_data_i.operand_b : fu_data_i.operand_a; +340 1/1 MIN: result_o = ~less ? fu_data_i.operand_b : fu_data_i.operand_a; +341 1/1 MINU: result_o = ~less ? fu_data_i.operand_b : fu_data_i.operand_a; +342 +343 // Single bit instructions operations +344 1/1 BCLR, BCLRI: result_o = fu_data_i.operand_a & ~bit_indx; +345 1/1 BEXT, BEXTI: result_o = {{CVA6Cfg.XLEN - 1{1'b0}}, |(fu_data_i.operand_a & bit_indx)}; +346 1/1 BINV, BINVI: result_o = fu_data_i.operand_a ^ bit_indx; +347 1/1 BSET, BSETI: result_o = fu_data_i.operand_a | bit_indx; +348 +349 // Count Leading/Trailing Zeros +350 CLZ, CTZ: +351 1/1 result_o = (lz_tz_empty) ? ({{CVA6Cfg.XLEN - $clog2(CVA6Cfg.XLEN) {1'b0}}, lz_tz_count} + 1) +352 : {{CVA6Cfg.XLEN - $clog2(CVA6Cfg.XLEN) {1'b0}}, lz_tz_count}; +353 +354 // Count population +355 1/1 CPOP, CPOPW: result_o = {{(CVA6Cfg.XLEN - ($clog2(CVA6Cfg.XLEN) + 1)) {1'b0}}, cpop}; +356 +357 // Sign and Zero Extend +358 1/1 SEXTB: result_o = {{CVA6Cfg.XLEN - 8{fu_data_i.operand_a[7]}}, fu_data_i.operand_a[7:0]}; +359 1/1 SEXTH: result_o = {{CVA6Cfg.XLEN - 16{fu_data_i.operand_a[15]}}, fu_data_i.operand_a[15:0]}; +360 1/1 ZEXTH: result_o = {{CVA6Cfg.XLEN - 16{1'b0}}, fu_data_i.operand_a[15:0]}; +361 +362 // Bitwise Rotation +363 ROL: +364 1/1 result_o = (CVA6Cfg.IS_XLEN64) ? ((fu_data_i.operand_a << fu_data_i.operand_b[5:0]) | (fu_data_i.operand_a >> (CVA6Cfg.XLEN-fu_data_i.operand_b[5:0]))) : ((fu_data_i.operand_a << fu_data_i.operand_b[4:0]) | (fu_data_i.operand_a >> (CVA6Cfg.XLEN-fu_data_i.operand_b[4:0]))); +365 +366 ROR, RORI: +367 1/1 result_o = (CVA6Cfg.IS_XLEN64) ? ((fu_data_i.operand_a >> fu_data_i.operand_b[5:0]) | (fu_data_i.operand_a << (CVA6Cfg.XLEN-fu_data_i.operand_b[5:0]))) : ((fu_data_i.operand_a >> fu_data_i.operand_b[4:0]) | (fu_data_i.operand_a << (CVA6Cfg.XLEN-fu_data_i.operand_b[4:0]))); +368 +369 1/1 ORCB: result_o = orcbw_result; +370 1/1 REV8: result_o = rev8w_result; +371 +372 default: +373 1/1 if (fu_data_i.operation == SLLIUW && CVA6Cfg.IS_XLEN64) +374 unreachable result_o = {{CVA6Cfg.XLEN-32{1'b0}}, fu_data_i.operand_a[31:0]} << fu_data_i.operand_b[5:0]; // Left Shift 32 bit unsigned + MISSING_ELSE +375 endcase +376 end + ==> MISSING_ELSE +377 1/1 if (CVA6Cfg.RVZiCond) begin +378 unreachable unique case (fu_data_i.operation) +379 CZERO_EQZ: +380 unreachable result_o = (|fu_data_i.operand_b) ? fu_data_i.operand_a : '0; // move zero to rd if rs2 is equal to zero else rs1 +381 CZERO_NEZ: +382 unreachable result_o = (|fu_data_i.operand_b) ? '0 : fu_data_i.operand_a; // move zero to rd if rs2 is nonzero else rs1 +383 unreachable default: ; // default case to suppress unique warning +384 endcase +385 end + MISSING_ELSE +386 // ZKN instructions +387 1/1 if (CVA6Cfg.ZKN && CVA6Cfg.RVB) begin +388 unreachable unique case (fu_data_i.operation) +389 PACK: +390 unreachable result_o = (CVA6Cfg.IS_XLEN32) ? ({fu_data_i.operand_b[15:0], fu_data_i.operand_a[15:0]}) : ({fu_data_i.operand_b[31:0], fu_data_i.operand_a[31:0]}); +391 PACK_H: +392 unreachable result_o = (CVA6Cfg.IS_XLEN32) ? ({16'b0, fu_data_i.operand_b[7:0], fu_data_i.operand_a[7:0]}) : ({48'b0, fu_data_i.operand_b[7:0], fu_data_i.operand_a[7:0]}); +393 unreachable BREV8: result_o = brev8_reversed; +394 unreachable default: ; +395 endcase +396 unreachable if (fu_data_i.operation == PACK_W && CVA6Cfg.IS_XLEN64) +397 unreachable result_o = { + ==> MISSING_ELSE +398 {32{fu_data_i.operand_b[15]}}, {fu_data_i.operand_b[15:0]}, {fu_data_i.operand_a[15:0]} +399 }; +400 unreachable if (fu_data_i.operation == UNZIP && CVA6Cfg.IS_XLEN32) result_o = unzip_gen; + ==> MISSING_ELSE +401 unreachable if (fu_data_i.operation == ZIP && CVA6Cfg.IS_XLEN32) result_o = zip_gen; + ==> MISSING_ELSE +402 end + MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : alu + + Total Covered Percent +Conditions 48 48 100.00 +Logical 48 48 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 190 + EXPRESSION + Number Term + 1 (fu_data_i.operation == SLTS) || + 2 (fu_data_i.operation == LTS) || + 3 (fu_data_i.operation == GES) || + 4 (fu_data_i.operation == MAX) || + 5 (fu_data_i.operation == MIN)) + +-1- -2- -3- -4- -5- Status + 0 0 0 0 0 Covered + 0 0 0 0 1 Covered + 0 0 0 1 0 Covered + 0 0 1 0 0 Covered + 0 1 0 0 0 Covered + 1 0 0 0 0 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == SLTS) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == LTS) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == GES) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == MAX) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == MIN) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 330 + EXPRESSION (lz_tz_wempty ? 32 : ({{(32'b00000000000000000000000000100000 - 5) {1'b0}}, lz_tz_wcount})) + ------1----- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 338 + EXPRESSION (less ? fu_data_i.operand_b : fu_data_i.operand_a) + --1- + +-1- Status + 0 Covered + 1 Covered + + LINE 339 + EXPRESSION (less ? fu_data_i.operand_b : fu_data_i.operand_a) + --1- + +-1- Status + 0 Covered + 1 Covered + + LINE 340 + EXPRESSION (((~less)) ? fu_data_i.operand_b : fu_data_i.operand_a) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 341 + EXPRESSION (((~less)) ? fu_data_i.operand_b : fu_data_i.operand_a) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 351 + EXPRESSION (lz_tz_empty ? (({{32'b00000000000000000000000000011011 {1'b0}}, lz_tz_count} + 1)) : ({{32'b00000000000000000000000000011011 {1'b0}}, lz_tz_count})) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 380 + EXPRESSION (((|fu_data_i.operand_b)) ? fu_data_i.operand_a : '0) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 382 + EXPRESSION (((|fu_data_i.operand_b)) ? '0 : fu_data_i.operand_a) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 400 + EXPRESSION ((fu_data_i.operation == UNZIP) && 1'b1) + ---------------1-------------- --2- + +-1- -2- Status + 0 - Unreachable + 1 - Unreachable + + LINE 400 + SUB-EXPRESSION (fu_data_i.operation == UNZIP) + ---------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 401 + EXPRESSION ((fu_data_i.operation == ZIP) && 1'b1) + --------------1------------- --2- + +-1- -2- Status + 0 - Unreachable + 1 - Unreachable + + LINE 401 + SUB-EXPRESSION (fu_data_i.operation == ZIP) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 151 + EXPRESSION ((fu_data_i.operation == SLL) | ((1'b0 && (fu_data_i.operation == SLLW)))) + --------------1------------- --------------------2-------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 151 + SUB-EXPRESSION (fu_data_i.operation == SLL) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 153 + EXPRESSION ((fu_data_i.operation == SRA) | ((1'b0 && (fu_data_i.operation == SRAW)))) + --------------1------------- --------------------2-------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 153 + SUB-EXPRESSION (fu_data_i.operation == SRA) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 160 + EXPRESSION (shift_left ? operand_a_rev : fu_data_i.operand_a) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 161 + EXPRESSION (shift_left ? operand_a_rev32 : fu_data_i.operand_a[31:0]) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 163 + SUB-EXPRESSION (shift_arithmetic & shift_op_a[(32'b00000000000000000000000000100000 - 1)]) + --------1------- ---------------------------2-------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 164 + SUB-EXPRESSION (shift_arithmetic & shift_op_a[31]) + --------1------- -------2------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 179 + EXPRESSION (shift_left ? shift_left_result : shift_right_result[32'b00000000000000000000000000011111:0]) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 180 + EXPRESSION (shift_left ? shift_left_result32 : shift_right_result32[31:0]) + -----1---- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- gen_bitmanip.i_clz_64b + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : instr_scan +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/instr_scan.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan + + + +------------------------------------------------------------------------------- +Line Coverage for Module : instr_scan + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +ROUTINE 52 1 1 100.00 +ROUTINE 62 1 1 100.00 + +51 function automatic logic [CVA6Cfg.VLEN-1:0] uj_imm(logic [31:0] instruction_i); +52 1/1 return { +53 {44 + CVA6Cfg.VLEN - 64{instruction_i[31]}}, +54 instruction_i[19:12], +55 instruction_i[20], +56 instruction_i[30:21], +57 1'b0 +58 }; +59 endfunction +60 +61 function automatic logic [CVA6Cfg.VLEN-1:0] sb_imm(logic [31:0] instruction_i); +62 1/1 return { + +------------------------------------------------------------------------------- +Cond Coverage for Module : instr_scan + + Total Covered Percent +Conditions 100 100 100.00 +Logical 100 100 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 73 + EXPRESSION ((32'b00000000000000000000000000100000 == 32) & (instr_i[15:13] == riscv::OpcodeC1Jal) & (instr_i[1:0] == riscv::OpcodeC1)) + ----------------------1--------------------- -------------------2------------------ ----------------3---------------- + +-1- -2- -3- Status + - 0 1 Covered + - 1 0 Covered + - 1 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Jal) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 76 + EXPRESSION ((logic'((instr_i[31:30] == 2'b0))) & (logic'((instr_i[28:0] == 29'b10000001000000000000001110011)))) + -----------------1---------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 79 + EXPRESSION (rvi_jalr_o & ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) & (instr_i[19:15] != instr_i[11:7])) + -----1---- --------------------------2-------------------------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 79 + SUB-EXPRESSION ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'b1) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'd5) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] != instr_i[11:7]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION ((rvi_jalr_o | rvi_jump_o) & ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5))) + ------------1------------ -------------------------2------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 82 + SUB-EXPRESSION (rvi_jalr_o | rvi_jump_o) + -----1---- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + EXPRESSION (is_xret ? '0 : (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i))) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + SUB-EXPRESSION (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i)) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 85 + EXPRESSION (instr_i[6:0] == riscv::OpcodeBranch) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 86 + EXPRESSION (instr_i[6:0] == riscv::OpcodeJalr) + -----------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 87 + EXPRESSION ((logic'((instr_i[6:0] == riscv::OpcodeJal))) | is_xret) + ----------------------1--------------------- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) | rv32_rvc_jal) + -------------------------------------1------------------------------------ ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) + ------------------1----------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1J) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + EXPRESSION ((instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) & (instr_i[6:2] == 5'b0) & (instr_i[1:0] == riscv::OpcodeC2)) + ----------------------1--------------------- -----------2---------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC2) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 97 + EXPRESSION (is_jal_r & ((~instr_i[12]))) + ----1--- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 99 + EXPRESSION (is_jal_r & instr_i[12]) + ----1--- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 100 + EXPRESSION (rvc_jalr_o | rv32_rvc_jal) + -----1---- ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) & (instr_i[1:0] == riscv::OpcodeC1)) + -----------------------------------------1----------------------------------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 102 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) + -------------------1------------------- -------------------2------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Beqz) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Bnez) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + EXPRESSION (((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) & rvc_jr_o) + -------------------------1------------------------- ----2--- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 105 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 108 + EXPRESSION + Number Term + 1 instr_i[14] ? ({{((56 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[6:5], instr_i[2], instr_i[11:10], instr_i[4:3], 1'b0}) : ({{((53 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[8], instr_i[10:9], instr_i[6], instr_i[7], instr_i[2], instr_i[11], instr_i[5:3], 1'b0})) + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- instr_scan + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +ROUTINE 52 1 1 100.00 +ROUTINE 62 1 1 100.00 + +51 function automatic logic [CVA6Cfg.VLEN-1:0] uj_imm(logic [31:0] instruction_i); +52 1/1 return { +53 {44 + CVA6Cfg.VLEN - 64{instruction_i[31]}}, +54 instruction_i[19:12], +55 instruction_i[20], +56 instruction_i[30:21], +57 1'b0 +58 }; +59 endfunction +60 +61 function automatic logic [CVA6Cfg.VLEN-1:0] sb_imm(logic [31:0] instruction_i); +62 1/1 return { + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan + + Total Covered Percent +Conditions 100 100 100.00 +Logical 100 100 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 73 + EXPRESSION ((32'b00000000000000000000000000100000 == 32) & (instr_i[15:13] == riscv::OpcodeC1Jal) & (instr_i[1:0] == riscv::OpcodeC1)) + ----------------------1--------------------- -------------------2------------------ ----------------3---------------- + +-1- -2- -3- Status + - 0 1 Covered + - 1 0 Covered + - 1 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Jal) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 76 + EXPRESSION ((logic'((instr_i[31:30] == 2'b0))) & (logic'((instr_i[28:0] == 29'b10000001000000000000001110011)))) + -----------------1---------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 79 + EXPRESSION (rvi_jalr_o & ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) & (instr_i[19:15] != instr_i[11:7])) + -----1---- --------------------------2-------------------------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 79 + SUB-EXPRESSION ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'b1) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'd5) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] != instr_i[11:7]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION ((rvi_jalr_o | rvi_jump_o) & ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5))) + ------------1------------ -------------------------2------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 82 + SUB-EXPRESSION (rvi_jalr_o | rvi_jump_o) + -----1---- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + EXPRESSION (is_xret ? '0 : (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i))) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + SUB-EXPRESSION (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i)) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 85 + EXPRESSION (instr_i[6:0] == riscv::OpcodeBranch) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 86 + EXPRESSION (instr_i[6:0] == riscv::OpcodeJalr) + -----------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 87 + EXPRESSION ((logic'((instr_i[6:0] == riscv::OpcodeJal))) | is_xret) + ----------------------1--------------------- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) | rv32_rvc_jal) + -------------------------------------1------------------------------------ ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) + ------------------1----------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1J) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + EXPRESSION ((instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) & (instr_i[6:2] == 5'b0) & (instr_i[1:0] == riscv::OpcodeC2)) + ----------------------1--------------------- -----------2---------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC2) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 97 + EXPRESSION (is_jal_r & ((~instr_i[12]))) + ----1--- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 99 + EXPRESSION (is_jal_r & instr_i[12]) + ----1--- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 100 + EXPRESSION (rvc_jalr_o | rv32_rvc_jal) + -----1---- ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) & (instr_i[1:0] == riscv::OpcodeC1)) + -----------------------------------------1----------------------------------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 102 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) + -------------------1------------------- -------------------2------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Beqz) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Bnez) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + EXPRESSION (((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) & rvc_jr_o) + -------------------------1------------------------- ----2--- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 105 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 108 + EXPRESSION + Number Term + 1 instr_i[14] ? ({{((56 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[6:5], instr_i[2], instr_i[11:10], instr_i[4:3], 1'b0}) : ({{((53 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[8], instr_i[10:9], instr_i[6], instr_i[7], instr_i[2], instr_i[11], instr_i[5:3], 1'b0})) + +-1- Status + 0 Covered + 1 Covered + +=============================================================================== +Module : ras +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/ras.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.ras_gen.i_ras + + + +------------------------------------------------------------------------------- +Line Coverage for Module : ras + + Line No. Total Covered Percent +TOTAL 17 17 100.00 +ALWAYS 43 14 14 100.00 +ALWAYS 73 3 3 100.00 + +42 always_comb begin +43 1/1 stack_d = stack_q; +44 +45 // push on the stack +46 1/1 if (push_i) begin +47 1/1 stack_d[0].ra = data_i; +48 // mark the new return address as valid +49 1/1 stack_d[0].valid = 1'b1; +50 1/1 stack_d[DEPTH-1:1] = stack_q[DEPTH-2:0]; +51 end + MISSING_ELSE +52 +53 1/1 if (pop_i) begin +54 1/1 stack_d[DEPTH-2:0] = stack_q[DEPTH-1:1]; +55 // we popped the value so invalidate the end of the stack +56 1/1 stack_d[DEPTH-1].valid = 1'b0; +57 1/1 stack_d[DEPTH-1].ra = 'b0; +58 end + MISSING_ELSE +59 // leave everything untouched and just push the latest value to the +60 // top of the stack +61 1/1 if (pop_i && push_i) begin +62 1/1 stack_d = stack_q; +63 1/1 stack_d[0].ra = data_i; +64 1/1 stack_d[0].valid = 1'b1; +65 end + MISSING_ELSE +66 +67 1/1 if (flush_bp_i) begin +68 unreachable stack_d = '0; +69 end + MISSING_ELSE +70 end +71 +72 always_ff @(posedge clk_i or negedge rst_ni) begin +73 1/1 if (~rst_ni) begin +74 1/1 stack_q <= '0; +75 end else begin +76 1/1 stack_q <= stack_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : ras + + Total Covered Percent +Conditions 3 3 100.00 +Logical 3 3 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 61 + EXPRESSION (pop_i && push_i) + --1-- ---2-- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.ras_gen.i_ras +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ras + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cva6_pipeline +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cva6_pipeline.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cva6_pipeline + + Line No. Total Covered Percent +TOTAL 16 16 100.00 +ALWAYS 760 7 7 100.00 +ALWAYS 770 9 9 100.00 +INITIAL 1296 0 0 + +759 always_comb begin : gen_cvxif_input_assignement +760 1/1 x_compressed_ready = cvxif_resp_i.compressed_ready; +761 1/1 x_compressed_resp = cvxif_resp_i.compressed_resp; +762 1/1 x_issue_ready = cvxif_resp_i.issue_ready; +763 1/1 x_issue_resp = cvxif_resp_i.issue_resp; +764 1/1 x_register_ready = cvxif_resp_i.register_ready; +765 1/1 x_result_valid = cvxif_resp_i.result_valid; +766 1/1 x_result = cvxif_resp_i.result; +767 end +768 if (CVA6Cfg.CvxifEn) begin +769 always_comb begin : gen_cvxif_output_assignement +770 1/1 cvxif_req.compressed_valid = x_compressed_valid; +771 1/1 cvxif_req.compressed_req = x_compressed_req; +772 1/1 cvxif_req.issue_valid = x_issue_valid; +773 1/1 cvxif_req.issue_req = x_issue_req; +774 1/1 cvxif_req.register_valid = x_register_valid; +775 1/1 cvxif_req.register = x_register; +776 1/1 cvxif_req.commit_valid = x_commit_valid; +777 1/1 cvxif_req.commit = x_commit; +778 1/1 cvxif_req.result_ready = x_result_ready; +779 end +780 assign trans_id_ex_id[X_WB] = x_trans_id_ex_id; +781 assign wbdata_ex_id[X_WB] = x_result_ex_id; +782 assign ex_ex_ex_id[X_WB] = x_exception_ex_id; +783 assign wt_valid_ex_id[X_WB] = x_valid_ex_id; +784 end else begin +785 assign cvxif_req = '0; +786 end +787 assign cvxif_req_o = cvxif_req; +788 +789 // --------- +790 // Issue +791 // --------- +792 issue_stage #( +793 .CVA6Cfg(CVA6Cfg), +794 .bp_resolve_t(bp_resolve_t), +795 .branchpredict_sbe_t(branchpredict_sbe_t), +796 .exception_t(exception_t), +797 .fu_data_t(fu_data_t), +798 .scoreboard_entry_t(scoreboard_entry_t), +799 .writeback_t(writeback_t), +800 .x_issue_req_t(x_issue_req_t), +801 .x_issue_resp_t(x_issue_resp_t), +802 .x_register_t(x_register_t), +803 .x_commit_t(x_commit_t) +804 ) issue_stage_i ( +805 .clk_i, +806 .rst_ni, +807 .sb_full_o (sb_full), +808 .flush_unissued_instr_i (flush_unissued_instr_ctrl_id), +809 .flush_i (flush_ctrl_id), +810 // Accelerator +811 .stall_i (('0 /*FIXME*/)), +812 // ID Stage +813 .decoded_instr_i (issue_entry_id_issue), +814 .decoded_instr_i_prev (issue_entry_id_issue_prev), +815 .orig_instr_i (orig_instr_id_issue), +816 .decoded_instr_valid_i (issue_entry_valid_id_issue), +817 .is_ctrl_flow_i (is_ctrl_fow_id_issue), +818 .decoded_instr_ack_o (issue_instr_issue_id), +819 // Functional Units +820 .rs1_forwarding_o (rs1_forwarding_id_ex), +821 .rs2_forwarding_o (rs2_forwarding_id_ex), +822 .fu_data_o (fu_data_id_ex), +823 .pc_o (pc_id_ex), +824 .is_zcmt_o (zcmt_id_ex), +825 .is_compressed_instr_o (is_compressed_instr_id_ex), +826 .tinst_o (tinst_ex), +827 // fixed latency unit ready +828 .flu_ready_i (flu_ready_ex_id), +829 // ALU +830 .alu_valid_o (alu_valid_id_ex), +831 // Branches and Jumps +832 .branch_valid_o (branch_valid_id_ex), // branch is valid +833 .branch_predict_o (branch_predict_id_ex), // branch predict to ex +834 .resolve_branch_i (resolve_branch_ex_id), // in order to resolve the branch +835 // LSU +836 .lsu_ready_i (lsu_ready_ex_id), +837 .lsu_valid_o (lsu_valid_id_ex), +838 // Multiplier +839 .mult_valid_o (mult_valid_id_ex), +840 // FPU +841 .fpu_ready_i (fpu_ready_ex_id), +842 .fpu_valid_o (fpu_valid_id_ex), +843 .fpu_fmt_o (fpu_fmt_id_ex), +844 .fpu_rm_o (fpu_rm_id_ex), +845 // ALU2 +846 .alu2_valid_o (alu2_valid_id_ex), +847 // CSR +848 .csr_valid_o (csr_valid_id_ex), +849 // CVXIF +850 .xfu_valid_o (x_issue_valid_id_ex), +851 .xfu_ready_i (x_issue_ready_ex_id), +852 .x_off_instr_o (x_off_instr_id_ex), +853 .hart_id_i (hart_id_i), +854 .x_issue_ready_i (x_issue_ready), +855 .x_issue_resp_i (x_issue_resp), +856 .x_issue_valid_o (x_issue_valid), +857 .x_issue_req_o (x_issue_req), +858 .x_register_ready_i (x_register_ready), +859 .x_register_valid_o (x_register_valid), +860 .x_register_o (x_register), +861 .x_commit_valid_o (x_commit_valid), +862 .x_commit_o (x_commit), +863 .x_transaction_rejected_o(x_transaction_rejected), +864 // Accelerator +865 .issue_instr_o ( /*FIXME*/), +866 .issue_instr_hs_o ( /*FIXME*/), +867 // Commit +868 .trans_id_i (trans_id_ex_id), +869 .resolved_branch_i (resolved_branch), +870 .wbdata_i (wbdata_ex_id), +871 .ex_ex_i (ex_ex_ex_id), +872 .wt_valid_i (wt_valid_ex_id), +873 .x_we_i (x_we_ex_id), +874 .x_rd_i (x_rd_ex_id), +875 +876 .waddr_i (waddr_commit_id), +877 .wdata_i (wdata_commit_id), +878 .we_gpr_i (we_gpr_commit_id), +879 .we_fpr_i (we_fpr_commit_id), +880 .commit_instr_o (commit_instr_id_commit), +881 .commit_drop_o (commit_drop_id_commit), +882 .commit_ack_i (commit_ack_commit_id), +883 // Performance Counters +884 .stall_issue_o (stall_issue), +885 //RVFI +886 .rvfi_issue_pointer_o (rvfi_issue_pointer), +887 .rvfi_commit_pointer_o(rvfi_commit_pointer), +888 .rvfi_rs1_o (rvfi_rs1), +889 .rvfi_rs2_o (rvfi_rs2) +890 ); +891 +892 // --------- +893 // EX +894 // --------- +895 ex_stage #( +896 .CVA6Cfg (CVA6Cfg), +897 .bp_resolve_t (bp_resolve_t), +898 .branchpredict_sbe_t(branchpredict_sbe_t), +899 .load_req_t (load_req_t), +900 .load_rsp_t (load_rsp_t), +901 .obi_store_req_t (obi_store_req_t), +902 .obi_store_rsp_t (obi_store_rsp_t), +903 .obi_amo_req_t (obi_amo_req_t), +904 .obi_amo_rsp_t (obi_amo_rsp_t), +905 .obi_load_req_t (obi_load_req_t), +906 .obi_load_rsp_t (obi_load_rsp_t), +907 .obi_mmu_ptw_req_t (obi_mmu_ptw_req_t), +908 .obi_mmu_ptw_rsp_t (obi_mmu_ptw_rsp_t), +909 .exception_t (exception_t), +910 .fu_data_t (fu_data_t), +911 .fetch_areq_t (fetch_areq_t), +912 .fetch_arsp_t (fetch_arsp_t), +913 .lsu_ctrl_t (lsu_ctrl_t), +914 .x_result_t (x_result_t) +915 ) ex_stage_i ( +916 .clk_i(clk_i), +917 .rst_ni(rst_ni), +918 .debug_mode_i(debug_mode), +919 .flush_i(flush_ctrl_ex), +920 .rs1_forwarding_i(rs1_forwarding_id_ex), +921 .rs2_forwarding_i(rs2_forwarding_id_ex), +922 .fu_data_i(fu_data_id_ex), +923 .pc_i(pc_id_ex), +924 .is_zcmt_i(zcmt_id_ex), +925 .is_compressed_instr_i(is_compressed_instr_id_ex), +926 .tinst_i(tinst_ex), +927 // fixed latency units +928 .flu_result_o(flu_result_ex_id), +929 .flu_trans_id_o(flu_trans_id_ex_id), +930 .flu_valid_o(flu_valid_ex_id), +931 .flu_exception_o(flu_exception_ex_id), +932 .flu_ready_o(flu_ready_ex_id), +933 // ALU +934 .alu_valid_i(alu_valid_id_ex), +935 // Branches and Jumps +936 .branch_valid_i(branch_valid_id_ex), +937 .branch_predict_i(branch_predict_id_ex), // branch predict to ex +938 .resolved_branch_o(resolved_branch), +939 .resolve_branch_o(resolve_branch_ex_id), +940 // CSR +941 .csr_valid_i(csr_valid_id_ex), +942 .csr_addr_o(csr_addr_ex_csr), +943 .csr_commit_i(csr_commit_commit_ex), // from commit +944 .csr_hs_ld_st_inst_o(csr_hs_ld_st_inst_ex), // signals a Hypervisor Load/Store Instruction +945 // MULT +946 .mult_valid_i(mult_valid_id_ex), +947 // LSU +948 .lsu_ready_o(lsu_ready_ex_id), +949 .lsu_valid_i(lsu_valid_id_ex), +950 +951 .load_result_o (load_result_ex_id), +952 .load_trans_id_o (load_trans_id_ex_id), +953 .load_valid_o (load_valid_ex_id), +954 .load_exception_o(load_exception_ex_id), +955 +956 .store_result_o (store_result_ex_id), +957 .store_trans_id_o (store_trans_id_ex_id), +958 .store_valid_o (store_valid_ex_id), +959 .store_exception_o(store_exception_ex_id), +960 +961 .lsu_commit_i (lsu_commit_commit_ex), // from commit +962 .lsu_commit_ready_o (lsu_commit_ready_ex_commit), // to commit +963 .commit_tran_id_i (lsu_commit_trans_id), // from commit +964 // Accelerator +965 .stall_st_pending_i ('0 /*FIXME*/), +966 .no_st_pending_o (no_st_pending_ex), // +967 // FPU +968 .fpu_ready_o (fpu_ready_ex_id), +969 .fpu_valid_i (fpu_valid_id_ex), +970 .fpu_fmt_i (fpu_fmt_id_ex), +971 .fpu_rm_i (fpu_rm_id_ex), +972 .fpu_frm_i (frm_csr_id_issue_ex), +973 .fpu_prec_i (fprec_csr_ex), +974 .fpu_trans_id_o (fpu_trans_id_ex_id), +975 .fpu_result_o (fpu_result_ex_id), +976 .fpu_valid_o (fpu_valid_ex_id), +977 .fpu_exception_o (fpu_exception_ex_id), +978 // ALU2 +979 .alu2_valid_i (alu2_valid_id_ex), +980 .amo_valid_commit_i (amo_valid_commit), +981 // CoreV-X-Interface +982 .x_valid_i (x_issue_valid_id_ex), +983 .x_ready_o (x_issue_ready_ex_id), +984 .x_off_instr_i (x_off_instr_id_ex), +985 .x_transaction_rejected_i(x_transaction_rejected), +986 .x_trans_id_o (x_trans_id_ex_id), +987 .x_exception_o (x_exception_ex_id), +988 .x_result_o (x_result_ex_id), +989 .x_valid_o (x_valid_ex_id), +990 .x_we_o (x_we_ex_id), +991 .x_rd_o (x_rd_ex_id), +992 .x_result_valid_i (x_result_valid), +993 .x_result_i (x_result), +994 .x_result_ready_o (x_result_ready), +995 // Accelerator +996 .acc_valid_i ('0 /*FIXME*/), +997 // Performance counters +998 .itlb_miss_o (itlb_miss_ex_perf), +999 .dtlb_miss_o (dtlb_miss_ex_perf), +1000 // Memory Management +1001 .enable_translation_i (enable_translation_csr_ex), // from CSR +1002 .enable_g_translation_i (enable_g_translation_csr_ex), // from CSR +1003 .en_ld_st_translation_i (en_ld_st_translation_csr_ex), +1004 .en_ld_st_g_translation_i(en_ld_st_g_translation_csr_ex), +1005 .flush_tlb_i (flush_tlb_ctrl_ex), +1006 .flush_tlb_vvma_i (flush_tlb_vvma_ctrl_ex), +1007 .flush_tlb_gvma_i (flush_tlb_gvma_ctrl_ex), +1008 .priv_lvl_i (priv_lvl), // from CSR +1009 .v_i (v), // from CSR +1010 .ld_st_priv_lvl_i (ld_st_priv_lvl_csr_ex), // from CSR +1011 .ld_st_v_i (ld_st_v_csr_ex), // from CSR +1012 .sum_i (sum_csr_ex), // from CSR +1013 .vs_sum_i (vs_sum_csr_ex), // from CSR +1014 .mxr_i (mxr_csr_ex), // from CSR +1015 .vmxr_i (vmxr_csr_ex), // from CSR +1016 .satp_ppn_i (satp_ppn_csr_ex), // from CSR +1017 .asid_i (asid_csr_ex), // from CSR +1018 .vsatp_ppn_i (vsatp_ppn_csr_ex), // from CSR +1019 .vs_asid_i (vs_asid_csr_ex), // from CSR +1020 .hgatp_ppn_i (hgatp_ppn_csr_ex), // from CSR +1021 .vmid_i (vmid_csr_ex), // from CSR +1022 .fetch_areq_i (fetch_areq_frontend_ex), +1023 .fetch_arsp_o (fetch_arsp_ex_frontend), +1024 // DCACHE interfaces +1025 .obi_store_req_o (obi_store_req_o), +1026 .obi_store_rsp_i (obi_store_rsp_i), +1027 .obi_amo_req_o (obi_amo_req_o), +1028 .obi_amo_rsp_i (obi_amo_rsp_i), +1029 .load_req_o (load_req_o), +1030 .load_rsp_i (load_rsp_i), +1031 .obi_load_req_o (obi_load_req_o), +1032 .obi_load_rsp_i (obi_load_rsp_i), +1033 .obi_mmu_ptw_req_o (obi_mmu_ptw_req_o), +1034 .obi_mmu_ptw_rsp_i (obi_mmu_ptw_rsp_i), +1035 +1036 .dcache_wbuffer_empty_i (dcache_wbuffer_empty_i), +1037 .dcache_wbuffer_not_ni_i(dcache_wbuffer_not_ni_i), +1038 // PMP +1039 .pmpcfg_i (pmpcfg), +1040 .pmpaddr_i (pmpaddr), +1041 //RVFI +1042 .rvfi_lsu_ctrl_o (rvfi_lsu_ctrl), +1043 .rvfi_mem_paddr_o (rvfi_mem_paddr) +1044 ); +1045 +1046 // --------- +1047 // Commit +1048 // --------- +1049 +1050 // we have to make sure that the whole write buffer path is empty before +1051 // used e.g. for fence instructions. +1052 assign no_st_pending_commit = no_st_pending_ex & dcache_wbuffer_empty_i; +1053 +1054 commit_stage #( +1055 .CVA6Cfg(CVA6Cfg), +1056 .exception_t(exception_t), +1057 .scoreboard_entry_t(scoreboard_entry_t), +1058 .obi_amo_rsp_t(obi_amo_rsp_t) +1059 ) commit_stage_i ( +1060 .clk_i, +1061 .rst_ni, +1062 .halt_i (halt_ctrl), +1063 .flush_dcache_i (dcache_flush_o), +1064 .exception_o (ex_commit), +1065 .dirty_fp_state_o (dirty_fp_state), +1066 .single_step_i (single_step_csr_commit), // // Accelerator /*FIXME*/ +1067 .commit_instr_i (commit_instr_id_commit), +1068 .commit_drop_i (commit_drop_id_commit), +1069 .commit_ack_o (commit_ack_commit_id), +1070 .commit_macro_ack_o(commit_macro_ack), +1071 .waddr_o (waddr_commit_id), +1072 .wdata_o (wdata_commit_id), +1073 .we_gpr_o (we_gpr_commit_id), +1074 .we_fpr_o (we_fpr_commit_id), +1075 .obi_amo_rsp_i (obi_amo_rsp_i), +1076 .pc_o (pc_commit), +1077 .csr_op_o (csr_op_commit_csr), +1078 .csr_wdata_o (csr_wdata_commit_csr), +1079 .csr_rdata_i (csr_rdata_csr_commit), +1080 .csr_write_fflags_o(csr_write_fflags_commit_cs), +1081 .csr_exception_i (csr_exception_csr_commit), +1082 .commit_lsu_o (lsu_commit_commit_ex), +1083 .commit_lsu_ready_i(lsu_commit_ready_ex_commit), +1084 .commit_tran_id_o (lsu_commit_trans_id), +1085 .amo_valid_commit_o(amo_valid_commit), +1086 .no_st_pending_i (no_st_pending_commit), +1087 .commit_csr_o (csr_commit_commit_ex), +1088 .fence_i_o (fence_i_commit_controller), +1089 .fence_o (fence_commit_controller), +1090 .flush_commit_o (flush_commit), +1091 .sfence_vma_o (sfence_vma_commit_controller), +1092 .hfence_vvma_o (hfence_vvma_commit_controller), +1093 .hfence_gvma_o (hfence_gvma_commit_controller) +1094 ); +1095 +1096 assign commit_ack = commit_macro_ack & ~(commit_drop_id_commit & CVA6Cfg.SpeculativeSb); +1097 +1098 // --------- +1099 // CSR +1100 // --------- +1101 csr_regfile #( +1102 .CVA6Cfg (CVA6Cfg), +1103 .exception_t (exception_t), +1104 .jvt_t (jvt_t), +1105 .irq_ctrl_t (irq_ctrl_t), +1106 .scoreboard_entry_t(scoreboard_entry_t), +1107 .rvfi_probes_csr_t (rvfi_probes_csr_t), +1108 .MHPMCounterNum (MHPMCounterNum) +1109 ) csr_regfile_i ( +1110 .clk_i, +1111 .rst_ni, +1112 .time_irq_i, +1113 .flush_o (flush_csr_ctrl), +1114 .halt_csr_o (halt_csr_ctrl), +1115 .commit_instr_i (commit_instr_id_commit[0]), +1116 .commit_ack_i (commit_ack), +1117 .boot_addr_i (boot_addr_i[CVA6Cfg.VLEN-1:0]), +1118 .hart_id_i (hart_id_i[CVA6Cfg.XLEN-1:0]), +1119 .ex_i (ex_commit), +1120 .csr_op_i (csr_op_commit_csr), +1121 .csr_addr_i (csr_addr_ex_csr), +1122 .csr_wdata_i (csr_wdata_commit_csr), +1123 .csr_rdata_o (csr_rdata_csr_commit), +1124 .dirty_fp_state_i (dirty_fp_state), +1125 .csr_write_fflags_i (csr_write_fflags_commit_cs), +1126 // Accelerator +1127 .dirty_v_state_i ('0 /*FIXME*/), +1128 .pc_i (pc_commit), +1129 .csr_exception_o (csr_exception_csr_commit), +1130 .epc_o (epc_commit_pcgen), +1131 .eret_o (eret), +1132 .trap_vector_base_o (trap_vector_base_commit_pcgen), +1133 .priv_lvl_o (priv_lvl), +1134 .v_o (v), +1135 // Accelerator +1136 .acc_fflags_ex_i ('0 /*FIXME*/), +1137 .acc_fflags_ex_valid_i ('0 /*FIXME*/), +1138 .fs_o (fs), +1139 .vfs_o (vfs), +1140 .fflags_o (fflags_csr_commit), +1141 .frm_o (frm_csr_id_issue_ex), +1142 .fprec_o (fprec_csr_ex), +1143 .vs_o (vs), +1144 .irq_ctrl_o (irq_ctrl_csr_id), +1145 .en_translation_o (enable_translation_csr_ex), +1146 .en_g_translation_o (enable_g_translation_csr_ex), +1147 .en_ld_st_translation_o (en_ld_st_translation_csr_ex), +1148 .en_ld_st_g_translation_o(en_ld_st_g_translation_csr_ex), +1149 .ld_st_priv_lvl_o (ld_st_priv_lvl_csr_ex), +1150 .ld_st_v_o (ld_st_v_csr_ex), +1151 .csr_hs_ld_st_inst_i (csr_hs_ld_st_inst_ex), +1152 .sum_o (sum_csr_ex), +1153 .vs_sum_o (vs_sum_csr_ex), +1154 .mxr_o (mxr_csr_ex), +1155 .vmxr_o (vmxr_csr_ex), +1156 .satp_ppn_o (satp_ppn_csr_ex), +1157 .asid_o (asid_csr_ex), +1158 .vsatp_ppn_o (vsatp_ppn_csr_ex), +1159 .vs_asid_o (vs_asid_csr_ex), +1160 .hgatp_ppn_o (hgatp_ppn_csr_ex), +1161 .vmid_o (vmid_csr_ex), +1162 .irq_i, +1163 .ipi_i, +1164 .debug_req_i, +1165 .set_debug_pc_o (set_debug_pc), +1166 .tvm_o (tvm_csr_id), +1167 .tw_o (tw_csr_id), +1168 .vtw_o (vtw_csr_id), +1169 .tsr_o (tsr_csr_id), +1170 .hu_o (hu), +1171 .debug_mode_o (debug_mode), +1172 .single_step_o (single_step_csr_commit), +1173 .icache_en_o (icache_enable_o), +1174 .dcache_en_o (dcache_enable_o), +1175 // Accelerator +1176 .acc_cons_en_o ( /*FIXME*/), +1177 .perf_addr_o (addr_csr_perf), +1178 .perf_data_o (data_csr_perf), +1179 .perf_data_i (data_perf_csr), +1180 .perf_we_o (we_csr_perf), +1181 .pmpcfg_o (pmpcfg), +1182 .pmpaddr_o (pmpaddr), +1183 .mcountinhibit_o (mcountinhibit_csr_perf), +1184 .jvt_o (jvt), +1185 //RVFI +1186 .rvfi_csr_o (rvfi_csr) +1187 ); +1188 +1189 // ------------------------ +1190 // Performance Counters +1191 // ------------------------ +1192 if (CVA6Cfg.PerfCounterEn) begin : gen_perf_counter +1193 perf_counters #( +1194 .CVA6Cfg (CVA6Cfg), +1195 .bp_resolve_t (bp_resolve_t), +1196 .exception_t (exception_t), +1197 .scoreboard_entry_t(scoreboard_entry_t), +1198 .fetch_req_t (fetch_req_t), +1199 .obi_fetch_req_t (fetch_req_t), +1200 .obi_store_req_t (obi_store_req_t), +1201 .obi_amo_req_t (obi_amo_req_t), +1202 .load_req_t (load_req_t), +1203 .obi_load_req_t (obi_load_req_t), +1204 .obi_mmu_ptw_req_t (obi_mmu_ptw_req_t), +1205 .NumMissPorts (1 /*FIXME*/) //WT cache only ?? +1206 ) perf_counters_i ( +1207 .clk_i (clk_i), +1208 .rst_ni (rst_ni), +1209 .debug_mode_i (debug_mode), +1210 .addr_i (addr_csr_perf), +1211 .we_i (we_csr_perf), +1212 .data_i (data_csr_perf), +1213 .data_o (data_perf_csr), +1214 .commit_instr_i(commit_instr_id_commit), +1215 .commit_ack_i (commit_ack), +1216 +1217 .l1_icache_miss_i (icache_miss_i), +1218 .l1_dcache_miss_i (dcache_miss_i), +1219 .itlb_miss_i (itlb_miss_ex_perf), +1220 .dtlb_miss_i (dtlb_miss_ex_perf), +1221 .sb_full_i (sb_full), +1222 // TODO this is more complex that that +1223 // If superscalar then we additionally have to check [1] when transaction 0 succeeded +1224 .if_empty_i (~fetch_valid_if_id[0]), +1225 .ex_i (ex_commit), +1226 .eret_i (eret), +1227 .resolved_branch_i (resolved_branch), +1228 .branch_exceptions_i(flu_exception_ex_id), +1229 +1230 .fetch_req_i (fetch_req_o), +1231 .fetch_obi_req_i (obi_fetch_req_o), +1232 .obi_store_req_i (obi_store_req_o), +1233 .obi_amo_req_i (obi_amo_req_o), +1234 .load_req_i (load_req_o), +1235 .obi_load_req_i (obi_load_req_o), +1236 .obi_mmu_ptw_req_i(obi_mmu_ptw_req_o), +1237 +1238 .miss_vld_bits_i('0 /*FIXME*/), //WT cache only ?? +1239 .i_tlb_flush_i (flush_tlb_ctrl_ex), +1240 .stall_issue_i (stall_issue), +1241 .mcountinhibit_i(mcountinhibit_csr_perf) +1242 ); +1243 end : gen_perf_counter +1244 else begin : gen_no_perf_counter +1245 assign data_perf_csr = '0; +1246 end : gen_no_perf_counter +1247 +1248 // ------------ +1249 // Controller +1250 // ------------ +1251 controller #( +1252 .CVA6Cfg(CVA6Cfg), +1253 .bp_resolve_t(bp_resolve_t) +1254 ) controller_i ( +1255 .clk_i, +1256 .rst_ni, +1257 // virtualization mode +1258 .v_i (v), +1259 // flush ports +1260 .set_pc_commit_o (set_pc_ctrl_pcgen), +1261 .flush_if_o (flush_ctrl_if), +1262 .flush_unissued_instr_o(flush_unissued_instr_ctrl_id), +1263 .flush_id_o (flush_ctrl_id), +1264 .flush_ex_o (flush_ctrl_ex), +1265 .flush_bp_o (flush_ctrl_bp), +1266 .flush_icache_o (icache_flush_o), +1267 .flush_dcache_o (dcache_flush_o), +1268 .flush_dcache_ack_i (dcache_flush_ack_i), +1269 .flush_tlb_o (flush_tlb_ctrl_ex), +1270 .flush_tlb_vvma_o (flush_tlb_vvma_ctrl_ex), +1271 .flush_tlb_gvma_o (flush_tlb_gvma_ctrl_ex), +1272 .halt_csr_i (halt_csr_ctrl), +1273 // Accelerator +1274 .halt_acc_i ('0 /*FIXME*/), +1275 .halt_o (halt_ctrl), +1276 // control ports +1277 .eret_i (eret), +1278 .ex_valid_i (ex_commit.valid), +1279 .set_debug_pc_i (set_debug_pc), +1280 .resolved_branch_i (resolved_branch), +1281 .flush_csr_i (flush_csr_ctrl), +1282 .fence_i_i (fence_i_commit_controller), +1283 .fence_i (fence_commit_controller), +1284 .sfence_vma_i (sfence_vma_commit_controller), +1285 .hfence_vvma_i (hfence_vvma_commit_controller), +1286 .hfence_gvma_i (hfence_gvma_commit_controller), +1287 .flush_commit_i (flush_commit), +1288 // Accelerator +1289 .flush_acc_i ('0 /*FIXME*/) +1290 ); +1291 +1292 // ------------------- +1293 // Parameter Check +1294 // ------------------- +1295 // pragma translate_off +1296 unreachable initial config_pkg::check_cfg(CVA6Cfg); + +------------------------------------------------------------------------------- +Cond Coverage for Module : cva6_pipeline + + Total Covered Percent +Conditions 4 4 100.00 +Logical 4 4 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 1052 + EXPRESSION (no_st_pending_ex & dcache_wbuffer_empty_i) + --------1------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 1096 + EXPRESSION (commit_macro_ack & ((~(commit_drop_id_commit & 1'b0)))) + --------1------- -----------------2----------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_pipeline + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_tb_wrapper_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- commit_stage_i +100.00 100.00 100.00 -- controller_i + 98.78 100.00 97.56 -- csr_regfile_i + 99.81 99.81 99.81 -- ex_stage_i + 99.70 100.00 99.39 -- i_frontend + 99.12 99.42 98.81 -- id_stage_i + 97.36 99.62 95.10 -- issue_stage_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : ex_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/ex_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : ex_stage + + Line No. Total Covered Percent +TOTAL 21 21 100.00 +ALWAYS 303 4 4 100.00 +ALWAYS 377 9 9 100.00 +ALWAYS 393 1 1 100.00 +ALWAYS 400 2 2 100.00 +ALWAYS 531 3 3 100.00 +ALWAYS 633 2 2 100.00 + +302 // data silence operation +303 1/1 one_cycle_data = one_cycle_select[0] ? fu_data_i[0] : '0; +304 1/1 rs1_forwarding = rs1_forwarding_i[0]; +305 1/1 rs2_forwarding = rs2_forwarding_i[0]; +306 +307 1/1 if (CVA6Cfg.SuperscalarEn) begin +308 unreachable if (one_cycle_select[1]) begin +309 unreachable one_cycle_data = fu_data_i[1]; +310 unreachable rs1_forwarding = rs1_forwarding_i[1]; +311 unreachable rs2_forwarding = rs2_forwarding_i[1]; +312 end + ==> MISSING_ELSE +313 end + MISSING_ELSE +314 end +315 +316 // 1. ALU (combinatorial) +317 alu #( +318 .CVA6Cfg (CVA6Cfg), +319 .HasBranch(1'b1), +320 .fu_data_t(fu_data_t) +321 ) alu_i ( +322 .clk_i, +323 .rst_ni, +324 .fu_data_i (one_cycle_data), +325 .result_o (alu_result), +326 .alu_branch_res_o(alu_branch_res) +327 ); +328 +329 // 2. Branch Unit (combinatorial) +330 // we don't silence the branch unit as this is already critical and we do +331 // not want to add another layer of logic +332 branch_unit #( +333 .CVA6Cfg(CVA6Cfg), +334 .bp_resolve_t(bp_resolve_t), +335 .branchpredict_sbe_t(branchpredict_sbe_t), +336 .exception_t(exception_t), +337 .fu_data_t(fu_data_t) +338 ) branch_unit_i ( +339 .clk_i, +340 .rst_ni, +341 .v_i, +342 .debug_mode_i, +343 .fu_data_i (one_cycle_data), +344 .pc_i, +345 .is_zcmt_i, +346 .is_compressed_instr_i, +347 .branch_valid_i (|branch_valid_i), +348 .branch_comp_res_i (alu_branch_res), +349 .branch_result_o (branch_result), +350 .branch_predict_i, +351 .resolved_branch_o, +352 .resolve_branch_o, +353 .branch_exception_o(flu_exception_o) +354 ); +355 +356 // 3. CSR (sequential) +357 csr_buffer #( +358 .CVA6Cfg (CVA6Cfg), +359 .fu_data_t(fu_data_t) +360 ) csr_buffer_i ( +361 .clk_i, +362 .rst_ni, +363 .flush_i, +364 .fu_data_i (one_cycle_data), +365 .csr_valid_i (|csr_valid_i), +366 .csr_ready_o (csr_ready), +367 .csr_result_o(csr_result), +368 .csr_commit_i, +369 .csr_addr_o +370 ); +371 +372 assign flu_valid_o = |one_cycle_select | mult_valid; +373 +374 // result MUX +375 always_comb begin +376 // Branch result as default case +377 1/1 flu_result_o = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, branch_result}; +378 1/1 flu_trans_id_o = one_cycle_data.trans_id; +379 // ALU result +380 1/1 if (|alu_valid_i) begin +381 1/1 flu_result_o = alu_result; +382 // CSR result +383 1/1 end else if (|csr_valid_i) begin +384 1/1 flu_result_o = csr_result; +385 1/1 end else if (mult_valid) begin +386 1/1 flu_result_o = mult_result; +387 1/1 flu_trans_id_o = mult_trans_id; +388 end + MISSING_ELSE +389 end +390 +391 // ready flags for FLU +392 always_comb begin +393 1/1 flu_ready_o = csr_ready & mult_ready; +394 end +395 +396 // 4. Multiplication (Sequential) +397 fu_data_t mult_data; +398 // input silencing of multiplier +399 always_comb begin +400 1/1 mult_data = mult_valid_i[0] ? fu_data_i[0] : '0; +401 1/1 if (CVA6Cfg.SuperscalarEn) begin +402 unreachable if (mult_valid_i[1]) begin +403 unreachable mult_data = fu_data_i[1]; +404 end + ==> MISSING_ELSE +405 end + MISSING_ELSE +406 end +407 +408 mult #( +409 .CVA6Cfg (CVA6Cfg), +410 .fu_data_t(fu_data_t) +411 ) i_mult ( +412 .clk_i, +413 .rst_ni, +414 .flush_i, +415 .mult_valid_i (|mult_valid_i), +416 .fu_data_i (mult_data), +417 .result_o (mult_result), +418 .mult_valid_o (mult_valid), +419 .mult_ready_o (mult_ready), +420 .mult_trans_id_o(mult_trans_id) +421 ); +422 +423 // ---------------- +424 // FPU +425 // ---------------- +426 logic fpu_valid; +427 logic [CVA6Cfg.TRANS_ID_BITS-1:0] fpu_trans_id; +428 logic [CVA6Cfg.XLEN-1:0] fpu_result; +429 logic alu2_valid; +430 logic [CVA6Cfg.XLEN-1:0] alu2_result; +431 +432 generate +433 if (CVA6Cfg.FpPresent) begin : fpu_gen +434 fu_data_t fpu_data; +435 always_comb begin +436 fpu_data = fpu_valid_i[0] ? fu_data_i[0] : '0; +437 if (CVA6Cfg.SuperscalarEn) begin +438 if (fpu_valid_i[1]) begin +439 fpu_data = fu_data_i[1]; +440 end +441 end +442 end +443 +444 fpu_wrap #( +445 .CVA6Cfg(CVA6Cfg), +446 .exception_t(exception_t), +447 .fu_data_t(fu_data_t) +448 ) fpu_i ( +449 .clk_i, +450 .rst_ni, +451 .flush_i, +452 .fpu_valid_i(|fpu_valid_i), +453 .fpu_ready_o, +454 .fu_data_i(fpu_data), +455 .fpu_fmt_i, +456 .fpu_rm_i, +457 .fpu_frm_i, +458 .fpu_prec_i, +459 .fpu_trans_id_o(fpu_trans_id), +460 .result_o(fpu_result), +461 .fpu_valid_o(fpu_valid), +462 .fpu_exception_o +463 ); +464 end else begin : no_fpu_gen +465 assign fpu_ready_o = '0; +466 assign fpu_trans_id = '0; +467 assign fpu_result = '0; +468 assign fpu_valid = '0; +469 assign fpu_exception_o = '0; +470 end +471 endgenerate +472 +473 // ---------------- +474 // ALU2 +475 // ---------------- +476 fu_data_t alu2_data; +477 if (CVA6Cfg.SuperscalarEn) begin : alu2_gen +478 always_comb begin +479 alu2_data = alu2_valid_i[0] ? fu_data_i[0] : '0; +480 if (alu2_valid_i[1]) begin +481 alu2_data = fu_data_i[1]; +482 end +483 end +484 +485 alu #( +486 .CVA6Cfg (CVA6Cfg), +487 .HasBranch(1'b0), +488 .fu_data_t(fu_data_t) +489 ) alu2_i ( +490 .clk_i, +491 .rst_ni, +492 .fu_data_i (alu2_data), +493 .result_o (alu2_result), +494 .alu_branch_res_o( /* this ALU does not handle branching */) +495 ); +496 end else begin +497 assign alu2_data = '0; +498 assign alu2_result = '0; +499 end +500 +501 // result MUX +502 // This is really explicit so that synthesis tools can elide unused signals +503 if (CVA6Cfg.SuperscalarEn) begin +504 if (CVA6Cfg.FpPresent) begin +505 assign fpu_valid_o = fpu_valid || |alu2_valid_i; +506 assign fpu_result_o = fpu_valid ? fpu_result : alu2_result; +507 assign fpu_trans_id_o = fpu_valid ? fpu_trans_id : alu2_data.trans_id; +508 end else begin +509 assign fpu_valid_o = |alu2_valid_i; +510 assign fpu_result_o = alu2_result; +511 assign fpu_trans_id_o = alu2_data.trans_id; +512 end +513 end else begin +514 if (CVA6Cfg.FpPresent) begin +515 assign fpu_valid_o = fpu_valid; +516 assign fpu_result_o = fpu_result; +517 assign fpu_trans_id_o = fpu_trans_id; +518 end else begin +519 assign fpu_valid_o = '0; +520 assign fpu_result_o = '0; +521 assign fpu_trans_id_o = '0; +522 end +523 end +524 +525 // ---------------- +526 // Load-Store Unit +527 // ---------------- +528 fu_data_t lsu_data; +529 logic [31:0] lsu_tinst; +530 always_comb begin +531 1/1 lsu_data = lsu_valid_i[0] ? fu_data_i[0] : '0; +532 1/1 lsu_tinst = tinst_i[0]; +533 +534 1/1 if (CVA6Cfg.SuperscalarEn) begin +535 unreachable if (lsu_valid_i[1]) begin +536 unreachable lsu_data = fu_data_i[1]; +537 unreachable lsu_tinst = tinst_i[1]; +538 end + ==> MISSING_ELSE +539 end + MISSING_ELSE +540 end +541 +542 load_store_unit #( +543 .CVA6Cfg (CVA6Cfg), +544 .load_req_t (load_req_t), +545 .load_rsp_t (load_rsp_t), +546 .obi_store_req_t (obi_store_req_t), +547 .obi_store_rsp_t (obi_store_rsp_t), +548 .obi_amo_req_t (obi_amo_req_t), +549 .obi_amo_rsp_t (obi_amo_rsp_t), +550 .obi_load_req_t (obi_load_req_t), +551 .obi_load_rsp_t (obi_load_rsp_t), +552 .obi_mmu_ptw_req_t(obi_mmu_ptw_req_t), +553 .obi_mmu_ptw_rsp_t(obi_mmu_ptw_rsp_t), +554 .exception_t (exception_t), +555 .fu_data_t (fu_data_t), +556 .fetch_areq_t (fetch_areq_t), +557 .fetch_arsp_t (fetch_arsp_t), +558 .lsu_ctrl_t (lsu_ctrl_t) +559 ) lsu_i ( +560 .clk_i, +561 .rst_ni, +562 .flush_i, +563 .stall_st_pending_i, +564 .no_st_pending_o, +565 .fu_data_i (lsu_data), +566 .lsu_ready_o, +567 .lsu_valid_i (|lsu_valid_i), +568 .load_trans_id_o, +569 .load_result_o, +570 .load_valid_o, +571 .load_exception_o, +572 .store_trans_id_o, +573 .store_result_o, +574 .store_valid_o, +575 .store_exception_o, +576 .commit_i (lsu_commit_i), +577 .commit_ready_o (lsu_commit_ready_o), +578 .commit_tran_id_i, +579 .enable_translation_i, +580 .enable_g_translation_i, +581 .en_ld_st_translation_i, +582 .en_ld_st_g_translation_i, +583 .fetch_areq_i, +584 .fetch_arsp_o, +585 .priv_lvl_i, +586 .v_i, +587 .ld_st_priv_lvl_i, +588 .ld_st_v_i, +589 .csr_hs_ld_st_inst_o, +590 .sum_i, +591 .vs_sum_i, +592 .mxr_i, +593 .vmxr_i, +594 .satp_ppn_i, +595 .vsatp_ppn_i, +596 .hgatp_ppn_i, +597 .asid_i, +598 .vs_asid_i, +599 .asid_to_be_flushed_i (asid_to_be_flushed), +600 .vmid_i, +601 .vmid_to_be_flushed_i (vmid_to_be_flushed), +602 .vaddr_to_be_flushed_i (vaddr_to_be_flushed), +603 .gpaddr_to_be_flushed_i(gpaddr_to_be_flushed), +604 .flush_tlb_i, +605 .flush_tlb_vvma_i, +606 .flush_tlb_gvma_i, +607 .itlb_miss_o, +608 .dtlb_miss_o, +609 // DCACHE interfaces +610 .obi_amo_req_o (obi_amo_req_o), +611 .obi_amo_rsp_i (obi_amo_rsp_i), +612 .obi_store_req_o (obi_store_req_o), +613 .obi_store_rsp_i (obi_store_rsp_i), +614 .obi_load_req_o (obi_load_req_o), +615 .obi_load_rsp_i (obi_load_rsp_i), +616 .load_req_o (load_req_o), +617 .load_rsp_i (load_rsp_i), +618 .obi_mmu_ptw_req_o (obi_mmu_ptw_req_o), +619 .obi_mmu_ptw_rsp_i (obi_mmu_ptw_rsp_i), +620 .dcache_wbuffer_empty_i, +621 .dcache_wbuffer_not_ni_i, +622 .amo_valid_commit_i, +623 .tinst_i (lsu_tinst), +624 .pmpcfg_i, +625 .pmpaddr_i, +626 .rvfi_lsu_ctrl_o, +627 .rvfi_mem_paddr_o +628 ); +629 +630 if (CVA6Cfg.CvxifEn) begin : gen_cvxif +631 fu_data_t cvxif_data; +632 always_comb begin +633 1/1 cvxif_data = x_valid_i[0] ? fu_data_i[0] : '0; +634 1/1 if (CVA6Cfg.SuperscalarEn) begin +635 unreachable if (x_valid_i[1]) begin +636 unreachable cvxif_data = fu_data_i[1]; +637 end + ==> MISSING_ELSE +638 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : ex_stage + + Total Covered Percent +Conditions 18 18 100.00 +Logical 18 18 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 303 + EXPRESSION (one_cycle_select[0] ? fu_data_i[0] : '0) + ---------1--------- + +-1- Status + 0 Covered + 1 Covered + + LINE 393 + EXPRESSION (csr_ready & mult_ready) + ----1---- -----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 400 + EXPRESSION (mult_valid_i[0] ? fu_data_i[0] : '0) + -------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 531 + EXPRESSION (lsu_valid_i[0] ? fu_data_i[0] : '0) + -------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 633 + EXPRESSION (x_valid_i[0] ? fu_data_i[0] : '0) + ------1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 296 + EXPRESSION (alu_valid_i | branch_valid_i | csr_valid_i) + -----1----- -------2------ -----3----- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 372 + EXPRESSION (((|one_cycle_select)) | mult_valid) + ----------1---------- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.81 99.81 99.81 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu_i +100.00 100.00 100.00 -- branch_unit_i +100.00 100.00 100.00 -- csr_buffer_i +100.00 100.00 100.00 -- gen_cvxif.cvxif_fu_i + 99.83 100.00 99.66 -- i_mult + 99.83 99.65 100.00 -- lsu_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : instr_queue +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/instr_queue.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue + + + +------------------------------------------------------------------------------- +Line Coverage for Module : instr_queue + + Line No. Total Covered Percent +TOTAL 52 52 100.00 +ALWAYS 321 28 28 100.00 +ALWAYS 440 8 8 100.00 +ALWAYS 486 3 3 100.00 +ALWAYS 515 13 13 100.00 + +320 always_comb begin +321 1/1 idx_ds_d = idx_ds_q; +322 +323 1/1 pop_instr = '0; +324 // assemble fetch entry +325 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +326 1/1 fetch_entry_o[i].instruction = '0; +327 1/1 fetch_entry_o[i].address = pc_j[i]; +328 1/1 fetch_entry_o[i].ex.valid = 1'b0; +329 1/1 fetch_entry_o[i].ex.cause = '0; +330 +331 1/1 fetch_entry_o[i].ex.tval = '0; +332 1/1 fetch_entry_o[i].ex.tval2 = '0; +333 1/1 fetch_entry_o[i].ex.gva = 1'b0; +334 1/1 fetch_entry_o[i].ex.tinst = '0; +335 1/1 fetch_entry_o[i].branch_predict.predict_address = address_out; +336 1/1 fetch_entry_o[i].branch_predict.cf = ariane_pkg::NoCF; +337 end +338 +339 // output mux select +340 1/1 for (int unsigned i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin +341 1/1 if (idx_ds[0][i]) begin +342 1/1 if (CVA6Cfg.NrPMPEntries != 0 && instr_data_out[i].ex == ariane_pkg::FE_INSTR_ACCESS_FAULT) begin +343 unreachable fetch_entry_o[0].ex.cause = riscv::INSTR_ACCESS_FAULT; +344 1/1 end else if (CVA6Cfg.RVH && instr_data_out[i].ex == ariane_pkg::FE_INSTR_GUEST_PAGE_FAULT) begin +345 unreachable fetch_entry_o[0].ex.cause = riscv::INSTR_GUEST_PAGE_FAULT; +346 end else begin +347 1/1 fetch_entry_o[0].ex.cause = riscv::INSTR_PAGE_FAULT; +348 end +349 1/1 fetch_entry_o[0].instruction = instr_data_out[i].instr; +350 1/1 fetch_entry_o[0].ex.valid = ((CVA6Cfg.MmuPresent || CVA6Cfg.NrPMPEntries !=0) && instr_data_out[i].ex != ariane_pkg::FE_NONE); +351 1/1 if (CVA6Cfg.TvalEn) +352 unreachable fetch_entry_o[0].ex.tval = { + MISSING_ELSE +353 {(CVA6Cfg.XLEN - CVA6Cfg.VLEN) {1'b0}}, instr_data_out[i].ex_vaddr +354 }; +355 1/1 if (CVA6Cfg.RVH) begin +356 unreachable fetch_entry_o[0].ex.tval2 = instr_data_out[i].ex_gpaddr; +357 unreachable fetch_entry_o[0].ex.tinst = instr_data_out[i].ex_tinst; +358 unreachable fetch_entry_o[0].ex.gva = instr_data_out[i].ex_gva; +359 end + MISSING_ELSE +360 1/1 fetch_entry_o[0].branch_predict.cf = instr_data_out[i].cf; +361 1/1 pop_instr[i] = fetch_entry_fire[0]; +362 end + MISSING_ELSE +363 +364 1/1 if (CVA6Cfg.SuperscalarEn) begin +365 unreachable if (idx_ds[1][i]) begin +366 unreachable if (instr_data_out[i].ex == ariane_pkg::FE_INSTR_ACCESS_FAULT) begin +367 unreachable fetch_entry_o[NID].ex.cause = riscv::INSTR_ACCESS_FAULT; +368 end else begin +369 unreachable fetch_entry_o[NID].ex.cause = riscv::INSTR_PAGE_FAULT; +370 end +371 unreachable fetch_entry_o[NID].instruction = instr_data_out[i].instr; +372 unreachable fetch_entry_o[NID].ex.valid = instr_data_out[i].ex != ariane_pkg::FE_NONE; +373 unreachable fetch_entry_o[NID].ex.tval = {{64 - CVA6Cfg.VLEN{1'b0}}, instr_data_out[i].ex_vaddr}; +374 unreachable fetch_entry_o[NID].branch_predict.cf = instr_data_out[i].cf; +375 // Cannot output two CF the same cycle. +376 unreachable pop_instr[i] = fetch_entry_fire[NID]; +377 end + ==> MISSING_ELSE +378 end + MISSING_ELSE +379 end +380 // rotate the pointer left +381 1/1 if (fetch_entry_fire[0]) begin +382 1/1 if (CVA6Cfg.SuperscalarEn) begin +383 unreachable idx_ds_d = fetch_entry_fire[NID] ? idx_ds[2] : idx_ds[1]; +384 end else begin +385 1/1 idx_ds_d = idx_ds[1]; +386 end +387 end + MISSING_ELSE +388 end +389 end else begin : gen_downstream_itf_without_c +390 always_comb begin +391 idx_ds_d = '0; +392 idx_is_d = '0; +393 fetch_entry_o[0].instruction = instr_data_out[0].instr; +394 fetch_entry_o[0].address = pc_q; +395 +396 fetch_entry_o[0].ex.valid = instr_data_out[0].ex != ariane_pkg::FE_NONE; +397 if (instr_data_out[0].ex == ariane_pkg::FE_INSTR_ACCESS_FAULT) begin +398 fetch_entry_o[0].ex.cause = riscv::INSTR_ACCESS_FAULT; +399 end else begin +400 fetch_entry_o[0].ex.cause = riscv::INSTR_PAGE_FAULT; +401 end +402 if (CVA6Cfg.TvalEn) +403 fetch_entry_o[0].ex.tval = {{64 - CVA6Cfg.VLEN{1'b0}}, instr_data_out[0].ex_vaddr}; +404 else fetch_entry_o[0].ex.tval = '0; +405 if (CVA6Cfg.RVH) begin +406 fetch_entry_o[0].ex.tval2 = instr_data_out[0].ex_gpaddr; +407 fetch_entry_o[0].ex.tinst = instr_data_out[0].ex_tinst; +408 fetch_entry_o[0].ex.gva = instr_data_out[0].ex_gva; +409 end else begin +410 fetch_entry_o[0].ex.tval2 = '0; +411 fetch_entry_o[0].ex.tinst = '0; +412 fetch_entry_o[0].ex.gva = 1'b0; +413 end +414 +415 fetch_entry_o[0].branch_predict.predict_address = address_out; +416 fetch_entry_o[0].branch_predict.cf = instr_data_out[0].cf; +417 +418 pop_instr[0] = fetch_entry_valid_o[0] & fetch_entry_ready_i[0]; +419 end +420 end +421 +422 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +423 assign fetch_entry_is_cf[i] = fetch_entry_o[i].branch_predict.cf != ariane_pkg::NoCF; +424 assign fetch_entry_fire[i] = fetch_entry_valid_o[i] & fetch_entry_ready_i[i]; +425 end +426 +427 assign pop_address = |(fetch_entry_is_cf & fetch_entry_fire); +428 +429 // ---------------------- +430 // Calculate (Next) PC +431 // ---------------------- +432 assign pc_j[0] = pc_q; +433 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +434 assign pc_j[i+1] = fetch_entry_is_cf[i] ? address_out : ( +435 pc_j[i] + ((fetch_entry_o[i].instruction[1:0] != 2'b11) ? 'd2 : 'd4) +436 ); +437 end +438 +439 always_comb begin +440 1/1 pc_d = pc_q; +441 1/1 reset_address_d = flush_i ? 1'b1 : reset_address_q; +442 +443 1/1 if (fetch_entry_fire[0]) begin +444 1/1 pc_d = pc_j[1]; +445 1/1 if (CVA6Cfg.SuperscalarEn) begin +446 unreachable if (fetch_entry_fire[NID]) begin +447 unreachable pc_d = pc_j[2]; +448 end + ==> MISSING_ELSE +449 end + MISSING_ELSE +450 end + MISSING_ELSE +451 +452 // we previously flushed so we need to reset the address +453 1/1 if (valid_i[0] && reset_address_q) begin +454 // this is the base of the first instruction +455 1/1 pc_d = addr_i[0]; +456 1/1 reset_address_d = 1'b0; +457 end + MISSING_ELSE +458 end +459 +460 // FIFOs +461 for (genvar i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin : gen_instr_fifo +462 // Make sure we don't save any instructions if we couldn't save the address +463 assign push_instr_fifo[i] = push_instr[i] & ~address_overflow; +464 cva6_fifo_v3 #( +465 .FPGA_ALTERA(CVA6Cfg.FpgaAlteraEn), +466 .DEPTH(ariane_pkg::FETCH_FIFO_DEPTH), +467 .dtype(instr_data_t), +468 .FPGA_EN(CVA6Cfg.FpgaEn) +469 ) i_fifo_instr_data ( +470 .clk_i (clk_i), +471 .rst_ni (rst_ni), +472 .flush_i (flush_i), +473 .testmode_i(1'b0), +474 .full_o (instr_queue_full[i]), +475 .empty_o (instr_queue_empty[i]), +476 .usage_o (), +477 .data_i (instr_data_in[i]), +478 .push_i (push_instr_fifo[i]), +479 .data_o (instr_data_out[i]), +480 .pop_i (pop_instr[i]) +481 ); +482 end +483 // or reduce and check whether we are retiring a taken branch (might be that the corresponding) +484 // fifo is full. +485 always_comb begin +486 1/1 push_address = 1'b0; +487 // check if we are pushing a ctrl flow change, if so save the address +488 1/1 for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin +489 1/1 push_address |= push_instr[i] & (instr_data_in[i].cf != ariane_pkg::NoCF); +490 end +491 end +492 +493 cva6_fifo_v3 #( +494 .FPGA_ALTERA(CVA6Cfg.FpgaAlteraEn), +495 .DEPTH (ariane_pkg::FETCH_ADDR_FIFO_DEPTH), +496 .DATA_WIDTH (CVA6Cfg.VLEN), +497 .FPGA_EN (CVA6Cfg.FpgaEn) +498 ) i_fifo_address ( +499 .clk_i (clk_i), +500 .rst_ni (rst_ni), +501 .flush_i (flush_i), +502 .testmode_i(1'b0), +503 .full_o (full_address), +504 .empty_o (), +505 .usage_o (), +506 .data_i (predict_address_i), +507 .push_i (push_address & ~full_address), +508 .data_o (address_out), +509 .pop_i (pop_address) +510 ); +511 +512 +513 if (CVA6Cfg.RVC) begin : gen_pc_q_with_c +514 always_ff @(posedge clk_i or negedge rst_ni) begin +515 1/1 if (!rst_ni) begin +516 1/1 idx_ds_q <= 'b1; +517 1/1 idx_is_q <= '0; +518 1/1 pc_q <= '0; +519 1/1 reset_address_q <= 1'b1; +520 end else begin +521 1/1 pc_q <= pc_d; +522 1/1 reset_address_q <= reset_address_d; +523 1/1 if (flush_i) begin +524 // one-hot encoded +525 1/1 idx_ds_q <= 'b1; +526 // binary encoded +527 1/1 idx_is_q <= '0; +528 1/1 reset_address_q <= 1'b1; +529 end else begin +530 1/1 idx_ds_q <= idx_ds_d; +531 1/1 idx_is_q <= idx_is_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : instr_queue + + Total Covered Percent +Conditions 45 45 100.00 +Logical 45 45 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 441 + EXPRESSION (flush_i ? 1'b1 : reset_address_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 453 + EXPRESSION (valid_i[0] && reset_address_q) + -----1---- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 489 + EXPRESSION (push_instr[i] & (instr_data_in[i].cf != NoCF)) + ------1------ --------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 489 + SUB-EXPRESSION (instr_data_in[i].cf != NoCF) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 366 + EXPRESSION (instr_data_out[i].ex == FE_INSTR_ACCESS_FAULT) + -----------------------1----------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 372 + EXPRESSION (instr_data_out[i].ex != FE_NONE) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 383 + EXPRESSION (fetch_entry_fire[NID] ? idx_ds[2] : idx_ds[1]) + ----------1---------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 498 + EXPRESSION (push_address & ((~full_address))) + ------1----- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 151 + EXPRESSION (((~(|instr_queue_full))) & ((~full_address))) + ------------1----------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 285 + EXPRESSION (full_address & push_address) + ------1----- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 286 + EXPRESSION (instr_overflow | address_overflow) + -------1------ --------2------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 156 + EXPRESSION (cf_type_i[0] != NoCF) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 156 + EXPRESSION (cf_type_i[1] != NoCF) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 293 + EXPRESSION (address_overflow ? addr_i[0] : addr_i[shamt]) + --------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 423 + EXPRESSION (fetch_entry_o[0].branch_predict.cf != NoCF) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 424 + EXPRESSION (fetch_entry_valid_o[0] & fetch_entry_ready_i[0]) + -----------1---------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 434 + EXPRESSION (fetch_entry_is_cf[0] ? address_out : (pc_j[0] + ((fetch_entry_o[0].instruction[1:0] != 2'b11) ? 'd2 : 'd4))) + ----------1--------- + +-1- Status + 0 Covered + 1 Covered + + LINE 434 + SUB-EXPRESSION ((fetch_entry_o[0].instruction[1:0] != 2'b11) ? 'd2 : 'd4) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 434 + SUB-EXPRESSION (fetch_entry_o[0].instruction[1:0] != 2'b11) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 463 + EXPRESSION (push_instr[0] & ((~address_overflow))) + ------1------ ----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 463 + EXPRESSION (push_instr[1] & ((~address_overflow))) + ------1------ ----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- instr_queue + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- gen_instr_fifo[0].i_fifo_instr_data +100.00 100.00 100.00 -- gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : bht +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/bht.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.bht_gen.i_bht + + + +------------------------------------------------------------------------------- +Line Coverage for Module : bht + + Line No. Total Covered Percent +TOTAL 19 19 100.00 +ALWAYS 82 13 13 100.00 +ALWAYS 106 6 6 100.00 + +81 always_comb begin : update_bht +82 1/1 bht_d = bht_q; +83 1/1 saturation_counter = bht_q[update_pc][update_row_index].saturation_counter; +84 +85 1/1 if ((bht_update_i.valid && CVA6Cfg.DebugEn && !debug_mode_i) || (bht_update_i.valid && !CVA6Cfg.DebugEn)) begin +86 1/1 bht_d[update_pc][update_row_index].valid = 1'b1; +87 +88 1/1 if (saturation_counter == 2'b11) begin +89 // we can safely decrease it +90 1/1 if (!bht_update_i.taken) +91 1/1 bht_d[update_pc][update_row_index].saturation_counter = saturation_counter - 1; + MISSING_ELSE +92 // then check if it saturated in the negative regime e.g.: branch not taken +93 1/1 end else if (saturation_counter == 2'b00) begin +94 // we can safely increase it +95 1/1 if (bht_update_i.taken) +96 1/1 bht_d[update_pc][update_row_index].saturation_counter = saturation_counter + 1; + MISSING_ELSE +97 end else begin // otherwise we are not in any boundaries and can decrease or increase it +98 1/1 if (bht_update_i.taken) +99 1/1 bht_d[update_pc][update_row_index].saturation_counter = saturation_counter + 1; +100 1/1 else bht_d[update_pc][update_row_index].saturation_counter = saturation_counter - 1; +101 end +102 end + MISSING_ELSE +103 end +104 +105 always_ff @(posedge clk_i or negedge rst_ni) begin +106 1/1 if (!rst_ni) begin +107 1/1 for (int unsigned i = 0; i < NR_ROWS; i++) begin +108 1/1 for (int j = 0; j < CVA6Cfg.INSTR_PER_FETCH; j++) begin +109 1/1 bht_q[i][j] <= '0; +110 end +111 end +112 end else begin +113 // evict all entries +114 1/1 if (flush_bp_i) begin +115 unreachable for (int i = 0; i < NR_ROWS; i++) begin +116 unreachable for (int j = 0; j < CVA6Cfg.INSTR_PER_FETCH; j++) begin +117 unreachable bht_q[i][j].valid <= 1'b0; +118 unreachable bht_q[i][j].saturation_counter <= 2'b10; +119 end +120 end +121 end else begin +122 1/1 bht_q <= bht_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : bht + + Total Covered Percent +Conditions 12 12 100.00 +Logical 12 12 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 85 + EXPRESSION ((((bht_update_i.valid && 1'b0) && (!debug_mode_i))) || (bht_update_i.valid && ((!1'b0)))) + -------------------------1------------------------- ----------------2---------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Unreachable + + LINE 85 + SUB-EXPRESSION (bht_update_i.valid && ((!1'b0))) + ---------1-------- ----2---- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 88 + EXPRESSION (gen_asic_bht.saturation_counter == 2'b11) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 93 + EXPRESSION (gen_asic_bht.saturation_counter == 2'b0) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 78 + EXPRESSION (bht_q[index][0].saturation_counter[1] == 1'b1) + -----------------------1----------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 78 + EXPRESSION (bht_q[index][1].saturation_counter[1] == 1'b1) + -----------------------1----------------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.bht_gen.i_bht +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- bht + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cvxif_issue_register_commit_if_driver +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cvxif_issue_register_commit_if_driver.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.i_cvxif_issue_register_commit_if_driver + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cvxif_issue_register_commit_if_driver + + Line No. Total Covered Percent +TOTAL 6 6 100.00 +ALWAYS 48 6 6 100.00 + +47 always_comb begin +48 1/1 issue_valid_o = valid_i && ~flush_i; +49 1/1 issue_req_o.instr = x_off_instr_i; +50 1/1 issue_req_o.hartid = hart_id_i; +51 1/1 issue_req_o.id = x_trans_id_i; +52 1/1 register_o.rs = register_i; +53 1/1 register_o.rs_valid = rs_valid_i; + +------------------------------------------------------------------------------- +Cond Coverage for Module : cvxif_issue_register_commit_if_driver + + Total Covered Percent +Conditions 6 6 100.00 +Logical 6 6 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 48 + EXPRESSION (valid_i && ((~flush_i))) + ---1--- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 59 + EXPRESSION (issue_valid_o && issue_ready_i) + ------1------ ------2------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.i_cvxif_issue_register_commit_if_driver +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cvxif_issue_register_commit_if_driver + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvmt_cv32a60x_interrupt_assert +=============================================================================== +SCORE LINE COND ASSERT +100.00 -- -- 100.00 + +Source File(s) : + +cva6/verif/tb/uvmt/uvmt_cv32a60x_interrupt_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 -- -- 100.00 uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvmt_cv32a60x_interrupt_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 3 3 100.00 3 100.00 +Cover sequences 0 0 0 +Total 3 3 100.00 3 100.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_irq_m_ext_taken 51202068 129782 0 +c_irq_m_timer_taken 51202068 73800 0 +c_irq_priority 51202068 85719 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 -- -- 100.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 -- -- 100.00 + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 -- -- 100.00 uvmt_cv32a60x_interrupt_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cva6_fifo_v3 +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cva6_fifo_v3.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cva6_fifo_v3 + + Line No. Total Covered Percent +TOTAL 48 48 100.00 +ALWAYS 79 27 27 100.00 +ALWAYS 157 17 17 100.00 +ALWAYS 210 4 4 100.00 +INITIAL 220 0 0 + +78 // default assignment +79 1/1 read_pointer_n = read_pointer_q; +80 1/1 write_pointer_n = write_pointer_q; +81 1/1 status_cnt_n = status_cnt_q; +82 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) data_ft_n = data_ft_q; + MISSING_ELSE +83 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q; + MISSING_ELSE +84 1/1 if (FPGA_EN) begin +85 unreachable fifo_ram_we = '0; +86 unreachable fifo_ram_write_address = '0; +87 unreachable fifo_ram_wdata = '0; +88 unreachable if (DEPTH == 0) begin +89 unreachable data_o = data_i; +90 end else begin +91 unreachable if (FPGA_ALTERA) data_o = first_word_q ? data_ft_q : fifo_ram_rdata; +92 unreachable else data_o = fifo_ram_rdata; +93 end +94 end else begin +95 1/1 data_o = (DEPTH == 0) ? data_i : mem_q[read_pointer_q]; +96 1/1 mem_n = mem_q; +97 1/1 gate_clock = 1'b1; +98 end +99 +100 // push a new element to the queue +101 1/1 if (push_i && ~full_o) begin +102 1/1 if (FPGA_EN) begin +103 unreachable fifo_ram_we = 1'b1; +104 unreachable fifo_ram_write_address = write_pointer_q; +105 unreachable fifo_ram_wdata = data_i; +106 unreachable if (FPGA_ALTERA) first_word_n = first_word_q && pop_i; + ==> MISSING_ELSE +107 end else begin +108 // push the data onto the queue +109 1/1 mem_n[write_pointer_q] = data_i; +110 // un-gate the clock, we want to write something +111 1/1 gate_clock = 1'b0; +112 end +113 +114 // increment the write counter +115 1/1(1 unreachable) if (write_pointer_q == FifoDepth[ADDR_DEPTH-1:0] - 1) write_pointer_n = '0; +116 1/1 else write_pointer_n = write_pointer_q + 1; +117 // increment the overall counter +118 1/1 status_cnt_n = status_cnt_q + 1; +119 end + MISSING_ELSE +120 +121 1/1 if (pop_i && ~empty_o) begin +122 1/1 data_ft_n = data_i; +123 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q && push_i; + MISSING_ELSE +124 // read from the queue is a default assignment +125 // but increment the read pointer... +126 1/1(1 unreachable) if (read_pointer_n == FifoDepth[ADDR_DEPTH-1:0] - 1) read_pointer_n = '0; +127 1/1 else read_pointer_n = read_pointer_q + 1; +128 // ... and decrement the overall count +129 1/1 status_cnt_n = status_cnt_q - 1; +130 end + MISSING_ELSE +131 +132 // keep the count pointer stable if we push and pop at the same time +133 2/2 if (push_i && pop_i && ~full_o && ~empty_o) status_cnt_n = status_cnt_q; + MISSING_ELSE +134 +135 // FIFO is in pass through mode -> do not change the pointers +136 1/1 if ((FALL_THROUGH || (FPGA_EN && FPGA_ALTERA)) && (status_cnt_q == 0) && push_i) begin +137 unreachable if (FALL_THROUGH) data_o = data_i; + ==> MISSING_ELSE +138 unreachable if (FPGA_EN && FPGA_ALTERA) begin +139 unreachable data_ft_n = data_i; +140 unreachable first_word_n = '1; +141 end + ==> MISSING_ELSE +142 unreachable if (pop_i) begin +143 unreachable first_word_n = '0; +144 unreachable status_cnt_n = status_cnt_q; +145 unreachable read_pointer_n = read_pointer_q; +146 unreachable write_pointer_n = write_pointer_q; +147 end + ==> MISSING_ELSE +148 end + MISSING_ELSE +149 +150 1/1(1 unreachable) if (FPGA_EN) fifo_ram_read_address = (FPGA_ALTERA == 1) ? read_pointer_n : read_pointer_q; +151 1/1 else fifo_ram_read_address = '0; +152 +153 end +154 +155 // sequential process +156 always_ff @(posedge clk_i or negedge rst_ni) begin +157 1/1 if (~rst_ni) begin +158 1/1 read_pointer_q <= '0; +159 1/1 write_pointer_q <= '0; +160 1/1 status_cnt_q <= '0; +161 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +162 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +163 end else begin +164 1/1 if (flush_i) begin +165 1/1 read_pointer_q <= '0; +166 1/1 write_pointer_q <= '0; +167 1/1 status_cnt_q <= '0; +168 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +169 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +170 end else begin +171 1/1 read_pointer_q <= read_pointer_n; +172 1/1 write_pointer_q <= write_pointer_n; +173 1/1 status_cnt_q <= status_cnt_n; +174 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= data_ft_n; + MISSING_ELSE +175 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= first_word_n; + MISSING_ELSE +176 end +177 end +178 end +179 +180 if (FPGA_EN) begin : gen_fpga_queue +181 if (FPGA_ALTERA) begin +182 SyncDpRam_ind_r_w #( +183 .ADDR_WIDTH(ADDR_DEPTH), +184 .DATA_DEPTH(DEPTH), +185 .DATA_WIDTH($bits(dtype)) +186 ) fifo_ram ( +187 .Clk_CI (clk_i), +188 .WrEn_SI (fifo_ram_we), +189 .RdAddr_DI(fifo_ram_read_address), +190 .WrAddr_DI(fifo_ram_write_address), +191 .WrData_DI(fifo_ram_wdata), +192 .RdData_DO(fifo_ram_rdata) +193 ); +194 end else begin +195 AsyncDpRam #( +196 .ADDR_WIDTH(ADDR_DEPTH), +197 .DATA_DEPTH(DEPTH), +198 .DATA_WIDTH($bits(dtype)) +199 ) fifo_ram ( +200 .Clk_CI (clk_i), +201 .WrEn_SI (fifo_ram_we), +202 .RdAddr_DI(fifo_ram_read_address), +203 .WrAddr_DI(fifo_ram_write_address), +204 .WrData_DI(fifo_ram_wdata), +205 .RdData_DO(fifo_ram_rdata) +206 ); +207 end +208 end else begin : gen_asic_queue +209 always_ff @(posedge clk_i or negedge rst_ni) begin +210 1/1 if (~rst_ni) begin +211 1/1 mem_q <= '0; +212 1/1 end else if (!gate_clock) begin +213 1/1 mem_q <= mem_n; +214 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : cva6_fifo_v3 + + Total Covered Percent +Conditions 19 19 100.00 +Logical 19 19 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 91 + EXPRESSION (first_word_q ? data_ft_q : fifo_ram_rdata) + ------1----- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 101 + EXPRESSION (push_i && ((~full_o))) + ---1-- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 106 + EXPRESSION (first_word_q && pop_i) + ------1----- --2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 115 + EXPRESSION (write_pointer_q == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ----------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 121 + EXPRESSION (pop_i && ((~empty_o))) + --1-- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 123 + EXPRESSION (first_word_q && push_i) + ------1----- ---2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 126 + EXPRESSION (read_pointer_n == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ---------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 133 + EXPRESSION (push_i && pop_i && ((~full_o)) && ((~empty_o))) + ---1-- --2-- -----3----- ------4----- + +-1- -2- -3- -4- Status + 0 1 1 1 Covered + 1 0 1 1 Covered + 1 1 0 1 Covered + 1 1 1 0 Covered + 1 1 1 1 Covered + + LINE 71 + EXPRESSION (status_cnt_q == FifoDepth[ADDR_DEPTH:0]) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 72 + EXPRESSION ((status_cnt_q == 3'b0) & ((~(FALL_THROUGH & push_i)))) + -----------1---------- --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 72 + SUB-EXPRESSION (status_cnt_q == 3'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_fifo_v3 + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_instr_queue + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data + + Line No. Total Covered Percent +TOTAL 48 48 100.00 +ALWAYS 79 27 27 100.00 +ALWAYS 157 17 17 100.00 +ALWAYS 210 4 4 100.00 +INITIAL 220 0 0 + +78 // default assignment +79 1/1 read_pointer_n = read_pointer_q; +80 1/1 write_pointer_n = write_pointer_q; +81 1/1 status_cnt_n = status_cnt_q; +82 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) data_ft_n = data_ft_q; + MISSING_ELSE +83 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q; + MISSING_ELSE +84 1/1 if (FPGA_EN) begin +85 unreachable fifo_ram_we = '0; +86 unreachable fifo_ram_write_address = '0; +87 unreachable fifo_ram_wdata = '0; +88 unreachable if (DEPTH == 0) begin +89 unreachable data_o = data_i; +90 end else begin +91 unreachable if (FPGA_ALTERA) data_o = first_word_q ? data_ft_q : fifo_ram_rdata; +92 unreachable else data_o = fifo_ram_rdata; +93 end +94 end else begin +95 1/1 data_o = (DEPTH == 0) ? data_i : mem_q[read_pointer_q]; +96 1/1 mem_n = mem_q; +97 1/1 gate_clock = 1'b1; +98 end +99 +100 // push a new element to the queue +101 1/1 if (push_i && ~full_o) begin +102 1/1 if (FPGA_EN) begin +103 unreachable fifo_ram_we = 1'b1; +104 unreachable fifo_ram_write_address = write_pointer_q; +105 unreachable fifo_ram_wdata = data_i; +106 unreachable if (FPGA_ALTERA) first_word_n = first_word_q && pop_i; + ==> MISSING_ELSE +107 end else begin +108 // push the data onto the queue +109 1/1 mem_n[write_pointer_q] = data_i; +110 // un-gate the clock, we want to write something +111 1/1 gate_clock = 1'b0; +112 end +113 +114 // increment the write counter +115 1/1(1 unreachable) if (write_pointer_q == FifoDepth[ADDR_DEPTH-1:0] - 1) write_pointer_n = '0; +116 1/1 else write_pointer_n = write_pointer_q + 1; +117 // increment the overall counter +118 1/1 status_cnt_n = status_cnt_q + 1; +119 end + MISSING_ELSE +120 +121 1/1 if (pop_i && ~empty_o) begin +122 1/1 data_ft_n = data_i; +123 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q && push_i; + MISSING_ELSE +124 // read from the queue is a default assignment +125 // but increment the read pointer... +126 1/1(1 unreachable) if (read_pointer_n == FifoDepth[ADDR_DEPTH-1:0] - 1) read_pointer_n = '0; +127 1/1 else read_pointer_n = read_pointer_q + 1; +128 // ... and decrement the overall count +129 1/1 status_cnt_n = status_cnt_q - 1; +130 end + MISSING_ELSE +131 +132 // keep the count pointer stable if we push and pop at the same time +133 2/2 if (push_i && pop_i && ~full_o && ~empty_o) status_cnt_n = status_cnt_q; + MISSING_ELSE +134 +135 // FIFO is in pass through mode -> do not change the pointers +136 1/1 if ((FALL_THROUGH || (FPGA_EN && FPGA_ALTERA)) && (status_cnt_q == 0) && push_i) begin +137 unreachable if (FALL_THROUGH) data_o = data_i; + ==> MISSING_ELSE +138 unreachable if (FPGA_EN && FPGA_ALTERA) begin +139 unreachable data_ft_n = data_i; +140 unreachable first_word_n = '1; +141 end + ==> MISSING_ELSE +142 unreachable if (pop_i) begin +143 unreachable first_word_n = '0; +144 unreachable status_cnt_n = status_cnt_q; +145 unreachable read_pointer_n = read_pointer_q; +146 unreachable write_pointer_n = write_pointer_q; +147 end + ==> MISSING_ELSE +148 end + MISSING_ELSE +149 +150 1/1(1 unreachable) if (FPGA_EN) fifo_ram_read_address = (FPGA_ALTERA == 1) ? read_pointer_n : read_pointer_q; +151 1/1 else fifo_ram_read_address = '0; +152 +153 end +154 +155 // sequential process +156 always_ff @(posedge clk_i or negedge rst_ni) begin +157 1/1 if (~rst_ni) begin +158 1/1 read_pointer_q <= '0; +159 1/1 write_pointer_q <= '0; +160 1/1 status_cnt_q <= '0; +161 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +162 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +163 end else begin +164 1/1 if (flush_i) begin +165 1/1 read_pointer_q <= '0; +166 1/1 write_pointer_q <= '0; +167 1/1 status_cnt_q <= '0; +168 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +169 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +170 end else begin +171 1/1 read_pointer_q <= read_pointer_n; +172 1/1 write_pointer_q <= write_pointer_n; +173 1/1 status_cnt_q <= status_cnt_n; +174 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= data_ft_n; + MISSING_ELSE +175 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= first_word_n; + MISSING_ELSE +176 end +177 end +178 end +179 +180 if (FPGA_EN) begin : gen_fpga_queue +181 if (FPGA_ALTERA) begin +182 SyncDpRam_ind_r_w #( +183 .ADDR_WIDTH(ADDR_DEPTH), +184 .DATA_DEPTH(DEPTH), +185 .DATA_WIDTH($bits(dtype)) +186 ) fifo_ram ( +187 .Clk_CI (clk_i), +188 .WrEn_SI (fifo_ram_we), +189 .RdAddr_DI(fifo_ram_read_address), +190 .WrAddr_DI(fifo_ram_write_address), +191 .WrData_DI(fifo_ram_wdata), +192 .RdData_DO(fifo_ram_rdata) +193 ); +194 end else begin +195 AsyncDpRam #( +196 .ADDR_WIDTH(ADDR_DEPTH), +197 .DATA_DEPTH(DEPTH), +198 .DATA_WIDTH($bits(dtype)) +199 ) fifo_ram ( +200 .Clk_CI (clk_i), +201 .WrEn_SI (fifo_ram_we), +202 .RdAddr_DI(fifo_ram_read_address), +203 .WrAddr_DI(fifo_ram_write_address), +204 .WrData_DI(fifo_ram_wdata), +205 .RdData_DO(fifo_ram_rdata) +206 ); +207 end +208 end else begin : gen_asic_queue +209 always_ff @(posedge clk_i or negedge rst_ni) begin +210 1/1 if (~rst_ni) begin +211 1/1 mem_q <= '0; +212 1/1 end else if (!gate_clock) begin +213 1/1 mem_q <= mem_n; +214 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data + + Total Covered Percent +Conditions 19 19 100.00 +Logical 19 19 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 91 + EXPRESSION (first_word_q ? data_ft_q : fifo_ram_rdata) + ------1----- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 101 + EXPRESSION (push_i && ((~full_o))) + ---1-- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 106 + EXPRESSION (first_word_q && pop_i) + ------1----- --2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 115 + EXPRESSION (write_pointer_q == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ----------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 121 + EXPRESSION (pop_i && ((~empty_o))) + --1-- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 123 + EXPRESSION (first_word_q && push_i) + ------1----- ---2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 126 + EXPRESSION (read_pointer_n == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ---------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 133 + EXPRESSION (push_i && pop_i && ((~full_o)) && ((~empty_o))) + ---1-- --2-- -----3----- ------4----- + +-1- -2- -3- -4- Status + 0 1 1 1 Covered + 1 0 1 1 Covered + 1 1 0 1 Covered + 1 1 1 0 Covered + 1 1 1 1 Covered + + LINE 71 + EXPRESSION (status_cnt_q == FifoDepth[ADDR_DEPTH:0]) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 72 + EXPRESSION ((status_cnt_q == 3'b0) & ((~(FALL_THROUGH & push_i)))) + -----------1---------- --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 72 + SUB-EXPRESSION (status_cnt_q == 3'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + +=============================================================================== +Module : mult +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/mult.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult + + + +------------------------------------------------------------------------------- +Line Coverage for Module : mult + + Line No. Total Covered Percent +TOTAL 11 11 100.00 +ALWAYS 93 8 8 100.00 +ALWAYS 152 3 3 100.00 + +92 // silence the inputs +93 1/1 operand_a = '0; +94 1/1 operand_b = '0; +95 // control signals +96 1/1 word_op_d = word_op_q; +97 +98 // we've go a new division operation +99 1/1 if (mult_valid_i && fu_data_i.operation inside {DIV, DIVU, DIVW, DIVUW, REM, REMU, REMW, REMUW}) begin +100 // is this a word operation? +101 1/1 if (CVA6Cfg.IS_XLEN64 && (fu_data_i.operation == DIVW || fu_data_i.operation == DIVUW || fu_data_i.operation == REMW || fu_data_i.operation == REMUW)) begin +102 // yes so check if we should sign extend this is only done for a signed operation +103 unreachable if (div_signed) begin +104 unreachable operand_a = sext32to64(fu_data_i.operand_a[31:0]); +105 unreachable operand_b = sext32to64(fu_data_i.operand_b[31:0]); +106 end else begin +107 unreachable operand_a = fu_data_i.operand_a[31:0]; +108 unreachable operand_b = fu_data_i.operand_b[31:0]; +109 end +110 +111 // save whether we want sign extend the result or not, this is done for all word operations +112 unreachable word_op_d = 1'b1; +113 end else begin +114 // regular op +115 1/1 operand_a = fu_data_i.operand_a; +116 1/1 operand_b = fu_data_i.operand_b; +117 1/1 word_op_d = 1'b0; +118 end +119 end + MISSING_ELSE +120 end +121 +122 // --------------------- +123 // Serial Divider +124 // --------------------- +125 serdiv #( +126 .CVA6Cfg(CVA6Cfg), +127 .WIDTH (CVA6Cfg.XLEN) +128 ) i_div ( +129 .clk_i (clk_i), +130 .rst_ni (rst_ni), +131 .id_i (fu_data_i.trans_id), +132 .op_a_i (operand_a), +133 .op_b_i (operand_b), +134 .opcode_i ({rem, div_signed}), // 00: udiv, 10: urem, 01: div, 11: rem +135 .in_vld_i (div_valid_op), +136 .in_rdy_o (mult_ready_o), +137 .flush_i (flush_i), +138 .out_vld_o(div_valid), +139 .out_rdy_i(div_ready_i), +140 .id_o (div_trans_id), +141 .res_o (result) +142 ); +143 +144 // Result multiplexer +145 // if it was a signed word operation the bit will be set and the result will be sign extended accordingly +146 assign div_result = (CVA6Cfg.IS_XLEN64 && word_op_q) ? sext32to64(result) : result; +147 +148 // --------------------- +149 // Registers +150 // --------------------- +151 always_ff @(posedge clk_i or negedge rst_ni) begin +152 1/1 if (~rst_ni) begin +153 1/1 word_op_q <= '0; +154 end else begin +155 1/1 word_op_q <= word_op_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : mult + + Total Covered Percent +Conditions 20 20 100.00 +Logical 20 20 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 99 + EXPRESSION (mult_valid_i && (fu_data_i.operation inside {DIV, DIVU, DIVW, DIVUW, REM, REMU, REMW, REMUW})) + ------1----- --------------------------------------2-------------------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 40 + EXPRESSION (((~flush_i)) && mult_valid_i && (fu_data_i.operation inside {MUL, MULH, MULHU, MULHSU, MULW, CLMUL, CLMULH, CLMULR})) + ------1----- ------2----- ------------------------------------------3----------------------------------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 42 + EXPRESSION (((~flush_i)) && mult_valid_i && (fu_data_i.operation inside {DIV, DIVU, DIVW, DIVUW, REM, REMU, REMW, REMUW})) + ------1----- ------2----- --------------------------------------3-------------------------------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 49 + EXPRESSION (mul_valid ? 1'b0 : 1'b1) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 50 + EXPRESSION (mul_valid ? mul_trans_id : div_trans_id) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 51 + EXPRESSION (mul_valid ? mul_result : div_result) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 52 + EXPRESSION (div_valid | mul_valid) + ----1---- ----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.83 100.00 99.66 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- mult + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.82 100.00 99.64 -- i_div +100.00 100.00 100.00 -- i_multiplier + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : load_unit +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/load_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_load_unit + + + +------------------------------------------------------------------------------- +Line Coverage for Module : load_unit + + Line No. Total Covered Percent +TOTAL 82 82 100.00 +ALWAYS 158 10 10 100.00 +ALWAYS 182 12 12 100.00 +ALWAYS 274 27 27 100.00 +ALWAYS 345 11 11 100.00 +ALWAYS 374 16 16 100.00 +ALWAYS 453 6 6 100.00 + +157 always_comb begin : ldbuf_comb +158 1/1 ldbuf_flushed_d = ldbuf_flushed_q; +159 1/1 ldbuf_valid_d = ldbuf_valid_q; +160 +161 // In case of flush, raise the flushed flag in all slots. +162 1/1 if (flush_i) begin +163 1/1 ldbuf_flushed_d = '1; +164 end + MISSING_ELSE +165 // Free read entry (in the case of fall-through mode, free the entry +166 // only if there is no pending load) +167 1/1 if (ldbuf_r && (!LDBUF_FALLTHROUGH || !ldbuf_w)) begin +168 1/1 ldbuf_valid_d[ldbuf_rindex] = 1'b0; +169 end + MISSING_ELSE +170 // Free on exception +171 1/1 if (CVA6Cfg.MmuPresent && (ldbuf_w_q && ex_i.valid)) begin +172 unreachable ldbuf_valid_d[ldbuf_windex_q] = 1'b0; +173 end + MISSING_ELSE +174 // Track a new outstanding operation in the load buffer +175 1/1 if (ldbuf_w) begin +176 1/1 ldbuf_flushed_d[ldbuf_windex] = 1'b0; +177 1/1 ldbuf_valid_d[ldbuf_windex] = 1'b1; +178 end + MISSING_ELSE +179 end +180 +181 always_ff @(posedge clk_i or negedge rst_ni) begin : ldbuf_ff +182 1/1 if (!rst_ni) begin +183 1/1 ldbuf_flushed_q <= '0; +184 1/1 ldbuf_valid_q <= '0; +185 1/1 ldbuf_last_id_q <= '0; +186 1/1 ldbuf_q <= '0; +187 end else begin +188 1/1 ldbuf_flushed_q <= ldbuf_flushed_d; +189 1/1 ldbuf_valid_q <= ldbuf_valid_d; +190 1/1 if (ldbuf_w) begin +191 1/1 ldbuf_last_id_q <= ldbuf_windex; +192 1/1 ldbuf_q[ldbuf_windex].trans_id <= lsu_ctrl_i.trans_id; +193 1/1 ldbuf_q[ldbuf_windex].address_offset <= lsu_ctrl_i.vaddr[CVA6Cfg.XLEN_ALIGN_BYTES-1:0]; +194 1/1 ldbuf_q[ldbuf_windex].operation <= lsu_ctrl_i.operation; +195 end + MISSING_ELSE +196 end +197 end +198 +199 // page offset is defined as the lower 12 bits, feed through for address checker +200 assign page_offset_o = lsu_ctrl_i.vaddr[11:0]; +201 // feed-through the virtual address for VA translation +202 assign vaddr_o = lsu_ctrl_i.vaddr; +203 assign hs_ld_st_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hs_ld_st_inst : 1'b0; +204 assign hlvx_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hlvx_inst : 1'b0; +205 // feed-through the transformed instruction for mmu +206 assign tinst_o = CVA6Cfg.RVH ? lsu_ctrl_i.tinst : '0; +207 +208 // output address +209 // we can now output the lower 12 bit as the index to the cache +210 assign load_req_o.address_index = lsu_ctrl_i.vaddr[CVA6Cfg.DCACHE_INDEX_WIDTH-1:0]; +211 assign load_req_o.be = lsu_ctrl_i.be; +212 +213 // request id = index of the load buffer's entry +214 assign load_req_o.aid = ldbuf_windex; +215 // directly forward exception fields (valid bit is set below) +216 assign ex_o.cause = ex_i.cause; +217 assign ex_o.tval = ex_i.tval; +218 assign ex_o.tval2 = CVA6Cfg.RVH ? ex_i.tval2 : '0; +219 assign ex_o.tinst = CVA6Cfg.RVH ? ex_i.tinst : '0; +220 assign ex_o.gva = CVA6Cfg.RVH ? ex_i.gva : 1'b0; +221 +222 logic [CVA6Cfg.PLEN-1:0] paddr; +223 +224 assign paddr = CVA6Cfg.MmuPresent ? paddr_i : lsu_ctrl_i.vaddr; //paddr_i is delayed in s1, but no s1 in mode no MMU +225 +226 // CHECK PMA regions +227 +228 logic paddr_is_cacheable, paddr_is_cacheable_q; // asserted if physical address is non-cacheable +229 assign paddr_is_cacheable = config_pkg::is_inside_cacheable_regions( +230 CVA6Cfg, {{52 - CVA6Cfg.PPNW{1'b0}}, dtlb_ppn_i, 12'd0} +231 ); +232 +233 logic paddr_nonidempotent; +234 assign paddr_nonidempotent = config_pkg::is_inside_nonidempotent_regions( +235 CVA6Cfg, {{52 - CVA6Cfg.PPNW{1'b0}}, dtlb_ppn_i, 12'd0} +236 ); +237 +238 // Check that NI operations follow the necessary conditions +239 logic not_commit_time; +240 logic inflight_stores; +241 logic stall_ni; +242 assign not_commit_time = commit_tran_id_i != lsu_ctrl_i.trans_id; +243 assign inflight_stores = (!dcache_wbuffer_not_ni_i || !store_buffer_empty_i); +244 +245 typedef enum logic [1:0] { +246 TRANSPARENT, +247 REGISTRED +248 } obi_a_state_e; +249 obi_a_state_e obi_a_state_d, obi_a_state_q; +250 +251 // --------------- +252 // Load Control +253 // --------------- +254 logic ex_s0, ex_s1, kill_s1; +255 +256 logic stall_obi, stall_translation; +257 logic data_req, data_rvalid; +258 +259 assign load_req_o.kill_req = kill_req_q || kill_s1; +260 +261 assign stall_ni = (inflight_stores || not_commit_time) && (paddr_nonidempotent && CVA6Cfg.NonIdemPotenceEn); +262 assign stall_obi = (obi_a_state_q == REGISTRED); //&& !obi_load_rsp_i.gnt; +263 assign stall_translation = CVA6Cfg.MmuPresent ? translation_req_o && !dtlb_hit_i : 1'b0; +264 +265 assign ex_s0 = CVA6Cfg.MmuPresent && stall_translation && ex_i.valid; +266 assign ex_s1 = ((CVA6Cfg.MmuPresent ? ldbuf_w_q : valid_i) && ex_i.valid); +267 assign kill_s1 = CVA6Cfg.MmuPresent ? ex_s1 : 1'b0; +268 +269 assign data_rvalid = obi_load_rsp_i.rvalid && !ldbuf_flushed_q[ldbuf_rindex]; +270 assign data_req = (CVA6Cfg.MmuPresent ? ldbuf_w_q && !ex_s1 : ldbuf_w); +271 +272 always_comb begin : p_fsm_common +273 // default assignment +274 1/1 load_req_o.req = '0; +275 1/1 kill_req_d = 1'b0; +276 1/1 ldbuf_w = 1'b0; +277 1/1 translation_req_o = 1'b0; +278 //response +279 1/1 trans_id_o = lsu_ctrl_i.trans_id; +280 1/1 valid_o = 1'b0; +281 1/1 ex_o.valid = 1'b0; +282 1/1 pop_ld_o = 1'b0; // release lsu_bypass fifo +283 +284 // REQUEST +285 1/1 if (valid_i) begin +286 1/1 translation_req_o = 1'b1; +287 1/1 if (!page_offset_matches_i) begin +288 1/1 load_req_o.req = 1'b1; +289 1/1 if (!CVA6Cfg.MmuPresent || load_rsp_i.gnt) begin +290 1/1 if (stall_translation || stall_ni || stall_obi || ldbuf_full || flush_i) begin +291 1/1 kill_req_d = 1'b1; // MmuPresent only: next cycle is s2 but we need to kill because not ready to send tag +292 end else begin +293 1/1 ldbuf_w = CVA6Cfg.MmuPresent ? 1'b1 : !ex_s1; // record request into outstanding load fifo and trigger OBI request +294 1/1 pop_ld_o = !ex_s1; // release lsu_bypass fifo +295 end +296 end + ==> MISSING_ELSE +297 end + MISSING_ELSE +298 end + MISSING_ELSE +299 // RETIRE LOAD +300 // we got an rvalid and it's corresponding request was not flushed +301 1/1 if (data_rvalid) begin +302 1/1 trans_id_o = ldbuf_q[ldbuf_rindex].trans_id; +303 1/1 valid_o = 1'b1; +304 1/1 ex_o.valid = 1'b0; +305 // RETIRE EXCEPTION (low priority) +306 1/1 end else if (ex_s1) begin +307 1/1 trans_id_o = CVA6Cfg.MmuPresent ? ldbuf_q[ldbuf_windex_q].trans_id : lsu_ctrl_i.trans_id; +308 1/1 valid_o = 1'b1; +309 1/1 ex_o.valid = 1'b1; +310 1/1 pop_ld_o = 1'b1; // release lsu_bypass fifo +311 // RETIRE EXCEPTION (low priority) +312 1/1 end else if (CVA6Cfg.MmuPresent && ex_s0) begin +313 unreachable trans_id_o = lsu_ctrl_i.trans_id; +314 unreachable valid_o = 1'b1; +315 unreachable ex_o.valid = 1'b1; +316 unreachable pop_ld_o = 1'b1; // release lsu_bypass fifo +317 end + MISSING_ELSE +318 +319 end +320 +321 +322 //default obi state registred +323 assign obi_load_req_o.reqpar = !obi_load_req_o.req; +324 assign obi_load_req_o.a.addr = obi_a_state_q == TRANSPARENT ? paddr : paddr_q; +325 assign obi_load_req_o.a.we = '0; +326 assign obi_load_req_o.a.be = (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? lsu_ctrl_i.be : be_q; +327 assign obi_load_req_o.a.wdata = '0; +328 assign obi_load_req_o.a.aid = (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? ldbuf_windex : ldbuf_windex_q; +329 assign obi_load_req_o.a.a_optional.auser = '0; +330 assign obi_load_req_o.a.a_optional.wuser = '0; +331 assign obi_load_req_o.a.a_optional.atop = '0; +332 assign obi_load_req_o.a.a_optional.memtype[0] = '0; +333 assign obi_load_req_o.a.a_optional.memtype[1]= (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q; +334 assign obi_load_req_o.a.a_optional.mid = '0; +335 assign obi_load_req_o.a.a_optional.prot[2:1] = 2'b11; +336 assign obi_load_req_o.a.a_optional.prot[0] = 1'b1; //data +337 assign obi_load_req_o.a.a_optional.dbg = '0; +338 assign obi_load_req_o.a.a_optional.achk = '0; +339 +340 assign obi_load_req_o.rready = '1; //always ready +341 assign obi_load_req_o.rreadypar = '0; +342 +343 always_comb begin : p_fsm_obi_a +344 // default assignment +345 1/1 obi_a_state_d = obi_a_state_q; +346 1/1 obi_load_req_o.req = 1'b0; +347 +348 1/1 unique case (obi_a_state_q) +349 TRANSPARENT: begin +350 1/1 if (data_req) begin +351 1/1 obi_load_req_o.req = 1'b1; +352 1/1 if (!obi_load_rsp_i.gnt) begin +353 1/1 obi_a_state_d = REGISTRED; +354 end + MISSING_ELSE +355 end + MISSING_ELSE +356 end +357 +358 REGISTRED: begin +359 1/1 obi_load_req_o.req = 1'b1; +360 1/1 if (obi_load_rsp_i.gnt) begin +361 1/1 obi_a_state_d = TRANSPARENT; +362 end + MISSING_ELSE +363 end +364 +365 default: begin +366 // we should never get here +367 1/1 obi_a_state_d = TRANSPARENT; +368 end +369 endcase +370 end +371 +372 // latch physical address for the tag cycle (one cycle after applying the index) +373 always_ff @(posedge clk_i or negedge rst_ni) begin +374 1/1 if (~rst_ni) begin +375 1/1 obi_a_state_q <= TRANSPARENT; +376 1/1 paddr_q <= '0; +377 1/1 be_q <= '0; +378 1/1 paddr_is_cacheable_q <= '0; +379 1/1 kill_req_q <= '0; +380 1/1 ldbuf_windex_q <= '0; +381 1/1 ldbuf_w_q <= '0; +382 end else begin +383 1/1 if (obi_a_state_q == TRANSPARENT) begin +384 1/1 paddr_q <= paddr; +385 1/1 be_q <= lsu_ctrl_i.be; +386 1/1 paddr_is_cacheable_q <= paddr_is_cacheable; +387 end + MISSING_ELSE +388 1/1 obi_a_state_q <= obi_a_state_d; +389 1/1 kill_req_q <= kill_req_d; +390 //if (!ex_s1) begin +391 1/1 ldbuf_windex_q <= ldbuf_windex; +392 1/1 ldbuf_w_q <= ldbuf_w; +393 //end +394 end +395 end +396 +397 +398 // --------------- +399 // Retire Load +400 // --------------- +401 assign ldbuf_rindex = (CVA6Cfg.NrLoadBufEntries > 1) ? ldbuf_id_t'(obi_load_rsp_i.r.rid) : 1'b0; +402 assign ldbuf_rdata = ldbuf_q[ldbuf_rindex]; +403 +404 // read the pending load buffer +405 assign ldbuf_r = obi_load_rsp_i.rvalid; +406 +407 // --------------- +408 // Sign Extend +409 // --------------- +410 logic [CVA6Cfg.XLEN-1:0] shifted_data; +411 +412 // realign as needed +413 assign shifted_data = obi_load_rsp_i.r.rdata >> {ldbuf_rdata.address_offset, 3'b000}; +414 +415 /* // result mux (leaner code, but more logic stages. +416 // can be used instead of the code below (in between //result mux fast) if timing is not so critical) +417 always_comb begin +418 unique case (ldbuf_rdata.operation) +419 LWU: result_o = shifted_data[31:0]; +420 LHU: result_o = shifted_data[15:0]; +421 LBU: result_o = shifted_data[7:0]; +422 LW: result_o = 64'(signed'(shifted_data[31:0])); +423 LH: result_o = 64'(signed'(shifted_data[15:0])); +424 LB: result_o = 64'(signed'(shifted_data[ 7:0])); +425 default: result_o = shifted_data; +426 endcase +427 end */ +428 +429 // result mux fast +430 logic [ (CVA6Cfg.XLEN/8)-1:0] rdata_sign_bits; +431 logic [CVA6Cfg.XLEN_ALIGN_BYTES-1:0] rdata_offset; +432 logic rdata_sign_bit, rdata_is_signed, rdata_is_fp_signed; +433 +434 +435 // prepare these signals for faster selection in the next cycle +436 assign rdata_is_signed = ldbuf_rdata.operation inside {ariane_pkg::LW, ariane_pkg::LH, ariane_pkg::LB, ariane_pkg::HLV_W, ariane_pkg::HLV_H, ariane_pkg::HLV_B}; +437 assign rdata_is_fp_signed = ldbuf_rdata.operation inside {ariane_pkg::FLW, ariane_pkg::FLH, ariane_pkg::FLB}; +438 assign rdata_offset = ((ldbuf_rdata.operation inside {ariane_pkg::LW, ariane_pkg::FLW, ariane_pkg::HLV_W}) & CVA6Cfg.IS_XLEN64) ? ldbuf_rdata.address_offset + 3 : +439 ( ldbuf_rdata.operation inside {ariane_pkg::LH, ariane_pkg::FLH, ariane_pkg::HLV_H}) ? ldbuf_rdata.address_offset + 1 : +440 ldbuf_rdata.address_offset; +441 +442 for (genvar i = 0; i < (CVA6Cfg.XLEN / 8); i++) begin : gen_sign_bits +443 assign rdata_sign_bits[i] = obi_load_rsp_i.r.rdata[(i+1)*8-1]; +444 end +445 +446 +447 // select correct sign bit in parallel to result shifter above +448 // pull to 0 if unsigned +449 assign rdata_sign_bit = rdata_is_signed & rdata_sign_bits[rdata_offset] | (CVA6Cfg.FpPresent && rdata_is_fp_signed); +450 +451 // result mux +452 always_comb begin +453 1/1 unique case (ldbuf_rdata.operation) +454 ariane_pkg::LW, ariane_pkg::LWU, ariane_pkg::HLV_W, ariane_pkg::HLV_WU, ariane_pkg::HLVX_WU: +455 1/1 result_o = {{CVA6Cfg.XLEN - 32{rdata_sign_bit}}, shifted_data[31:0]}; +456 ariane_pkg::LH, ariane_pkg::LHU, ariane_pkg::HLV_H, ariane_pkg::HLV_HU, ariane_pkg::HLVX_HU: +457 1/1 result_o = {{CVA6Cfg.XLEN - 32 + 16{rdata_sign_bit}}, shifted_data[15:0]}; +458 ariane_pkg::LB, ariane_pkg::LBU, ariane_pkg::HLV_B, ariane_pkg::HLV_BU: +459 1/1 result_o = {{CVA6Cfg.XLEN - 32 + 24{rdata_sign_bit}}, shifted_data[7:0]}; +460 default: begin +461 // FLW, FLH and FLB have been defined here in default case to improve Code Coverage +462 1/1 if (CVA6Cfg.FpPresent) begin +463 unreachable unique case (ldbuf_rdata.operation) +464 ariane_pkg::FLW: begin +465 unreachable result_o = {{CVA6Cfg.XLEN - 32{rdata_sign_bit}}, shifted_data[31:0]}; +466 end +467 ariane_pkg::FLH: begin +468 unreachable result_o = {{CVA6Cfg.XLEN - 32 + 16{rdata_sign_bit}}, shifted_data[15:0]}; +469 end +470 ariane_pkg::FLB: begin +471 unreachable result_o = {{CVA6Cfg.XLEN - 32 + 24{rdata_sign_bit}}, shifted_data[7:0]}; +472 end +473 default: begin +474 unreachable result_o = shifted_data[CVA6Cfg.XLEN-1:0]; +475 end +476 endcase +477 end else begin +478 1/1 result_o = shifted_data[CVA6Cfg.XLEN-1:0]; + +------------------------------------------------------------------------------- +Cond Coverage for Module : load_unit + + Total Covered Percent +Conditions 65 65 100.00 +Logical 65 65 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 167 + EXPRESSION (ldbuf_r && (((!LDBUF_FALLTHROUGH)) || ((!ldbuf_w)))) + ---1--- --------------------2------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 167 + SUB-EXPRESSION (((!LDBUF_FALLTHROUGH)) || ((!ldbuf_w))) + -----------1---------- ------2----- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 290 + EXPRESSION (stall_translation || stall_ni || stall_obi || ldbuf_full || flush_i) + --------1-------- ----2--- ----3---- -----4---- ---5--- + +-1- -2- -3- -4- -5- Status + 0 0 0 0 0 Covered + 0 0 0 0 1 Covered + 0 0 0 1 0 Covered + 0 0 1 0 0 Covered + 0 1 0 0 0 Unreachable + 1 0 0 0 0 Unreachable + + LINE 383 + EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 134 + EXPRESSION (((&ldbuf_valid_q)) && ( ! (LDBUF_FALLTHROUGH && ldbuf_r) )) + ---------1-------- ------------------2----------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 134 + SUB-EXPRESSION ( ! (LDBUF_FALLTHROUGH && ldbuf_r) ) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 134 + SUB-EXPRESSION (LDBUF_FALLTHROUGH && ldbuf_r) + --------1-------- ---2--- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 155 + EXPRESSION ((LDBUF_FALLTHROUGH && ldbuf_r) ? ldbuf_rindex : ldbuf_free_index) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 155 + SUB-EXPRESSION (LDBUF_FALLTHROUGH && ldbuf_r) + --------1-------- ---2--- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 242 + EXPRESSION (commit_tran_id_i != lsu_ctrl_i.trans_id) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 243 + EXPRESSION (((!dcache_wbuffer_not_ni_i)) || ((!store_buffer_empty_i))) + --------------1------------- ------------2------------ + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Unreachable + + LINE 259 + EXPRESSION (kill_req_q || kill_s1) + -----1---- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 262 + EXPRESSION (obi_a_state_q == REGISTRED) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 266 + EXPRESSION (((1'b0 ? ldbuf_w_q : valid_i)) && ex_i.valid) + ---------------1-------------- -----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 269 + EXPRESSION (obi_load_rsp_i.rvalid && ((!ldbuf_flushed_q[ldbuf_rindex]))) + ----------1---------- -----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 324 + EXPRESSION ((obi_a_state_q == TRANSPARENT) ? paddr : paddr_q) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 326 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? lsu_ctrl_i.be : be_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 326 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 326 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 328 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? ldbuf_windex : ldbuf_windex_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 328 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 328 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 333 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 333 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 333 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 438 + SUB-EXPRESSION ((ldbuf_rdata.operation inside {LH, FLH, HLV_H}) ? ((ldbuf_rdata.address_offset + 1)) : ldbuf_rdata.address_offset) + -----------------------1----------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 449 + EXPRESSION ((rdata_is_signed & rdata_sign_bits[rdata_offset]) | ((1'b0 && rdata_is_fp_signed))) + ------------------------1------------------------ ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 449 + SUB-EXPRESSION (rdata_is_signed & rdata_sign_bits[rdata_offset]) + -------1------- --------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_load_unit +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- load_unit + + +Parent : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- lsu_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : commit_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/commit_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.commit_stage_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : commit_stage + + Line No. Total Covered Percent +TOTAL 70 70 100.00 +ALWAYS 115 3 3 100.00 +ALWAYS 140 52 52 100.00 +ALWAYS 373 15 15 100.00 + +114 always_comb begin : dirty_fp_state +115 1/1 dirty_fp_state_o = 1'b0; +116 1/1 for (int i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +117 1/1 dirty_fp_state_o |= commit_ack_o[i] & (commit_instr_i[i].fu inside {FPU, FPU_VEC} || (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +118 commit_instr_i[i].op +119 // Check if we issued a vector floating-point instruction to the accellerator +120 ))) | commit_instr_i[i].fu == ACCEL && commit_instr_i[i].vfp; +121 end +122 end +123 +124 assign commit_tran_id_o = commit_instr_i[0].trans_id; +125 +126 logic instr_0_is_amo; +127 logic [CVA6Cfg.NrCommitPorts-1:0] commit_macro_ack; +128 assign instr_0_is_amo = is_amo(commit_instr_i[0].op); +129 +130 logic amo_resp_ack; +131 assign amo_resp_ack = obi_amo_rsp_i.rvalid; // && amo_obi_req_o.rready; +132 +133 +134 // ------------------- +135 // Commit Instruction +136 // ------------------- +137 // write register file or commit instruction in LSU or CSR Buffer +138 always_comb begin : commit +139 // default assignments +140 1/1 commit_ack_o[0] = 1'b0; +141 1/1 commit_macro_ack[0] = 1'b0; +142 +143 1/1 amo_valid_commit_o = 1'b0; +144 +145 1/1 we_gpr_o[0] = 1'b0; +146 1/1 we_fpr_o = '{default: 1'b0}; +147 1/1 commit_lsu_o = 1'b0; +148 1/1 commit_csr_o = 1'b0; +149 // amos will commit on port 0 +150 1/1 wdata_o[0] = (CVA6Cfg.RVA && amo_resp_ack) ? obi_amo_rsp_i.r.rdata : commit_instr_i[0].result; +151 1/1 csr_op_o = ADD; // this corresponds to a CSR NOP +152 1/1 csr_wdata_o = {CVA6Cfg.XLEN{1'b0}}; +153 1/1 fence_i_o = 1'b0; +154 1/1 fence_o = 1'b0; +155 1/1 sfence_vma_o = 1'b0; +156 1/1 hfence_vvma_o = 1'b0; +157 1/1 hfence_gvma_o = 1'b0; +158 1/1 csr_write_fflags_o = 1'b0; +159 1/1 flush_commit_o = 1'b0; +160 +161 // we do not commit the instruction yet if we requested a halt +162 1/1 if (commit_instr_i[0].valid && !halt_i) begin +163 // we will not commit the instruction if we took an exception +164 1/1 if (commit_instr_i[0].ex.valid) begin +165 // However we can drop it (with its exception) +166 1/1 if (CVA6Cfg.SpeculativeSb && commit_drop_i[0]) begin +167 unreachable commit_ack_o[0] = 1'b1; +168 end + MISSING_ELSE +169 end else begin +170 1/1 commit_ack_o[0] = 1'b1; +171 +172 1/1 if (CVA6Cfg.RVZCMP && commit_instr_i[0].is_macro_instr && commit_instr_i[0].is_last_macro_instr) +173 unreachable commit_macro_ack[0] = 1'b1; +174 1/1 else commit_macro_ack[0] = 1'b0; +175 +176 1/1 if (!commit_drop_i[0]) begin +177 // we can definitely write the register file +178 // if the instruction is not committing anything the destination +179 1/1 if (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr(commit_instr_i[0].op)) begin +180 unreachable we_fpr_o[0] = 1'b1; +181 end else begin +182 1/1 we_gpr_o[0] = 1'b1; +183 end +184 end + ==> MISSING_ELSE +185 +186 // check whether the instruction we retire was a store +187 1/1 if (commit_instr_i[0].fu == STORE && !(CVA6Cfg.RVA && instr_0_is_amo)) begin +188 // check if the LSU is ready to accept another commit entry (e.g.: a non-speculative store) +189 1/1 if (commit_lsu_ready_i) begin +190 1/1 commit_lsu_o = 1'b1; +191 // stall in case the store buffer is not able to accept anymore instructions +192 end else begin +193 1/1 commit_ack_o[0] = 1'b0; +194 end +195 end + MISSING_ELSE +196 // --------- +197 // FPU Flags +198 // --------- +199 1/1 if (CVA6Cfg.FpPresent) begin +200 unreachable if (commit_instr_i[0].fu inside {FPU, FPU_VEC}) begin +201 unreachable if (!commit_drop_i[0]) begin +202 // write the CSR with potential exception flags from retiring floating point instruction +203 unreachable csr_wdata_o = {{CVA6Cfg.XLEN - 5{1'b0}}, commit_instr_i[0].ex.cause[4:0]}; +204 unreachable csr_write_fflags_o = 1'b1; +205 end + ==> MISSING_ELSE +206 end + ==> MISSING_ELSE +207 end + MISSING_ELSE +208 // --------- +209 // CSR Logic +210 // --------- +211 // check whether the instruction we retire was a CSR instruction and it did not +212 // throw an exception +213 1/1 if (commit_instr_i[0].fu == CSR) begin +214 // write the CSR file +215 1/1 csr_op_o = commit_instr_i[0].op; +216 1/1 csr_wdata_o = commit_instr_i[0].result; +217 1/1 if (!commit_drop_i[0]) begin +218 1/1 if (!csr_exception_i.valid) begin +219 1/1 commit_csr_o = 1'b1; +220 1/1 wdata_o[0] = csr_rdata_i; +221 end else begin +222 1/1 commit_ack_o[0] = 1'b0; +223 1/1 we_gpr_o[0] = 1'b0; +224 end +225 end + ==> MISSING_ELSE +226 end + MISSING_ELSE +227 // ------------------ +228 // SFENCE.VMA Logic +229 // ------------------ +230 // sfence.vma is idempotent so we can safely re-execute it after returning +231 // from interrupt service routine +232 // check if this instruction was a SFENCE_VMA +233 1/1 if (CVA6Cfg.RVS && commit_instr_i[0].op == SFENCE_VMA) begin +234 unreachable if (!commit_drop_i[0]) begin +235 // no store pending so we can flush the TLBs and pipeline +236 unreachable sfence_vma_o = no_st_pending_i; +237 // wait for the store buffer to drain until flushing the pipeline +238 unreachable commit_ack_o[0] = no_st_pending_i; +239 end + ==> MISSING_ELSE +240 end + MISSING_ELSE +241 // ------------------ +242 // HFENCE.VVMA Logic +243 // ------------------ +244 // hfence.vvma is idempotent so we can safely re-execute it after returning +245 // from interrupt service routine +246 // check if this instruction was a HFENCE_VVMA +247 1/1 if (CVA6Cfg.RVH && commit_instr_i[0].op == HFENCE_VVMA) begin +248 unreachable if (!commit_drop_i[0]) begin +249 // no store pending so we can flush the TLBs and pipeline +250 unreachable hfence_vvma_o = no_st_pending_i; +251 // wait for the store buffer to drain until flushing the pipeline +252 unreachable commit_ack_o[0] = no_st_pending_i; +253 end + ==> MISSING_ELSE +254 end + MISSING_ELSE +255 // ------------------ +256 // HFENCE.GVMA Logic +257 // ------------------ +258 // hfence.gvma is idempotent so we can safely re-execute it after returning +259 // from interrupt service routine +260 // check if this instruction was a HFENCE_GVMA +261 1/1 if (CVA6Cfg.RVH && commit_instr_i[0].op == HFENCE_GVMA) begin +262 unreachable if (!commit_drop_i[0]) begin +263 // no store pending so we can flush the TLBs and pipeline +264 unreachable hfence_gvma_o = no_st_pending_i; +265 // wait for the store buffer to drain until flushing the pipeline +266 unreachable commit_ack_o[0] = no_st_pending_i; +267 end + ==> MISSING_ELSE +268 end + MISSING_ELSE +269 // ------------------ +270 // FENCE.I Logic +271 // ------------------ +272 // fence.i is idempotent so we can safely re-execute it after returning +273 // from interrupt service routine +274 // Fence synchronizes data and instruction streams. That means that we need to flush the private icache +275 // and the private dcache. This is the most expensive instruction. +276 1/1 if ((commit_instr_i[0].op == FENCE_I && CVA6Cfg.RVZifencei) || (flush_dcache_i && CVA6Cfg.DCacheType == config_pkg::WB && commit_instr_i[0].fu != STORE)) begin /* FIXME */ //confirm that it's only config_pkg::WB and not others caches configurations +277 unreachable if (!commit_drop_i[0]) begin +278 unreachable commit_ack_o[0] = no_st_pending_i; +279 // tell the controller to flush the I$ +280 unreachable fence_i_o = no_st_pending_i; +281 end + ==> MISSING_ELSE +282 end + MISSING_ELSE +283 // ------------------ +284 // FENCE Logic +285 // ------------------ +286 // fence is idempotent so we can safely re-execute it after returning +287 // from interrupt service routine +288 1/1 if (commit_instr_i[0].op == FENCE) begin +289 1/1 if (!commit_drop_i[0]) begin +290 1/1 commit_ack_o[0] = no_st_pending_i; +291 // tell the controller to flush the D$ +292 1/1 fence_o = no_st_pending_i; +293 end + ==> MISSING_ELSE +294 end + MISSING_ELSE +295 // ------------------ +296 // AMO +297 // ------------------ +298 1/1 if (CVA6Cfg.RVA && instr_0_is_amo) begin +299 // AMO finished +300 unreachable commit_ack_o[0] = amo_resp_ack; +301 // flush the pipeline +302 unreachable flush_commit_o = amo_resp_ack; +303 unreachable amo_valid_commit_o = 1'b1; +304 unreachable we_gpr_o[0] = amo_resp_ack; +305 end + MISSING_ELSE +306 end +307 end + MISSING_ELSE +308 +309 1/1 if (CVA6Cfg.NrCommitPorts > 1) begin +310 unreachable commit_macro_ack[1] = 1'b0; +311 unreachable commit_ack_o[1] = 1'b0; +312 unreachable we_gpr_o[1] = 1'b0; +313 unreachable wdata_o[1] = commit_instr_i[1].result; +314 +315 // ----------------- +316 // Commit Port 2 +317 // ----------------- +318 // check if the second instruction can be committed as well and the first wasn't a CSR instruction +319 // also if we are in single step mode don't retire the second instruction +320 unreachable if (commit_ack_o[0] && commit_instr_i[1].valid +321 && !halt_i +322 && !(commit_instr_i[0].fu inside {CSR}) +323 && !flush_dcache_i +324 && !(CVA6Cfg.RVA && instr_0_is_amo) +325 && !single_step_i) begin +326 // only if the first instruction didn't throw an exception and this instruction won't throw an exception +327 // and the functional unit is of type ALU, LOAD, CTRL_FLOW, MULT, FPU or FPU_VEC +328 unreachable if (!commit_instr_i[1].ex.valid && (commit_instr_i[1].fu inside {ALU, LOAD, CTRL_FLOW, MULT, FPU, FPU_VEC})) begin +329 +330 unreachable if (CVA6Cfg.RVZCMP && commit_instr_i[1].is_macro_instr && commit_instr_i[1].is_last_macro_instr) +331 unreachable commit_macro_ack[1] = 1'b1; +332 unreachable else commit_macro_ack[1] = 1'b0; +333 +334 unreachable commit_ack_o[1] = 1'b1; +335 +336 unreachable if (!commit_drop_i[1]) begin +337 unreachable if (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr(commit_instr_i[1].op)) +338 unreachable we_fpr_o[1] = 1'b1; +339 unreachable else we_gpr_o[1] = 1'b1; +340 +341 // additionally check if we are retiring an FPU instruction because we need to make sure that we write all +342 // exception flags +343 unreachable if (CVA6Cfg.FpPresent) begin +344 unreachable if (commit_instr_i[1].fu inside {FPU, FPU_VEC}) begin +345 unreachable if (csr_write_fflags_o) +346 unreachable csr_wdata_o = { +347 {CVA6Cfg.XLEN - 5{1'b0}}, +348 (commit_instr_i[0].ex.cause[4:0] | commit_instr_i[1].ex.cause[4:0]) +349 }; +350 unreachable else csr_wdata_o = {{CVA6Cfg.XLEN - 5{1'b0}}, commit_instr_i[1].ex.cause[4:0]}; +351 unreachable csr_write_fflags_o = 1'b1; +352 end + ==> MISSING_ELSE +353 end + ==> MISSING_ELSE +354 end + ==> MISSING_ELSE +355 end + ==> MISSING_ELSE +356 end + ==> MISSING_ELSE +357 end + MISSING_ELSE +358 1/1 if (CVA6Cfg.RVZCMP) begin +359 unreachable for (int i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +360 unreachable commit_macro_ack_o[i] = commit_instr_i[i].is_macro_instr ? commit_macro_ack[i] : commit_ack_o[i]; +361 end +362 1/1 end else commit_macro_ack_o = commit_ack_o; +363 end +364 +365 // ----------------------------- +366 // Exception & Interrupt Logic +367 // ----------------------------- +368 // here we know for sure that we are taking the exception +369 always_comb begin : exception_handling +370 // Multiple simultaneous interrupts and traps at the same privilege level are handled in the following decreasing +371 // priority order: external interrupts, software interrupts, timer interrupts, then finally any synchronous traps. (1.10 p.30) +372 // interrupts are correctly prioritized in the CSR reg file, exceptions are prioritized here +373 1/1 exception_o.valid = 1'b0; +374 1/1 exception_o.cause = '0; +375 1/1 exception_o.tval = '0; +376 1/1 exception_o.tval2 = '0; +377 1/1 exception_o.tinst = '0; +378 1/1 exception_o.gva = 1'b0; +379 +380 // we need a valid instruction in the commit stage +381 1/1 if (commit_instr_i[0].valid && !(CVA6Cfg.SpeculativeSb && commit_drop_i[0])) begin +382 // ------------------------ +383 // check for CSR exception +384 // ------------------------ +385 1/1 if (csr_exception_i.valid) begin +386 1/1 exception_o = csr_exception_i; +387 // if no earlier exception happened the commit instruction will still contain +388 // the instruction bits from the ID stage. If a earlier exception happened we don't care +389 // as we will overwrite it anyway in the next IF bl +390 1/1 exception_o.tval = commit_instr_i[0].ex.tval; +391 1/1 if (CVA6Cfg.RVH) begin +392 unreachable exception_o.tinst = commit_instr_i[0].ex.tinst; +393 unreachable exception_o.tval2 = commit_instr_i[0].ex.tval2; +394 unreachable exception_o.gva = commit_instr_i[0].ex.gva; +395 end + MISSING_ELSE +396 end + MISSING_ELSE +397 // ------------------------ +398 // Earlier Exceptions +399 // ------------------------ +400 // but we give precedence to exceptions which happened earlier e.g.: instruction page +401 // faults for example +402 1/1 if (commit_instr_i[0].ex.valid) begin +403 1/1 exception_o = commit_instr_i[0].ex; +404 end + MISSING_ELSE +405 end + MISSING_ELSE +406 // Don't take any exceptions iff: +407 // - If we halted the processor +408 1/1 if (halt_i) begin +409 1/1 exception_o.valid = 1'b0; +410 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : commit_stage + + Total Covered Percent +Conditions 13 13 100.00 +Logical 13 13 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 162 + EXPRESSION (commit_instr_i[0].valid && ((!halt_i))) + -----------1----------- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 187 + EXPRESSION ((commit_instr_i[0].fu == STORE) && ((!(1'b0 && instr_0_is_amo)))) + ---------------1--------------- --------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 187 + SUB-EXPRESSION (commit_instr_i[0].fu == STORE) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 213 + EXPRESSION (commit_instr_i[0].fu == CSR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 288 + EXPRESSION (commit_instr_i[0].op == FENCE) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 320 + EXPRESSION + Number Term + 1 commit_ack_o[0] && + 2 commit_instr_i[1].valid && + 3 ((!halt_i)) && + 4 ((!(commit_instr_i[0].fu inside {CSR}))) && + 5 ((!flush_dcache_i)) && + 6 ((!(1'b0 && instr_0_is_amo))) && + 7 ((!single_step_i))) + +-1- -2- -3- -4- -5- -6- -7- Status + 0 1 1 1 1 1 1 Unreachable + 1 0 1 1 1 1 1 Unreachable + 1 1 0 1 1 1 1 Unreachable + 1 1 1 0 1 1 1 Unreachable + 1 1 1 1 0 1 1 Unreachable + 1 1 1 1 1 0 1 Unreachable + 1 1 1 1 1 1 0 Unreachable + 1 1 1 1 1 1 1 Unreachable + + LINE 328 + EXPRESSION (((!commit_instr_i[1].ex.valid)) && (commit_instr_i[1].fu inside {ALU, LOAD, CTRL_FLOW, MULT, FPU, FPU_VEC})) + ---------------1--------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 360 + EXPRESSION (commit_instr_i[i].is_macro_instr ? commit_macro_ack[i] : commit_ack_o[i]) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 381 + EXPRESSION (commit_instr_i[0].valid && ((!(1'b0 && commit_drop_i[0])))) + -----------1----------- ---------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.commit_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- commit_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : store_buffer +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/store_buffer.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit.store_buffer_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : store_buffer + + Line No. Total Covered Percent +TOTAL 75 75 100.00 +ALWAYS 88 23 23 100.00 +ALWAYS 172 23 23 100.00 +ALWAYS 233 11 11 100.00 +ALWAYS 260 9 9 100.00 +ALWAYS 275 9 9 100.00 + +87 automatic logic [$clog2(DEPTH_SPEC):0] speculative_status_cnt; +88 1/1 speculative_status_cnt = speculative_status_cnt_q; +89 +90 // default assignments +91 1/1 speculative_read_pointer_n = speculative_read_pointer_q; +92 1/1 speculative_write_pointer_n = speculative_write_pointer_q; +93 1/1 speculative_queue_n = speculative_queue_q; +94 // LSU interface +95 // we are ready to accept a new entry and the input data is valid +96 1/1 if (valid_i) begin +97 1/1 speculative_queue_n[speculative_write_pointer_q].address = paddr_i; +98 1/1 speculative_queue_n[speculative_write_pointer_q].data = data_i; +99 1/1 speculative_queue_n[speculative_write_pointer_q].be = be_i; +100 1/1 speculative_queue_n[speculative_write_pointer_q].data_size = data_size_i; +101 1/1 speculative_queue_n[speculative_write_pointer_q].valid = 1'b1; +102 // advance the write pointer +103 1/1 speculative_write_pointer_n = speculative_write_pointer_q + 1'b1; +104 1/1 speculative_status_cnt++; +105 end + MISSING_ELSE +106 +107 // evict the current entry out of this queue, the commit queue will thankfully take it and commit it +108 // to the memory hierarchy +109 1/1 if (commit_i) begin +110 // invalidate +111 1/1 speculative_queue_n[speculative_read_pointer_q].valid = 1'b0; +112 // advance the read pointer +113 1/1 speculative_read_pointer_n = speculative_read_pointer_q + 1'b1; +114 1/1 speculative_status_cnt--; +115 end + MISSING_ELSE +116 +117 1/1 speculative_status_cnt_n = speculative_status_cnt; +118 +119 // when we flush evict the speculative stores +120 1/1 if (flush_i) begin +121 // reset all valid flags +122 2/2 for (int unsigned i = 0; i < DEPTH_SPEC; i++) speculative_queue_n[i].valid = 1'b0; +123 +124 1/1 speculative_write_pointer_n = speculative_read_pointer_q; +125 // also reset the status count +126 1/1 speculative_status_cnt_n = 'b0; +127 end + MISSING_ELSE +128 +129 // we are ready if the speculative and the commit queue have a space left +130 1/1 ready_o = CVA6Cfg.MmuPresent ? (speculative_status_cnt_n < (DEPTH_SPEC)) || commit_i : speculative_status_cnt_q < (DEPTH_SPEC); +131 end +132 +133 // ---------------------------------------- +134 // Commit Queue - Memory Interface +135 // ---------------------------------------- +136 +137 logic direct_req_from_speculative; +138 // we will never kill a request in the store buffer since we already know that the translation is valid +139 // e.g.: a kill request will only be necessary if we are not sure if the requested memory address will result in a TLB fault +140 +141 assign rvfi_mem_paddr_o = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_n[commit_read_pointer_n].address; +142 +143 assign obi_store_req_o.reqpar = !obi_store_req_o.req; +144 assign obi_store_req_o.a.addr = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_q[commit_read_pointer_q].address; +145 assign obi_store_req_o.a.we = 1'b1; +146 assign obi_store_req_o.a.be = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].be : commit_queue_q[commit_read_pointer_q].be; +147 assign obi_store_req_o.a.wdata = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].data : commit_queue_q[commit_read_pointer_q].data; +148 assign obi_store_req_o.a.aid = '0; +149 assign obi_store_req_o.a.a_optional.auser = '0; +150 assign obi_store_req_o.a.a_optional.wuser = '0; +151 assign obi_store_req_o.a.a_optional.atop = '0; +152 assign obi_store_req_o.a.a_optional.memtype[0] = '0; +153 assign obi_store_req_o.a.a_optional.memtype[1] = config_pkg::is_inside_cacheable_regions( +154 CVA6Cfg, +155 { +156 {64 - CVA6Cfg.PLEN{1'b0}}, +157 direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_q[commit_read_pointer_q].address +158 } //TO DO CHECK GRANULARITY +159 ); +160 assign obi_store_req_o.a.a_optional.mid = '0; +161 assign obi_store_req_o.a.a_optional.prot[2:1] = 2'b11; +162 assign obi_store_req_o.a.a_optional.prot[0] = 1'b1; //data +163 assign obi_store_req_o.a.a_optional.dbg = '0; +164 assign obi_store_req_o.a.a_optional.achk = '0; +165 +166 //TODO check parity : obi_store_rsp_i.gntpar != obi_store_rsp_i.gnt +167 assign obi_store_req_o.rready = '1; //always ready +168 assign obi_store_req_o.rreadypar = '0; +169 +170 always_comb begin : store_if +171 automatic logic [$clog2(DEPTH_COMMIT):0] commit_status_cnt; +172 1/1 commit_status_cnt = commit_status_cnt_q; +173 +174 1/1 commit_ready_o = (commit_status_cnt_q < DEPTH_COMMIT); +175 // no store is pending if we don't have any element in the commit queue e.g.: it is empty +176 1/1 no_st_pending_o = (commit_status_cnt_q == 0); +177 // default assignments +178 1/1 commit_read_pointer_n = commit_read_pointer_q; +179 1/1 commit_write_pointer_n = commit_write_pointer_q; +180 +181 1/1 commit_queue_n = commit_queue_q; +182 +183 1/1 obi_store_req_o.req = 1'b0; +184 +185 1/1 direct_req_from_speculative = 1'b0; +186 +187 // there should be no commit when we are flushing +188 // if the entry in the commit queue is valid and not speculative anymore we can issue this instruction +189 1/1 if (commit_queue_q[commit_read_pointer_q].valid && !stall_st_pending_i) begin +190 1/1 obi_store_req_o.req = 1'b1; +191 1/1 if (obi_store_rsp_i.gnt) begin +192 // we can evict it from the commit buffer +193 1/1 commit_queue_n[commit_read_pointer_q].valid = 1'b0; +194 // advance the read_pointer +195 1/1 commit_read_pointer_n = commit_read_pointer_q + 1'b1; +196 1/1 commit_status_cnt--; +197 end + MISSING_ELSE +198 1/1 end else if (speculative_queue_q[speculative_read_pointer_q].valid) begin +199 1/1 if (commit_i && (commit_write_pointer_q == speculative_read_pointer_q) && !stall_st_pending_i) begin +200 1/1 obi_store_req_o.req = 1'b1; +201 1/1 direct_req_from_speculative = 1'b1; +202 end + MISSING_ELSE +203 end + MISSING_ELSE +204 // we ignore the rvalid signal for now as we assume that the store +205 // happened if we got a grant +206 +207 // shift the store request from the speculative buffer to the non-speculative +208 1/1 if (commit_i && !(obi_store_rsp_i.gnt && direct_req_from_speculative)) begin +209 1/1 commit_queue_n[commit_write_pointer_q] = speculative_queue_q[speculative_read_pointer_q]; +210 1/1 commit_write_pointer_n = commit_write_pointer_n + 1'b1; +211 1/1 commit_status_cnt++; +212 end + MISSING_ELSE +213 +214 1/1 commit_status_cnt_n = commit_status_cnt; +215 end +216 +217 // ------------------ +218 // Address Checker +219 // ------------------ +220 // The load should return the data stored by the most recent store to the +221 // same physical address. The most direct way to implement this is to +222 // maintain physical addresses in the store buffer. +223 +224 // Of course, there are other micro-architectural techniques to accomplish +225 // the same thing: you can interlock and wait for the store buffer to +226 // drain if the load VA matches any store VA modulo the page size (i.e. +227 // bits 11:0). As a special case, it is correct to bypass if the full VA +228 // matches, and no younger stores' VAs match in bits 11:0. +229 // +230 // checks if the requested load is in the store buffer +231 // page offsets are virtually and physically the same +232 always_comb begin : address_checker +233 1/1 page_offset_matches_o = 1'b0; +234 +235 // check if the LSBs are identical and the entry is valid +236 1/1 for (int unsigned i = 0; i < DEPTH_COMMIT; i++) begin +237 // Check if the page offset matches and whether the entry is valid, for the commit queue +238 1/1 if ((page_offset_i[11:3] == commit_queue_q[i].address[11:3]) && commit_queue_q[i].valid) begin +239 1/1 page_offset_matches_o = 1'b1; +240 1/1 break; +241 end + MISSING_ELSE +242 end +243 +244 1/1 for (int unsigned i = 0; i < DEPTH_SPEC; i++) begin +245 // do the same for the speculative queue +246 1/1 if ((page_offset_i[11:3] == speculative_queue_q[i].address[11:3]) && speculative_queue_q[i].valid) begin +247 1/1 page_offset_matches_o = 1'b1; +248 1/1 break; +249 end + MISSING_ELSE +250 end +251 // or it matches with the entry we are currently putting into the queue +252 1/1 if ((page_offset_i[11:3] == paddr_i[11:3]) && valid_without_flush_i) begin +253 1/1 page_offset_matches_o = 1'b1; +254 end + MISSING_ELSE +255 end +256 +257 +258 // registers +259 always_ff @(posedge clk_i or negedge rst_ni) begin : p_spec +260 1/1 if (~rst_ni) begin +261 1/1 speculative_queue_q <= '{default: 0}; +262 1/1 speculative_read_pointer_q <= '0; +263 1/1 speculative_write_pointer_q <= '0; +264 1/1 speculative_status_cnt_q <= '0; +265 end else begin +266 1/1 speculative_queue_q <= speculative_queue_n; +267 1/1 speculative_read_pointer_q <= speculative_read_pointer_n; +268 1/1 speculative_write_pointer_q <= speculative_write_pointer_n; +269 1/1 speculative_status_cnt_q <= speculative_status_cnt_n; +270 end +271 end +272 +273 // registers +274 always_ff @(posedge clk_i or negedge rst_ni) begin : p_commit +275 1/1 if (~rst_ni) begin +276 1/1 commit_queue_q <= '{default: 0}; +277 1/1 commit_read_pointer_q <= '0; +278 1/1 commit_write_pointer_q <= '0; +279 1/1 commit_status_cnt_q <= '0; +280 end else begin +281 1/1 commit_queue_q <= commit_queue_n; +282 1/1 commit_read_pointer_q <= commit_read_pointer_n; +283 1/1 commit_write_pointer_q <= commit_write_pointer_n; +284 1/1 commit_status_cnt_q <= commit_status_cnt_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : store_buffer + + Total Covered Percent +Conditions 46 46 100.00 +Logical 46 46 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 176 + EXPRESSION (commit_status_cnt_q == 3'b0) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 189 + EXPRESSION (commit_queue_q[commit_read_pointer_q].valid && ((!stall_st_pending_i))) + ---------------------1--------------------- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 199 + EXPRESSION (commit_i && (commit_write_pointer_q == speculative_read_pointer_q) && ((!stall_st_pending_i))) + ----1--- ---------------------------2-------------------------- -----------3----------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Unreachable + 1 1 1 Covered + + LINE 199 + SUB-EXPRESSION (commit_write_pointer_q == speculative_read_pointer_q) + ---------------------------1-------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 208 + EXPRESSION (commit_i && ( ! (obi_store_rsp_i.gnt && direct_req_from_speculative) )) + ----1--- -----------------------------2---------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 208 + SUB-EXPRESSION ( ! (obi_store_rsp_i.gnt && direct_req_from_speculative) ) + --------------------------1------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 208 + SUB-EXPRESSION (obi_store_rsp_i.gnt && direct_req_from_speculative) + ---------1--------- -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 238 + EXPRESSION ((page_offset_i[11:3] == commit_queue_q[i].address[11:3]) && commit_queue_q[i].valid) + ----------------------------1--------------------------- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 238 + SUB-EXPRESSION (page_offset_i[11:3] == commit_queue_q[i].address[11:3]) + ----------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 246 + EXPRESSION ((page_offset_i[11:3] == speculative_queue_q[i].address[11:3]) && speculative_queue_q[i].valid) + ------------------------------1------------------------------ --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 246 + SUB-EXPRESSION (page_offset_i[11:3] == speculative_queue_q[i].address[11:3]) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 252 + EXPRESSION ((page_offset_i[11:3] == paddr_i[11:3]) && valid_without_flush_i) + -------------------1------------------ ----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 252 + SUB-EXPRESSION (page_offset_i[11:3] == paddr_i[11:3]) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION ((speculative_status_cnt_q == 3'b0) & ((!valid_i)) & no_st_pending_o) + -----------------1---------------- ------2----- -------3------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 82 + SUB-EXPRESSION (speculative_status_cnt_q == 3'b0) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 141 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_n[commit_read_pointer_n].address) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 144 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_q[commit_read_pointer_q].address) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 146 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].be : commit_queue_q[commit_read_pointer_q].be) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 147 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].data : commit_queue_q[commit_read_pointer_q].data) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit.store_buffer_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- store_buffer + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_store_unit + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : store_unit +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/store_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit + + + +------------------------------------------------------------------------------- +Line Coverage for Module : store_unit + + Line No. Total Covered Percent +TOTAL 53 53 100.00 +ROUTINE 94 9 9 100.00 +ALWAYS 162 22 22 100.00 +ALWAYS 223 5 5 100.00 +ALWAYS 321 17 17 100.00 + +93 // Set addr[2] to 1'b0 when 32bits +94 1/1 logic [ 2:0] addr_tmp = {(addr[2] && CVA6Cfg.IS_XLEN64), addr[1:0]}; +95 1/1 logic [63:0] data_tmp = {64{1'b0}}; +96 1/1 case (addr_tmp) +97 1/1 3'b000: data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-1:0]}; +98 3'b001: +99 1/1 data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-9:0], data[CVA6Cfg.XLEN-1:CVA6Cfg.XLEN-8]}; +100 3'b010: +101 1/1 data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-17:0], data[CVA6Cfg.XLEN-1:CVA6Cfg.XLEN-16]}; +102 3'b011: +103 1/1 data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-25:0], data[CVA6Cfg.XLEN-1:CVA6Cfg.XLEN-24]}; +104 default: +105 1/1 if (CVA6Cfg.IS_XLEN64) begin +106 unreachable case (addr_tmp) +107 unreachable 3'b100: data_tmp = {data[31:0], data[63:32]}; +108 unreachable 3'b101: data_tmp = {data[23:0], data[63:24]}; +109 unreachable 3'b110: data_tmp = {data[15:0], data[63:16]}; +110 unreachable 3'b111: data_tmp = {data[7:0], data[63:8]}; +111 unreachable default: data_tmp = {data[63:0]}; +112 endcase +113 end + MISSING_ELSE +114 endcase +115 1/1 return data_tmp[CVA6Cfg.XLEN-1:0]; +116 endfunction +117 +118 // it doesn't matter what we are writing back as stores don't return anything +119 assign result_o = lsu_ctrl_i.data; +120 +121 // directly forward exception fields (valid bit is set below) +122 assign ex_o.cause = ex_i.cause; +123 assign ex_o.tval = ex_i.tval; +124 assign ex_o.tval2 = CVA6Cfg.RVH ? ex_i.tval2 : '0; +125 assign ex_o.tinst = CVA6Cfg.RVH ? ex_i.tinst : '0; +126 assign ex_o.gva = CVA6Cfg.RVH ? ex_i.gva : 1'b0; +127 +128 // store buffer control signals +129 logic instr_is_amo; +130 assign instr_is_amo = is_amo(lsu_ctrl_i.operation); +131 // keep the data and the byte enable for the second cycle (after address translation) +132 logic [CVA6Cfg.XLEN-1:0] st_data, st_data_n, st_data_q; +133 logic [(CVA6Cfg.XLEN/8)-1:0] st_be, st_be_n, st_be_q; +134 logic [1:0] st_data_size, st_data_size_n, st_data_size_q; +135 amo_t amo_op, amo_op_d, amo_op_q; +136 +137 logic store_buffer_valid, store_buffer_valid_d, store_buffer_valid_q; +138 logic store_buffer_valid_no_flush, store_buffer_valid_no_flush_d, store_buffer_valid_no_flush_q; +139 +140 logic amo_buffer_valid, amo_buffer_valid_d, amo_buffer_valid_q; +141 +142 logic store_buffer_ready, amo_buffer_ready; +143 +144 logic [CVA6Cfg.TRANS_ID_BITS-1:0] trans_id_n, trans_id_q; +145 +146 logic ex_s0, ex_s1; +147 logic stall_translation; +148 +149 // output assignments +150 assign vaddr_o = lsu_ctrl_i.vaddr; // virtual address +151 assign hs_ld_st_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hs_ld_st_inst : 1'b0; +152 assign hlvx_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hlvx_inst : 1'b0; +153 assign tinst_o = CVA6Cfg.RVH ? lsu_ctrl_i.tinst : '0; // transformed instruction +154 +155 assign stall_translation = CVA6Cfg.MmuPresent ? translation_req_o && !dtlb_hit_i : 1'b0; +156 +157 assign ex_s0 = CVA6Cfg.MmuPresent && stall_translation && ex_i.valid; +158 assign ex_s1 = CVA6Cfg.MmuPresent ? (store_buffer_valid_q || (CVA6Cfg.RVA && amo_buffer_valid_q)) && ex_i.valid : valid_i && ex_i.valid; +159 +160 always_comb begin : store_control +161 // default assignment +162 1/1 translation_req_o = 1'b0; +163 1/1 valid_o = 1'b0; +164 1/1 amo_buffer_valid_d = 1'b0; +165 1/1 store_buffer_valid_d = 1'b0; +166 1/1 store_buffer_valid_no_flush_d = 1'b0; +167 1/1 pop_st_o = 1'b0; +168 1/1 ex_o.valid = 1'b0; +169 1/1 trans_id_n = lsu_ctrl_i.trans_id; +170 1/1 trans_id_o = lsu_ctrl_i.trans_id; +171 +172 // REQUEST +173 1/1 if (valid_i) begin +174 1/1 translation_req_o = 1'b1; +175 1/1 if (!CVA6Cfg.MmuPresent || !stall_translation) begin +176 1/1 if (CVA6Cfg.RVA && instr_is_amo) begin +177 unreachable if (amo_buffer_ready) begin +178 unreachable pop_st_o = 1'b1; +179 unreachable amo_buffer_valid_d = !flush_i; +180 // RETIRE STORE NO MMU +181 unreachable if (!CVA6Cfg.MmuPresent) begin +182 unreachable trans_id_o = lsu_ctrl_i.trans_id; +183 unreachable valid_o = 1'b1; +184 unreachable ex_o.valid = ex_s1; +185 end + ==> MISSING_ELSE +186 end + ==> MISSING_ELSE +187 end else begin +188 1/1 if (store_buffer_ready) begin +189 1/1 pop_st_o = 1'b1; +190 1/1 store_buffer_valid_d = !flush_i; +191 1/1 store_buffer_valid_no_flush_d = 1'b1; +192 // RETIRE STORE NO MMU +193 1/1 if (!CVA6Cfg.MmuPresent) begin +194 1/1 trans_id_o = lsu_ctrl_i.trans_id; +195 1/1 valid_o = 1'b1; +196 1/1 ex_o.valid = ex_s1; +197 end + ==> MISSING_ELSE +198 end + ==> MISSING_ELSE +199 end +200 end + ==> MISSING_ELSE +201 end + MISSING_ELSE +202 // RETIRE STORE WITH MMU +203 1/1 if (CVA6Cfg.MmuPresent) begin +204 unreachable if (store_buffer_valid_q || (CVA6Cfg.RVA && amo_buffer_valid_q)) begin +205 unreachable trans_id_o = trans_id_q; +206 unreachable valid_o = 1'b1; +207 unreachable ex_o.valid = ex_s1; +208 end + ==> MISSING_ELSE +209 unreachable if (ex_s0) begin +210 unreachable trans_id_o = lsu_ctrl_i.trans_id; +211 unreachable valid_o = 1'b1; +212 unreachable ex_o.valid = 1'b1; +213 unreachable pop_st_o = 1'b1; +214 end + ==> MISSING_ELSE +215 end + MISSING_ELSE +216 end +217 +218 // ----------- +219 // Re-aligner +220 // ----------- +221 // re-align the write data to comply with the address offset +222 always_comb begin +223 1/1 st_be_n = lsu_ctrl_i.be; +224 // don't shift the data if we are going to perform an AMO as we still need to operate on this data +225 1/1 st_data_n = (CVA6Cfg.RVA && instr_is_amo) ? lsu_ctrl_i.data[CVA6Cfg.XLEN-1:0] : +226 data_align(lsu_ctrl_i.vaddr[2:0], {{64 - CVA6Cfg.XLEN{1'b0}}, lsu_ctrl_i.data}); +227 1/1 st_data_size_n = extract_transfer_size(lsu_ctrl_i.operation); +228 // save AMO op for next cycle +229 1/1 if (CVA6Cfg.RVA) begin +230 unreachable case (lsu_ctrl_i.operation) +231 unreachable AMO_LRW, AMO_LRD: amo_op_d = AMO_LR; +232 unreachable AMO_SCW, AMO_SCD: amo_op_d = AMO_SC; +233 unreachable AMO_SWAPW, AMO_SWAPD: amo_op_d = AMO_SWAP; +234 unreachable AMO_ADDW, AMO_ADDD: amo_op_d = AMO_ADD; +235 unreachable AMO_ANDW, AMO_ANDD: amo_op_d = AMO_AND; +236 unreachable AMO_ORW, AMO_ORD: amo_op_d = AMO_OR; +237 unreachable AMO_XORW, AMO_XORD: amo_op_d = AMO_XOR; +238 unreachable AMO_MAXW, AMO_MAXD: amo_op_d = AMO_MAX; +239 unreachable AMO_MAXWU, AMO_MAXDU: amo_op_d = AMO_MAXU; +240 unreachable AMO_MINW, AMO_MIND: amo_op_d = AMO_MIN; +241 unreachable AMO_MINWU, AMO_MINDU: amo_op_d = AMO_MINU; +242 unreachable default: amo_op_d = AMO_NONE; +243 endcase +244 end else begin +245 1/1 amo_op_d = AMO_NONE; +246 end +247 end +248 +249 assign st_be = CVA6Cfg.MmuPresent ? st_be_q : st_be_n; +250 assign st_data = CVA6Cfg.MmuPresent ? st_data_q : st_data_n; +251 assign st_data_size = CVA6Cfg.MmuPresent ? st_data_size_q : st_data_size_n; +252 assign amo_op = CVA6Cfg.MmuPresent ? amo_op_q : amo_op_d; +253 assign store_buffer_valid = CVA6Cfg.MmuPresent ? store_buffer_valid_q && !ex_s1 : store_buffer_valid_d; +254 assign store_buffer_valid_no_flush = CVA6Cfg.MmuPresent ? store_buffer_valid_no_flush_q && !ex_s1 : store_buffer_valid_no_flush_d; +255 assign amo_buffer_valid = CVA6Cfg.MmuPresent ? amo_buffer_valid_q && !ex_s1 : amo_buffer_valid_d; +256 +257 // --------------- +258 // Store Queue +259 // --------------- +260 store_buffer #( +261 .CVA6Cfg(CVA6Cfg), +262 .obi_store_req_t(obi_store_req_t), +263 .obi_store_rsp_t(obi_store_rsp_t) +264 ) store_buffer_i ( +265 .clk_i, +266 .rst_ni, +267 .flush_i, +268 .stall_st_pending_i, +269 .no_st_pending_o, +270 .store_buffer_empty_o, +271 .page_offset_i, +272 .page_offset_matches_o, +273 .commit_i, +274 .commit_ready_o, +275 .ready_o (store_buffer_ready), +276 .valid_i (store_buffer_valid), +277 // the flush signal can be critical and we need this valid +278 // signal to check whether the page_offset matches or not, +279 // functionaly it doesn't make a difference whether we use +280 // the correct valid signal or not as we are flushing +281 // the whole pipeline anyway +282 .valid_without_flush_i(store_buffer_valid_no_flush), +283 .paddr_i (paddr_i), +284 .rvfi_mem_paddr_o (rvfi_mem_paddr_o), +285 .data_i (st_data), +286 .be_i (st_be), +287 .data_size_i (st_data_size), +288 .obi_store_req_o (obi_store_req_o), +289 .obi_store_rsp_i (obi_store_rsp_i) +290 ); +291 +292 if (CVA6Cfg.RVA) begin +293 amo_buffer #( +294 .CVA6Cfg(CVA6Cfg), +295 .obi_amo_req_t(obi_amo_req_t), +296 .obi_amo_rsp_t(obi_amo_rsp_t) +297 ) i_amo_buffer ( +298 .clk_i, +299 .rst_ni, +300 .flush_i, +301 .valid_i (amo_buffer_valid), +302 .ready_o (amo_buffer_ready), +303 .paddr_i (paddr_i), +304 .amo_op_i (amo_op), +305 .data_i (st_data), +306 .data_size_i (st_data_size), +307 .obi_amo_req_o (obi_amo_req_o), +308 .obi_amo_rsp_i (obi_amo_rsp_i), +309 .amo_valid_commit_i(amo_valid_commit_i), +310 .no_st_pending_i (no_st_pending_o) +311 ); +312 end else begin +313 assign amo_buffer_ready = '1; +314 assign obi_amo_req_o = '0; +315 end +316 +317 // --------------- +318 // Registers +319 // --------------- +320 always_ff @(posedge clk_i or negedge rst_ni) begin +321 1/1 if (~rst_ni) begin +322 1/1 st_be_q <= '0; +323 1/1 st_data_q <= '0; +324 1/1 st_data_size_q <= '0; +325 1/1 trans_id_q <= '0; +326 1/1 amo_op_q <= AMO_NONE; +327 1/1 amo_buffer_valid_q <= '0; +328 1/1 store_buffer_valid_q <= '0; +329 1/1 store_buffer_valid_no_flush_q <= '0; +330 end else begin +331 1/1 st_be_q <= st_be_n; +332 1/1 st_data_q <= st_data_n; +333 1/1 trans_id_q <= trans_id_n; +334 1/1 st_data_size_q <= st_data_size_n; +335 1/1 amo_op_q <= amo_op_d; +336 1/1 amo_buffer_valid_q <= amo_buffer_valid_d; +337 1/1 store_buffer_valid_q <= store_buffer_valid_d; +338 1/1 store_buffer_valid_no_flush_q <= store_buffer_valid_no_flush_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : store_unit + + Total Covered Percent +Conditions 3 3 100.00 +Logical 3 3 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 204 + EXPRESSION (store_buffer_valid_q || ((1'b0 && amo_buffer_valid_q))) + ----------1--------- ---------------2-------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 158 + SUB-EXPRESSION ((store_buffer_valid_q || ((1'b0 && amo_buffer_valid_q))) && ex_i.valid) + ----------------------------1--------------------------- -----2---- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 158 + SUB-EXPRESSION (store_buffer_valid_q || ((1'b0 && amo_buffer_valid_q))) + ----------1--------- ---------------2-------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 158 + SUB-EXPRESSION (valid_i && ex_i.valid) + ---1--- -----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- store_unit + + +Parent : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- lsu_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- store_buffer_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : branch_unit +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/branch_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.branch_unit_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : branch_unit + + Line No. Total Covered Percent +TOTAL 33 33 100.00 +ALWAYS 61 25 25 100.00 +ALWAYS 120 8 8 100.00 + +60 // IMPROVEMENT: The ALU can be used to calculate the branch target +61 1/1 jump_base = (fu_data_i.operation == ariane_pkg::JALR) ? fu_data_i.operand_a[CVA6Cfg.VLEN-1:0] : pc_i; +62 +63 1/1 resolve_branch_o = 1'b0; +64 1/1 resolved_branch_o.target_address = {CVA6Cfg.VLEN{1'b0}}; +65 1/1 resolved_branch_o.is_taken = 1'b0; +66 1/1 resolved_branch_o.valid = branch_valid_i; +67 1/1 resolved_branch_o.is_mispredict = 1'b0; +68 1/1 resolved_branch_o.cf_type = branch_predict_i.cf; +69 // calculate next PC, depending on whether the instruction is compressed or not this may be different +70 // IMPROVEMENT: We already calculate this a couple of times, maybe re-use? +71 1/1 next_pc = pc_i + ((is_compressed_instr_i) ? {{CVA6Cfg.VLEN-2{1'b0}}, 2'h2} : {{CVA6Cfg.VLEN-3{1'b0}}, 3'h4}); +72 // calculate target address simple 64 bit addition +73 1/1 target_address = $unsigned($signed(jump_base) + $signed(fu_data_i.imm[CVA6Cfg.VLEN-1:0])); +74 // on a JALR we are supposed to reset the LSB to 0 (according to the specification) +75 2/2 if (fu_data_i.operation == ariane_pkg::JALR) target_address[0] = 1'b0; + MISSING_ELSE +76 // we need to put the branch target address into rd, this is the result of this unit +77 1/1 branch_result_o = next_pc; +78 1/1 resolved_branch_o.pc = pc_i; +79 // There are only three sources of mispredicts: +80 // 1. Branches +81 // 2. Jumps to register addresses +82 // 3. Zcmt instructions +83 1/1 if (branch_valid_i) begin +84 // write target address which goes to PC Gen or select target address if zcmt +85 1/1 resolved_branch_o.target_address = (branch_comp_res_i) ? target_address : next_pc; +86 1/1 resolved_branch_o.is_taken = branch_comp_res_i; +87 1/1 if (CVA6Cfg.RVZCMT) begin +88 unreachable if (is_zcmt_i) begin +89 // Unconditional jump handling +90 unreachable resolved_branch_o.is_mispredict = 1'b1; // miss prediction for ZCMT +91 unreachable resolved_branch_o.cf_type = ariane_pkg::JumpR; +92 end + ==> MISSING_ELSE +93 end + MISSING_ELSE +94 // check the outcome of the branch speculation +95 1/1 if (ariane_pkg::op_is_branch(fu_data_i.operation)) begin +96 // Set the `cf_type` of the output as `branch`, this will update the BHT. +97 1/1 resolved_branch_o.cf_type = ariane_pkg::Branch; +98 // If the ALU comparison does not agree with the BHT prediction set the resolution as mispredicted. +99 1/1 resolved_branch_o.is_mispredict = branch_comp_res_i != (branch_predict_i.cf == ariane_pkg::Branch); +100 end + MISSING_ELSE +101 1/1 if (fu_data_i.operation == ariane_pkg::JALR +102 // check if the address of the jump register is correct and that we actually predicted +103 && (branch_predict_i.cf == ariane_pkg::NoCF || target_address != branch_predict_i.predict_address)) begin +104 1/1 resolved_branch_o.is_mispredict = 1'b1; +105 // update BTB only if this wasn't a return +106 1/1 if (branch_predict_i.cf != ariane_pkg::Return) +107 1/1 resolved_branch_o.cf_type = ariane_pkg::JumpR; + MISSING_ELSE +108 end + MISSING_ELSE +109 // to resolve the branch in ID +110 1/1 resolve_branch_o = 1'b1; +111 end + MISSING_ELSE +112 end +113 // use ALU exception signal for storing instruction fetch exceptions if +114 // the target address is not aligned to a 2 byte boundary +115 // +116 logic jump_taken; +117 always_comb begin : exception_handling +118 +119 // Do a jump if it is either unconditional jump (JAL | JALR) or `taken` conditional jump +120 1/1 branch_exception_o.cause = riscv::INSTR_ADDR_MISALIGNED; +121 1/1 branch_exception_o.valid = 1'b0; +122 1/1 if (CVA6Cfg.TvalEn) +123 unreachable branch_exception_o.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +124 1/1 else branch_exception_o.tval = '0; +125 1/1 branch_exception_o.tval2 = {CVA6Cfg.GPLEN{1'b0}}; +126 1/1 branch_exception_o.tinst = '0; +127 1/1 branch_exception_o.gva = CVA6Cfg.RVH ? v_i : 1'b0; +128 // Only throw instruction address misaligned exception if this is indeed a `taken` conditional branch or +129 // an unconditional jump +130 1/1 if (!CVA6Cfg.RVC) begin +131 unreachable jump_taken = !(ariane_pkg::op_is_branch(fu_data_i.operation)) || +132 ((ariane_pkg::op_is_branch(fu_data_i.operation)) && branch_comp_res_i); +133 unreachable if (branch_valid_i && (target_address[0] || target_address[1]) && jump_taken) begin +134 unreachable branch_exception_o.valid = 1'b1; +135 end + ==> MISSING_ELSE +136 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : branch_unit + + Total Covered Percent +Conditions 26 26 100.00 +Logical 26 26 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 61 + EXPRESSION ((fu_data_i.operation == JALR) ? fu_data_i.operand_a[32'b00000000000000000000000000011111:0] : pc_i) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 61 + SUB-EXPRESSION (fu_data_i.operation == JALR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 71 + EXPRESSION + Number Term + 1 is_compressed_instr_i ? ({{(32'b00000000000000000000000000100000 - 2) {1'b0}}, 2'h2}) : ({{(32'b00000000000000000000000000100000 - 3) {1'b0}}, 3'h4})) + +-1- Status + 0 Covered + 1 Covered + + LINE 75 + EXPRESSION (fu_data_i.operation == JALR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 85 + EXPRESSION (branch_comp_res_i ? target_address : next_pc) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 99 + EXPRESSION (branch_comp_res_i != (branch_predict_i.cf == Branch)) + ---------------------------1-------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + EXPRESSION ((fu_data_i.operation == JALR) && ((branch_predict_i.cf == NoCF) || (target_address != branch_predict_i.predict_address))) + --------------1-------------- -------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 101 + SUB-EXPRESSION (fu_data_i.operation == JALR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + SUB-EXPRESSION ((branch_predict_i.cf == NoCF) || (target_address != branch_predict_i.predict_address)) + --------------1-------------- --------------------------2------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 101 + SUB-EXPRESSION (branch_predict_i.cf == NoCF) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + SUB-EXPRESSION (target_address != branch_predict_i.predict_address) + --------------------------1------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 106 + EXPRESSION (branch_predict_i.cf != Return) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 133 + EXPRESSION (branch_valid_i && (target_address[0] || target_address[1]) && jump_taken) + -------1------ --------------------2------------------- -----3---- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 133 + SUB-EXPRESSION (target_address[0] || target_address[1]) + --------1-------- --------2-------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.branch_unit_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- branch_unit + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : controller +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/controller.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.controller_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : controller + + Line No. Total Covered Percent +TOTAL 47 47 100.00 +ALWAYS 92 41 41 100.00 +ALWAYS 245 1 1 100.00 +ALWAYS 252 5 5 100.00 + +91 always_comb begin : flush_ctrl +92 1/1 fence_active_d = fence_active_q; +93 1/1 set_pc_commit_o = 1'b0; +94 1/1 flush_if_o = 1'b0; +95 1/1 flush_unissued_instr_o = 1'b0; +96 1/1 flush_id_o = 1'b0; +97 1/1 flush_ex_o = 1'b0; +98 1/1 flush_dcache = 1'b0; +99 1/1 flush_icache_o = 1'b0; +100 1/1 flush_tlb_o = 1'b0; +101 1/1 flush_tlb_vvma_o = 1'b0; +102 1/1 flush_tlb_gvma_o = 1'b0; +103 1/1 flush_bp_o = 1'b0; +104 // ------------ +105 // Mis-predict +106 // ------------ +107 // flush on mispredict +108 1/1 if (resolved_branch_i.is_mispredict) begin +109 // flush only un-issued instructions +110 1/1 flush_unissued_instr_o = 1'b1; +111 // and if stage +112 1/1 flush_if_o = 1'b1; +113 end + MISSING_ELSE +114 +115 // --------------------------------- +116 // FENCE +117 // --------------------------------- +118 1/1 if (fence_i) begin +119 // this can be seen as a CSR instruction with side-effect +120 1/1 set_pc_commit_o = 1'b1; +121 1/1 flush_if_o = 1'b1; +122 1/1 flush_unissued_instr_o = 1'b1; +123 1/1 flush_id_o = 1'b1; +124 1/1 flush_ex_o = 1'b1; +125 // this is not needed in the case since we +126 // have a write-through cache in this case +127 1/1 if (CVA6Cfg.DcacheFlushOnFence) begin +128 unreachable flush_dcache = 1'b1; +129 unreachable fence_active_d = 1'b1; +130 end + MISSING_ELSE +131 end + MISSING_ELSE +132 +133 // --------------------------------- +134 // FENCE.I +135 // --------------------------------- +136 1/1 if (fence_i_i && (CVA6Cfg.RVZifencei || CVA6Cfg.DCacheType == config_pkg::WB)) begin /* FIXME */ //confirm that it's only config_pkg::WB and not others caches configurations +137 unreachable set_pc_commit_o = 1'b1; +138 unreachable flush_if_o = 1'b1; +139 unreachable flush_unissued_instr_o = 1'b1; +140 unreachable flush_id_o = 1'b1; +141 unreachable flush_ex_o = 1'b1; +142 unreachable flush_icache_o = 1'b1; +143 // this is not needed in the case since we +144 // have a write-through cache in this case +145 unreachable if (CVA6Cfg.DcacheFlushOnFence) begin +146 unreachable flush_dcache = 1'b1; +147 unreachable fence_active_d = 1'b1; +148 end + ==> MISSING_ELSE +149 end + MISSING_ELSE +150 +151 // this is not needed in the case since we +152 // have a write-through cache in this case +153 1/1 if (CVA6Cfg.DcacheFlushOnFence) begin +154 // wait for the acknowledge here +155 unreachable if (flush_dcache_ack_i && fence_active_q) begin +156 unreachable fence_active_d = 1'b0; +157 // keep the flush dcache signal high as long as we didn't get the acknowledge from the cache +158 unreachable end else if (fence_active_q) begin +159 unreachable flush_dcache = 1'b1; +160 end + ==> MISSING_ELSE +161 end + MISSING_ELSE +162 // --------------------------------- +163 // SFENCE.VMA +164 // --------------------------------- +165 1/1 if (CVA6Cfg.RVS && sfence_vma_i) begin +166 unreachable set_pc_commit_o = 1'b1; +167 unreachable flush_if_o = 1'b1; +168 unreachable flush_unissued_instr_o = 1'b1; +169 unreachable flush_id_o = 1'b1; +170 unreachable flush_ex_o = 1'b1; +171 +172 unreachable if (CVA6Cfg.RVH && v_i) flush_tlb_vvma_o = 1'b1; +173 unreachable else flush_tlb_o = 1'b1; +174 end + MISSING_ELSE +175 +176 // --------------------------------- +177 // HFENCE.VVMA +178 // --------------------------------- +179 1/1 if (CVA6Cfg.RVH && hfence_vvma_i) begin +180 unreachable set_pc_commit_o = 1'b1; +181 unreachable flush_if_o = 1'b1; +182 unreachable flush_unissued_instr_o = 1'b1; +183 unreachable flush_id_o = 1'b1; +184 unreachable flush_ex_o = 1'b1; +185 +186 unreachable flush_tlb_vvma_o = 1'b1; +187 end + MISSING_ELSE +188 +189 // --------------------------------- +190 // HFENCE.GVMA +191 // --------------------------------- +192 1/1 if (CVA6Cfg.RVH && hfence_gvma_i) begin +193 unreachable set_pc_commit_o = 1'b1; +194 unreachable flush_if_o = 1'b1; +195 unreachable flush_unissued_instr_o = 1'b1; +196 unreachable flush_id_o = 1'b1; +197 unreachable flush_ex_o = 1'b1; +198 +199 unreachable flush_tlb_gvma_o = 1'b1; +200 end + MISSING_ELSE +201 +202 // --------------------------------- +203 // CSR side effects and accelerate port +204 // --------------------------------- +205 // Set PC to commit stage and flush pipeline +206 1/1 if (flush_csr_i || flush_acc_i) begin +207 1/1 set_pc_commit_o = 1'b1; +208 1/1 flush_if_o = 1'b1; +209 1/1 flush_unissued_instr_o = 1'b1; +210 1/1 flush_id_o = 1'b1; +211 1/1 flush_ex_o = 1'b1; +212 1/1 end else if (CVA6Cfg.RVA && flush_commit_i) begin +213 unreachable set_pc_commit_o = 1'b1; +214 unreachable flush_if_o = 1'b1; +215 unreachable flush_unissued_instr_o = 1'b1; +216 unreachable flush_id_o = 1'b1; +217 unreachable flush_ex_o = 1'b1; +218 end + MISSING_ELSE +219 +220 // --------------------------------- +221 // 1. Exception +222 // 2. Return from exception +223 // --------------------------------- +224 1/1 if (ex_valid_i || eret_i || (CVA6Cfg.DebugEn && set_debug_pc_i)) begin +225 // don't flush pcgen as we want to take the exception: Flush PCGen is not a flush signal +226 // for the PC Gen stage but instead tells it to take the PC we gave it +227 1/1 set_pc_commit_o = 1'b0; +228 1/1 flush_if_o = 1'b1; +229 1/1 flush_unissued_instr_o = 1'b1; +230 1/1 flush_id_o = 1'b1; +231 1/1 flush_ex_o = 1'b1; +232 // this potentially reduces performance, but is needed +233 // to suppress speculative fetches to virtual memory from +234 // machine mode. TODO: remove when PMA checkers have been +235 // added to the system +236 1/1 flush_bp_o = 1'b1; +237 end + MISSING_ELSE +238 end +239 +240 // ---------------------- +241 // Halt Logic +242 // ---------------------- +243 always_comb begin +244 // halt the core if the fence is active +245 1/1 halt_o = halt_csr_i || halt_acc_i || (CVA6Cfg.DcacheFlushOnFence && fence_active_q); +246 end +247 +248 // ---------------------- +249 // Registers +250 // ---------------------- +251 always_ff @(posedge clk_i or negedge rst_ni) begin +252 1/1 if (~rst_ni) begin +253 1/1 fence_active_q <= 1'b0; +254 1/1 flush_dcache_o <= 1'b0; +255 end else begin +256 1/1 fence_active_q <= fence_active_d; +257 // register on the flush signal, this signal might be critical +258 1/1 flush_dcache_o <= flush_dcache; + +------------------------------------------------------------------------------- +Cond Coverage for Module : controller + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 155 + EXPRESSION (flush_dcache_ack_i && fence_active_q) + ---------1-------- -------2------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 206 + EXPRESSION (flush_csr_i || flush_acc_i) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 224 + EXPRESSION (ex_valid_i || eret_i || ((1'b0 && set_debug_pc_i))) + -----1---- ---2-- -------------3------------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Covered + 1 0 0 Covered + + LINE 245 + EXPRESSION (halt_csr_i || halt_acc_i || ((1'b0 && fence_active_q))) + -----1---- -----2---- -------------3------------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Unreachable + 1 0 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.controller_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- controller + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : lsu_bypass +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/lsu_bypass.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.lsu_bypass_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : lsu_bypass + + Line No. Total Covered Percent +TOTAL 38 38 100.00 +ALWAYS 68 26 26 100.00 +ALWAYS 110 3 3 100.00 +ALWAYS 119 9 9 100.00 + +67 +68 1/1 status_cnt = status_cnt_q; +69 1/1 write_pointer = write_pointer_q; +70 1/1 read_pointer = read_pointer_q; +71 +72 1/1 mem_n = mem_q; +73 // we've got a valid LSU request +74 1/1 if (lsu_req_valid_i) begin +75 1/1 mem_n[write_pointer_q] = lsu_req_i; +76 1/1 write_pointer++; +77 1/1 status_cnt++; +78 end + MISSING_ELSE +79 +80 1/1 if (pop_ld_i) begin +81 // invalidate the result +82 1/1 mem_n[read_pointer_q].valid = 1'b0; +83 1/1 read_pointer++; +84 1/1 status_cnt--; +85 end + MISSING_ELSE +86 +87 1/1 if (pop_st_i) begin +88 // invalidate the result +89 1/1 mem_n[read_pointer_q].valid = 1'b0; +90 1/1 read_pointer++; +91 1/1 status_cnt--; +92 end + MISSING_ELSE +93 +94 2/2 if (pop_st_i && pop_ld_i) mem_n = '0; + MISSING_ELSE +95 +96 1/1 if (flush_i) begin +97 1/1 status_cnt = '0; +98 1/1 write_pointer = '0; +99 1/1 read_pointer = '0; +100 1/1 mem_n = '0; +101 end + MISSING_ELSE +102 // default assignments +103 1/1 read_pointer_n = read_pointer; +104 1/1 write_pointer_n = write_pointer; +105 1/1 status_cnt_n = status_cnt; +106 end +107 +108 // output assignment +109 always_comb begin : output_assignments +110 1/1 if (empty) begin +111 1/1 lsu_ctrl_o = lsu_req_i; +112 end else begin +113 1/1 lsu_ctrl_o = mem_q[read_pointer_q]; +114 end +115 end +116 +117 // registers +118 always_ff @(posedge clk_i or negedge rst_ni) begin +119 1/1 if (~rst_ni) begin +120 1/1 mem_q <= '0; +121 1/1 status_cnt_q <= '0; +122 1/1 write_pointer_q <= '0; +123 1/1 read_pointer_q <= '0; +124 end else begin +125 1/1 mem_q <= mem_n; +126 1/1 status_cnt_q <= status_cnt_n; +127 1/1 write_pointer_q <= write_pointer_n; +128 1/1 read_pointer_q <= read_pointer_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : lsu_bypass + + Total Covered Percent +Conditions 5 5 100.00 +Logical 5 5 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 94 + EXPRESSION (pop_st_i && pop_ld_i) + ----1--- ----2--- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 60 + EXPRESSION (status_cnt_q == 2'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.lsu_bypass_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lsu_bypass + + +Parent : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- lsu_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : id_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/id_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : id_stage + + Line No. Total Covered Percent +TOTAL 20 20 100.00 +ALWAYS 281 7 7 100.00 +ALWAYS 417 10 10 100.00 +ALWAYS 448 3 3 100.00 + +280 // No CVXIF, No ZCMP, No ZCMT => Connect directly compressed decoder to decoder +281 1/1 is_illegal_deco = is_illegal_rvc; +282 1/1 instruction_deco = instruction_rvc; +283 1/1 is_compressed_deco = is_compressed_rvc; +284 1/1 if (CVA6Cfg.CvxifEn) begin +285 1/1 is_illegal_deco[0] = is_illegal_cvxif_o; +286 1/1 instruction_deco[0] = instruction_cvxif_o; +287 1/1 is_compressed_deco[0] = is_compressed_cvxif_o; +288 unreachable end else if (!CVA6Cfg.CvxifEn && (CVA6Cfg.RVZCMP || CVA6Cfg.RVZCMT)) begin +289 unreachable is_illegal_deco[0] = is_illegal_cvxif_i; +290 unreachable instruction_deco[0] = instruction_cvxif_i; +291 unreachable is_compressed_deco[0] = is_compressed_cvxif_i; +292 end + ==> MISSING_ELSE +293 end +294 +295 +296 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +297 decoder #( +298 .CVA6Cfg(CVA6Cfg), +299 .branchpredict_sbe_t(branchpredict_sbe_t), +300 .exception_t(exception_t), +301 .irq_ctrl_t(irq_ctrl_t), +302 .scoreboard_entry_t(scoreboard_entry_t), +303 .interrupts_t(interrupts_t), +304 .INTERRUPTS(INTERRUPTS) +305 ) decoder_i ( +306 .debug_req_i, +307 .irq_ctrl_i, +308 .irq_i, +309 .pc_i (fetch_entry_i[i].address), +310 .is_compressed_i (is_compressed_deco[i]), +311 .is_macro_instr_i (is_macro_instr[i]), +312 .is_zcmt_i (is_zcmt_instr[i]), +313 .is_last_macro_instr_i (is_last_macro_instr), +314 .is_double_rd_macro_instr_i(is_double_rd_macro_instr), +315 .jump_address_i (jump_address), +316 .is_illegal_i (is_illegal_deco[i]), +317 .instruction_i (instruction_deco[i]), +318 .compressed_instr_i (fetch_entry_i[i].instruction[15:0]), +319 .branch_predict_i (fetch_entry_i[i].branch_predict), +320 .ex_i (fetch_entry_i[i].ex), +321 .priv_lvl_i (priv_lvl_i), +322 .v_i (v_i), +323 .debug_mode_i (debug_mode_i), +324 .fs_i, +325 .vfs_i, +326 .frm_i, +327 .vs_i, +328 .tvm_i, +329 .tw_i, +330 .vtw_i, +331 .tsr_i, +332 .hu_i, +333 .instruction_o (decoded_instruction[i]), +334 .orig_instr_o (orig_instr[i]), +335 .is_control_flow_instr_o (is_control_flow_instr[i]) +336 ); +337 end +338 +339 // ------------------ +340 // 3. Pipeline Register +341 // ------------------ +342 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +343 assign issue_entry_o[i] = issue_q[i].sbe; +344 assign issue_entry_o_prev[i] = CVA6Cfg.FpgaAlteraEn ? issue_n[i].sbe : '0; +345 assign issue_entry_valid_o[i] = issue_q[i].valid; +346 assign is_ctrl_flow_o[i] = issue_q[i].is_ctrl_flow; +347 assign orig_instr_o[i] = issue_q[i].orig_instr; +348 assign was_compressed_o[i] = issue_q[i].was_compressed; +349 end +350 +351 if (CVA6Cfg.SuperscalarEn) begin +352 always_comb begin +353 issue_n = issue_q; +354 fetch_entry_ready_o = '0; +355 // instruction is not valid if we stall due to ZCMT or CVXIF +356 decoded_instruction_valid[0] = (CVA6Cfg.RVZCMT && is_zcmt_instr[0] && stall_macro_deco_zcmt) || +357 (CVA6Cfg.CvxifEn && is_illegal_cvxif_i && ~stall_macro_deco) && stall_instr_fetch[0] +358 ? 1'b0 : 1'b1; +359 // Instruction on port 1 are always valid. It is either 32bits or legal 16bits. +360 decoded_instruction_valid[1] = ~stall_instr_fetch[1]; +361 +362 // Clear the valid flag if issue has acknowledged the instruction +363 if (issue_instr_ack_i[0]) begin +364 issue_n[0].valid = 1'b0; +365 end +366 if (issue_instr_ack_i[1]) begin +367 issue_n[1].valid = 1'b0; +368 end +369 +370 if (!issue_n[0].valid) begin +371 if (issue_n[1].valid) begin +372 issue_n[0] = issue_n[1]; +373 issue_n[1].valid = 1'b0; +374 end else if (fetch_entry_valid_i[0]) begin +375 fetch_entry_ready_o[0] = ~stall_instr_fetch[0]; +376 issue_n[0] = '{ +377 decoded_instruction_valid[0], +378 decoded_instruction[0], +379 orig_instr[0], +380 is_control_flow_instr[0], +381 is_compressed_rvc[0] +382 }; +383 end +384 end +385 +386 if (!issue_n[1].valid) begin +387 if (fetch_entry_ready_o[0]) begin +388 if (fetch_entry_valid_i[1]) begin +389 fetch_entry_ready_o[1] = ~stall_instr_fetch[1]; +390 issue_n[1] = '{ +391 decoded_instruction_valid[1], +392 decoded_instruction[1], +393 orig_instr[1], +394 is_control_flow_instr[1], +395 is_compressed_rvc[1] +396 }; +397 end +398 end else if (fetch_entry_valid_i[0]) begin +399 fetch_entry_ready_o[0] = ~stall_instr_fetch[0]; +400 issue_n[1] = '{ +401 decoded_instruction_valid[0], +402 decoded_instruction[0], +403 orig_instr[0], +404 is_control_flow_instr[0], +405 is_compressed_rvc[0] +406 }; +407 end +408 end +409 +410 if (flush_i) begin +411 issue_n[0].valid = 1'b0; +412 issue_n[1].valid = 1'b0; +413 end +414 end +415 end else begin +416 always_comb begin +417 1/1 issue_n = issue_q; +418 1/1 fetch_entry_ready_o = '0; +419 // instruction is not valid if we stall due to ZCMT or CVXIF +420 1/1 decoded_instruction_valid[0] = (CVA6Cfg.RVZCMT && is_zcmt_instr[0] && stall_macro_deco_zcmt) || +421 (CVA6Cfg.CvxifEn && is_illegal_cvxif_i && ~stall_macro_deco && stall_instr_fetch[0]) +422 ? 1'b0 : 1'b1; +423 // Clear the valid flag if issue has acknowledged the instruction +424 2/2 if (issue_instr_ack_i[0]) issue_n[0].valid = 1'b0; + MISSING_ELSE +425 +426 // if we have a space in the register and the fetch is valid, go get it +427 // or the issue stage is currently acknowledging an instruction, which means that we will have space +428 // for a new instruction +429 1/1 if (!issue_n[0].valid && fetch_entry_valid_i[0]) begin +430 1/1 fetch_entry_ready_o[0] = ~stall_instr_fetch[0]; +431 1/1 issue_n[0] = '{ +432 decoded_instruction_valid[0], +433 decoded_instruction[0], +434 orig_instr[0], +435 is_control_flow_instr[0], +436 is_compressed_rvc[0] +437 }; +438 end + MISSING_ELSE +439 +440 // invalidate the pipeline register on a flush +441 2/2 if (flush_i) issue_n[0].valid = 1'b0; + ==> MISSING_ELSE +442 end +443 end +444 // ------------------------- +445 // Registers (ID <-> Issue) +446 // ------------------------- +447 always_ff @(posedge clk_i or negedge rst_ni) begin +448 1/1 if (~rst_ni) begin +449 1/1 issue_q <= '0; +450 end else begin +451 1/1 issue_q <= issue_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : id_stage + + Total Covered Percent +Conditions 10 10 100.00 +Logical 10 10 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 420 + EXPRESSION + Number Term + 1 ((((1'b0 && is_zcmt_instr[0]) && stall_macro_deco_zcmt)) || (1'b1 && is_illegal_cvxif_i && ((~stall_macro_deco)) && stall_instr_fetch[0])) ? 1'b0 : 1'b1) + +-1- Status + 0 Covered + 1 Covered + + LINE 420 + SUB-EXPRESSION ((((1'b0 && is_zcmt_instr[0]) && stall_macro_deco_zcmt)) || (1'b1 && is_illegal_cvxif_i && ((~stall_macro_deco)) && stall_instr_fetch[0])) + ---------------------------1--------------------------- --------------------------------------2-------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Unreachable + + LINE 420 + SUB-EXPRESSION (1'b1 && is_illegal_cvxif_i && ((~stall_macro_deco)) && stall_instr_fetch[0]) + --1- ---------2-------- ----------3---------- ----------4--------- + +-1- -2- -3- -4- Status + - 0 1 1 Covered + - 1 0 1 Unreachable + - 1 1 0 Covered + - 1 1 1 Covered + + LINE 429 + EXPRESSION (((!issue_n[0].valid)) && fetch_entry_valid_i[0]) + ----------1---------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.12 99.42 98.81 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.06 98.11 100.00 -- genblk1.genblk1[0].compressed_decoder_i +100.00 100.00 100.00 -- genblk1.genblk6.i_cvxif_compressed_if_driver_i + 99.12 99.73 98.51 -- genblk2[0].decoder_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cvxif_fu +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cvxif_fu.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.gen_cvxif.cvxif_fu_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cvxif_fu + + Line No. Total Covered Percent +TOTAL 3 3 100.00 +ALWAYS 67 3 3 100.00 + +66 always_comb begin +67 1/1 x_exception_o.valid = x_illegal_i; +68 1/1 x_exception_o.cause = x_illegal_i ? riscv::ILLEGAL_INSTR : '0; +69 1/1(1 unreachable) if (CVA6Cfg.TvalEn) x_exception_o.tval = x_off_instr_i; + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : cvxif_fu + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 68 + EXPRESSION (x_illegal_i ? riscv::ILLEGAL_INSTR : '0) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 59 + EXPRESSION (x_illegal_i || result_valid_i) + -----1----- -------2------ + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 61 + EXPRESSION (x_illegal_i ? x_trans_id_i : result_i.id) + -----1----- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.gen_cvxif.cvxif_fu_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cvxif_fu + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : issue_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 -- 100.00 -- + +Source File(s) : + +cva6/core/issue_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i + + + +------------------------------------------------------------------------------- +Cond Coverage for Module : issue_stage + + Total Covered Percent +Conditions 3 3 100.00 +Logical 3 3 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 186 + EXPRESSION (issue_instr_valid_sb_iro[0] & issue_ack_iro_sb[0]) + -------------1------------- ---------2--------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 -- 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 97.36 99.62 95.10 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- issue_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 97.46 100.00 94.92 -- i_issue_read_operands + 97.78 98.18 97.37 -- i_scoreboard + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : csr_buffer +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/csr_buffer.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.csr_buffer_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : csr_buffer + + Line No. Total Covered Percent +TOTAL 14 14 100.00 +ALWAYS 56 11 11 100.00 +ALWAYS 76 3 3 100.00 + +55 always_comb begin : write +56 1/1 csr_reg_n = csr_reg_q; +57 // by default we are ready +58 1/1 csr_ready_o = 1'b1; +59 // if we have a valid uncomiited csr req or are just getting one WITHOUT a commit in, we are not ready +60 2/2 if ((csr_reg_q.valid || csr_valid_i) && ~csr_commit_i) csr_ready_o = 1'b0; + MISSING_ELSE +61 // if we got a valid from the scoreboard +62 // store the CSR address +63 1/1 if (csr_valid_i) begin +64 1/1 csr_reg_n.csr_address = fu_data_i.operand_b[11:0]; +65 1/1 csr_reg_n.valid = 1'b1; +66 end + MISSING_ELSE +67 // if we get a commit and no new valid instruction -> clear the valid bit +68 1/1 if (csr_commit_i && ~csr_valid_i) begin +69 1/1 csr_reg_n.valid = 1'b0; +70 end + MISSING_ELSE +71 // clear the buffer if we flushed +72 2/2 if (flush_i) csr_reg_n.valid = 1'b0; + MISSING_ELSE +73 end +74 // sequential process +75 always_ff @(posedge clk_i or negedge rst_ni) begin +76 1/1 if (~rst_ni) begin +77 1/1 csr_reg_q <= '{default: 0}; +78 end else begin +79 1/1 csr_reg_q <= csr_reg_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : csr_buffer + + Total Covered Percent +Conditions 9 9 100.00 +Logical 9 9 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 60 + EXPRESSION ((csr_reg_q.valid || csr_valid_i) && ((~csr_commit_i))) + ----------------1--------------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 60 + SUB-EXPRESSION (csr_reg_q.valid || csr_valid_i) + -------1------- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 68 + EXPRESSION (csr_commit_i && ((~csr_valid_i))) + ------1----- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.csr_buffer_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- csr_buffer + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : instr_realign +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/instr_realign.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_realign + + + +------------------------------------------------------------------------------- +Line Coverage for Module : instr_realign + + Line No. Total Covered Percent +TOTAL 36 36 100.00 +ALWAYS 68 25 25 100.00 +ALWAYS 348 11 11 100.00 + +67 always_comb begin : re_align +68 1/1 unaligned_d = unaligned_q; +69 1/1 unaligned_address_d = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +70 1/1 unaligned_instr_d = data_i[31:16]; +71 +72 1/1 valid_o[0] = valid_i; +73 1/1 instr_o[0] = unaligned_q ? {data_i[15:0], unaligned_instr_q} : data_i[31:0]; +74 1/1 addr_o[0] = unaligned_q ? unaligned_address_q : address_i; +75 +76 1/1 if (CVA6Cfg.INSTR_PER_FETCH != 1) begin +77 1/1 valid_o[CVA6Cfg.INSTR_PER_FETCH-1] = 1'b0; +78 1/1 instr_o[CVA6Cfg.INSTR_PER_FETCH-1] = '0; +79 1/1 addr_o[CVA6Cfg.INSTR_PER_FETCH-1] = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +80 end + ==> MISSING_ELSE +81 // this instruction is compressed or the last instruction was unaligned +82 1/1 if (instr_is_compressed[0] || unaligned_q) begin +83 // check if this is instruction is still unaligned e.g.: it is not compressed +84 // if its compressed re-set unaligned flag +85 // for 32 bit we can simply check the next instruction and whether it is compressed or not +86 // if it is compressed the next fetch will contain an aligned instruction +87 // is instruction 1 also compressed +88 // yes? -> no problem, no -> we've got an unaligned instruction +89 1/1 if (instr_is_compressed[CVA6Cfg.INSTR_PER_FETCH-1] && CVA6Cfg.RVC) begin +90 1/1 unaligned_d = 1'b0; +91 1/1 valid_o[CVA6Cfg.INSTR_PER_FETCH-1] = valid_i; +92 1/1 instr_o[CVA6Cfg.INSTR_PER_FETCH-1] = {16'b0, data_i[31:16]}; +93 end else begin +94 // save the upper bits for next cycle +95 1/1 unaligned_d = 1'b1; +96 1/1 unaligned_instr_d = data_i[31:16]; +97 1/1 unaligned_address_d = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +98 end +99 end // else -> normal fetch + MISSING_ELSE +100 +101 // we started to fetch on a unaligned boundary with a whole instruction -> wait until we've +102 // received the next instruction +103 1/1 if (valid_i && address_i[1]) begin +104 // the instruction is not compressed so we can't do anything in this cycle +105 1/1 if (!instr_is_compressed[0]) begin +106 1/1 valid_o = '0; +107 1/1 unaligned_d = 1'b1; +108 1/1 unaligned_address_d = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +109 1/1 unaligned_instr_d = data_i[15:0]; +110 // the instruction isn't compressed but only the lower is ready +111 end else begin +112 1/1 valid_o = {{CVA6Cfg.INSTR_PER_FETCH - 1{1'b0}}, 1'b1}; +113 end +114 end + MISSING_ELSE +115 end +116 end else if (CVA6Cfg.FETCH_WIDTH == 64) begin : realign_bp_64 +117 always_comb begin : re_align +118 unaligned_d = 1'b0; +119 unaligned_address_d = unaligned_address_q; +120 unaligned_instr_d = unaligned_instr_q; +121 +122 valid_o = '0; +123 instr_o[0] = '0; +124 addr_o[0] = '0; +125 instr_o[1] = '0; +126 addr_o[1] = '0; +127 instr_o[2] = '0; +128 addr_o[2] = '0; +129 instr_o[3] = {16'b0, data_i[63:48]}; +130 addr_o[3] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +131 +132 case (address_i[2:1]) +133 2'b00: begin +134 valid_o[0] = valid_i; +135 valid_o[1] = valid_i; +136 +137 unaligned_d = unaligned_q; +138 +139 // last instruction was unaligned +140 // TODO how are jumps + unaligned managed? +141 if (unaligned_q) begin +142 // for 64 bit there exist the following options: +143 // 64 48 32 16 0 +144 // | 3 | 2 | 1 | 0 | <- instruction slot +145 // | I | I | U | -> again unaligned +146 // | * | C | I | U | -> aligned +147 // | * | I | C | U | -> aligned +148 // | I | C | C | U | -> again unaligned +149 // | * | C | C | C | U | -> aligned +150 // Legend: C = compressed, I = 32 bit instruction, U = unaligned upper half +151 +152 instr_o[0] = {data_i[15:0], unaligned_instr_q}; +153 addr_o[0] = unaligned_address_q; +154 +155 instr_o[1] = data_i[47:16]; +156 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b010}; +157 +158 if (instr_is_compressed[1]) begin +159 instr_o[2] = data_i[63:32]; +160 addr_o[2] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +161 valid_o[2] = valid_i; +162 +163 if (instr_is_compressed[2]) begin +164 if (instr_is_compressed[3]) begin +165 unaligned_d = 1'b0; +166 valid_o[3] = valid_i; +167 end else begin +168 unaligned_instr_d = instr_o[3]; +169 unaligned_address_d = addr_o[3]; +170 end +171 end else begin +172 unaligned_d = 1'b0; +173 valid_o[2] = valid_i; +174 end +175 end else begin +176 instr_o[2] = instr_o[3]; +177 addr_o[2] = addr_o[3]; +178 if (instr_is_compressed[3]) begin +179 unaligned_d = 1'b0; +180 valid_o[2] = valid_i; +181 end else begin +182 unaligned_instr_d = instr_o[3]; +183 unaligned_address_d = addr_o[3]; +184 end +185 end +186 end else begin +187 instr_o[0] = data_i[31:0]; +188 addr_o[0] = address_i; +189 +190 if (instr_is_compressed[0]) begin +191 instr_o[1] = data_i[47:16]; +192 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b010}; +193 +194 // 64 48 32 16 0 +195 // | 3 | 2 | 1 | 0 | <- instruction slot +196 // | I | I | C | -> again unaligned +197 // | * | C | I | C | -> aligned +198 // | * | I | C | C | -> aligned +199 // | I | C | C | C | -> again unaligned +200 // | * | C | C | C | C | -> aligned +201 if (instr_is_compressed[1]) begin +202 instr_o[2] = data_i[63:32]; +203 addr_o[2] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +204 valid_o[2] = valid_i; +205 +206 if (instr_is_compressed[2]) begin +207 if (instr_is_compressed[3]) begin +208 valid_o[3] = valid_i; +209 end else begin +210 unaligned_d = 1'b1; +211 unaligned_instr_d = instr_o[3]; +212 unaligned_address_d = addr_o[3]; +213 end +214 end +215 end else begin +216 instr_o[2] = instr_o[3]; +217 addr_o[2] = addr_o[3]; +218 +219 if (instr_is_compressed[3]) begin +220 valid_o[2] = valid_i; +221 end else begin +222 unaligned_d = 1'b1; +223 unaligned_instr_d = instr_o[3]; +224 unaligned_address_d = addr_o[3]; +225 end +226 end +227 end else begin +228 // 64 32 0 +229 // | 3 | 2 | 1 | 0 | <- instruction slot +230 // | I | C | I | +231 // | * | C | C | I | +232 // | * | I | I | +233 instr_o[1] = data_i[63:32]; +234 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +235 +236 instr_o[2] = instr_o[3]; +237 addr_o[2] = addr_o[3]; +238 +239 if (instr_is_compressed[2]) begin +240 if (instr_is_compressed[3]) begin +241 valid_o[2] = valid_i; +242 end else begin +243 unaligned_d = 1'b1; +244 unaligned_instr_d = instr_o[3]; +245 unaligned_address_d = addr_o[3]; +246 end +247 end +248 end +249 end +250 end +251 // this means the previous instruction was either compressed or unaligned +252 // in any case we don't care +253 // TODO input is actually right-shifted so the code below is wrong +254 2'b01: begin +255 // 64 48 32 16 0 +256 // | 3 | 2 | 1 | 0 | <- instruction slot +257 // | I | I | -> again unaligned +258 // | * | C | I | -> aligned +259 // | * | I | C | -> aligned +260 // | I | C | C | -> again unaligned +261 // | * | C | C | C | -> aligned +262 // 000 110 100 010 <- unaligned address +263 +264 instr_o[0] = data_i[31:0]; +265 addr_o[0] = {address_i[CVA6Cfg.VLEN-1:3], 3'b010}; +266 valid_o[0] = valid_i; +267 +268 instr_o[2] = data_i[63:32]; +269 addr_o[2] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +270 +271 if (instr_is_compressed[0]) begin +272 instr_o[1] = data_i[47:16]; +273 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +274 valid_o[1] = valid_i; +275 +276 if (instr_is_compressed[1]) begin +277 if (instr_is_compressed[2]) begin +278 valid_o[2] = valid_i; +279 end else begin +280 unaligned_d = 1'b1; +281 unaligned_instr_d = instr_o[2]; +282 unaligned_address_d = addr_o[2]; +283 end +284 end +285 end else begin +286 instr_o[1] = instr_o[2]; +287 addr_o[1] = addr_o[2]; +288 +289 if (instr_is_compressed[2]) begin +290 valid_o[1] = valid_i; +291 end else begin +292 unaligned_d = 1'b1; +293 unaligned_instr_d = instr_o[2]; +294 unaligned_address_d = addr_o[2]; +295 end +296 end +297 end +298 2'b10: begin +299 // 64 48 32 16 0 +300 // | 3 | 2 | 1 | 0 | <- instruction slot +301 // | * | I | C | <- unaligned +302 // | * | C | C | <- aligned +303 // | * | I | <- aligned +304 // 1000 110 100 <- unaligned address +305 +306 instr_o[0] = data_i[31:0]; +307 addr_o[0] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +308 valid_o[0] = valid_i; +309 +310 instr_o[1] = data_i[47:16]; +311 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +312 +313 if (instr_is_compressed[0]) begin +314 if (instr_is_compressed[1]) begin +315 valid_o[1] = valid_i; +316 end else begin +317 unaligned_d = 1'b1; +318 unaligned_instr_d = instr_o[1]; +319 unaligned_address_d = addr_o[1]; +320 end +321 end +322 end +323 // we started to fetch on a unaligned boundary with a whole instruction -> wait until we've +324 // received the next instruction +325 2'b11: begin +326 // 64 48 32 16 0 +327 // | 3 | 2 | 1 | 0 | <- instruction slot +328 // | * | I | <- unaligned +329 // | * | C | <- aligned +330 // 1000 110 <- unaligned address +331 +332 instr_o[0] = data_i[31:0]; +333 addr_o[0] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +334 +335 if (instr_is_compressed[0]) begin +336 valid_o[0] = valid_i; +337 end else begin +338 unaligned_d = 1'b1; +339 unaligned_instr_d = instr_o[0]; +340 unaligned_address_d = addr_o[0]; +341 end +342 end +343 endcase +344 end +345 end +346 +347 always_ff @(posedge clk_i or negedge rst_ni) begin +348 1/1 if (~rst_ni) begin +349 1/1 unaligned_q <= 1'b0; +350 1/1 unaligned_address_q <= '0; +351 1/1 unaligned_instr_q <= '0; +352 end else begin +353 1/1 if (valid_i) begin +354 1/1 unaligned_address_q <= unaligned_address_d; +355 1/1 unaligned_instr_q <= unaligned_instr_d; +356 end + MISSING_ELSE +357 +358 1/1 if (flush_i) begin +359 1/1 unaligned_q <= 1'b0; +360 1/1 end else if (valid_i) begin +361 1/1 unaligned_q <= unaligned_d; +362 end + MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : instr_realign + + Total Covered Percent +Conditions 12 12 100.00 +Logical 12 12 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 73 + EXPRESSION (unaligned_q ? ({data_i[15:0], unaligned_instr_q}) : data_i[31:0]) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 74 + EXPRESSION (unaligned_q ? unaligned_address_q : address_i) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION (instr_is_compressed[0] || unaligned_q) + -----------1---------- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 89 + EXPRESSION (instr_is_compressed[(32'b00000000000000000000000000000010 - 1)] && 1'b1) + -------------------------------1------------------------------- --2- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 103 + EXPRESSION (valid_i && address_i[1]) + ---1--- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_realign +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- instr_realign + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : multiplier +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/multiplier.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_multiplier + + + +------------------------------------------------------------------------------- +Line Coverage for Module : multiplier + + Line No. Total Covered Percent +TOTAL 33 33 100.00 +ALWAYS 66 3 3 100.00 +ALWAYS 93 9 9 100.00 +ALWAYS 122 7 7 100.00 +ALWAYS 136 5 5 100.00 +ALWAYS 149 9 9 100.00 + +65 always_comb begin +66 1/1 clmul_d = '0; +67 1/1 for (int i = 0; i <= CVA6Cfg.XLEN; i++) begin +68 1/1 clmul_d = (|((operand_b >> i) & 1)) ? clmul_d ^ (operand_a << i) : clmul_d; +69 end +70 end +71 +72 // clmulr + clmulh result generator +73 for (genvar i = 0; i < CVA6Cfg.XLEN; i++) begin +74 assign clmulr_d[i] = clmul_d[(CVA6Cfg.XLEN-1)-i]; +75 end +76 end +77 +78 // Pipeline register +79 logic [CVA6Cfg.TRANS_ID_BITS-1:0] trans_id_q; +80 logic mult_valid_q; +81 fu_op operator_d, operator_q; +82 logic [CVA6Cfg.XLEN*2-1:0] mult_result_d, mult_result_q; +83 +84 // control registers +85 logic sign_a, sign_b; +86 +87 // control signals +88 assign mult_valid_o = mult_valid_q; +89 assign mult_trans_id_o = trans_id_q; +90 +91 // Sign Select MUX +92 always_comb begin +93 1/1 sign_a = 1'b0; +94 1/1 sign_b = 1'b0; +95 +96 // signed multiplication +97 1/1 if (operation_i == MULH) begin +98 1/1 sign_a = 1'b1; +99 1/1 sign_b = 1'b1; +100 // signed - unsigned multiplication +101 1/1 end else if (operation_i == MULHSU) begin +102 1/1 sign_a = 1'b1; +103 // unsigned multiplication +104 end else begin +105 1/1 sign_a = 1'b0; +106 1/1 sign_b = 1'b0; +107 end +108 end +109 +110 +111 // single stage version +112 assign mult_result_d = $signed( +113 {operand_a_i[CVA6Cfg.XLEN-1] & sign_a, operand_a_i} +114 ) * $signed( +115 {operand_b_i[CVA6Cfg.XLEN-1] & sign_b, operand_b_i} +116 ); +117 +118 +119 assign operator_d = operation_i; +120 +121 always_comb begin : p_selmux +122 1/1 unique case (operator_q) +123 1/1 MULH, MULHU, MULHSU: result_o = mult_result_q[CVA6Cfg.XLEN*2-1:CVA6Cfg.XLEN]; +124 1/1 CLMUL: result_o = clmul_q; +125 1/1 CLMULH: result_o = clmulr_q >> 1; +126 1/1 CLMULR: result_o = clmulr_q; +127 // MUL performs an CVA6Cfg.XLEN-bit×CVA6Cfg.XLEN-bit multiplication and places the lower CVA6Cfg.XLEN bits in the destination register +128 default: begin +129 1/1(1 unreachable) if (operator_q == MULW && CVA6Cfg.IS_XLEN64) result_o = sext32to64(mult_result_q[31:0]); +130 1/1 else result_o = mult_result_q[CVA6Cfg.XLEN-1:0]; // including MUL +131 end +132 endcase +133 end +134 if (CVA6Cfg.RVB) begin +135 always_ff @(posedge clk_i or negedge rst_ni) begin +136 1/1 if (~rst_ni) begin +137 1/1 clmul_q <= '0; +138 1/1 clmulr_q <= '0; +139 end else begin +140 1/1 clmul_q <= clmul_d; +141 1/1 clmulr_q <= clmulr_d; +142 end +143 end +144 end +145 // ----------------------- +146 // Output pipeline register +147 // ----------------------- +148 always_ff @(posedge clk_i or negedge rst_ni) begin +149 1/1 if (~rst_ni) begin +150 1/1 mult_valid_q <= '0; +151 1/1 trans_id_q <= '0; +152 1/1 operator_q <= MUL; +153 1/1 mult_result_q <= '0; +154 end else begin +155 // Input silencing +156 1/1 trans_id_q <= trans_id_i; +157 // Output Register +158 1/1 mult_valid_q <= mult_valid_i; +159 1/1 operator_q <= operator_d; +160 1/1 mult_result_q <= mult_result_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : multiplier + + Total Covered Percent +Conditions 20 20 100.00 +Logical 20 20 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 97 + EXPRESSION (operation_i == MULH) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + EXPRESSION (operation_i == MULHSU) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 68 + EXPRESSION (((|((operand_b >> i) & 1))) ? ((clmul_d ^ (operand_a << i))) : clmul_d) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 51 + EXPRESSION (operation_i == CLMULR) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 52 + EXPRESSION (operation_i == CLMULH) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 61 + EXPRESSION ((clmul_rmode | clmul_hmode) ? operand_a_rev : operand_a_i) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 61 + SUB-EXPRESSION (clmul_rmode | clmul_hmode) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 62 + EXPRESSION ((clmul_rmode | clmul_hmode) ? operand_b_rev : operand_b_i) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 62 + SUB-EXPRESSION (clmul_rmode | clmul_hmode) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_multiplier +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- multiplier + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_mult + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvma_obi_memory_assert_if_wrp +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_assert_if_wrp.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvma_obi_memory_assert_if_wrp + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 61.29 -- -- 61.29 u_assert + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvma_obi_memory_assert_if_wrp + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 61.29 -- -- 61.29 u_assert + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvma_obi_memory_assert_if_wrp + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 61.29 -- -- 61.29 u_assert + + + +=============================================================================== +Module : cva6_tb_wrapper +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/tb/uvmt/cva6_tb_wrapper.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_tb_wrapper + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.09 99.79 98.39 -- cva6_only_pipeline.i_cva6_pipeline + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvmt_cva6_dut_wrap +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/tb/uvmt/uvmt_cva6_dut_wrap.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_dut_wrap + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.09 99.79 98.39 -- cva6_tb_wrapper_i + 92.31 -- -- 92.31 cvxif_assert +100.00 -- -- 100.00 interrupt_assert + 61.29 -- -- 61.29 obi_fetch_assert + 61.29 -- -- 61.29 obi_load_assert + 61.29 -- -- 61.29 obi_store_assert + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvmt_cva6_tb +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/tb/uvmt/uvmt_cva6_tb.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb + + +Parent : + +none +---------------- + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 88.08 99.79 98.39 66.06 cva6_dut_wrap + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modlist.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modlist.txt new file mode 100644 index 00000000..3c38ef35 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modlist.txt @@ -0,0 +1,63 @@ +Design Module List + +Total Module Definition Coverage Summary +SCORE LINE COND ASSERT + 90.31 99.78 98.81 72.34 + + +Total modules in report: 43 +------------------------------------------------------------------------------- +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + 81.82 -- -- 81.82 uvma_obi_memory_assert + 92.31 -- -- 92.31 uvma_cvxif_assert + 94.19 -- 94.19 -- rr_arb_tree + 97.78 98.18 97.37 -- scoreboard + 98.75 97.50 100.00 -- load_store_unit + 98.78 100.00 97.56 -- csr_regfile + 99.06 98.11 100.00 -- compressed_decoder + 99.12 99.73 98.51 -- decoder + 99.13 100.00 98.26 -- serdiv + 99.24 100.00 98.47 -- frontend +100.00 100.00 100.00 -- ariane_regfile +100.00 100.00 100.00 -- issue_read_operands +100.00 100.00 100.00 -- cvxif_compressed_if_driver +100.00 100.00 100.00 -- lzc + + ---------------- + SCORE LINE COND ASSERT NAME + 100.00 100.00 -- -- lzc + 100.00 -- 100.00 -- lzc ( parameter WIDTH=2,MODE=0,CNT_WIDTH=1,gen_lzc.NumLevels=1 ) + 100.00 -- 100.00 -- lzc ( parameter WIDTH=32,MODE=1,CNT_WIDTH=5,gen_lzc.NumLevels=5 ) + +---------------- +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu +100.00 100.00 100.00 -- instr_scan +100.00 100.00 100.00 -- ras +100.00 100.00 100.00 -- cva6_pipeline +100.00 100.00 100.00 -- ex_stage +100.00 100.00 100.00 -- instr_queue +100.00 100.00 100.00 -- bht +100.00 100.00 100.00 -- cvxif_issue_register_commit_if_driver +100.00 -- -- 100.00 uvmt_cv32a60x_interrupt_assert +100.00 100.00 100.00 -- cva6_fifo_v3 +100.00 100.00 100.00 -- mult +100.00 100.00 100.00 -- load_unit +100.00 100.00 100.00 -- commit_stage +100.00 100.00 100.00 -- store_buffer +100.00 100.00 100.00 -- store_unit +100.00 100.00 100.00 -- branch_unit +100.00 100.00 100.00 -- controller +100.00 100.00 100.00 -- lsu_bypass +100.00 100.00 100.00 -- id_stage +100.00 100.00 100.00 -- cvxif_fu +100.00 -- 100.00 -- issue_stage +100.00 100.00 100.00 -- csr_buffer +100.00 100.00 100.00 -- instr_realign +100.00 100.00 100.00 -- multiplier +-- -- -- -- uvma_obi_memory_assert_if_wrp +-- -- -- -- cva6_tb_wrapper +-- -- -- -- uvmt_cva6_dut_wrap +-- -- -- -- uvmt_cva6_tb + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/plan.CVA6 Verification Master Plan1.2.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/plan.CVA6 Verification Master Plan1.2.txt new file mode 100644 index 00000000..a2ad273f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/plan.CVA6 Verification Master Plan1.2.txt @@ -0,0 +1,55 @@ +HVP Plan + +=============================================================================== +Plan : CVA6 Verification Master Plan +=============================================================================== +SCORE LINE COND ASSERT GROUP + 97.02 99.79 98.39 92.31 97.58 + +Attribute/Annotation definitions: + owner (attribute/string) default: + at_least (attribute/integer) default: 0 + weight (annotation/integer) default: 1 + description (annotation/string) default: + test.expected (annotation/integer) default: 0 + Comment (attribute/string) default: + +Metric definitions: + Line ratio average + Cond ratio average + FSM ratio average + Toggle ratio average + Branch ratio average + Assert ratio average + Assert.assert ratio average + Assert.cover ratio average + Assert.ast_count integer sum + Assert.cov_count integer sum + Group percent average + Group.count integer sum + Group.grp_count integer sum + Group.cvp_count integer sum + Group.bin_count integer sum + Group.covered_count integer sum + Group.uncovered_count integer sum + Group.excluded_count integer sum + SnpsAvg aggregate[Line Cond FSM Toggle Branch Assert Group] average + test enum[pass fail warn assert unknown(default)] sum + test.completion ratio average + AssertResult enum[successes failures unknown(default)] sum + + +Attribute/Annotation values: + description: CVA6 Verification Master Plan + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 94.94 -- -- 92.31 97.58 Programmer view level + 99.09 99.79 98.39 -- -- Code coverage + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/tests.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/tests.txt new file mode 100644 index 00000000..1a84e9d9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/tests.txt @@ -0,0 +1,2367 @@ +Tests + +Total Coverage Summary +SCORE LINE COND ASSERT GROUP + 90.77 99.79 98.39 66.06 98.85 + + +Total tests in report: 2356 +------------------------------------------------------------------------------- +Data from the following tests was used to generate this report +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_99 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_50 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_51 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_52 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_53 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_54 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_55 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_56 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_57 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_58 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_59 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_60 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_61 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_62 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_63 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_64 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_65 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_66 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_67 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_68 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_69 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_70 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_71 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_72 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_73 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_74 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_75 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_76 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_77 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_78 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_79 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_80 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_81 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_82 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_83 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_84 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_85 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_86 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_87 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_88 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_89 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_90 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_91 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_92 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_93 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_94 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_95 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_96 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_97 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_98 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_99 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_0 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_50 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_51 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_52 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_53 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_54 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_55 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_56 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_57 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_58 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_59 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_60 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_61 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_62 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_63 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_64 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_65 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_66 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_67 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_68 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_69 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_70 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_71 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_72 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_73 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_74 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_75 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_76 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_77 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_78 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_79 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_80 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_81 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_82 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_83 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_84 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_85 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_86 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_87 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_88 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_89 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_90 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_91 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_92 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_93 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_94 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_95 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_96 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_97 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_98 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_99 +vcs_results/default/vcs.d/simv/riscv_load_store_test_0 +vcs_results/default/vcs.d/simv/riscv_load_store_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_test_50 +vcs_results/default/vcs.d/simv/riscv_load_store_test_51 +vcs_results/default/vcs.d/simv/riscv_load_store_test_52 +vcs_results/default/vcs.d/simv/riscv_load_store_test_53 +vcs_results/default/vcs.d/simv/riscv_load_store_test_54 +vcs_results/default/vcs.d/simv/riscv_load_store_test_55 +vcs_results/default/vcs.d/simv/riscv_load_store_test_56 +vcs_results/default/vcs.d/simv/riscv_load_store_test_57 +vcs_results/default/vcs.d/simv/riscv_load_store_test_58 +vcs_results/default/vcs.d/simv/riscv_load_store_test_59 +vcs_results/default/vcs.d/simv/riscv_load_store_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_test_60 +vcs_results/default/vcs.d/simv/riscv_load_store_test_61 +vcs_results/default/vcs.d/simv/riscv_load_store_test_62 +vcs_results/default/vcs.d/simv/riscv_load_store_test_63 +vcs_results/default/vcs.d/simv/riscv_load_store_test_64 +vcs_results/default/vcs.d/simv/riscv_load_store_test_65 +vcs_results/default/vcs.d/simv/riscv_load_store_test_66 +vcs_results/default/vcs.d/simv/riscv_load_store_test_67 +vcs_results/default/vcs.d/simv/riscv_load_store_test_68 +vcs_results/default/vcs.d/simv/riscv_load_store_test_69 +vcs_results/default/vcs.d/simv/riscv_load_store_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_test_70 +vcs_results/default/vcs.d/simv/riscv_load_store_test_71 +vcs_results/default/vcs.d/simv/riscv_load_store_test_72 +vcs_results/default/vcs.d/simv/riscv_load_store_test_73 +vcs_results/default/vcs.d/simv/riscv_load_store_test_74 +vcs_results/default/vcs.d/simv/riscv_load_store_test_75 +vcs_results/default/vcs.d/simv/riscv_load_store_test_76 +vcs_results/default/vcs.d/simv/riscv_load_store_test_77 +vcs_results/default/vcs.d/simv/riscv_load_store_test_78 +vcs_results/default/vcs.d/simv/riscv_load_store_test_79 +vcs_results/default/vcs.d/simv/riscv_load_store_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_test_80 +vcs_results/default/vcs.d/simv/riscv_load_store_test_81 +vcs_results/default/vcs.d/simv/riscv_load_store_test_82 +vcs_results/default/vcs.d/simv/riscv_load_store_test_83 +vcs_results/default/vcs.d/simv/riscv_load_store_test_84 +vcs_results/default/vcs.d/simv/riscv_load_store_test_85 +vcs_results/default/vcs.d/simv/riscv_load_store_test_86 +vcs_results/default/vcs.d/simv/riscv_load_store_test_87 +vcs_results/default/vcs.d/simv/riscv_load_store_test_88 +vcs_results/default/vcs.d/simv/riscv_load_store_test_89 +vcs_results/default/vcs.d/simv/riscv_load_store_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_test_90 +vcs_results/default/vcs.d/simv/riscv_load_store_test_91 +vcs_results/default/vcs.d/simv/riscv_load_store_test_92 +vcs_results/default/vcs.d/simv/riscv_load_store_test_93 +vcs_results/default/vcs.d/simv/riscv_load_store_test_94 +vcs_results/default/vcs.d/simv/riscv_load_store_test_95 +vcs_results/default/vcs.d/simv/riscv_load_store_test_96 +vcs_results/default/vcs.d/simv/riscv_load_store_test_97 +vcs_results/default/vcs.d/simv/riscv_load_store_test_98 +vcs_results/default/vcs.d/simv/riscv_load_store_test_99 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_0 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_1 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_10 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_11 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_12 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_13 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_14 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_15 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_16 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_17 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_18 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_19 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_2 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_20 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_21 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_22 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_23 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_24 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_25 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_26 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_27 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_28 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_29 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_3 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_30 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_31 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_32 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_33 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_34 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_35 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_36 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_37 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_38 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_39 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_4 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_40 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_41 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_42 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_43 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_44 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_45 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_46 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_47 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_48 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_49 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_5 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_50 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_51 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_52 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_53 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_54 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_55 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_56 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_57 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_58 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_59 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_6 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_60 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_61 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_62 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_63 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_64 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_65 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_66 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_67 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_68 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_69 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_7 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_70 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_71 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_72 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_73 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_74 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_75 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_76 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_77 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_78 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_79 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_8 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_80 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_81 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_82 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_83 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_84 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_85 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_86 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_87 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_88 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_89 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_9 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_90 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_91 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_92 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_93 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_94 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_95 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_96 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_97 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_98 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_99 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_50 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_51 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_52 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_53 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_54 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_55 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_56 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_57 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_58 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_59 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_60 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_61 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_62 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_63 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_64 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_65 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_66 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_67 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_68 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_69 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_70 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_71 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_72 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_73 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_74 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_50 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_51 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_52 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_53 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_54 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_55 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_56 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_57 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_58 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_59 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_60 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_61 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_62 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_63 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_64 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_65 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_66 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_67 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_68 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_69 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_70 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_71 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_72 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_73 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_74 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_9 +vcs_results/default/vcs.d/simv/csr_counters_overflow +vcs_results/default/vcs.d/simv/csr_test +vcs_results/default/vcs.d/simv/csrrw_ro_test +vcs_results/default/vcs.d/simv/csrrw_unmapped_test +vcs_results/default/vcs.d/simv/pmp_test +vcs_results/default/vcs.d/simv/auipc_zero_test +vcs_results/default/vcs.d/simv/branch_to_zero +vcs_results/default/vcs.d/simv/csr_hazard +vcs_results/default/vcs.d/simv/csr_hazard2 +vcs_results/default/vcs.d/simv/csr_hazard3 +vcs_results/default/vcs.d/simv/illegal_isa +vcs_results/default/vcs.d/simv/isa_test +vcs_results/default/vcs.d/simv/jump_test +vcs_results/default/vcs.d/simv/load_reg_hazard +vcs_results/default/vcs.d/simv/load_store_test +vcs_results/default/vcs.d/simv/seq_hazard +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_99 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_9 + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/asserts.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/asserts.txt new file mode 100644 index 00000000..279b49ca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/asserts.txt @@ -0,0 +1,143 @@ +Assertions + + +Assertions by Category + ASSERT PROPERTIES SEQUENCES +Total 0 109 0 +Category 0 0 109 0 + +------------------------------------------------------------------------------- + +Assertions by Severity + ASSERT PROPERTIES SEQUENCES +Total 0 109 0 +Severity 0 0 109 0 + +------------------------------------------------------------------------------- + +Summary for Cover Properties + NUMBER PERCENT +Total Number 109 100.00 +Uncovered 37 33.94 +Matches 72 66.06 + +------------------------------------------------------------------------------- + +Detail Report for Cover Properties + +Cover Properties Uncovered: + COVER PROPERTIES CATEGORY SEVERITY ATTEMPTS MATCHES INCOMPLETE +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_gnt_assert_no_req 0 0 51202068 0 703 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_gnt_deassert_no_req 0 0 51202068 0 17 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid 0 0 51202068 0 1469 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_gnt_assert_no_req 0 0 51202068 0 2070 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_gnt_deassert_no_req 0 0 51202068 0 283 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid 0 0 51202068 0 2353 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_gnt_assert_no_req 0 0 51202068 0 1717 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_gnt_deassert_no_req 0 0 51202068 0 285 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid 0 0 51202068 0 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid 0 0 51202068 0 1942 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable 0 0 51202068 0 0 + +Cover Properties Matches: + COVER PROPERTIES CATEGORY SEVERITY ATTEMPTS MATCHES INCOMPLETE +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue 0 0 51202068 92906 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle 0 0 51202068 92906 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr 0 0 51202068 164164 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req 0 0 51202068 33794 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit 0 0 51202068 59255 33651 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end 0 0 51202068 59255 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue 0 0 51202068 55459 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp 0 0 51202068 29335 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs 0 0 51202068 55423 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid 0 0 51202068 55459 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs 0 0 51202068 55437 0 +uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid 0 0 51202068 55459 0 +uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_m_ext_taken 0 0 51202068 129782 0 +uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_m_timer_taken 0 0 51202068 73800 0 +uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_priority 0 0 51202068 85719 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_addr_be_consistent 0 0 51202068 24114634 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_addr_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_contiguous 0 0 51202068 24112278 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_not_zero 0 0 51202068 24112278 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_r_after_a 0 0 51202068 15871660 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_req_until_gnt 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_wdata_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_we_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn 0 0 51202068 24112278 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid 0 0 51202068 15871660 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable 0 0 51202068 8238280 905 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_addr_be_consistent 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_addr_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_contiguous 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_not_zero 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_r_after_a 0 0 51202068 1384478 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_req_until_gnt 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_wdata_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_we_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn 0 0 51202068 2376883 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid 0 0 51202068 1384478 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable 0 0 51202068 992402 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_addr_be_consistent 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_addr_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_contiguous 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_not_zero 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_r_after_a 0 0 51202068 1406193 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_req_until_gnt 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_wdata_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_we_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn 0 0 51202068 2059374 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt 0 0 51202068 651997 250 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid 0 0 51202068 1406193 0 +uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable 0 0 51202068 651997 250 + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/dashboard.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/dashboard.txt new file mode 100644 index 00000000..0a53a924 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/dashboard.txt @@ -0,0 +1,39 @@ +Dashboard + +Date: Fri Apr 18 07:04:36 2025 + +User: runner_riscv-unsecure + +Version: W-2024.09-SP1-1 +Command line: urg -warn none -hvp_proj cva6_embedded -format both -group instcov_for_score -hvp_attributes weight+description+Comment -dir vcs_results/default/vcs.d/simv.vdb -plan cva6.hvp -tgl portsonly +Number of tests: 2356 + +------------------------------------------------------------------------------- +Scores for Verification Plan +SCORE LINE COND ASSERT GROUP NAME + 97.02 99.79 98.39 92.31 97.58 CVA6 Verification Master Plan + + +------------------------------------------------------------------------------- +Total Coverage Summary +SCORE LINE COND ASSERT GROUP + 90.77 99.79 98.39 66.06 98.85 + + +------------------------------------------------------------------------------- +Hierarchical coverage data for top-level instances +SCORE LINE COND ASSERT NAME + 88.08 99.79 98.39 66.06 uvmt_cva6_tb + + +------------------------------------------------------------------------------- +Total Module Definition Coverage Summary +SCORE LINE COND ASSERT + 90.31 99.78 98.81 72.34 + + +------------------------------------------------------------------------------- +Total Groups Coverage Summary +SCORE INST SCORE WEIGHT + 98.85 98.85 1 + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt new file mode 100644 index 00000000..c8d98f47 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR2 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR2: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt new file mode 100644 index 00000000..40eba423 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt new file mode 100644 index 00000000..2efeba4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt new file mode 100644 index 00000000..ea24b6e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt new file mode 100644 index 00000000..c3a69bca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt new file mode 100644 index 00000000..1fd5bcfc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt new file mode 100644 index 00000000..859af444 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt new file mode 100644 index 00000000..478f0ce1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt new file mode 100644 index 00000000..27ebfb4d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt new file mode 100644 index 00000000..0a28d24a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt new file mode 100644 index 00000000..9db911cc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt new file mode 100644 index 00000000..e23f4ed9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt new file mode 100644 index 00000000..2e4c848e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt new file mode 100644 index 00000000..8b35de8e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt new file mode 100644 index 00000000..08f3dbbb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt new file mode 100644 index 00000000..45ba7e60 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR16 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR16: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt new file mode 100644 index 00000000..7b730726 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR17 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR17: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt new file mode 100644 index 00000000..8f637b5d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR18 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR18: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt new file mode 100644 index 00000000..b7cdba23 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR19 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR19: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt new file mode 100644 index 00000000..2a813520 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LHU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LHU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lhu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lhu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt new file mode 100644 index 00000000..376471ab --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR20 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR20: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt new file mode 100644 index 00000000..aa269ae5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR21 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR21: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt new file mode 100644 index 00000000..3e813383 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.txt @@ -0,0 +1,70 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.46 -- -- -- 99.46 + +Attribute/Annotation values: + description: I extension + Comment: + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 ADD +100.00 -- -- -- 100.00 ADDI +100.00 -- -- -- 100.00 AND +100.00 -- -- -- 100.00 ANDI +100.00 -- -- -- 100.00 AUIPC +100.00 -- -- -- 100.00 BEQ +100.00 -- -- -- 100.00 BGE +100.00 -- -- -- 100.00 BGEU +100.00 -- -- -- 100.00 BLT +100.00 -- -- -- 100.00 BLTU +100.00 -- -- -- 100.00 BNE +100.00 -- -- -- 100.00 EBREAK +100.00 -- -- -- 100.00 ECALL +100.00 -- -- -- 100.00 FENCE + 85.42 -- -- -- 85.42 JAL + 92.03 -- -- -- 92.03 JALR +100.00 -- -- -- 100.00 LB +100.00 -- -- -- 100.00 LBU +100.00 -- -- -- 100.00 LH +100.00 -- -- -- 100.00 LHU +100.00 -- -- -- 100.00 LUI +100.00 -- -- -- 100.00 LW +100.00 -- -- -- 100.00 MRET +100.00 -- -- -- 100.00 OR +100.00 -- -- -- 100.00 ORI +100.00 -- -- -- 100.00 SB +100.00 -- -- -- 100.00 SH +100.00 -- -- -- 100.00 SLL +100.00 -- -- -- 100.00 SLLI +100.00 -- -- -- 100.00 SLT +100.00 -- -- -- 100.00 SLTI +100.00 -- -- -- 100.00 SLTIU +100.00 -- -- -- 100.00 SLTU +100.00 -- -- -- 100.00 SRA +100.00 -- -- -- 100.00 SRAI +100.00 -- -- -- 100.00 SRL +100.00 -- -- -- 100.00 SRLI +100.00 -- -- -- 100.00 SUB +100.00 -- -- -- 100.00 SW +100.00 -- -- -- 100.00 WFI +100.00 -- -- -- 100.00 XOR +100.00 -- -- -- 100.00 XORI + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt new file mode 100644 index 00000000..ed41fdb8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR22 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR22: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt new file mode 100644 index 00000000..f7c21237 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR23 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR23: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt new file mode 100644 index 00000000..23291d97 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR24 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR24: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt new file mode 100644 index 00000000..208eb32b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR25 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR25: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt new file mode 100644 index 00000000..2d99628d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR26 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR26: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt new file mode 100644 index 00000000..7c06d0eb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR27 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR27: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt new file mode 100644 index 00000000..0d6c4eb5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR28 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR28: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt new file mode 100644 index 00000000..bbe8040f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR29 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR29: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt new file mode 100644 index 00000000..f5360869 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LUI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LUI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lui_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lui_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt new file mode 100644 index 00000000..1b4732b9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR30 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR30: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt new file mode 100644 index 00000000..65d5f098 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR31 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR31: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt new file mode 100644 index 00000000..0799cf8d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR32 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR32: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt new file mode 100644 index 00000000..6462114b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR33 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR33: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt new file mode 100644 index 00000000..a557b8d5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR34 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR34: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt new file mode 100644 index 00000000..fc368f96 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR35 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR35: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt new file mode 100644 index 00000000..44acd64f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR36 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR36: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt new file mode 100644 index 00000000..6f8071a3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR37 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR37: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt new file mode 100644 index 00000000..92f57cec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR38 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR38: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt new file mode 100644 index 00000000..e8018d67 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR39 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR39: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt new file mode 100644 index 00000000..ca727ab7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LW +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lw_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt new file mode 100644 index 00000000..2e19df2b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR40 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR40: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt new file mode 100644 index 00000000..52871eaa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR41 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR41: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt new file mode 100644 index 00000000..3fd862a3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR42 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR42: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt new file mode 100644 index 00000000..068eee0f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR43 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR43: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt new file mode 100644 index 00000000..99a3f402 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR44 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR44: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt new file mode 100644 index 00000000..6c3a1f5c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR45 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR45: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt new file mode 100644 index 00000000..0c6f3d78 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR46 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR46: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt new file mode 100644 index 00000000..af876130 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR47 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR47: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt new file mode 100644 index 00000000..60ae8790 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR48 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR48: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt new file mode 100644 index 00000000..223db224 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR49 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR49: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt new file mode 100644 index 00000000..a59153bd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.MRET +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MRET: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_mret_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_mret_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt new file mode 100644 index 00000000..8922a8d6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR50 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR50: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt new file mode 100644 index 00000000..45f98ec5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR51 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR51: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt new file mode 100644 index 00000000..b02d44bb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR52 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR52: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt new file mode 100644 index 00000000..967b5442 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR53 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR53: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt new file mode 100644 index 00000000..382e4b0d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR54 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR54: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt new file mode 100644 index 00000000..e87f5edd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR55 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR55: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt new file mode 100644 index 00000000..3c1c1bce --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR56 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR56: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt new file mode 100644 index 00000000..0243a6b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR57 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR57: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt new file mode 100644 index 00000000..ab343d1f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR58 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR58: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt new file mode 100644 index 00000000..ed75fd7b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR59 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR59: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt new file mode 100644 index 00000000..330d79a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.OR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure OR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_or_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_or_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt new file mode 100644 index 00000000..af261a50 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR60 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR60: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt new file mode 100644 index 00000000..0c7322b1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR61 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR61: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt new file mode 100644 index 00000000..fb2b9d2b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR62 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR62: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt new file mode 100644 index 00000000..d9a4f553 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR63 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR63: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt new file mode 100644 index 00000000..fd92f3b7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCYCLE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCYCLE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt new file mode 100644 index 00000000..9277803c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MINSTRET +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MINSTRET: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.minstret.minstret__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstret.minstret__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.minstret.minstret__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstret.minstret__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt new file mode 100644 index 00000000..73af88e3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt new file mode 100644 index 00000000..8518022c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt new file mode 100644 index 00000000..03531f2a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt new file mode 100644 index 00000000..2d8b790a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt new file mode 100644 index 00000000..b1100694 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ORI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ORI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ori_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ori_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt new file mode 100644 index 00000000..3ddf3016 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt new file mode 100644 index 00000000..15df72e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt new file mode 100644 index 00000000..7e862a80 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt new file mode 100644 index 00000000..16915909 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt new file mode 100644 index 00000000..f30b72ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt new file mode 100644 index 00000000..ffc13220 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt new file mode 100644 index 00000000..ac09846d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt new file mode 100644 index 00000000..fa64d191 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt new file mode 100644 index 00000000..43fe684e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt new file mode 100644 index 00000000..f748d938 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER16 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER16: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt new file mode 100644 index 00000000..c12fa7d9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sb_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sb_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt new file mode 100644 index 00000000..04df83d2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER17 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER17: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt new file mode 100644 index 00000000..f77c5f56 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER18 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER18: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt new file mode 100644 index 00000000..f9fc8393 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt new file mode 100644 index 00000000..6b74f965 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER19 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER19: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt new file mode 100644 index 00000000..53ea7f98 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER20 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER20: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt new file mode 100644 index 00000000..930adf1b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER21 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER21: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt new file mode 100644 index 00000000..465a2846 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER22 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER22: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt new file mode 100644 index 00000000..f57fc6d3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER23 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER23: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt new file mode 100644 index 00000000..3f660a0a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER24 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER24: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt new file mode 100644 index 00000000..ccee933a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER25 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER25: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt new file mode 100644 index 00000000..74f66c83 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER26 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER26: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt new file mode 100644 index 00000000..fab94686 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt new file mode 100644 index 00000000..394f525f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER27 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER27: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt new file mode 100644 index 00000000..0716a01b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER28 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER28: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt new file mode 100644 index 00000000..fd59d520 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER29 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER29: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt new file mode 100644 index 00000000..1d0dd32e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER30 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER30: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt new file mode 100644 index 00000000..4aa48d60 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER31 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER31: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt new file mode 100644 index 00000000..547baa34 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCYCLEH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCYCLEH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt new file mode 100644 index 00000000..ee4a9284 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MINSTRETH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MINSTRETH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt new file mode 100644 index 00000000..96d4abd7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER3H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER3H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt new file mode 100644 index 00000000..0df16a28 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER4H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER4H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt new file mode 100644 index 00000000..f444e05a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER5H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER5H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt new file mode 100644 index 00000000..51fc6aa2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sll_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sll_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt new file mode 100644 index 00000000..ac97c81e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER6H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER6H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt new file mode 100644 index 00000000..41f31917 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER7H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER7H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt new file mode 100644 index 00000000..fbb9ca71 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER8H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER8H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt new file mode 100644 index 00000000..918b499a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER9H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER9H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt new file mode 100644 index 00000000..ba184646 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER10H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER10H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt new file mode 100644 index 00000000..2d289faa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER11H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER11H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt new file mode 100644 index 00000000..274c7781 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER12H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER12H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt new file mode 100644 index 00000000..ce7e2e12 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER13H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER13H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt new file mode 100644 index 00000000..bd262490 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER14H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER14H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt new file mode 100644 index 00000000..c5758a1a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER15H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER15H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt new file mode 100644 index 00000000..9c6c26c7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt new file mode 100644 index 00000000..17a54daf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER16H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER16H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt new file mode 100644 index 00000000..04384a66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER17H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER17H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt new file mode 100644 index 00000000..07d9895c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER18H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER18H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt new file mode 100644 index 00000000..1d97b73b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER19H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER19H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt new file mode 100644 index 00000000..74dfa121 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER20H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER20H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt new file mode 100644 index 00000000..2fd32d6f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER21H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER21H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt new file mode 100644 index 00000000..92dd7b4d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER22H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER22H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt new file mode 100644 index 00000000..e4310d28 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER23H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER23H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt new file mode 100644 index 00000000..0ab0ae91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER24H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER24H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt new file mode 100644 index 00000000..c49c0bde --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER25H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER25H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt new file mode 100644 index 00000000..44d1f843 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slt_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slt_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt new file mode 100644 index 00000000..004eb894 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER26H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER26H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt new file mode 100644 index 00000000..2a4cea5b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER27H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER27H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt new file mode 100644 index 00000000..b1f4c299 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER28H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER28H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt new file mode 100644 index 00000000..a570cbc3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER29H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER29H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt new file mode 100644 index 00000000..2bcd02fd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER30H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER30H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt new file mode 100644 index 00000000..893b7815 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER31H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMCOUNTER31H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt new file mode 100644 index 00000000..11b41e18 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MVENDORID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MVENDORID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mvendorid.mvendorid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mvendorid.mvendorid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt new file mode 100644 index 00000000..f6f851b4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MARCHID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MARCHID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.marchid.marchid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.marchid.marchid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt new file mode 100644 index 00000000..2cf83139 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIMPID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIMPID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mimpid.mimpid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mimpid.mimpid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt new file mode 100644 index 00000000..47cfcb4e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHARTID +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHARTID: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhartid.mhartid__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhartid.mhartid__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt new file mode 100644 index 00000000..2ed16448 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLTI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slti_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slti_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt new file mode 100644 index 00000000..1d91f60e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCONFIGPTR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCONFIGPTR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mconfigptr.mconfigptr__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mconfigptr.mconfigptr__read_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt new file mode 100644 index 00000000..32728d3a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.57 -- -- -- 99.57 + +Attribute/Annotation values: + description: + Interrupts and Exceptions. + Specification: Done, Dvplan: Done, Verification execution: Done. + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 99.15 -- -- -- 99.15 Interrupts +100.00 -- -- -- 100.00 Exceptions + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt new file mode 100644 index 00000000..9d9acdf6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs.Interrupts +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.15 -- -- -- 99.15 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.15 -- -- -- 99.15 + + +------------------------------------------------------------------------------- + +Measure Interrupts: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.15 -- -- -- 99.15 + + +Sources: + +group instance: uvma_interrupt_pkg::cg_interrupt +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_interrupt_pkg.uvma_interrupt_pkg.interrupt_cg + + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.interrupt_cg +SCORE LINE COND ASSERT GROUP NAME + 98.29 -- -- -- 98.29 uvme_cva6_pkg.uvme_cva6_pkg.interrupt_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt new file mode 100644 index 00000000..6663f864 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs.Exceptions +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Exceptions: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.exception_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.exception_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt new file mode 100644 index 00000000..c64fefa6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.txt @@ -0,0 +1,27 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF +=============================================================================== +SCORE LINE COND ASSERT GROUP + 91.66 -- -- 92.31 91.01 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 87.24 -- -- 92.31 82.18 Protocol + 99.84 -- -- -- 99.84 CV-XIF Instructions + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt new file mode 100644 index 00000000..60232e47 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.txt @@ -0,0 +1,110 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.Protocol +=============================================================================== +SCORE LINE COND ASSERT GROUP + 87.24 -- -- 92.31 82.18 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 87.24 -- -- 92.31 82.18 + + +------------------------------------------------------------------------------- + +Measure Protocol: + +Metrics: Group, Assert +SCORE LINE COND ASSERT GROUP + 87.24 -- -- 92.31 82.18 + + +Sources: + +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.request_cg +SCORE LINE COND ASSERT GROUP NAME + 69.44 -- -- -- 69.44 uvma_cvxif_pkg.uvma_cvxif_pkg.request_cg + + +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.response_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_cvxif_pkg.uvma_cvxif_pkg.response_cg + + +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.result_cg +SCORE LINE COND ASSERT GROUP NAME + 77.08 -- -- -- 77.08 uvma_cvxif_pkg.uvma_cvxif_pkg.result_cg + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable +SCORE LINE COND ASSERT GROUP NAME + 0.00 -- -- 0.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs + + +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt new file mode 100644 index 00000000..4e05a29a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.txt @@ -0,0 +1,36 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.84 -- -- -- 99.84 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 SEQUENCE + 99.92 -- -- -- 99.92 CUS_CADD + 99.85 -- -- -- 99.85 CUS_ADD + 99.78 -- -- -- 99.78 CUS_ADD_MULTI + 99.79 -- -- -- 99.79 CUS_DOUBLE_RS1 + 99.78 -- -- -- 99.78 CUS_DOUBLE_RS2 + 99.79 -- -- -- 99.79 CUS_ADD_RS3_MADD + 99.73 -- -- -- 99.73 CUS_ADD_RS3_MSUB + 99.79 -- -- -- 99.79 CUS_ADD_RS3_NMADD + 99.80 -- -- -- 99.80 CUS_ADD_RS3_NMSUB +100.00 -- -- -- 100.00 CUS_ADD_RS3_RTYPE + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt new file mode 100644 index 00000000..db28bd8f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.SEQUENCE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEQ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_cvxif_seq_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.cus_cvxif_seq_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt new file mode 100644 index 00000000..11b678c0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_CADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.92 -- -- -- 99.92 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.92 -- -- -- 99.92 + + +------------------------------------------------------------------------------- + +Measure CUS_CADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.92 -- -- -- 99.92 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_cadd_cg +SCORE LINE COND ASSERT GROUP NAME + 99.92 -- -- -- 99.92 uvme_cva6_pkg.uvme_cva6_pkg.cus_cadd_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt new file mode 100644 index 00000000..42e2bc8a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.85 -- -- -- 99.85 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.85 -- -- -- 99.85 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.85 -- -- -- 99.85 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_cg +SCORE LINE COND ASSERT GROUP NAME + 99.85 -- -- -- 99.85 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt new file mode 100644 index 00000000..78b7e52a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_MULTI +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_MULTI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_multi_cg +SCORE LINE COND ASSERT GROUP NAME + 99.78 -- -- -- 99.78 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_multi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt new file mode 100644 index 00000000..2892eeaf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_DOUBLE_RS1 +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure CUS_DOUBLE_RS1: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs1_cg +SCORE LINE COND ASSERT GROUP NAME + 99.79 -- -- -- 99.79 uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs1_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt new file mode 100644 index 00000000..ca703434 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTIU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLTIU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltiu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltiu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt new file mode 100644 index 00000000..4579145e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_DOUBLE_RS2 +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +------------------------------------------------------------------------------- + +Measure CUS_DOUBLE_RS2: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.78 -- -- -- 99.78 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs2_cg +SCORE LINE COND ASSERT GROUP NAME + 99.78 -- -- -- 99.78 uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs2_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt new file mode 100644 index 00000000..1824c9ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_MADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_MADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_madd_cg +SCORE LINE COND ASSERT GROUP NAME + 99.79 -- -- -- 99.79 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_madd_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt new file mode 100644 index 00000000..7a8afb20 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_MSUB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.73 -- -- -- 99.73 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.73 -- -- -- 99.73 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_MSUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.73 -- -- -- 99.73 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_msub_cg +SCORE LINE COND ASSERT GROUP NAME + 99.73 -- -- -- 99.73 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_msub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt new file mode 100644 index 00000000..9ef79110 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_NMADD +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_NMADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.79 -- -- -- 99.79 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmadd_cg +SCORE LINE COND ASSERT GROUP NAME + 99.79 -- -- -- 99.79 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt new file mode 100644 index 00000000..76da8e0f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_NMSUB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_NMSUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmsub_cg +SCORE LINE COND ASSERT GROUP NAME + 99.80 -- -- -- 99.80 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt new file mode 100644 index 00000000..d10938a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_RTYPE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CUS_ADD_RS3_RTYPE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_rtype_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_rtype_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt new file mode 100644 index 00000000..b2c77b33 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Code coverage +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.09 99.79 98.39 -- -- + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.09 99.79 98.39 -- -- + + +------------------------------------------------------------------------------- + +Measure CV32A60X: + +Metrics: Line, Cond +SCORE LINE COND ASSERT GROUP + 99.09 99.79 98.39 -- -- + + +Sources: + +tree: uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline +SCORE LINE COND ASSERT GROUP NAME + 99.09 99.79 98.39 -- -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt new file mode 100644 index 00000000..93708db7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLTU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt new file mode 100644 index 00000000..b8f90d86 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRA +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRA: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sra_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sra_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt new file mode 100644 index 00000000..4bcbf25f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRAI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRAI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srai_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srai_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt new file mode 100644 index 00000000..d6092e3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srl_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srl_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt new file mode 100644 index 00000000..382fec08 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ADDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_addi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_addi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt new file mode 100644 index 00000000..a3b94da8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt new file mode 100644 index 00000000..0cb45360 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SUB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sub_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt new file mode 100644 index 00000000..1456762f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SW +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sw_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt new file mode 100644 index 00000000..2b3b3af9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.WFI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure WFI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_wfi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_wfi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt new file mode 100644 index 00000000..9243343d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.XOR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XOR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xor_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xor_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt new file mode 100644 index 00000000..f6f45ef6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.XORI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XORI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xori_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xori_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt new file mode 100644 index 00000000..85734bc7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.txt @@ -0,0 +1,39 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + description: M extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 DIV +100.00 -- -- -- 100.00 DIV_RESULTS +100.00 -- -- -- 100.00 DIVU +100.00 -- -- -- 100.00 DIVU_RESULTS +100.00 -- -- -- 100.00 MUL +100.00 -- -- -- 100.00 MULH +100.00 -- -- -- 100.00 MULHSU +100.00 -- -- -- 100.00 MULHU +100.00 -- -- -- 100.00 REM +100.00 -- -- -- 100.00 REM_RESULTS +100.00 -- -- -- 100.00 REMU +100.00 -- -- -- 100.00 REMU_RESULTS + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt new file mode 100644 index 00000000..1e2b537f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIV +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIV: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt new file mode 100644 index 00000000..463ec88b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIV_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIV_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt new file mode 100644 index 00000000..9c9833e4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIVU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIVU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt new file mode 100644 index 00000000..adedc3bb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.AND +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure AND: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_and_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_and_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt new file mode 100644 index 00000000..45c119ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIVU_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure DIVU_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt new file mode 100644 index 00000000..331518e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MUL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MUL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mul_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mul_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt new file mode 100644 index 00000000..90d2e065 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MULH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt new file mode 100644 index 00000000..a7e165e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULHSU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MULHSU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhsu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhsu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt new file mode 100644 index 00000000..e710a355 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULHU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MULHU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt new file mode 100644 index 00000000..4cf2fcae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REM +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REM: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt new file mode 100644 index 00000000..a96eb8de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REM_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REM_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt new file mode 100644 index 00000000..665727a2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REMU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REMU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt new file mode 100644 index 00000000..20f1cc16 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REMU_RESULTS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REMU_RESULTS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_results_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_results_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt new file mode 100644 index 00000000..2524a225 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.txt @@ -0,0 +1,54 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.97 -- -- -- 99.97 + +Attribute/Annotation values: + description: C extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 ADD +100.00 -- -- -- 100.00 ADDI4SPN +100.00 -- -- -- 100.00 ADDI16SP +100.00 -- -- -- 100.00 ADDI +100.00 -- -- -- 100.00 AND +100.00 -- -- -- 100.00 ANDI +100.00 -- -- -- 100.00 BEQZ +100.00 -- -- -- 100.00 BNEZ +100.00 -- -- -- 100.00 EBREAK +100.00 -- -- -- 100.00 J +100.00 -- -- -- 100.00 JAL +100.00 -- -- -- 100.00 JALR +100.00 -- -- -- 100.00 JR +100.00 -- -- -- 100.00 LI +100.00 -- -- -- 100.00 LUI + 99.55 -- -- -- 99.55 LW +100.00 -- -- -- 100.00 LWSP +100.00 -- -- -- 100.00 MV +100.00 -- -- -- 100.00 NOP +100.00 -- -- -- 100.00 OR +100.00 -- -- -- 100.00 SLLI +100.00 -- -- -- 100.00 SRAI +100.00 -- -- -- 100.00 SRLI +100.00 -- -- -- 100.00 SUB + 99.61 -- -- -- 99.61 SW +100.00 -- -- -- 100.00 SWSP +100.00 -- -- -- 100.00 XOR + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt new file mode 100644 index 00000000..59aca273 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ANDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ANDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_andi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_andi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt new file mode 100644 index 00000000..37aa2797 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt new file mode 100644 index 00000000..d14d449b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI4SPN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI4SPN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi4spn_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi4spn_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt new file mode 100644 index 00000000..05b77689 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI16SP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI16SP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi16sp_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi16sp_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt new file mode 100644 index 00000000..2ccc3e68 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ADDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt new file mode 100644 index 00000000..a96a6ea8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.AND +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure AND: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_and_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_and_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt new file mode 100644 index 00000000..96c5a2ee --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ANDI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ANDI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_andi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_andi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt new file mode 100644 index 00000000..c18bcaca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.BEQZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEQZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_beqz_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_beqz_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt new file mode 100644 index 00000000..eeb3414b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.BNEZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BNEZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_bnez_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_bnez_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt new file mode 100644 index 00000000..621584ae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.EBREAK +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure EBREAK: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_ebreak_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_ebreak_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt new file mode 100644 index 00000000..d084cb38 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.J +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure J: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_j_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_j_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt new file mode 100644 index 00000000..b3435b0a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.AUIPC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure AUIPC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_auipc_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_auipc_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt new file mode 100644 index 00000000..92af10a7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JAL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure JAL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jal_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jal_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt new file mode 100644 index 00000000..f940951b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JALR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure JALR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jalr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jalr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt new file mode 100644 index 00000000..9d9ed1cf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure JR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt new file mode 100644 index 00000000..c66d791d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_li_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_li_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt new file mode 100644 index 00000000..f369618a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LUI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LUI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lui_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lui_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt new file mode 100644 index 00000000..0cf3638e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LW +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.55 -- -- -- 99.55 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.55 -- -- -- 99.55 + + +------------------------------------------------------------------------------- + +Measure LW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.55 -- -- -- 99.55 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lw_cg +SCORE LINE COND ASSERT GROUP NAME + 99.55 -- -- -- 99.55 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt new file mode 100644 index 00000000..9269ce20 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LWSP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LWSP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lwsp_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lwsp_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt new file mode 100644 index 00000000..ee9b202d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.MV +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MV: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_mv_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_mv_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt new file mode 100644 index 00000000..672102f2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.NOP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure NOP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_nop_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_nop_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt new file mode 100644 index 00000000..657508c1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.OR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure OR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_or_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_or_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt new file mode 100644 index 00000000..1959df35 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BEQ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEQ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_beq_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_beq_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt new file mode 100644 index 00000000..d1f9e537 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SLLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SLLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_slli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_slli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt new file mode 100644 index 00000000..5665094a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SRAI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRAI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srai_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srai_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt new file mode 100644 index 00000000..3c78531c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SRLI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SRLI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srli_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srli_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt new file mode 100644 index 00000000..c7dbbd01 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SUB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SUB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sub_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sub_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt new file mode 100644 index 00000000..298960da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SW +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.61 -- -- -- 99.61 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.61 -- -- -- 99.61 + + +------------------------------------------------------------------------------- + +Measure SW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.61 -- -- -- 99.61 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sw_cg +SCORE LINE COND ASSERT GROUP NAME + 99.61 -- -- -- 99.61 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt new file mode 100644 index 00000000..f31ec65f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SWSP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SWSP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_swsp_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_swsp_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt new file mode 100644 index 00000000..448ef86b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.XOR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XOR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_xor_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32c_xor_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt new file mode 100644 index 00000000..593a2441 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.txt @@ -0,0 +1,33 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + description: ZICSR extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 CSRRC +100.00 -- -- -- 100.00 CSRRCI +100.00 -- -- -- 100.00 CSRRS +100.00 -- -- -- 100.00 CSRRSI +100.00 -- -- -- 100.00 CSRRW +100.00 -- -- -- 100.00 CSRRWI + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt new file mode 100644 index 00000000..c7d3ac68 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrc_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrc_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt new file mode 100644 index 00000000..f59652b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRCI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRCI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrci_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrci_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt new file mode 100644 index 00000000..40fba108 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrs_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrs_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt new file mode 100644 index 00000000..da64b075 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BGE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BGE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bge_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bge_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt new file mode 100644 index 00000000..cb0ef6e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRSI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRSI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrsi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt new file mode 100644 index 00000000..2b22715d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRW +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRW: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrw_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrw_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt new file mode 100644 index 00000000..95ae00e2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRWI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CSRRWI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrwi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt new file mode 100644 index 00000000..68953875 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.txt @@ -0,0 +1,39 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.95 -- -- -- 99.95 + +Attribute/Annotation values: + weight: 1 + description: ZCB extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 MUL +100.00 -- -- -- 100.00 ZEXT_B +100.00 -- -- -- 100.00 ZEXT_H +100.00 -- -- -- 100.00 SEXT_B +100.00 -- -- -- 100.00 SEXT_H +100.00 -- -- -- 100.00 NOT +100.00 -- -- -- 100.00 SB + 99.80 -- -- -- 99.80 SH +100.00 -- -- -- 100.00 LBU + 99.83 -- -- -- 99.83 LHU + 99.83 -- -- -- 99.83 LH + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt new file mode 100644 index 00000000..4582a194 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.MUL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MUL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_mul_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_mul_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt new file mode 100644 index 00000000..26e0edcf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.ZEXT_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZEXT_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt new file mode 100644 index 00000000..75d057c3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.ZEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt new file mode 100644 index 00000000..07d3d6fd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SEXT_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt new file mode 100644 index 00000000..95bb786c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt new file mode 100644 index 00000000..ff6e6fac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.NOT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure NOT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_not_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_not_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt new file mode 100644 index 00000000..a1e0a9ed --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BGEU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BGEU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bgeu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bgeu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt new file mode 100644 index 00000000..5b6bbfed --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sb_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sb_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt new file mode 100644 index 00000000..c36232f3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SH +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +------------------------------------------------------------------------------- + +Measure SH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.80 -- -- -- 99.80 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sh_cg +SCORE LINE COND ASSERT GROUP NAME + 99.80 -- -- -- 99.80 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt new file mode 100644 index 00000000..5df56b3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LBU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LBU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lbu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lbu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt new file mode 100644 index 00000000..d7ba9f2e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LHU +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure LHU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lhu_cg +SCORE LINE COND ASSERT GROUP NAME + 99.83 -- -- -- 99.83 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lhu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt new file mode 100644 index 00000000..f5eb6ddc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LH +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +------------------------------------------------------------------------------- + +Measure LH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 99.83 -- -- -- 99.83 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lh_cg +SCORE LINE COND ASSERT GROUP NAME + 99.83 -- -- -- 99.83 uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt new file mode 100644 index 00000000..c852dbf5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.67 -- -- -- 99.67 + +Attribute/Annotation values: + description: Bitmanip extension + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 RV32ZBA +100.00 -- -- -- 100.00 RV32ZBB +100.00 -- -- -- 100.00 RV32ZBC + 98.70 -- -- -- 98.70 RV32ZBS + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt new file mode 100644 index 00000000..5cf8cb1d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.txt @@ -0,0 +1,28 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 SH1ADD +100.00 -- -- -- 100.00 SH2ADD +100.00 -- -- -- 100.00 SH3ADD + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt new file mode 100644 index 00000000..1a2dc2f9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH1ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH1ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh1add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh1add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt new file mode 100644 index 00000000..caf4d807 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH2ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH2ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh2add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh2add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt new file mode 100644 index 00000000..4c263a3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH3ADD +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SH3ADD: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh3add_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh3add_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt new file mode 100644 index 00000000..9bc0318f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.txt @@ -0,0 +1,43 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 ANDN +100.00 -- -- -- 100.00 MAX +100.00 -- -- -- 100.00 MAXU +100.00 -- -- -- 100.00 MIN +100.00 -- -- -- 100.00 MINU +100.00 -- -- -- 100.00 ORN +100.00 -- -- -- 100.00 ROL +100.00 -- -- -- 100.00 ROR +100.00 -- -- -- 100.00 XNOR +100.00 -- -- -- 100.00 RORI +100.00 -- -- -- 100.00 CLZ +100.00 -- -- -- 100.00 CPOP +100.00 -- -- -- 100.00 CTZ +100.00 -- -- -- 100.00 ORC_B +100.00 -- -- -- 100.00 REV8 +100.00 -- -- -- 100.00 SEXT_B +100.00 -- -- -- 100.00 SEXT_H +100.00 -- -- -- 100.00 ZEXT_H + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt new file mode 100644 index 00000000..5e604f04 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ANDN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ANDN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_andn_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_andn_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt new file mode 100644 index 00000000..a3cffd1e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BLT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BLT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_blt_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_blt_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt new file mode 100644 index 00000000..2c128547 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MAX +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MAX: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_max_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_max_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt new file mode 100644 index 00000000..67755423 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MAXU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MAXU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_maxu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_maxu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt new file mode 100644 index 00000000..138d0684 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MIN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_min_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_min_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt new file mode 100644 index 00000000..dac982d0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MINU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MINU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_minu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_minu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt new file mode 100644 index 00000000..9b3f4518 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ORN +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ORN: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orn_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orn_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt new file mode 100644 index 00000000..f39cf7b8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ROL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ROL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rol_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rol_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt new file mode 100644 index 00000000..c644b45c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ROR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ROR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ror_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ror_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt new file mode 100644 index 00000000..0df3e42d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.XNOR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure XNOR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_xnor_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_xnor_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt new file mode 100644 index 00000000..e7f9fd15 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.RORI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure RORI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rori_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rori_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt new file mode 100644 index 00000000..10ee0b06 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CLZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_clz_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_clz_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt new file mode 100644 index 00000000..9e9aba84 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BLTU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BLTU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bltu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bltu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt new file mode 100644 index 00000000..2167b4f2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CPOP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CPOP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_cpop_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_cpop_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt new file mode 100644 index 00000000..f3b0491e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CTZ +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CTZ: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ctz_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ctz_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt new file mode 100644 index 00000000..1604fa12 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ORC_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ORC_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orc_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orc_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt new file mode 100644 index 00000000..63b3d354 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.REV8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure REV8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rev8_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rev8_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt new file mode 100644 index 00000000..cd581580 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.SEXT_B +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_B: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_b_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_b_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt new file mode 100644 index 00000000..87a8f016 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.SEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure SEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt new file mode 100644 index 00000000..7f050968 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ZEXT_H +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZEXT_H: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_zext_h_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_zext_h_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt new file mode 100644 index 00000000..3fd1d1e2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.txt @@ -0,0 +1,28 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 CLMUL +100.00 -- -- -- 100.00 CLMULH +100.00 -- -- -- 100.00 CLMULR + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt new file mode 100644 index 00000000..31d887f2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMUL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLMUL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmul_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmul_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt new file mode 100644 index 00000000..b7662270 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMULH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLMULH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulh_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulh_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt new file mode 100644 index 00000000..3782d501 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BNE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BNE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bne_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bne_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt new file mode 100644 index 00000000..28113e70 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMULR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure CLMULR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt new file mode 100644 index 00000000..da9a1b98 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.txt @@ -0,0 +1,33 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS +=============================================================================== +SCORE LINE COND ASSERT GROUP + 98.70 -- -- -- 98.70 + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 BCLR +100.00 -- -- -- 100.00 BCLRI +100.00 -- -- -- 100.00 BINV +100.00 -- -- -- 100.00 BINVI + 95.83 -- -- -- 95.83 BSET + 93.75 -- -- -- 93.75 BSETI +100.00 -- -- -- 100.00 BEXT +100.00 -- -- -- 100.00 BEXTI + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt new file mode 100644 index 00000000..faf5b850 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BCLR +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BCLR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt new file mode 100644 index 00000000..105dc1f4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BCLRI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BCLRI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclri_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclri_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt new file mode 100644 index 00000000..77c4d3b0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BINV +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BINV: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binv_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binv_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt new file mode 100644 index 00000000..1843555e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BINVI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BINVI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binvi_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binvi_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt new file mode 100644 index 00000000..98794e87 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BSET +=============================================================================== +SCORE LINE COND ASSERT GROUP + 95.83 -- -- -- 95.83 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 95.83 -- -- -- 95.83 + + +------------------------------------------------------------------------------- + +Measure BSET: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 95.83 -- -- -- 95.83 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bset_cg +SCORE LINE COND ASSERT GROUP NAME + 95.83 -- -- -- 95.83 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bset_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt new file mode 100644 index 00000000..7fd8568e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BSETI +=============================================================================== +SCORE LINE COND ASSERT GROUP + 93.75 -- -- -- 93.75 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 93.75 -- -- -- 93.75 + + +------------------------------------------------------------------------------- + +Measure BSETI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 93.75 -- -- -- 93.75 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bseti_cg +SCORE LINE COND ASSERT GROUP NAME + 93.75 -- -- -- 93.75 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bseti_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt new file mode 100644 index 00000000..1ac5f21a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BEXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bext_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bext_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt new file mode 100644 index 00000000..4c754e66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.txt @@ -0,0 +1,35 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BEXTI +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure BEXTI: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bexti_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bexti_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt new file mode 100644 index 00000000..be5b4163 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Instructions execution sequences +=============================================================================== +SCORE LINE COND ASSERT GROUP + 98.84 -- -- -- 98.84 + +Attribute/Annotation values: + description: Instructions sequences + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 98.84 -- -- -- 98.84 + + +------------------------------------------------------------------------------- + +Measure Instruction_sequences: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 98.84 -- -- -- 98.84 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rev32_seq_cg +SCORE LINE COND ASSERT GROUP NAME + 98.84 -- -- -- 98.84 uvma_isacov_pkg.uvma_isacov_pkg.rev32_seq_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt new file mode 100644 index 00000000..b10fe617 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.EBREAK +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure EBREAK: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ebreak_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ebreak_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt new file mode 100644 index 00000000..60517b07 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + weight: 1 + Comment: RVFI limitation issue(#1338) + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 I_EXT +100.00 -- -- -- 100.00 M_EXT +100.00 -- -- -- 100.00 ZICSR_EXT + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt new file mode 100644 index 00000000..cf14c045 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.I_EXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: RVFI limitation issue(#1338) + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure I_EXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_i_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.illegal_i_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt new file mode 100644 index 00000000..e68aab53 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.txt @@ -0,0 +1,31 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level +=============================================================================== +SCORE LINE COND ASSERT GROUP + 94.94 -- -- 92.31 97.58 + +Attribute/Annotation values: + description: CVA6 features for programmer view + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 99.74 -- -- -- 99.74 ISA +100.00 -- -- -- 100.00 CSR access + 99.57 -- -- -- 99.57 TRAPs + 91.66 -- -- 92.31 91.01 CV-X-IF + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt new file mode 100644 index 00000000..f77e58da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.M_EXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: RVFI limitation issue(#1338) + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure M_EXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_m_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.illegal_m_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt new file mode 100644 index 00000000..347164cc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.ZICSR_EXT +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: RVFI limitation issue(#1338) + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ZICSR_EXT: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_zicsr_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.uvme_cva6_pkg.illegal_zicsr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt new file mode 100644 index 00000000..73b25b85 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.txt @@ -0,0 +1,215 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + description: + CSR registers access. + Specification: Done, Dvplan: Done, Verification execution: Done + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 MSTATUS +100.00 -- -- -- 100.00 MISA +100.00 -- -- -- 100.00 MIE +100.00 -- -- -- 100.00 MTVEC +100.00 -- -- -- 100.00 MSTATUSH +100.00 -- -- -- 100.00 MHPMEVENT3 +100.00 -- -- -- 100.00 MHPMEVENT4 +100.00 -- -- -- 100.00 MHPMEVENT5 +100.00 -- -- -- 100.00 MHPMEVENT6 +100.00 -- -- -- 100.00 MHPMEVENT7 +100.00 -- -- -- 100.00 MHPMEVENT8 +100.00 -- -- -- 100.00 MHPMEVENT9 +100.00 -- -- -- 100.00 MHPMEVENT10 +100.00 -- -- -- 100.00 MHPMEVENT11 +100.00 -- -- -- 100.00 MHPMEVENT12 +100.00 -- -- -- 100.00 MHPMEVENT13 +100.00 -- -- -- 100.00 MHPMEVENT14 +100.00 -- -- -- 100.00 MHPMEVENT15 +100.00 -- -- -- 100.00 MHPMEVENT16 +100.00 -- -- -- 100.00 MHPMEVENT17 +100.00 -- -- -- 100.00 MHPMEVENT18 +100.00 -- -- -- 100.00 MHPMEVENT19 +100.00 -- -- -- 100.00 MHPMEVENT20 +100.00 -- -- -- 100.00 MHPMEVENT21 +100.00 -- -- -- 100.00 MHPMEVENT22 +100.00 -- -- -- 100.00 MHPMEVENT23 +100.00 -- -- -- 100.00 MHPMEVENT24 +100.00 -- -- -- 100.00 MHPMEVENT25 +100.00 -- -- -- 100.00 MHPMEVENT26 +100.00 -- -- -- 100.00 MHPMEVENT27 +100.00 -- -- -- 100.00 MHPMEVENT28 +100.00 -- -- -- 100.00 MHPMEVENT29 +100.00 -- -- -- 100.00 MHPMEVENT30 +100.00 -- -- -- 100.00 MHPMEVENT31 +100.00 -- -- -- 100.00 MSCRATCH +100.00 -- -- -- 100.00 MEPC +100.00 -- -- -- 100.00 MCAUSE +100.00 -- -- -- 100.00 MTVAL +100.00 -- -- -- 100.00 MIP +100.00 -- -- -- 100.00 PMPCFG0 +100.00 -- -- -- 100.00 PMPCFG1 +100.00 -- -- -- 100.00 PMPCFG2 +100.00 -- -- -- 100.00 PMPCFG3 +100.00 -- -- -- 100.00 PMPCFG4 +100.00 -- -- -- 100.00 PMPCFG5 +100.00 -- -- -- 100.00 PMPCFG6 +100.00 -- -- -- 100.00 PMPCFG7 +100.00 -- -- -- 100.00 PMPCFG8 +100.00 -- -- -- 100.00 PMPCFG9 +100.00 -- -- -- 100.00 PMPCFG10 +100.00 -- -- -- 100.00 PMPCFG11 +100.00 -- -- -- 100.00 PMPCFG12 +100.00 -- -- -- 100.00 PMPCFG13 +100.00 -- -- -- 100.00 PMPCFG14 +100.00 -- -- -- 100.00 PMPCFG15 +100.00 -- -- -- 100.00 PMPADDR0 +100.00 -- -- -- 100.00 PMPADDR1 +100.00 -- -- -- 100.00 PMPADDR2 +100.00 -- -- -- 100.00 PMPADDR3 +100.00 -- -- -- 100.00 PMPADDR4 +100.00 -- -- -- 100.00 PMPADDR5 +100.00 -- -- -- 100.00 PMPADDR6 +100.00 -- -- -- 100.00 PMPADDR7 +100.00 -- -- -- 100.00 PMPADDR8 +100.00 -- -- -- 100.00 PMPADDR9 +100.00 -- -- -- 100.00 PMPADDR10 +100.00 -- -- -- 100.00 PMPADDR11 +100.00 -- -- -- 100.00 PMPADDR12 +100.00 -- -- -- 100.00 PMPADDR13 +100.00 -- -- -- 100.00 PMPADDR14 +100.00 -- -- -- 100.00 PMPADDR15 +100.00 -- -- -- 100.00 PMPADDR16 +100.00 -- -- -- 100.00 PMPADDR17 +100.00 -- -- -- 100.00 PMPADDR18 +100.00 -- -- -- 100.00 PMPADDR19 +100.00 -- -- -- 100.00 PMPADDR20 +100.00 -- -- -- 100.00 PMPADDR21 +100.00 -- -- -- 100.00 PMPADDR22 +100.00 -- -- -- 100.00 PMPADDR23 +100.00 -- -- -- 100.00 PMPADDR24 +100.00 -- -- -- 100.00 PMPADDR25 +100.00 -- -- -- 100.00 PMPADDR26 +100.00 -- -- -- 100.00 PMPADDR27 +100.00 -- -- -- 100.00 PMPADDR28 +100.00 -- -- -- 100.00 PMPADDR29 +100.00 -- -- -- 100.00 PMPADDR30 +100.00 -- -- -- 100.00 PMPADDR31 +100.00 -- -- -- 100.00 PMPADDR32 +100.00 -- -- -- 100.00 PMPADDR33 +100.00 -- -- -- 100.00 PMPADDR34 +100.00 -- -- -- 100.00 PMPADDR35 +100.00 -- -- -- 100.00 PMPADDR36 +100.00 -- -- -- 100.00 PMPADDR37 +100.00 -- -- -- 100.00 PMPADDR38 +100.00 -- -- -- 100.00 PMPADDR39 +100.00 -- -- -- 100.00 PMPADDR40 +100.00 -- -- -- 100.00 PMPADDR41 +100.00 -- -- -- 100.00 PMPADDR42 +100.00 -- -- -- 100.00 PMPADDR43 +100.00 -- -- -- 100.00 PMPADDR44 +100.00 -- -- -- 100.00 PMPADDR45 +100.00 -- -- -- 100.00 PMPADDR46 +100.00 -- -- -- 100.00 PMPADDR47 +100.00 -- -- -- 100.00 PMPADDR48 +100.00 -- -- -- 100.00 PMPADDR49 +100.00 -- -- -- 100.00 PMPADDR50 +100.00 -- -- -- 100.00 PMPADDR51 +100.00 -- -- -- 100.00 PMPADDR52 +100.00 -- -- -- 100.00 PMPADDR53 +100.00 -- -- -- 100.00 PMPADDR54 +100.00 -- -- -- 100.00 PMPADDR55 +100.00 -- -- -- 100.00 PMPADDR56 +100.00 -- -- -- 100.00 PMPADDR57 +100.00 -- -- -- 100.00 PMPADDR58 +100.00 -- -- -- 100.00 PMPADDR59 +100.00 -- -- -- 100.00 PMPADDR60 +100.00 -- -- -- 100.00 PMPADDR61 +100.00 -- -- -- 100.00 PMPADDR62 +100.00 -- -- -- 100.00 PMPADDR63 +100.00 -- -- -- 100.00 MCYCLE +100.00 -- -- -- 100.00 MINSTRET +100.00 -- -- -- 100.00 MHPMCOUNTER3 +100.00 -- -- -- 100.00 MHPMCOUNTER4 +100.00 -- -- -- 100.00 MHPMCOUNTER5 +100.00 -- -- -- 100.00 MHPMCOUNTER6 +100.00 -- -- -- 100.00 MHPMCOUNTER7 +100.00 -- -- -- 100.00 MHPMCOUNTER8 +100.00 -- -- -- 100.00 MHPMCOUNTER9 +100.00 -- -- -- 100.00 MHPMCOUNTER10 +100.00 -- -- -- 100.00 MHPMCOUNTER11 +100.00 -- -- -- 100.00 MHPMCOUNTER12 +100.00 -- -- -- 100.00 MHPMCOUNTER13 +100.00 -- -- -- 100.00 MHPMCOUNTER14 +100.00 -- -- -- 100.00 MHPMCOUNTER15 +100.00 -- -- -- 100.00 MHPMCOUNTER16 +100.00 -- -- -- 100.00 MHPMCOUNTER17 +100.00 -- -- -- 100.00 MHPMCOUNTER18 +100.00 -- -- -- 100.00 MHPMCOUNTER19 +100.00 -- -- -- 100.00 MHPMCOUNTER20 +100.00 -- -- -- 100.00 MHPMCOUNTER21 +100.00 -- -- -- 100.00 MHPMCOUNTER22 +100.00 -- -- -- 100.00 MHPMCOUNTER23 +100.00 -- -- -- 100.00 MHPMCOUNTER24 +100.00 -- -- -- 100.00 MHPMCOUNTER25 +100.00 -- -- -- 100.00 MHPMCOUNTER26 +100.00 -- -- -- 100.00 MHPMCOUNTER27 +100.00 -- -- -- 100.00 MHPMCOUNTER28 +100.00 -- -- -- 100.00 MHPMCOUNTER29 +100.00 -- -- -- 100.00 MHPMCOUNTER30 +100.00 -- -- -- 100.00 MHPMCOUNTER31 +100.00 -- -- -- 100.00 MCYCLEH +100.00 -- -- -- 100.00 MINSTRETH +100.00 -- -- -- 100.00 MHPMCOUNTER3H +100.00 -- -- -- 100.00 MHPMCOUNTER4H +100.00 -- -- -- 100.00 MHPMCOUNTER5H +100.00 -- -- -- 100.00 MHPMCOUNTER6H +100.00 -- -- -- 100.00 MHPMCOUNTER7H +100.00 -- -- -- 100.00 MHPMCOUNTER8H +100.00 -- -- -- 100.00 MHPMCOUNTER9H +100.00 -- -- -- 100.00 MHPMCOUNTER10H +100.00 -- -- -- 100.00 MHPMCOUNTER11H +100.00 -- -- -- 100.00 MHPMCOUNTER12H +100.00 -- -- -- 100.00 MHPMCOUNTER13H +100.00 -- -- -- 100.00 MHPMCOUNTER14H +100.00 -- -- -- 100.00 MHPMCOUNTER15H +100.00 -- -- -- 100.00 MHPMCOUNTER16H +100.00 -- -- -- 100.00 MHPMCOUNTER17H +100.00 -- -- -- 100.00 MHPMCOUNTER18H +100.00 -- -- -- 100.00 MHPMCOUNTER19H +100.00 -- -- -- 100.00 MHPMCOUNTER20H +100.00 -- -- -- 100.00 MHPMCOUNTER21H +100.00 -- -- -- 100.00 MHPMCOUNTER22H +100.00 -- -- -- 100.00 MHPMCOUNTER23H +100.00 -- -- -- 100.00 MHPMCOUNTER24H +100.00 -- -- -- 100.00 MHPMCOUNTER25H +100.00 -- -- -- 100.00 MHPMCOUNTER26H +100.00 -- -- -- 100.00 MHPMCOUNTER27H +100.00 -- -- -- 100.00 MHPMCOUNTER28H +100.00 -- -- -- 100.00 MHPMCOUNTER29H +100.00 -- -- -- 100.00 MHPMCOUNTER30H +100.00 -- -- -- 100.00 MHPMCOUNTER31H +100.00 -- -- -- 100.00 MVENDORID +100.00 -- -- -- 100.00 MARCHID +100.00 -- -- -- 100.00 MIMPID +100.00 -- -- -- 100.00 MHARTID +100.00 -- -- -- 100.00 MCONFIGPTR + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt new file mode 100644 index 00000000..5326cc98 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSTATUS +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MSTATUS: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt new file mode 100644 index 00000000..1bf13572 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MISA +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MISA: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.misa.misa__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.misa.misa__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.misa.misa__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.misa.misa__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt new file mode 100644 index 00000000..2f468a58 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mie.mie__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mie.mie__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mie.mie__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mie.mie__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt new file mode 100644 index 00000000..c3cb6871 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MTVEC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MTVEC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt new file mode 100644 index 00000000..66dd6311 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSTATUSH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MSTATUSH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt new file mode 100644 index 00000000..8e99d397 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ECALL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure ECALL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ecall_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ecall_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt new file mode 100644 index 00000000..1ea22e7f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt new file mode 100644 index 00000000..b998af4d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt new file mode 100644 index 00000000..253e36a9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt new file mode 100644 index 00000000..1af4f7fd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt new file mode 100644 index 00000000..9ea6862d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt new file mode 100644 index 00000000..e3012e4c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt new file mode 100644 index 00000000..1bee67ae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt new file mode 100644 index 00000000..59addc01 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt new file mode 100644 index 00000000..d233e7b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt new file mode 100644 index 00000000..44aa1e52 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt new file mode 100644 index 00000000..96230134 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.FENCE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure FENCE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_fence_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_fence_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt new file mode 100644 index 00000000..1256ae7c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt new file mode 100644 index 00000000..54913006 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt new file mode 100644 index 00000000..cb3e1268 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt new file mode 100644 index 00000000..e7e7e844 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT16 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT16: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt new file mode 100644 index 00000000..b0d6db4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT17 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT17: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt new file mode 100644 index 00000000..8bd5a85b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT18 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT18: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt new file mode 100644 index 00000000..97d8fafc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT19 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT19: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt new file mode 100644 index 00000000..f37f06a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT20 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT20: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt new file mode 100644 index 00000000..94ea5a1e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT21 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT21: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt new file mode 100644 index 00000000..07ab0d9c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT22 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT22: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt new file mode 100644 index 00000000..00f6df07 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.JAL +=============================================================================== +SCORE LINE COND ASSERT GROUP + 85.42 -- -- -- 85.42 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 85.42 -- -- -- 85.42 + + +------------------------------------------------------------------------------- + +Measure JAL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 85.42 -- -- -- 85.42 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jal_cg +SCORE LINE COND ASSERT GROUP NAME + 85.42 -- -- -- 85.42 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jal_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt new file mode 100644 index 00000000..d83fee2f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT23 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT23: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt new file mode 100644 index 00000000..baace936 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT24 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT24: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt new file mode 100644 index 00000000..e5ccc261 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT25 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT25: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt new file mode 100644 index 00000000..8dede78b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT26 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT26: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt new file mode 100644 index 00000000..6e3bb385 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT27 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT27: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt new file mode 100644 index 00000000..cf366d9e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT28 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT28: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt new file mode 100644 index 00000000..3aab8386 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT29 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT29: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt new file mode 100644 index 00000000..95fec129 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT30 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT30: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt new file mode 100644 index 00000000..cd37c84c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT31 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MHPMEVENT31: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt new file mode 100644 index 00000000..8016331a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSCRATCH +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MSCRATCH: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt new file mode 100644 index 00000000..cc37e3be --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.JALR +=============================================================================== +SCORE LINE COND ASSERT GROUP + 92.03 -- -- -- 92.03 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP + 92.03 -- -- -- 92.03 + + +------------------------------------------------------------------------------- + +Measure JALR: + +Metrics: Group +SCORE LINE COND ASSERT GROUP + 92.03 -- -- -- 92.03 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jalr_cg +SCORE LINE COND ASSERT GROUP NAME + 92.03 -- -- -- 92.03 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jalr_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt new file mode 100644 index 00000000..e7581cea --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MEPC +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MEPC: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mepc.mepc__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mepc.mepc__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mepc.mepc__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mepc.mepc__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt new file mode 100644 index 00000000..3cf68b27 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCAUSE +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MCAUSE: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mcause.mcause__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcause.mcause__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mcause.mcause__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mcause.mcause__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt new file mode 100644 index 00000000..6a26f5c0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA +=============================================================================== +SCORE LINE COND ASSERT GROUP + 99.74 -- -- -- 99.74 + +Attribute/Annotation values: + description: + Instruction Set Architecture + Specification: Done, Dvplan: Done, Verification execution: Done + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 99.46 -- -- -- 99.46 RV32I +100.00 -- -- -- 100.00 RV32M + 99.97 -- -- -- 99.97 RV32C +100.00 -- -- -- 100.00 RV32ZICSR + 99.95 -- -- -- 99.95 RV32ZCB + 99.67 -- -- -- 99.67 RV32ZB + 98.84 -- -- -- 98.84 Instructions execution sequences +100.00 -- -- -- 100.00 Illegal instructions + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +-- -- -- -- -- + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt new file mode 100644 index 00000000..358f7784 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MTVAL +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MTVAL: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mtval.mtval__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtval.mtval__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mtval.mtval__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mtval.mtval__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt new file mode 100644 index 00000000..03b2d374 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIP +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure MIP: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.mip.mip__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mip.mip__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.mip.mip__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.mip.mip__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt new file mode 100644 index 00000000..57c348c5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG0 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG0: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt new file mode 100644 index 00000000..d483b4d1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG1 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG1: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt new file mode 100644 index 00000000..0050fa94 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG2 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG2: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt new file mode 100644 index 00000000..79858ed2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG3 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG3: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt new file mode 100644 index 00000000..9ba9ca60 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG4 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG4: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt new file mode 100644 index 00000000..227dff45 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG5 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG5: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt new file mode 100644 index 00000000..165ce45c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LB +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LB: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lb_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lb_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt new file mode 100644 index 00000000..1d05febe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG6 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG6: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt new file mode 100644 index 00000000..1504d30f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG7 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG7: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt new file mode 100644 index 00000000..8b976ba4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG8 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG8: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt new file mode 100644 index 00000000..e6724b08 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG9 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG9: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt new file mode 100644 index 00000000..5a18905a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG10 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG10: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt new file mode 100644 index 00000000..14c8d64b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG11 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG11: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt new file mode 100644 index 00000000..e8af63a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG12 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG12: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt new file mode 100644 index 00000000..3125fe39 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG13 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG13: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt new file mode 100644 index 00000000..eed0a936 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG14 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG14: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt new file mode 100644 index 00000000..2d8151f3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG15 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPCFG15: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt new file mode 100644 index 00000000..c096aa4f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.txt @@ -0,0 +1,37 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LBU +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + +Attribute/Annotation values: + Comment: + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure LBU: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lbu_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lbu_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt new file mode 100644 index 00000000..c052748f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR0 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR0: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt new file mode 100644 index 00000000..8a3a2bf2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.txt @@ -0,0 +1,40 @@ +HVP Feature + +=============================================================================== +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR1 +=============================================================================== +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure Totals: + +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +------------------------------------------------------------------------------- + +Measure PMPADDR1: + +Metrics: Group +SCORE LINE COND ASSERT GROUP +100.00 -- -- -- 100.00 + + +Sources: + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__read_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__write_cg +SCORE LINE COND ASSERT GROUP NAME +100.00 -- -- -- 100.00 uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/groups.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/groups.txt new file mode 100644 index 00000000..2547b1e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/groups.txt @@ -0,0 +1,462 @@ +Testbench Group List + +Total Groups Coverage Summary +SCORE INST SCORE WEIGHT + 98.85 98.85 1 + + +Total groups in report: 451 +------------------------------------------------------------------------------- +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 0.00 0.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_clock_period_cg + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_reset_cg + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_boot_addr + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + 69.44 69.44 1 100 1 1 64 64 uvma_cvxif_pkg::cg_request + 77.08 77.08 1 100 1 1 64 64 uvma_cvxif_pkg::cg_result + 83.87 83.87 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_config + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + 85.42 85.42 1 100 1 1 64 64 uvma_isacov_pkg::cg_jtype + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + 98.29 98.29 1 100 1 1 64 64 uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + 98.84 98.84 1 100 1 1 64 64 uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + 99.55 99.55 1 100 1 1 64 64 uvma_isacov_pkg::cg_cl + 99.61 99.61 1 100 1 1 64 64 uvma_isacov_pkg::cg_cs + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sh + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lhu + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lh + 99.92 99.92 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_compressed_instr +100.00 100.00 1 100 1 1 64 64 uvma_cvxif_pkg::cg_response +100.00 100.00 1 100 1 1 64 64 uvma_interrupt_pkg::cg_interrupt +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_zexth +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=777630929) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=2304086666) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ciw +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_shift +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sb +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lbu +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_utype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_ext +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_css +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lhu +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_mv +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cj +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_mul +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_ext +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lbu +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_shift +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_lui +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=332521270) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=3641590055) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=430551851) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=2320478138) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zexth +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zextb +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_clmulh +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_li +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_andi +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_add +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_j +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhartid::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_m +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mimpid::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_marchid::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_i +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_executed +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_zicsr +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_rd_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/grpinfo.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/grpinfo.txt new file mode 100644 index 00000000..213a5f65 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/grpinfo.txt @@ -0,0 +1,140842 @@ +Group : uvme_cva6_pkg::cg_cva6_clock_period_cg + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_clock_period_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 0.00 0.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 0.00 1 100 1 64 64 uvme_cva6_pkg.clock_period_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_clock_period_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 2 0 0.00 + + +Variables for Group uvme_cva6_pkg::cg_cva6_clock_period_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_clock_period_ps 2 2 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.clock_period_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 0.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 0.00 0.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_clock_period_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.clock_period_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 2 0 0.00 + + +Variables for Group Instance uvme_cva6_pkg.clock_period_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_clock_period_ps 2 2 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_clock_period_ps + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 2 0 0.00 + + +User Defined Bins for cp_clock_period_ps + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +HIGH 0 1 1 +LOW 0 1 1 + + +Group : uvme_cva6_pkg::cg_cva6_reset_cg + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_reset_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 33.33 33.33 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 33.33 1 100 1 64 64 uvme_cva6_pkg.reset_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_reset_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 3 2 33.33 + + +Variables for Group uvme_cva6_pkg::cg_cva6_reset_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_reset 2 1 1 50.00 100 1 1 0 +cp_reset_duration_ps 2 1 1 50.00 100 1 1 0 +cp_reset_onthefly_assert 1 1 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.reset_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 33.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_reset_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.reset_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 3 2 33.33 + + +Variables for Group Instance uvme_cva6_pkg.reset_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_reset 2 1 1 50.00 100 1 1 0 +cp_reset_duration_ps 2 1 1 50.00 100 1 1 0 +cp_reset_onthefly_assert 1 1 0 0.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_reset + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for cp_reset + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ASSERTED 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +DEASSERTED 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_reset_duration_ps + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for cp_reset_duration_ps + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +SHORT 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +LONG 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_reset_onthefly_assert + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_reset_onthefly_assert + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +onthefly_assert 0 1 1 + + +Group : uvme_cva6_pkg::cg_cva6_boot_addr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_boot_addr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 33.33 33.33 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 33.33 1 100 1 64 64 uvme_cva6_pkg.boot_addr_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_boot_addr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 2 1 33.33 + + +Variables for Group uvme_cva6_pkg::cg_cva6_boot_addr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_boot_addr 3 2 1 33.33 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.boot_addr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 33.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 33.33 33.33 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_boot_addr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.boot_addr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 2 1 33.33 + + +Variables for Group Instance uvme_cva6_pkg.boot_addr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_boot_addr 3 2 1 33.33 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_boot_addr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 2 1 33.33 + + +User Defined Bins for cp_boot_addr + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BOOT_ADDR_HIGH 0 1 1 +BOOT_ADDR_0 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BOOT_ADDR_LOW 2356 1 + + +Group : uvma_obi_memory_pkg::cg_obi + +=============================================================================== +Group : uvma_obi_memory_pkg::cg_obi +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 41.67 41.67 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/comps/uvma_obi_memory_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 41.67 1 100 1 64 64 uvma_obi_memory_pkg.obi_cg + 41.67 1 100 1 64 64 uvma_obi_memory_pkg.obi_cg_(1) + 41.67 1 100 1 64 64 uvma_obi_memory_pkg.obi_cg_(2) + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_obi_memory_pkg::cg_obi + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 7 7 58.33 + + +Variables for Group uvma_obi_memory_pkg::cg_obi + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 0 2 100.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 4 2 33.33 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.obi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 41.67 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.obi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 9 5 41.67 + + +Variables for Group Instance uvma_obi_memory_pkg.obi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 1 1 50.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 5 1 16.67 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- + +Summary for Variable we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for we + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +WRITE 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +READ 15871660 1 + + +------------------------------------------------------------------------------- + +Summary for Variable memtype + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 4 2 2 50.00 + + +Automatically Generated Bins for memtype + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 +[auto[3]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 453 1 +auto[2] 15871207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable prot + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 6 5 1 16.67 + + +Automatically Generated Bins for prot + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[0] - auto[3]] -- -- 4 +[auto[7]] 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RSVD_PRIV 0 Excluded +[auto[4] - auto[5]] -- Excluded (2 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[6] 15871660 1 + + +------------------------------------------------------------------------------- + +Summary for Variable err + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for err + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 15871660 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.obi_cg_(1) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 41.67 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.obi_cg_(1) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 9 5 41.67 + + +Variables for Group Instance uvma_obi_memory_pkg.obi_cg_(1) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 1 1 50.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 5 1 16.67 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- + +Summary for Variable we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for we + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +WRITE 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +READ 1384478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable memtype + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 4 2 2 50.00 + + +Automatically Generated Bins for memtype + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 +[auto[3]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 215 1 +auto[2] 1384263 1 + + +------------------------------------------------------------------------------- + +Summary for Variable prot + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 6 5 1 16.67 + + +Automatically Generated Bins for prot + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[0] - auto[3]] -- -- 4 +[auto[6]] 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RSVD_PRIV 0 Excluded +[auto[4] - auto[5]] -- Excluded (2 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[7] 1384478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable err + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for err + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 1384478 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.obi_cg_(2) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 41.67 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 41.67 41.67 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.obi_cg_(2) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 14 9 5 41.67 + + +Variables for Group Instance uvma_obi_memory_pkg.obi_cg_(2) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +we 2 1 1 50.00 100 1 1 0 +memtype 4 2 2 50.00 100 1 1 4 +prot 6 5 1 16.67 100 1 1 8 +err 2 1 1 50.00 100 1 1 2 + + +------------------------------------------------------------------------------- + +Summary for Variable we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for we + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +READ 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +WRITE 1406193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable memtype + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 4 2 2 50.00 + + +Automatically Generated Bins for memtype + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 +[auto[3]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 198 1 +auto[2] 1405995 1 + + +------------------------------------------------------------------------------- + +Summary for Variable prot + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 6 5 1 16.67 + + +Automatically Generated Bins for prot + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[0] - auto[3]] -- -- 4 +[auto[6]] 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RSVD_PRIV 0 Excluded +[auto[4] - auto[5]] -- Excluded (2 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[7] 1406193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable err + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for err + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +[auto[1]] 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +auto[0] 1406193 1 + + +Group : uvma_cvxif_pkg::cg_request + +=============================================================================== +Group : uvma_cvxif_pkg::cg_request +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 69.44 69.44 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 69.44 1 100 1 64 64 uvma_cvxif_pkg.request_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_cvxif_pkg::cg_request + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 22 9 13 78.57 +Crosses 24 16 8 37.50 + + +Variables for Group uvma_cvxif_pkg::cg_request + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_valid 1 0 1 100.00 100 1 1 0 +cp_issue_valid 1 0 1 100.00 100 1 1 0 +cp_issue_id 8 4 4 50.00 100 1 1 0 +cp_rs_valid 1 0 1 100.00 100 1 1 0 +cp_commit_id 8 4 4 50.00 100 1 1 0 +cp_commit_kill 2 1 1 50.00 100 1 1 0 +cp_commit_valid 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_cvxif_pkg.request_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 69.44 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 69.44 69.44 1 100 1 1 64 64 uvma_cvxif_pkg::cg_request + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_cvxif_pkg.request_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 22 9 13 78.57 +Crosses 24 16 8 37.50 + + +Variables for Group Instance uvma_cvxif_pkg.request_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_valid 1 0 1 100.00 100 1 1 0 +cp_issue_valid 1 0 1 100.00 100 1 1 0 +cp_issue_id 8 4 4 50.00 100 1 1 0 +cp_rs_valid 1 0 1 100.00 100 1 1 0 +cp_commit_id 8 4 4 50.00 100 1 1 0 +cp_commit_kill 2 1 1 50.00 100 1 1 0 +cp_commit_valid 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_cvxif_pkg.request_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_issue_req 8 4 4 50.00 100 1 1 0 +cross_commit_req 16 12 4 25.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_compressed_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_compressed_valid + + +Bins + +NAME COUNT AT LEAST +COMPRESSED_VALID 37962 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_issue_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_issue_valid + + +Bins + +NAME COUNT AT LEAST +ISSUE_VALID 63782 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_issue_id + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 4 4 50.00 + + +User Defined Bins for cp_issue_id + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ID_4 0 1 1 +ID_5 0 1 1 +ID_6 0 1 1 +ID_7 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +ID_0 16095 1 +ID_1 15974 1 +ID_2 15897 1 +ID_3 15816 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_rs_valid + + +Bins + +NAME COUNT AT LEAST +RS_VALID_2 63760 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_commit_id + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 4 4 50.00 + + +User Defined Bins for cp_commit_id + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ID_COMMIT_4 0 1 1 +ID_COMMIT_5 0 1 1 +ID_COMMIT_6 0 1 1 +ID_COMMIT_7 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +ID_COMMIT_0 14999 1 +ID_COMMIT_1 14851 1 +ID_COMMIT_2 14731 1 +ID_COMMIT_3 14694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_commit_kill + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 1 1 50.00 + + +User Defined Bins for cp_commit_kill + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +COMMIT_KILL_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +COMMIT_KILL_0 59275 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_commit_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_commit_valid + + +Bins + +NAME COUNT AT LEAST +COMMIT_VALID 59275 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_issue_req + + +Samples crossed: cp_issue_valid cp_issue_id cp_rs_valid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 8 4 4 50.00 4 +Automatically Generated Cross Bins 8 4 4 50.00 4 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_issue_req + + +Element holes + +cp_issue_valid cp_issue_id cp_rs_valid COUNT AT LEAST NUMBER +* [ID_4 , ID_5 , ID_6 , ID_7] * -- -- 4 + + +Covered bins + +cp_issue_valid cp_issue_id cp_rs_valid COUNT AT LEAST +ISSUE_VALID ID_0 RS_VALID_2 16088 1 +ISSUE_VALID ID_1 RS_VALID_2 15968 1 +ISSUE_VALID ID_2 RS_VALID_2 15892 1 +ISSUE_VALID ID_3 RS_VALID_2 15812 1 + + +User Defined Cross Bins for cross_issue_req + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_BINS 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_commit_req + + +Samples crossed: cp_commit_valid cp_commit_kill cp_commit_id +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 16 12 4 25.00 12 +Automatically Generated Cross Bins 16 12 4 25.00 12 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_commit_req + + +Element holes + +cp_commit_valid cp_commit_kill cp_commit_id COUNT AT LEAST NUMBER +* [COMMIT_KILL_0] [ID_COMMIT_4 , ID_COMMIT_5 , ID_COMMIT_6 , ID_COMMIT_7] -- -- 4 +* [COMMIT_KILL_1] * -- -- 8 + + +Covered bins + +cp_commit_valid cp_commit_kill cp_commit_id COUNT AT LEAST +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_0 14999 1 +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_1 14851 1 +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_2 14731 1 +COMMIT_VALID COMMIT_KILL_0 ID_COMMIT_3 14694 1 + + +User Defined Cross Bins for cross_commit_req + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_BINS 0 Excluded + + +Group : uvma_cvxif_pkg::cg_result + +=============================================================================== +Group : uvma_cvxif_pkg::cg_result +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 77.08 77.08 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 77.08 1 100 1 64 64 uvma_cvxif_pkg.result_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_cvxif_pkg::cg_result + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 4 103 90.00 +Crosses 256 224 32 12.50 + + +Variables for Group uvma_cvxif_pkg::cg_result + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_result_valid 1 0 1 100.00 100 1 1 0 +cp_result_id 8 4 4 50.00 100 1 1 0 +cp_rd 32 0 32 100.00 100 1 1 0 +cp_data_toggle 64 0 64 100.00 100 1 1 0 +cp_we 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_cvxif_pkg.result_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 77.08 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 77.08 77.08 1 100 1 1 64 64 uvma_cvxif_pkg::cg_result + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_cvxif_pkg.result_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 4 103 90.00 +Crosses 256 224 32 12.50 + + +Variables for Group Instance uvma_cvxif_pkg.result_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_result_valid 1 0 1 100.00 100 1 1 0 +cp_result_id 8 4 4 50.00 100 1 1 0 +cp_rd 32 0 32 100.00 100 1 1 0 +cp_data_toggle 64 0 64 100.00 100 1 1 0 +cp_we 2 0 2 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_cvxif_pkg.result_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_result 256 224 32 12.50 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_result_valid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_result_valid + + +Bins + +NAME COUNT AT LEAST +RESULT_VALID 12841 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_result_id + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 4 4 50.00 + + +User Defined Bins for cp_result_id + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +ID_4 0 1 1 +ID_5 0 1 1 +ID_6 0 1 1 +ID_7 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +ID_0 12891 1 +ID_1 12841 1 +ID_2 12603 1 +ID_3 12614 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 9503 1 +RD_01 1375 1 +RD_02 1313 1 +RD_03 1436 1 +RD_04 1280 1 +RD_05 1283 1 +RD_06 1363 1 +RD_07 1323 1 +RD_08 1297 1 +RD_09 1359 1 +RD_0a 1274 1 +RD_0b 1367 1 +RD_0c 1279 1 +RD_0d 1353 1 +RD_0e 1279 1 +RD_0f 1388 1 +RD_10 1341 1 +RD_11 1443 1 +RD_12 1291 1 +RD_13 1383 1 +RD_14 1391 1 +RD_15 1421 1 +RD_16 1375 1 +RD_17 1372 1 +RD_18 1256 1 +RD_19 1235 1 +RD_1a 1288 1 +RD_1b 1333 1 +RD_1c 1247 1 +RD_1d 1368 1 +RD_1e 1393 1 +RD_1f 1340 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_data_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_data_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 20004 1 +BIT30_1 19219 1 +BIT29_1 19197 1 +BIT28_1 19077 1 +BIT27_1 18911 1 +BIT26_1 18861 1 +BIT25_1 19089 1 +BIT24_1 19112 1 +BIT23_1 18918 1 +BIT22_1 18953 1 +BIT21_1 18853 1 +BIT20_1 18819 1 +BIT19_1 18825 1 +BIT18_1 18911 1 +BIT17_1 18920 1 +BIT16_1 19564 1 +BIT15_1 19903 1 +BIT14_1 19633 1 +BIT13_1 19980 1 +BIT12_1 20537 1 +BIT11_1 20583 1 +BIT10_1 20088 1 +BIT9_1 19541 1 +BIT8_1 19944 1 +BIT7_1 20069 1 +BIT6_1 19492 1 +BIT5_1 20244 1 +BIT4_1 20841 1 +BIT3_1 20787 1 +BIT2_1 20101 1 +BIT1_1 19207 1 +BIT0_1 15857 1 +BIT31_0 30945 1 +BIT30_0 31730 1 +BIT29_0 31752 1 +BIT28_0 31872 1 +BIT27_0 32038 1 +BIT26_0 32088 1 +BIT25_0 31860 1 +BIT24_0 31837 1 +BIT23_0 32031 1 +BIT22_0 31996 1 +BIT21_0 32096 1 +BIT20_0 32130 1 +BIT19_0 32124 1 +BIT18_0 32038 1 +BIT17_0 32029 1 +BIT16_0 31385 1 +BIT15_0 31046 1 +BIT14_0 31316 1 +BIT13_0 30969 1 +BIT12_0 30412 1 +BIT11_0 30366 1 +BIT10_0 30861 1 +BIT9_0 31408 1 +BIT8_0 31005 1 +BIT7_0 30880 1 +BIT6_0 31457 1 +BIT5_0 30705 1 +BIT4_0 30108 1 +BIT3_0 30162 1 +BIT2_0 30848 1 +BIT1_0 31742 1 +BIT0_0 35092 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_we + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_we + + +Bins + +NAME COUNT AT LEAST +WE_0 8090 1 +WE_1 42859 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_result + + +Samples crossed: cp_result_valid cp_result_id cp_we cp_rd +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 256 224 32 12.50 224 +Automatically Generated Cross Bins 256 224 32 12.50 224 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_result + + +Element holes + +cp_result_valid cp_result_id cp_we cp_rd COUNT AT LEAST NUMBER +* [ID_0] [WE_1] * -- -- 32 +* [ID_2 , ID_3 , ID_4 , ID_5 , ID_6 , ID_7] [WE_1] * -- -- 192 + + +Covered bins + +cp_result_valid cp_result_id cp_we cp_rd COUNT AT LEAST +RESULT_VALID ID_1 WE_1 RD_00 373 1 +RESULT_VALID ID_1 WE_1 RD_01 368 1 +RESULT_VALID ID_1 WE_1 RD_02 325 1 +RESULT_VALID ID_1 WE_1 RD_03 362 1 +RESULT_VALID ID_1 WE_1 RD_04 317 1 +RESULT_VALID ID_1 WE_1 RD_05 320 1 +RESULT_VALID ID_1 WE_1 RD_06 362 1 +RESULT_VALID ID_1 WE_1 RD_07 318 1 +RESULT_VALID ID_1 WE_1 RD_08 304 1 +RESULT_VALID ID_1 WE_1 RD_09 358 1 +RESULT_VALID ID_1 WE_1 RD_0a 350 1 +RESULT_VALID ID_1 WE_1 RD_0b 363 1 +RESULT_VALID ID_1 WE_1 RD_0c 345 1 +RESULT_VALID ID_1 WE_1 RD_0d 332 1 +RESULT_VALID ID_1 WE_1 RD_0e 325 1 +RESULT_VALID ID_1 WE_1 RD_0f 335 1 +RESULT_VALID ID_1 WE_1 RD_10 326 1 +RESULT_VALID ID_1 WE_1 RD_11 359 1 +RESULT_VALID ID_1 WE_1 RD_12 315 1 +RESULT_VALID ID_1 WE_1 RD_13 332 1 +RESULT_VALID ID_1 WE_1 RD_14 330 1 +RESULT_VALID ID_1 WE_1 RD_15 377 1 +RESULT_VALID ID_1 WE_1 RD_16 320 1 +RESULT_VALID ID_1 WE_1 RD_17 343 1 +RESULT_VALID ID_1 WE_1 RD_18 301 1 +RESULT_VALID ID_1 WE_1 RD_19 290 1 +RESULT_VALID ID_1 WE_1 RD_1a 345 1 +RESULT_VALID ID_1 WE_1 RD_1b 328 1 +RESULT_VALID ID_1 WE_1 RD_1c 317 1 +RESULT_VALID ID_1 WE_1 RD_1d 347 1 +RESULT_VALID ID_1 WE_1 RD_1e 384 1 +RESULT_VALID ID_1 WE_1 RD_1f 334 1 + + +User Defined Cross Bins for cross_result + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_RESULT_VALID0 0 Excluded +IGN_WE0 0 Excluded + + +Group : uvme_cva6_pkg::cg_cva6_config + +=============================================================================== +Group : uvme_cva6_pkg::cg_cva6_config +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 83.87 83.87 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 83.87 1 100 1 64 64 uvme_cva6_pkg.config_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cva6_config + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 31 5 26 83.87 + + +Variables for Group uvme_cva6_pkg::cg_cva6_config + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_Xlen 1 0 1 100.00 100 1 1 0 +cp_RVF 1 0 1 100.00 100 1 1 0 +cp_F16En 1 0 1 100.00 100 1 1 0 +cp_F16AltEn 1 0 1 100.00 100 1 1 0 +cp_F8En 1 0 1 100.00 100 1 1 0 +cp_FVecEn 1 0 1 100.00 100 1 1 0 +cp_CvxifEn 1 0 1 100.00 100 1 1 0 +cp_CExtEn 1 0 1 100.00 100 1 1 0 +cp_AExtEn 1 0 1 100.00 100 1 1 0 +cp_BExtEn 1 0 1 100.00 100 1 1 0 +cp_VExtEn 1 0 1 100.00 100 1 1 0 +cp_RVZiCond 1 0 1 100.00 100 1 1 0 +cp_AxiIdWidth 1 0 1 100.00 100 1 1 0 +cp_AxiAddrWidth 1 0 1 100.00 100 1 1 0 +cp_AxiDataWidth 1 0 1 100.00 100 1 1 0 +cp_FetchUserEn 1 1 0 0.00 100 1 1 0 +cp_FetchUserWidth 1 0 1 100.00 100 1 1 0 +cp_DataUserEn 1 1 0 0.00 100 1 1 0 +cp_IcacheSetAssoc 1 0 1 100.00 100 1 1 0 +cp_IcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_DcacheSetAssoc 1 1 0 0.00 100 1 1 0 +cp_DcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_NrCommitPorts 1 0 1 100.00 100 1 1 0 +cp_FpgaEn 1 0 1 100.00 100 1 1 0 +cp_NrLoadBufEntries 1 1 0 0.00 100 1 1 0 +cp_RASDepth 1 0 1 100.00 100 1 1 0 +cp_BTBEntries 1 0 1 100.00 100 1 1 0 +cp_BHTEntries 1 0 1 100.00 100 1 1 0 +cp_NrPMPEntries 1 1 0 0.00 100 1 1 0 +cp_HaltAddress 1 0 1 100.00 100 1 1 0 +cp_ExceptionAddress 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.config_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 83.87 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 83.87 83.87 1 100 1 1 64 64 uvme_cva6_pkg::cg_cva6_config + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.config_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 31 5 26 83.87 + + +Variables for Group Instance uvme_cva6_pkg.config_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_Xlen 1 0 1 100.00 100 1 1 0 +cp_RVF 1 0 1 100.00 100 1 1 0 +cp_F16En 1 0 1 100.00 100 1 1 0 +cp_F16AltEn 1 0 1 100.00 100 1 1 0 +cp_F8En 1 0 1 100.00 100 1 1 0 +cp_FVecEn 1 0 1 100.00 100 1 1 0 +cp_CvxifEn 1 0 1 100.00 100 1 1 0 +cp_CExtEn 1 0 1 100.00 100 1 1 0 +cp_AExtEn 1 0 1 100.00 100 1 1 0 +cp_BExtEn 1 0 1 100.00 100 1 1 0 +cp_VExtEn 1 0 1 100.00 100 1 1 0 +cp_RVZiCond 1 0 1 100.00 100 1 1 0 +cp_AxiIdWidth 1 0 1 100.00 100 1 1 0 +cp_AxiAddrWidth 1 0 1 100.00 100 1 1 0 +cp_AxiDataWidth 1 0 1 100.00 100 1 1 0 +cp_FetchUserEn 1 1 0 0.00 100 1 1 0 +cp_FetchUserWidth 1 0 1 100.00 100 1 1 0 +cp_DataUserEn 1 1 0 0.00 100 1 1 0 +cp_IcacheSetAssoc 1 0 1 100.00 100 1 1 0 +cp_IcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_DcacheSetAssoc 1 1 0 0.00 100 1 1 0 +cp_DcacheLineWidth 1 0 1 100.00 100 1 1 0 +cp_NrCommitPorts 1 0 1 100.00 100 1 1 0 +cp_FpgaEn 1 0 1 100.00 100 1 1 0 +cp_NrLoadBufEntries 1 1 0 0.00 100 1 1 0 +cp_RASDepth 1 0 1 100.00 100 1 1 0 +cp_BTBEntries 1 0 1 100.00 100 1 1 0 +cp_BHTEntries 1 0 1 100.00 100 1 1 0 +cp_NrPMPEntries 1 1 0 0.00 100 1 1 0 +cp_HaltAddress 1 0 1 100.00 100 1 1 0 +cp_ExceptionAddress 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_Xlen + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_Xlen + + +Bins + +NAME COUNT AT LEAST +Xlen 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_RVF + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_RVF + + +Bins + +NAME COUNT AT LEAST +RVF 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_F16En + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_F16En + + +Bins + +NAME COUNT AT LEAST +F16En 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_F16AltEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_F16AltEn + + +Bins + +NAME COUNT AT LEAST +F16AltEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_F8En + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_F8En + + +Bins + +NAME COUNT AT LEAST +F8En 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FVecEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_FVecEn + + +Bins + +NAME COUNT AT LEAST +FVecEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_CvxifEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_CvxifEn + + +Bins + +NAME COUNT AT LEAST +CvxifEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_CExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_CExtEn + + +Bins + +NAME COUNT AT LEAST +CExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AExtEn + + +Bins + +NAME COUNT AT LEAST +AExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_BExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_BExtEn + + +Bins + +NAME COUNT AT LEAST +BExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_VExtEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_VExtEn + + +Bins + +NAME COUNT AT LEAST +VExtEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_RVZiCond + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_RVZiCond + + +Bins + +NAME COUNT AT LEAST +RVZiCond 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AxiIdWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AxiIdWidth + + +Bins + +NAME COUNT AT LEAST +AxiIdWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AxiAddrWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AxiAddrWidth + + +Bins + +NAME COUNT AT LEAST +AxiAddrWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_AxiDataWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_AxiDataWidth + + +Bins + +NAME COUNT AT LEAST +AxiDataWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FetchUserEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_FetchUserEn + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +FetchUserEn 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FetchUserWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_FetchUserWidth + + +Bins + +NAME COUNT AT LEAST +FetchUserWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_DataUserEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_DataUserEn + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +DataUserEn 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_IcacheSetAssoc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_IcacheSetAssoc + + +Bins + +NAME COUNT AT LEAST +IcacheSetAssoc 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_IcacheLineWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_IcacheLineWidth + + +Bins + +NAME COUNT AT LEAST +IcacheLineWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_DcacheSetAssoc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_DcacheSetAssoc + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +DcacheSetAssoc 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_DcacheLineWidth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_DcacheLineWidth + + +Bins + +NAME COUNT AT LEAST +DcacheLineWidth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_NrCommitPorts + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_NrCommitPorts + + +Bins + +NAME COUNT AT LEAST +NrCommitPorts 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_FpgaEn + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_FpgaEn + + +Bins + +NAME COUNT AT LEAST +FpgaEn 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_NrLoadBufEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_NrLoadBufEntries + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +NrLoadBufEntries 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_RASDepth + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_RASDepth + + +Bins + +NAME COUNT AT LEAST +RASDepth 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_BTBEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_BTBEntries + + +Bins + +NAME COUNT AT LEAST +BTBEntries 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_BHTEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_BHTEntries + + +Bins + +NAME COUNT AT LEAST +BHTEntries 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_NrPMPEntries + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 1 0 0.00 + + +User Defined Bins for cp_NrPMPEntries + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +NrPMPEntries 0 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_HaltAddress + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_HaltAddress + + +Bins + +NAME COUNT AT LEAST +HaltAddress 2356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_ExceptionAddress + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_ExceptionAddress + + +Bins + +NAME COUNT AT LEAST +ExceptionAddress 2356 1 + + +Group : uvma_obi_memory_pkg::cg_obi_delay + +=============================================================================== +Group : uvma_obi_memory_pkg::cg_obi_delay +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 84.03 84.03 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/comps/uvma_obi_memory_cov_model.sv + +6 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 81.25 1 100 1 64 64 uvma_obi_memory_pkg.rd_delay_cg_(1) + 81.25 1 100 1 64 64 uvma_obi_memory_pkg.wr_delay_cg_(1) + 83.33 1 100 1 64 64 uvma_obi_memory_pkg.rd_delay_cg + 83.33 1 100 1 64 64 uvma_obi_memory_pkg.wr_delay_cg + 87.50 1 100 1 64 64 uvma_obi_memory_pkg.rd_delay_cg_(2) + 87.50 1 100 1 64 64 uvma_obi_memory_pkg.wr_delay_cg_(2) + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_obi_memory_pkg::cg_obi_delay + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 6 10 62.50 + + +Variables for Group uvma_obi_memory_pkg::cg_obi_delay + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.rd_delay_cg_(1) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 81.25 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 9 7 43.75 + + +Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 9 7 43.75 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 434 1 +dly_2 2675 1 +dly_3 234295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 466927 1 +dly_2 150467 1 +dly_3 78740 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 9 7 43.75 9 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2] [dly_0] 0 1 1 +[dly_2] [dly_3] 0 1 1 +[dly_3] [dly_0] 0 1 1 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 446895 1 +dly_1 dly_1 434 1 +dly_2 dly_1 351 1 +dly_2 dly_2 2324 1 +dly_3 dly_1 222289 1 +dly_3 dly_2 4810 1 +dly_3 dly_3 1752 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.wr_delay_cg_(1) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 81.25 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 9 7 43.75 + + +Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 9 7 43.75 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 434 1 +dly_2 2675 1 +dly_3 234295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 446895 1 +dly_1 466927 1 +dly_2 150467 1 +dly_3 78740 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 9 7 43.75 9 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2] [dly_0] 0 1 1 +[dly_2] [dly_3] 0 1 1 +[dly_3] [dly_0] 0 1 1 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 446895 1 +dly_1 dly_1 434 1 +dly_2 dly_1 351 1 +dly_2 dly_2 2324 1 +dly_3 dly_1 222289 1 +dly_3 dly_2 4810 1 +dly_3 dly_3 1752 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.rd_delay_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 83.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 8 8 50.00 + + +Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 8 8 50.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 544527 1 +dly_2 554099 1 +dly_3 929321 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 1126329 1 +dly_2 1620966 1 +dly_3 546172 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 8 8 50.00 8 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2 , dly_3] [dly_0] -- -- 2 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 10928778 1 +dly_1 dly_1 544527 1 +dly_2 dly_1 83139 1 +dly_2 dly_2 470185 1 +dly_2 dly_3 150 1 +dly_3 dly_1 268065 1 +dly_3 dly_2 278273 1 +dly_3 dly_3 96393 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.wr_delay_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 83.33 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 8 8 50.00 + + +Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 8 8 50.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 544527 1 +dly_2 554099 1 +dly_3 929321 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 10928778 1 +dly_1 1126329 1 +dly_2 1620966 1 +dly_3 546172 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 8 8 50.00 8 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1] [dly_0] 0 1 1 +[dly_1] [dly_2 , dly_3] -- -- 2 +[dly_2 , dly_3] [dly_0] -- -- 2 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 10928778 1 +dly_1 dly_1 544527 1 +dly_2 dly_1 83139 1 +dly_2 dly_2 470185 1 +dly_2 dly_3 150 1 +dly_3 dly_1 268065 1 +dly_3 dly_2 278273 1 +dly_3 dly_3 96393 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.rd_delay_cg_(2) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 87.50 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 6 10 62.50 + + +Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 6 10 62.50 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 7208 1 +dly_2 10281 1 +dly_3 243695 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 657176 1 +dly_2 110400 1 +dly_3 51755 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 6 10 62.50 6 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1 , dly_2 , dly_3] [dly_0] -- -- 3 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 445204 1 +dly_1 dly_1 3821 1 +dly_1 dly_2 886 1 +dly_1 dly_3 817 1 +dly_2 dly_1 166 1 +dly_2 dly_2 4211 1 +dly_2 dly_3 2133 1 +dly_3 dly_1 221943 1 +dly_3 dly_2 9476 1 +dly_3 dly_3 3790 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_obi_memory_pkg.wr_delay_cg_(2) +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 87.50 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 84.03 84.03 1 100 1 1 64 64 uvma_obi_memory_pkg::cg_obi_delay + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 8 0 8 100.00 +Crosses 16 6 10 62.50 + + +Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +req_to_gnt 4 0 4 100.00 100 1 1 0 +rready_to_rvalid 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +dly_cross 16 6 10 62.50 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable req_to_gnt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for req_to_gnt + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 7208 1 +dly_2 10281 1 +dly_3 243695 1 + + +------------------------------------------------------------------------------- + +Summary for Variable rready_to_rvalid + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for rready_to_rvalid + + +Bins + +NAME COUNT AT LEAST +dly_0 445204 1 +dly_1 657176 1 +dly_2 110400 1 +dly_3 51755 1 + + +------------------------------------------------------------------------------- + +Summary for Cross dly_cross + + +Samples crossed: req_to_gnt rready_to_rvalid +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 16 6 10 62.50 6 + + +Automatically Generated Cross Bins for dly_cross + + +Uncovered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST NUMBER +[dly_0] [dly_1 , dly_2 , dly_3] -- -- 3 +[dly_1 , dly_2 , dly_3] [dly_0] -- -- 3 + + +Covered bins + +req_to_gnt rready_to_rvalid COUNT AT LEAST +dly_0 dly_0 445204 1 +dly_1 dly_1 3821 1 +dly_1 dly_2 886 1 +dly_1 dly_3 817 1 +dly_2 dly_1 166 1 +dly_2 dly_2 4211 1 +dly_2 dly_3 2133 1 +dly_3 dly_1 221943 1 +dly_3 dly_2 9476 1 +dly_3 dly_3 3790 1 + + +Group : uvma_isacov_pkg::cg_jtype + +=============================================================================== +Group : uvma_isacov_pkg::cg_jtype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 85.42 85.42 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 85.42 1 100 1 64 64 uvma_isacov_pkg.rv32i_jal_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_jtype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 139 17 122 85.42 + + +Variables for Group uvma_isacov_pkg::cg_jtype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_immj_value 3 1 2 66.67 100 1 1 0 +cp_rd_toggle 64 16 48 75.00 100 1 1 0 +cp_immj_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_jal_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 85.42 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 85.42 85.42 1 100 1 1 64 64 uvma_isacov_pkg::cg_jtype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_jal_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 139 17 122 85.42 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_jal_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_immj_value 3 1 2 66.67 100 1 1 0 +cp_rd_toggle 64 16 48 75.00 100 1 1 0 +cp_immj_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 18 1 +auto[1] 57628 1 +auto[2] 3161 1 +auto[3] 3411 1 +auto[4] 3106 1 +auto[5] 2952 1 +auto[6] 24105 1 +auto[7] 1104 1 +auto[8] 1189 1 +auto[9] 1288 1 +auto[10] 1168 1 +auto[11] 1065 1 +auto[12] 1143 1 +auto[13] 1188 1 +auto[14] 1155 1 +auto[15] 1096 1 +auto[16] 972 1 +auto[17] 1094 1 +auto[18] 1228 1 +auto[19] 1088 1 +auto[20] 1177 1 +auto[21] 1189 1 +auto[22] 1415 1 +auto[23] 956 1 +auto[24] 1060 1 +auto[25] 1211 1 +auto[26] 1048 1 +auto[27] 1080 1 +auto[28] 993 1 +auto[29] 1004 1 +auto[30] 1198 1 +auto[31] 1256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immj_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 1 2 66.67 + + +Automatically Generated Bins for cp_immj_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_POSITIVE 70222 1 +auto_NEGATIVE 52524 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 16 48 75.00 + + +User Defined Bins for cp_rd_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT30_1 0 1 1 +BIT29_1 0 1 1 +BIT28_1 0 1 1 +BIT27_1 0 1 1 +BIT26_1 0 1 1 +BIT25_1 0 1 1 +BIT24_1 0 1 1 +BIT23_1 0 1 1 +BIT22_1 0 1 1 +BIT21_1 0 1 1 +BIT20_1 0 1 1 +BIT19_1 0 1 1 +BIT18_1 0 1 1 +BIT17_1 0 1 1 +BIT0_1 0 1 1 +BIT31_0 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 122746 1 +BIT16_1 2 1 +BIT15_1 7 1 +BIT14_1 72 1 +BIT13_1 150 1 +BIT12_1 4538 1 +BIT11_1 37966 1 +BIT10_1 50577 1 +BIT9_1 62052 1 +BIT8_1 63930 1 +BIT7_1 60571 1 +BIT6_1 61085 1 +BIT5_1 61328 1 +BIT4_1 61652 1 +BIT3_1 61251 1 +BIT2_1 61367 1 +BIT1_1 66640 1 +BIT30_0 122746 1 +BIT29_0 122746 1 +BIT28_0 122746 1 +BIT27_0 122746 1 +BIT26_0 122746 1 +BIT25_0 122746 1 +BIT24_0 122746 1 +BIT23_0 122746 1 +BIT22_0 122746 1 +BIT21_0 122746 1 +BIT20_0 122746 1 +BIT19_0 122746 1 +BIT18_0 122746 1 +BIT17_0 122746 1 +BIT16_0 122744 1 +BIT15_0 122739 1 +BIT14_0 122674 1 +BIT13_0 122596 1 +BIT12_0 118208 1 +BIT11_0 84780 1 +BIT10_0 72169 1 +BIT9_0 60694 1 +BIT8_0 58816 1 +BIT7_0 62175 1 +BIT6_0 61661 1 +BIT5_0 61418 1 +BIT4_0 61094 1 +BIT3_0 61495 1 +BIT2_0 61379 1 +BIT1_0 56106 1 +BIT0_0 122746 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immj_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_immj_toggle + + +Bins + +NAME COUNT AT LEAST +BIT19_1 52524 1 +BIT18_1 52524 1 +BIT17_1 52524 1 +BIT16_1 52524 1 +BIT15_1 52524 1 +BIT14_1 52523 1 +BIT13_1 52527 1 +BIT12_1 52530 1 +BIT11_1 52531 1 +BIT10_1 52557 1 +BIT9_1 52368 1 +BIT8_1 52566 1 +BIT7_1 52408 1 +BIT6_1 52507 1 +BIT5_1 55263 1 +BIT4_1 61241 1 +BIT3_1 62944 1 +BIT2_1 64158 1 +BIT1_1 65345 1 +BIT0_1 33578 1 +BIT19_0 70222 1 +BIT18_0 70222 1 +BIT17_0 70222 1 +BIT16_0 70222 1 +BIT15_0 70222 1 +BIT14_0 70223 1 +BIT13_0 70219 1 +BIT12_0 70216 1 +BIT11_0 70215 1 +BIT10_0 70189 1 +BIT9_0 70378 1 +BIT8_0 70180 1 +BIT7_0 70338 1 +BIT6_0 70239 1 +BIT5_0 67483 1 +BIT4_0 61505 1 +BIT3_0 59802 1 +BIT2_0 58588 1 +BIT1_0 57401 1 +BIT0_0 89168 1 + + +Group : uvma_isacov_pkg::cg_itype(withChksum=3332249172) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype(withChksum=3332249172) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.01 98.01 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 92.03 1 100 1 64 64 uvma_isacov_pkg.rv32i_jalr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_andi_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_ori_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_xori_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_jalr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 92.03 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 20 235 91.15 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 1 1 50.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 19 45 70.31 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 98 1 +auto[2] 40 1 +auto[3] 39 1 +auto[4] 66 1 +auto[5] 26 1 +auto[6] 154 1 +auto[7] 68 1 +auto[8] 59 1 +auto[9] 44 1 +auto[10] 133 1 +auto[11] 82 1 +auto[12] 160 1 +auto[13] 82 1 +auto[14] 47 1 +auto[15] 27 1 +auto[16] 65 1 +auto[17] 26 1 +auto[18] 33 1 +auto[19] 26 1 +auto[20] 42 1 +auto[21] 29 1 +auto[22] 50 1 +auto[23] 29 1 +auto[24] 39 1 +auto[25] 85 1 +auto[26] 44 1 +auto[27] 94 1 +auto[28] 55 1 +auto[29] 57 1 +auto[30] 37 1 +auto[31] 27 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 194 1 +auto[2] 69 1 +auto[3] 26 1 +auto[4] 40 1 +auto[5] 67 1 +auto[6] 290 1 +auto[7] 84 1 +auto[8] 36 1 +auto[9] 58 1 +auto[10] 73 1 +auto[11] 58 1 +auto[12] 167 1 +auto[13] 18 1 +auto[14] 37 1 +auto[15] 24 1 +auto[16] 37 1 +auto[17] 11 1 +auto[18] 24 1 +auto[19] 20 1 +auto[20] 28 1 +auto[21] 19 1 +auto[22] 164 1 +auto[23] 46 1 +auto[24] 23 1 +auto[25] 60 1 +auto[26] 27 1 +auto[27] 54 1 +auto[28] 16 1 +auto[29] 47 1 +auto[30] 31 1 +auto[31] 18 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1 1 +RD_01 56 1 +RD_02 22 1 +RD_03 26 1 +RD_04 26 1 +RD_05 12 1 +RD_06 134 1 +RD_07 44 1 +RD_08 36 1 +RD_09 24 1 +RD_0a 73 1 +RD_0b 58 1 +RD_0c 137 1 +RD_0d 18 1 +RD_0e 30 1 +RD_0f 6 1 +RD_10 11 1 +RD_11 11 1 +RD_12 24 1 +RD_13 20 1 +RD_14 28 1 +RD_15 19 1 +RD_16 25 1 +RD_17 12 1 +RD_18 23 1 +RD_19 53 1 +RD_1a 27 1 +RD_1b 54 1 +RD_1c 16 1 +RD_1d 39 1 +RD_1e 31 1 +RD_1f 12 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6 1 +auto_NON_ZERO 1861 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1079 1 +auto_POSITIVE 368 1 +auto_NEGATIVE 420 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for cp_rd_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_NON_ZERO 1867 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1848 1 +BIT30_1 13 1 +BIT29_1 13 1 +BIT28_1 13 1 +BIT27_1 14 1 +BIT26_1 13 1 +BIT25_1 13 1 +BIT24_1 14 1 +BIT23_1 13 1 +BIT22_1 14 1 +BIT21_1 14 1 +BIT20_1 14 1 +BIT19_1 14 1 +BIT18_1 14 1 +BIT17_1 14 1 +BIT16_1 13 1 +BIT15_1 14 1 +BIT14_1 13 1 +BIT13_1 18 1 +BIT12_1 19 1 +BIT11_1 679 1 +BIT10_1 996 1 +BIT9_1 917 1 +BIT8_1 782 1 +BIT7_1 894 1 +BIT6_1 977 1 +BIT5_1 875 1 +BIT4_1 1049 1 +BIT3_1 914 1 +BIT2_1 793 1 +BIT1_1 1031 1 +BIT0_1 1064 1 +BIT31_0 19 1 +BIT30_0 1854 1 +BIT29_0 1854 1 +BIT28_0 1854 1 +BIT27_0 1853 1 +BIT26_0 1854 1 +BIT25_0 1854 1 +BIT24_0 1853 1 +BIT23_0 1854 1 +BIT22_0 1853 1 +BIT21_0 1853 1 +BIT20_0 1853 1 +BIT19_0 1853 1 +BIT18_0 1853 1 +BIT17_0 1853 1 +BIT16_0 1854 1 +BIT15_0 1853 1 +BIT14_0 1854 1 +BIT13_0 1849 1 +BIT12_0 1848 1 +BIT11_0 1188 1 +BIT10_0 871 1 +BIT9_0 950 1 +BIT8_0 1085 1 +BIT7_0 973 1 +BIT6_0 890 1 +BIT5_0 992 1 +BIT4_0 818 1 +BIT3_0 953 1 +BIT2_0 1074 1 +BIT1_0 836 1 +BIT0_0 803 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 420 1 +BIT10_1 420 1 +BIT9_1 455 1 +BIT8_1 415 1 +BIT7_1 383 1 +BIT6_1 397 1 +BIT5_1 344 1 +BIT4_1 362 1 +BIT3_1 424 1 +BIT2_1 361 1 +BIT1_1 389 1 +BIT0_1 354 1 +BIT11_0 1447 1 +BIT10_0 1447 1 +BIT9_0 1412 1 +BIT8_0 1452 1 +BIT7_0 1484 1 +BIT6_0 1470 1 +BIT5_0 1523 1 +BIT4_0 1505 1 +BIT3_0 1443 1 +BIT2_0 1506 1 +BIT1_0 1478 1 +BIT0_0 1513 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 19 45 70.31 + + +User Defined Bins for cp_rd_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT30_1 0 1 1 +BIT29_1 0 1 1 +BIT28_1 0 1 1 +BIT27_1 0 1 1 +BIT26_1 0 1 1 +BIT25_1 0 1 1 +BIT24_1 0 1 1 +BIT23_1 0 1 1 +BIT22_1 0 1 1 +BIT21_1 0 1 1 +BIT20_1 0 1 1 +BIT19_1 0 1 1 +BIT18_1 0 1 1 +BIT17_1 0 1 1 +BIT16_1 0 1 1 +BIT15_1 0 1 1 +BIT14_1 0 1 1 +BIT0_1 0 1 1 +BIT31_0 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 1867 1 +BIT13_1 13 1 +BIT12_1 13 1 +BIT11_1 714 1 +BIT10_1 957 1 +BIT9_1 868 1 +BIT8_1 1038 1 +BIT7_1 948 1 +BIT6_1 892 1 +BIT5_1 846 1 +BIT4_1 873 1 +BIT3_1 801 1 +BIT2_1 1072 1 +BIT1_1 892 1 +BIT30_0 1867 1 +BIT29_0 1867 1 +BIT28_0 1867 1 +BIT27_0 1867 1 +BIT26_0 1867 1 +BIT25_0 1867 1 +BIT24_0 1867 1 +BIT23_0 1867 1 +BIT22_0 1867 1 +BIT21_0 1867 1 +BIT20_0 1867 1 +BIT19_0 1867 1 +BIT18_0 1867 1 +BIT17_0 1867 1 +BIT16_0 1867 1 +BIT15_0 1867 1 +BIT14_0 1867 1 +BIT13_0 1854 1 +BIT12_0 1854 1 +BIT11_0 1153 1 +BIT10_0 910 1 +BIT9_0 999 1 +BIT8_0 829 1 +BIT7_0 919 1 +BIT6_0 975 1 +BIT5_0 1021 1 +BIT4_0 994 1 +BIT3_0 1066 1 +BIT2_0 795 1 +BIT1_0 975 1 +BIT0_0 1867 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 4 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 1075 1 +auto_NON_ZERO auto_POSITIVE 367 1 +auto_NON_ZERO auto_NEGATIVE 419 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_andi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_andi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_andi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_andi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2208 1 +auto[1] 679 1 +auto[2] 614 1 +auto[3] 619 1 +auto[4] 671 1 +auto[5] 610 1 +auto[6] 573 1 +auto[7] 641 1 +auto[8] 578 1 +auto[9] 585 1 +auto[10] 561 1 +auto[11] 627 1 +auto[12] 597 1 +auto[13] 573 1 +auto[14] 644 1 +auto[15] 681 1 +auto[16] 576 1 +auto[17] 603 1 +auto[18] 590 1 +auto[19] 602 1 +auto[20] 563 1 +auto[21] 609 1 +auto[22] 570 1 +auto[23] 600 1 +auto[24] 595 1 +auto[25] 630 1 +auto[26] 638 1 +auto[27] 707 1 +auto[28] 557 1 +auto[29] 614 1 +auto[30] 637 1 +auto[31] 711 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2217 1 +auto[1] 596 1 +auto[2] 572 1 +auto[3] 598 1 +auto[4] 680 1 +auto[5] 570 1 +auto[6] 760 1 +auto[7] 578 1 +auto[8] 566 1 +auto[9] 599 1 +auto[10] 624 1 +auto[11] 585 1 +auto[12] 532 1 +auto[13] 679 1 +auto[14] 638 1 +auto[15] 609 1 +auto[16] 566 1 +auto[17] 713 1 +auto[18] 612 1 +auto[19] 611 1 +auto[20] 579 1 +auto[21] 587 1 +auto[22] 548 1 +auto[23] 644 1 +auto[24] 572 1 +auto[25] 600 1 +auto[26] 730 1 +auto[27] 613 1 +auto[28] 592 1 +auto[29] 657 1 +auto[30] 647 1 +auto[31] 589 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1665 1 +RD_01 25 1 +RD_02 35 1 +RD_03 18 1 +RD_04 31 1 +RD_05 10 1 +RD_06 18 1 +RD_07 16 1 +RD_08 14 1 +RD_09 24 1 +RD_0a 16 1 +RD_0b 14 1 +RD_0c 8 1 +RD_0d 12 1 +RD_0e 16 1 +RD_0f 22 1 +RD_10 18 1 +RD_11 16 1 +RD_12 19 1 +RD_13 27 1 +RD_14 26 1 +RD_15 10 1 +RD_16 18 1 +RD_17 21 1 +RD_18 24 1 +RD_19 12 1 +RD_1a 23 1 +RD_1b 23 1 +RD_1c 12 1 +RD_1d 27 1 +RD_1e 17 1 +RD_1f 26 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7956 1 +auto_NON_ZERO 13307 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5 1 +auto_POSITIVE 10274 1 +auto_NEGATIVE 10984 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9956 1 +auto_NON_ZERO 11307 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6243 1 +BIT30_1 3968 1 +BIT29_1 4015 1 +BIT28_1 3993 1 +BIT27_1 3870 1 +BIT26_1 3858 1 +BIT25_1 3821 1 +BIT24_1 3879 1 +BIT23_1 3832 1 +BIT22_1 3968 1 +BIT21_1 4015 1 +BIT20_1 3930 1 +BIT19_1 4008 1 +BIT18_1 4015 1 +BIT17_1 3742 1 +BIT16_1 4177 1 +BIT15_1 5168 1 +BIT14_1 4931 1 +BIT13_1 5206 1 +BIT12_1 5142 1 +BIT11_1 5521 1 +BIT10_1 5581 1 +BIT9_1 4696 1 +BIT8_1 4238 1 +BIT7_1 5319 1 +BIT6_1 4639 1 +BIT5_1 4670 1 +BIT4_1 6298 1 +BIT3_1 6340 1 +BIT2_1 6220 1 +BIT1_1 5054 1 +BIT0_1 5551 1 +BIT31_0 15020 1 +BIT30_0 17295 1 +BIT29_0 17248 1 +BIT28_0 17270 1 +BIT27_0 17393 1 +BIT26_0 17405 1 +BIT25_0 17442 1 +BIT24_0 17384 1 +BIT23_0 17431 1 +BIT22_0 17295 1 +BIT21_0 17248 1 +BIT20_0 17333 1 +BIT19_0 17255 1 +BIT18_0 17248 1 +BIT17_0 17521 1 +BIT16_0 17086 1 +BIT15_0 16095 1 +BIT14_0 16332 1 +BIT13_0 16057 1 +BIT12_0 16121 1 +BIT11_0 15742 1 +BIT10_0 15682 1 +BIT9_0 16567 1 +BIT8_0 17025 1 +BIT7_0 15944 1 +BIT6_0 16624 1 +BIT5_0 16593 1 +BIT4_0 14965 1 +BIT3_0 14923 1 +BIT2_0 15043 1 +BIT1_0 16209 1 +BIT0_0 15712 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10984 1 +BIT10_1 10440 1 +BIT9_1 10634 1 +BIT8_1 10433 1 +BIT7_1 10832 1 +BIT6_1 10904 1 +BIT5_1 10657 1 +BIT4_1 10845 1 +BIT3_1 10735 1 +BIT2_1 10612 1 +BIT1_1 10600 1 +BIT0_1 10848 1 +BIT11_0 10279 1 +BIT10_0 10823 1 +BIT9_0 10629 1 +BIT8_0 10830 1 +BIT7_0 10431 1 +BIT6_0 10359 1 +BIT5_0 10606 1 +BIT4_0 10418 1 +BIT3_0 10528 1 +BIT2_0 10651 1 +BIT1_0 10663 1 +BIT0_0 10415 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3257 1 +BIT30_1 2048 1 +BIT29_1 2105 1 +BIT28_1 2082 1 +BIT27_1 1991 1 +BIT26_1 1979 1 +BIT25_1 1949 1 +BIT24_1 2011 1 +BIT23_1 1958 1 +BIT22_1 2130 1 +BIT21_1 2192 1 +BIT20_1 2084 1 +BIT19_1 2141 1 +BIT18_1 2142 1 +BIT17_1 1893 1 +BIT16_1 2171 1 +BIT15_1 2770 1 +BIT14_1 2525 1 +BIT13_1 2731 1 +BIT12_1 2779 1 +BIT11_1 2832 1 +BIT10_1 2683 1 +BIT9_1 2362 1 +BIT8_1 2054 1 +BIT7_1 2742 1 +BIT6_1 2308 1 +BIT5_1 2281 1 +BIT4_1 3324 1 +BIT3_1 3254 1 +BIT2_1 3139 1 +BIT1_1 2511 1 +BIT0_1 2831 1 +BIT31_0 18006 1 +BIT30_0 19215 1 +BIT29_0 19158 1 +BIT28_0 19181 1 +BIT27_0 19272 1 +BIT26_0 19284 1 +BIT25_0 19314 1 +BIT24_0 19252 1 +BIT23_0 19305 1 +BIT22_0 19133 1 +BIT21_0 19071 1 +BIT20_0 19179 1 +BIT19_0 19122 1 +BIT18_0 19121 1 +BIT17_0 19370 1 +BIT16_0 19092 1 +BIT15_0 18493 1 +BIT14_0 18738 1 +BIT13_0 18532 1 +BIT12_0 18484 1 +BIT11_0 18431 1 +BIT10_0 18580 1 +BIT9_0 18901 1 +BIT8_0 19209 1 +BIT7_0 18521 1 +BIT6_0 18955 1 +BIT5_0 18982 1 +BIT4_0 17939 1 +BIT3_0 18009 1 +BIT2_0 18124 1 +BIT1_0 18752 1 +BIT0_0 18432 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 4 1 +auto_ZERO auto_POSITIVE 3919 1 +auto_ZERO auto_NEGATIVE 4033 1 +auto_NON_ZERO auto_ZERO 1 1 +auto_NON_ZERO auto_POSITIVE 6355 1 +auto_NON_ZERO auto_NEGATIVE 6951 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_ori_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_ori_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_ori_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_ori_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1942 1 +auto[1] 617 1 +auto[2] 650 1 +auto[3] 577 1 +auto[4] 673 1 +auto[5] 629 1 +auto[6] 689 1 +auto[7] 635 1 +auto[8] 665 1 +auto[9] 673 1 +auto[10] 683 1 +auto[11] 655 1 +auto[12] 618 1 +auto[13] 674 1 +auto[14] 592 1 +auto[15] 753 1 +auto[16] 698 1 +auto[17] 649 1 +auto[18] 622 1 +auto[19] 695 1 +auto[20] 660 1 +auto[21] 731 1 +auto[22] 739 1 +auto[23] 727 1 +auto[24] 687 1 +auto[25] 723 1 +auto[26] 664 1 +auto[27] 720 1 +auto[28] 654 1 +auto[29] 627 1 +auto[30] 667 1 +auto[31] 618 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1939 1 +auto[1] 661 1 +auto[2] 627 1 +auto[3] 650 1 +auto[4] 667 1 +auto[5] 625 1 +auto[6] 660 1 +auto[7] 672 1 +auto[8] 608 1 +auto[9] 699 1 +auto[10] 698 1 +auto[11] 703 1 +auto[12] 675 1 +auto[13] 634 1 +auto[14] 696 1 +auto[15] 659 1 +auto[16] 644 1 +auto[17] 630 1 +auto[18] 630 1 +auto[19] 669 1 +auto[20] 686 1 +auto[21] 659 1 +auto[22] 759 1 +auto[23] 672 1 +auto[24] 637 1 +auto[25] 684 1 +auto[26] 642 1 +auto[27] 716 1 +auto[28] 689 1 +auto[29] 653 1 +auto[30] 677 1 +auto[31] 686 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1402 1 +RD_01 18 1 +RD_02 98 1 +RD_03 15 1 +RD_04 89 1 +RD_05 79 1 +RD_06 114 1 +RD_07 100 1 +RD_08 94 1 +RD_09 106 1 +RD_0a 109 1 +RD_0b 97 1 +RD_0c 97 1 +RD_0d 81 1 +RD_0e 84 1 +RD_0f 97 1 +RD_10 87 1 +RD_11 98 1 +RD_12 94 1 +RD_13 112 1 +RD_14 104 1 +RD_15 103 1 +RD_16 110 1 +RD_17 114 1 +RD_18 97 1 +RD_19 94 1 +RD_1a 98 1 +RD_1b 147 1 +RD_1c 89 1 +RD_1d 101 1 +RD_1e 106 1 +RD_1f 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7555 1 +auto_NON_ZERO 15051 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2345 1 +auto_POSITIVE 10276 1 +auto_NEGATIVE 9985 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3 1 +auto_NON_ZERO 22603 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8242 1 +BIT30_1 3854 1 +BIT29_1 3768 1 +BIT28_1 3816 1 +BIT27_1 3567 1 +BIT26_1 3618 1 +BIT25_1 3584 1 +BIT24_1 3559 1 +BIT23_1 3580 1 +BIT22_1 3599 1 +BIT21_1 3625 1 +BIT20_1 3623 1 +BIT19_1 3630 1 +BIT18_1 3646 1 +BIT17_1 3596 1 +BIT16_1 3770 1 +BIT15_1 4625 1 +BIT14_1 4655 1 +BIT13_1 5010 1 +BIT12_1 5734 1 +BIT11_1 6438 1 +BIT10_1 6186 1 +BIT9_1 5689 1 +BIT8_1 5013 1 +BIT7_1 5477 1 +BIT6_1 4823 1 +BIT5_1 5204 1 +BIT4_1 6358 1 +BIT3_1 6494 1 +BIT2_1 6372 1 +BIT1_1 4568 1 +BIT0_1 5338 1 +BIT31_0 14364 1 +BIT30_0 18752 1 +BIT29_0 18838 1 +BIT28_0 18790 1 +BIT27_0 19039 1 +BIT26_0 18988 1 +BIT25_0 19022 1 +BIT24_0 19047 1 +BIT23_0 19026 1 +BIT22_0 19007 1 +BIT21_0 18981 1 +BIT20_0 18983 1 +BIT19_0 18976 1 +BIT18_0 18960 1 +BIT17_0 19010 1 +BIT16_0 18836 1 +BIT15_0 17981 1 +BIT14_0 17951 1 +BIT13_0 17596 1 +BIT12_0 16872 1 +BIT11_0 16168 1 +BIT10_0 16420 1 +BIT9_0 16917 1 +BIT8_0 17593 1 +BIT7_0 17129 1 +BIT6_0 17783 1 +BIT5_0 17402 1 +BIT4_0 16248 1 +BIT3_0 16112 1 +BIT2_0 16234 1 +BIT1_0 18038 1 +BIT0_0 17268 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 9985 1 +BIT10_1 10169 1 +BIT9_1 9971 1 +BIT8_1 10285 1 +BIT7_1 10094 1 +BIT6_1 10085 1 +BIT5_1 9938 1 +BIT4_1 10105 1 +BIT3_1 10094 1 +BIT2_1 10159 1 +BIT1_1 10021 1 +BIT0_1 10258 1 +BIT11_0 12621 1 +BIT10_0 12437 1 +BIT9_0 12635 1 +BIT8_0 12321 1 +BIT7_0 12512 1 +BIT6_0 12521 1 +BIT5_0 12668 1 +BIT4_0 12501 1 +BIT3_0 12512 1 +BIT2_0 12447 1 +BIT1_0 12585 1 +BIT0_0 12348 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 15379 1 +BIT30_1 11991 1 +BIT29_1 11922 1 +BIT28_1 11960 1 +BIT27_1 11816 1 +BIT26_1 11867 1 +BIT25_1 11862 1 +BIT24_1 11836 1 +BIT23_1 11849 1 +BIT22_1 11893 1 +BIT21_1 11879 1 +BIT20_1 11897 1 +BIT19_1 11894 1 +BIT18_1 11904 1 +BIT17_1 11868 1 +BIT16_1 11953 1 +BIT15_1 12390 1 +BIT14_1 12384 1 +BIT13_1 12643 1 +BIT12_1 13538 1 +BIT11_1 13886 1 +BIT10_1 13697 1 +BIT9_1 13468 1 +BIT8_1 13188 1 +BIT7_1 13111 1 +BIT6_1 12810 1 +BIT5_1 12906 1 +BIT4_1 13540 1 +BIT3_1 13639 1 +BIT2_1 13597 1 +BIT1_1 12304 1 +BIT0_1 12928 1 +BIT31_0 7227 1 +BIT30_0 10615 1 +BIT29_0 10684 1 +BIT28_0 10646 1 +BIT27_0 10790 1 +BIT26_0 10739 1 +BIT25_0 10744 1 +BIT24_0 10770 1 +BIT23_0 10757 1 +BIT22_0 10713 1 +BIT21_0 10727 1 +BIT20_0 10709 1 +BIT19_0 10712 1 +BIT18_0 10702 1 +BIT17_0 10738 1 +BIT16_0 10653 1 +BIT15_0 10216 1 +BIT14_0 10222 1 +BIT13_0 9963 1 +BIT12_0 9068 1 +BIT11_0 8720 1 +BIT10_0 8909 1 +BIT9_0 9138 1 +BIT8_0 9418 1 +BIT7_0 9495 1 +BIT6_0 9796 1 +BIT5_0 9700 1 +BIT4_0 9066 1 +BIT3_0 8967 1 +BIT2_0 9009 1 +BIT1_0 10302 1 +BIT0_0 9678 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 3 1 +auto_ZERO auto_POSITIVE 3793 1 +auto_ZERO auto_NEGATIVE 3759 1 +auto_NON_ZERO auto_ZERO 2342 1 +auto_NON_ZERO auto_POSITIVE 6483 1 +auto_NON_ZERO auto_NEGATIVE 6226 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_xori_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.01 98.01 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_xori_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_xori_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_xori_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2136 1 +auto[1] 576 1 +auto[2] 539 1 +auto[3] 604 1 +auto[4] 618 1 +auto[5] 626 1 +auto[6] 591 1 +auto[7] 603 1 +auto[8] 652 1 +auto[9] 589 1 +auto[10] 573 1 +auto[11] 554 1 +auto[12] 587 1 +auto[13] 552 1 +auto[14] 593 1 +auto[15] 614 1 +auto[16] 565 1 +auto[17] 614 1 +auto[18] 600 1 +auto[19] 553 1 +auto[20] 570 1 +auto[21] 723 1 +auto[22] 596 1 +auto[23] 607 1 +auto[24] 539 1 +auto[25] 667 1 +auto[26] 613 1 +auto[27] 601 1 +auto[28] 599 1 +auto[29] 595 1 +auto[30] 575 1 +auto[31] 590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2324 1 +auto[1] 614 1 +auto[2] 553 1 +auto[3] 715 1 +auto[4] 597 1 +auto[5] 572 1 +auto[6] 626 1 +auto[7] 632 1 +auto[8] 587 1 +auto[9] 573 1 +auto[10] 575 1 +auto[11] 657 1 +auto[12] 610 1 +auto[13] 545 1 +auto[14] 634 1 +auto[15] 549 1 +auto[16] 534 1 +auto[17] 558 1 +auto[18] 542 1 +auto[19] 618 1 +auto[20] 579 1 +auto[21] 566 1 +auto[22] 620 1 +auto[23] 583 1 +auto[24] 579 1 +auto[25] 625 1 +auto[26] 546 1 +auto[27] 569 1 +auto[28] 604 1 +auto[29] 563 1 +auto[30] 615 1 +auto[31] 550 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1578 1 +RD_01 14 1 +RD_02 31 1 +RD_03 45 1 +RD_04 31 1 +RD_05 20 1 +RD_06 24 1 +RD_07 19 1 +RD_08 21 1 +RD_09 12 1 +RD_0a 12 1 +RD_0b 22 1 +RD_0c 25 1 +RD_0d 21 1 +RD_0e 7 1 +RD_0f 11 1 +RD_10 11 1 +RD_11 12 1 +RD_12 17 1 +RD_13 23 1 +RD_14 24 1 +RD_15 21 1 +RD_16 20 1 +RD_17 18 1 +RD_18 14 1 +RD_19 22 1 +RD_1a 20 1 +RD_1b 17 1 +RD_1c 18 1 +RD_1d 13 1 +RD_1e 18 1 +RD_1f 15 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7854 1 +auto_NON_ZERO 12760 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8 1 +auto_POSITIVE 10378 1 +auto_NEGATIVE 10228 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7 1 +auto_NON_ZERO 20607 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6036 1 +BIT30_1 3831 1 +BIT29_1 3774 1 +BIT28_1 3785 1 +BIT27_1 3657 1 +BIT26_1 3682 1 +BIT25_1 3634 1 +BIT24_1 3567 1 +BIT23_1 3641 1 +BIT22_1 3650 1 +BIT21_1 3663 1 +BIT20_1 3653 1 +BIT19_1 3661 1 +BIT18_1 3709 1 +BIT17_1 3621 1 +BIT16_1 3829 1 +BIT15_1 4763 1 +BIT14_1 4596 1 +BIT13_1 4901 1 +BIT12_1 4694 1 +BIT11_1 5121 1 +BIT10_1 5211 1 +BIT9_1 4705 1 +BIT8_1 4109 1 +BIT7_1 5147 1 +BIT6_1 4429 1 +BIT5_1 4613 1 +BIT4_1 5794 1 +BIT3_1 5990 1 +BIT2_1 5938 1 +BIT1_1 4690 1 +BIT0_1 5277 1 +BIT31_0 14578 1 +BIT30_0 16783 1 +BIT29_0 16840 1 +BIT28_0 16829 1 +BIT27_0 16957 1 +BIT26_0 16932 1 +BIT25_0 16980 1 +BIT24_0 17047 1 +BIT23_0 16973 1 +BIT22_0 16964 1 +BIT21_0 16951 1 +BIT20_0 16961 1 +BIT19_0 16953 1 +BIT18_0 16905 1 +BIT17_0 16993 1 +BIT16_0 16785 1 +BIT15_0 15851 1 +BIT14_0 16018 1 +BIT13_0 15713 1 +BIT12_0 15920 1 +BIT11_0 15493 1 +BIT10_0 15403 1 +BIT9_0 15909 1 +BIT8_0 16505 1 +BIT7_0 15467 1 +BIT6_0 16185 1 +BIT5_0 16001 1 +BIT4_0 14820 1 +BIT3_0 14624 1 +BIT2_0 14676 1 +BIT1_0 15924 1 +BIT0_0 15337 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10228 1 +BIT10_1 10218 1 +BIT9_1 10559 1 +BIT8_1 10572 1 +BIT7_1 10313 1 +BIT6_1 10039 1 +BIT5_1 10335 1 +BIT4_1 10456 1 +BIT3_1 10475 1 +BIT2_1 10286 1 +BIT1_1 10373 1 +BIT0_1 10107 1 +BIT11_0 10386 1 +BIT10_0 10396 1 +BIT9_0 10055 1 +BIT8_0 10042 1 +BIT7_0 10301 1 +BIT6_0 10575 1 +BIT5_0 10279 1 +BIT4_0 10158 1 +BIT3_0 10139 1 +BIT2_0 10328 1 +BIT1_0 10241 1 +BIT0_0 10507 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 10420 1 +BIT30_1 10349 1 +BIT29_1 10300 1 +BIT28_1 10291 1 +BIT27_1 10307 1 +BIT26_1 10332 1 +BIT25_1 10306 1 +BIT24_1 10305 1 +BIT23_1 10305 1 +BIT22_1 10272 1 +BIT21_1 10319 1 +BIT20_1 10211 1 +BIT19_1 10265 1 +BIT18_1 10213 1 +BIT17_1 10267 1 +BIT16_1 10259 1 +BIT15_1 10243 1 +BIT14_1 10330 1 +BIT13_1 10355 1 +BIT12_1 10354 1 +BIT11_1 10289 1 +BIT10_1 10333 1 +BIT9_1 10470 1 +BIT8_1 10425 1 +BIT7_1 10274 1 +BIT6_1 10318 1 +BIT5_1 10354 1 +BIT4_1 10268 1 +BIT3_1 10377 1 +BIT2_1 10336 1 +BIT1_1 10193 1 +BIT0_1 10262 1 +BIT31_0 10194 1 +BIT30_0 10265 1 +BIT29_0 10314 1 +BIT28_0 10323 1 +BIT27_0 10307 1 +BIT26_0 10282 1 +BIT25_0 10308 1 +BIT24_0 10309 1 +BIT23_0 10309 1 +BIT22_0 10342 1 +BIT21_0 10295 1 +BIT20_0 10403 1 +BIT19_0 10349 1 +BIT18_0 10401 1 +BIT17_0 10347 1 +BIT16_0 10355 1 +BIT15_0 10371 1 +BIT14_0 10284 1 +BIT13_0 10259 1 +BIT12_0 10260 1 +BIT11_0 10325 1 +BIT10_0 10281 1 +BIT9_0 10144 1 +BIT8_0 10189 1 +BIT7_0 10340 1 +BIT6_0 10296 1 +BIT5_0 10260 1 +BIT4_0 10346 1 +BIT3_0 10237 1 +BIT2_0 10278 1 +BIT1_0 10421 1 +BIT0_0 10352 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 4 1 +auto_ZERO auto_POSITIVE 4017 1 +auto_ZERO auto_NEGATIVE 3833 1 +auto_NON_ZERO auto_ZERO 4 1 +auto_NON_ZERO auto_POSITIVE 6361 1 +auto_NON_ZERO auto_NEGATIVE 6395 1 + + +Group : uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + +=============================================================================== +Group : uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.29 98.29 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_interrupt_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 98.29 1 100 1 64 64 uvme_cva6_pkg.interrupt_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 +Crosses 26 2 24 92.31 + + +Variables for Group uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt 3 0 3 100.00 100 1 1 0 +cp_mstatus_mie 1 0 1 100.00 100 1 1 0 +cp_msie 0 0 0 1 0 +cp_mtie 1 0 1 100.00 100 1 1 0 +cp_meie 1 0 1 100.00 100 1 1 0 +cp_msip 0 0 0 1 0 +cp_mtip 1 0 1 100.00 100 1 1 0 +cp_meip 1 0 1 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.interrupt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 98.29 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.29 98.29 1 100 1 1 64 64 uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.interrupt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 +Crosses 26 2 24 92.31 + + +Variables for Group Instance uvme_cva6_pkg.interrupt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt 3 0 3 100.00 100 1 1 0 +cp_mstatus_mie 1 0 1 100.00 100 1 1 0 +cp_msie 0 0 0 1 0 +cp_mtie 1 0 1 100.00 100 1 1 0 +cp_meie 1 0 1 100.00 100 1 1 0 +cp_msip 0 0 0 1 0 +cp_mtip 1 0 1 100.00 100 1 1 0 +cp_meip 1 0 1 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.interrupt_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_external_interrupt 13 1 12 92.31 100 1 1 0 +cross_timer_interrupt 13 1 12 92.31 100 1 1 0 +cross_software_interrupt 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_interrupt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for cp_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +HS_MODE_EXTERNAL_INTERRUPT 0 Excluded +VS_MODE_TIMER_INTERRUPT 0 Excluded +VS_MODE_SOFTWARE_INTERRUPT 0 Excluded +VS_MODE_EXTERNAL_INTERRUPT 0 Excluded +SUPERVISOR_MODE_TIMER_INTERRUPT 0 Excluded +SUPERVISOR_MODE_SOFTWARE_INTERRUPT 0 Excluded +SUPERVISOR_MODE_EXTERNAL_INTERRUPT 0 Excluded +MACHINE_MODE_SOFTWARE_INTERRUPT 0 Excluded +IGN_VS_IRQ 0 Excluded +IGN_S_IRQ 0 Excluded +IGN_SOFTWARE_INTERRUPT 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +MACHINE_MODE_TIMER_INTERRUPT 73907 1 +MACHINE_MODE_EXTERNAL_INTERRUPT 131538 1 +NO_INTERRUPT 1466022 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_mstatus_mie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_mstatus_mie + + +Bins + +NAME COUNT AT LEAST +GLOBAL_INTERRUPT_ENABLE 369383 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_msie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_msie + + +Excluded/Illegal bins + +NAME COUNT STATUS +MSIE 0 Excluded +IGN_MSIE 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_mtie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_mtie + + +Bins + +NAME COUNT AT LEAST +MTIE 7391606 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_meie + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_meie + + +Bins + +NAME COUNT AT LEAST +MEIE 7394272 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_msip + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_msip + + +Excluded/Illegal bins + +NAME COUNT STATUS +MSIP 0 Excluded +IGN_MSIP 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_mtip + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_mtip + + +Bins + +NAME COUNT AT LEAST +MTIP 5591436 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_meip + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_meip + + +Bins + +NAME COUNT AT LEAST +MEIP 4574259 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 13 0 13 100.00 + + +Automatically Generated Bins for cp_group + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Excluded +auto_MISALIGN_LOAD_GROUP 0 Excluded +auto_MISALIGN_STORE_GROUP 0 Excluded +auto_FENCE_I_GROUP 0 Excluded +auto_ALOAD_GROUP 0 Excluded +auto_ASTORE_GROUP 0 Excluded +auto_AMEM_GROUP 0 Excluded +IGN_FENCE_I 0 Excluded +IGN_MISALIGN 0 Excluded +IGN_EXT_A 0 Excluded +IGN_UNKNOWN 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_LOAD_GROUP 1386614 1 +auto_STORE_GROUP 1408780 1 +auto_ALU_GROUP 5553126 1 +auto_BRANCH_GROUP 656309 1 +auto_JUMP_GROUP 250040 1 +auto_FENCE_GROUP 11209 1 +auto_RET_GROUP 284980 1 +auto_WFI_GROUP 3292 1 +auto_CSR_GROUP 1584848 1 +auto_ENV_GROUP 11214 1 +auto_MUL_GROUP 31911 1 +auto_MULTI_MUL_GROUP 61789 1 +auto_DIV_GROUP 82992 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_external_interrupt + + +Samples crossed: cp_group cp_interrupt +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 13 1 12 92.31 1 +Automatically Generated Cross Bins 13 1 12 92.31 1 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_external_interrupt + + +Uncovered bins + +cp_group cp_interrupt COUNT AT LEAST NUMBER +[auto_ENV_GROUP] [MACHINE_MODE_EXTERNAL_INTERRUPT] 0 1 1 + + +Excluded/Illegal bins + +cp_group cp_interrupt COUNT STATUS +[auto_UNKNOWN_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (14 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (2 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (22 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (28 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (4 bins) +[auto_FENCE_I_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (49 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (7 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (33 bins) + + +Covered bins + +cp_group cp_interrupt COUNT AT LEAST +auto_LOAD_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 175 1 +auto_STORE_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 105 1 +auto_ALU_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 4648 1 +auto_BRANCH_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 753 1 +auto_JUMP_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 375 1 +auto_FENCE_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 525 1 +auto_RET_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 123435 1 +auto_WFI_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 147 1 +auto_CSR_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 22 1 +auto_MUL_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 231 1 +auto_MULTI_MUL_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 478 1 +auto_DIV_GROUP MACHINE_MODE_EXTERNAL_INTERRUPT 644 1 + + +User Defined Cross Bins for cross_external_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +NO_EXTERNAL_INTERRUPT 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_timer_interrupt + + +Samples crossed: cp_group cp_interrupt +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 13 1 12 92.31 1 +Automatically Generated Cross Bins 13 1 12 92.31 1 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_timer_interrupt + + +Uncovered bins + +cp_group cp_interrupt COUNT AT LEAST NUMBER +[auto_ENV_GROUP] [MACHINE_MODE_TIMER_INTERRUPT] 0 1 1 + + +Excluded/Illegal bins + +cp_group cp_interrupt COUNT STATUS +[auto_UNKNOWN_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (14 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (2 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (22 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (28 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (4 bins) +[auto_FENCE_I_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (11 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT] -- Excluded (49 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [MACHINE_MODE_SOFTWARE_INTERRUPT] -- Excluded (7 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT] -- Excluded (33 bins) + + +Covered bins + +cp_group cp_interrupt COUNT AT LEAST +auto_LOAD_GROUP MACHINE_MODE_TIMER_INTERRUPT 152 1 +auto_STORE_GROUP MACHINE_MODE_TIMER_INTERRUPT 79 1 +auto_ALU_GROUP MACHINE_MODE_TIMER_INTERRUPT 4187 1 +auto_BRANCH_GROUP MACHINE_MODE_TIMER_INTERRUPT 654 1 +auto_JUMP_GROUP MACHINE_MODE_TIMER_INTERRUPT 320 1 +auto_FENCE_GROUP MACHINE_MODE_TIMER_INTERRUPT 417 1 +auto_RET_GROUP MACHINE_MODE_TIMER_INTERRUPT 66735 1 +auto_WFI_GROUP MACHINE_MODE_TIMER_INTERRUPT 156 1 +auto_CSR_GROUP MACHINE_MODE_TIMER_INTERRUPT 11 1 +auto_MUL_GROUP MACHINE_MODE_TIMER_INTERRUPT 197 1 +auto_MULTI_MUL_GROUP MACHINE_MODE_TIMER_INTERRUPT 425 1 +auto_DIV_GROUP MACHINE_MODE_TIMER_INTERRUPT 574 1 + + +User Defined Cross Bins for cross_timer_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +NO_TIMER_INTERRUPT 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_software_interrupt + + +Samples crossed: cp_group cp_interrupt +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_software_interrupt + + +Excluded/Illegal bins + +NAME COUNT STATUS +NO_SOFTWARE_INTERRUPT 0 Excluded + + +Group : uvma_isacov_pkg::cg_zb_itype_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_itype_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.44 98.44 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 93.75 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bseti_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_rori_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bclri_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_binvi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_itype_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_itype_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bseti_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 93.75 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 1 259 93.75 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 1 1 50.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2015 1 +auto[1] 555 1 +auto[2] 509 1 +auto[3] 497 1 +auto[4] 537 1 +auto[5] 502 1 +auto[6] 519 1 +auto[7] 579 1 +auto[8] 514 1 +auto[9] 534 1 +auto[10] 471 1 +auto[11] 459 1 +auto[12] 483 1 +auto[13] 525 1 +auto[14] 502 1 +auto[15] 480 1 +auto[16] 446 1 +auto[17] 488 1 +auto[18] 488 1 +auto[19] 474 1 +auto[20] 526 1 +auto[21] 504 1 +auto[22] 488 1 +auto[23] 488 1 +auto[24] 509 1 +auto[25] 482 1 +auto[26] 480 1 +auto[27] 548 1 +auto[28] 488 1 +auto[29] 556 1 +auto[30] 480 1 +auto[31] 479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2081 1 +auto[1] 524 1 +auto[2] 444 1 +auto[3] 532 1 +auto[4] 481 1 +auto[5] 474 1 +auto[6] 502 1 +auto[7] 484 1 +auto[8] 512 1 +auto[9] 491 1 +auto[10] 508 1 +auto[11] 507 1 +auto[12] 496 1 +auto[13] 509 1 +auto[14] 489 1 +auto[15] 457 1 +auto[16] 496 1 +auto[17] 492 1 +auto[18] 473 1 +auto[19] 548 1 +auto[20] 496 1 +auto[21] 540 1 +auto[22] 450 1 +auto[23] 474 1 +auto[24] 543 1 +auto[25] 523 1 +auto[26] 541 1 +auto[27] 510 1 +auto[28] 489 1 +auto[29] 485 1 +auto[30] 515 1 +auto[31] 539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1542 1 +RD_01 23 1 +RD_02 9 1 +RD_03 15 1 +RD_04 18 1 +RD_05 12 1 +RD_06 12 1 +RD_07 16 1 +RD_08 16 1 +RD_09 16 1 +RD_0a 12 1 +RD_0b 12 1 +RD_0c 16 1 +RD_0d 19 1 +RD_0e 15 1 +RD_0f 13 1 +RD_10 16 1 +RD_11 17 1 +RD_12 13 1 +RD_13 12 1 +RD_14 17 1 +RD_15 17 1 +RD_16 15 1 +RD_17 13 1 +RD_18 24 1 +RD_19 27 1 +RD_1a 12 1 +RD_1b 18 1 +RD_1c 17 1 +RD_1d 13 1 +RD_1e 20 1 +RD_1f 13 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6672 1 +auto_NON_ZERO 10933 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 570 1 +SHAMT_01 552 1 +SHAMT_02 556 1 +SHAMT_03 544 1 +SHAMT_04 530 1 +SHAMT_05 565 1 +SHAMT_06 578 1 +SHAMT_07 568 1 +SHAMT_08 550 1 +SHAMT_09 574 1 +SHAMT_0a 531 1 +SHAMT_0b 514 1 +SHAMT_0c 507 1 +SHAMT_0d 601 1 +SHAMT_0e 551 1 +SHAMT_0f 547 1 +SHAMT_10 588 1 +SHAMT_11 537 1 +SHAMT_12 548 1 +SHAMT_13 533 1 +SHAMT_14 586 1 +SHAMT_15 544 1 +SHAMT_16 579 1 +SHAMT_17 546 1 +SHAMT_18 510 1 +SHAMT_19 556 1 +SHAMT_1a 542 1 +SHAMT_1b 506 1 +SHAMT_1c 564 1 +SHAMT_1d 558 1 +SHAMT_1e 528 1 +SHAMT_1f 542 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for cp_rd_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_NON_ZERO 17605 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5005 1 +BIT30_1 3230 1 +BIT29_1 3203 1 +BIT28_1 3258 1 +BIT27_1 3030 1 +BIT26_1 3114 1 +BIT25_1 3038 1 +BIT24_1 3086 1 +BIT23_1 2985 1 +BIT22_1 3114 1 +BIT21_1 3055 1 +BIT20_1 3055 1 +BIT19_1 3092 1 +BIT18_1 3070 1 +BIT17_1 3049 1 +BIT16_1 3170 1 +BIT15_1 3960 1 +BIT14_1 3910 1 +BIT13_1 4149 1 +BIT12_1 3963 1 +BIT11_1 4349 1 +BIT10_1 4502 1 +BIT9_1 3991 1 +BIT8_1 3424 1 +BIT7_1 4311 1 +BIT6_1 3817 1 +BIT5_1 4010 1 +BIT4_1 5092 1 +BIT3_1 5036 1 +BIT2_1 5007 1 +BIT1_1 3994 1 +BIT0_1 4461 1 +BIT31_0 12600 1 +BIT30_0 14375 1 +BIT29_0 14402 1 +BIT28_0 14347 1 +BIT27_0 14575 1 +BIT26_0 14491 1 +BIT25_0 14567 1 +BIT24_0 14519 1 +BIT23_0 14620 1 +BIT22_0 14491 1 +BIT21_0 14550 1 +BIT20_0 14550 1 +BIT19_0 14513 1 +BIT18_0 14535 1 +BIT17_0 14556 1 +BIT16_0 14435 1 +BIT15_0 13645 1 +BIT14_0 13695 1 +BIT13_0 13456 1 +BIT12_0 13642 1 +BIT11_0 13256 1 +BIT10_0 13103 1 +BIT9_0 13614 1 +BIT8_0 14181 1 +BIT7_0 13294 1 +BIT6_0 13788 1 +BIT5_0 13595 1 +BIT4_0 12513 1 +BIT3_0 12569 1 +BIT2_0 12598 1 +BIT1_0 13611 1 +BIT0_0 13144 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5376 1 +BIT30_1 3676 1 +BIT29_1 3670 1 +BIT28_1 3703 1 +BIT27_1 3444 1 +BIT26_1 3570 1 +BIT25_1 3494 1 +BIT24_1 3509 1 +BIT23_1 3458 1 +BIT22_1 3594 1 +BIT21_1 3504 1 +BIT20_1 3544 1 +BIT19_1 3524 1 +BIT18_1 3544 1 +BIT17_1 3466 1 +BIT16_1 3653 1 +BIT15_1 4398 1 +BIT14_1 4348 1 +BIT13_1 4620 1 +BIT12_1 4331 1 +BIT11_1 4716 1 +BIT10_1 4891 1 +BIT9_1 4443 1 +BIT8_1 3871 1 +BIT7_1 4756 1 +BIT6_1 4265 1 +BIT5_1 4446 1 +BIT4_1 5469 1 +BIT3_1 5424 1 +BIT2_1 5390 1 +BIT1_1 4425 1 +BIT0_1 4885 1 +BIT31_0 12229 1 +BIT30_0 13929 1 +BIT29_0 13935 1 +BIT28_0 13902 1 +BIT27_0 14161 1 +BIT26_0 14035 1 +BIT25_0 14111 1 +BIT24_0 14096 1 +BIT23_0 14147 1 +BIT22_0 14011 1 +BIT21_0 14101 1 +BIT20_0 14061 1 +BIT19_0 14081 1 +BIT18_0 14061 1 +BIT17_0 14139 1 +BIT16_0 13952 1 +BIT15_0 13207 1 +BIT14_0 13257 1 +BIT13_0 12985 1 +BIT12_0 13274 1 +BIT11_0 12889 1 +BIT10_0 12714 1 +BIT9_0 13162 1 +BIT8_0 13734 1 +BIT7_0 12849 1 +BIT6_0 13340 1 +BIT5_0 13159 1 +BIT4_0 12136 1 +BIT3_0 12181 1 +BIT2_0 12215 1 +BIT1_0 13180 1 +BIT0_0 12720 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_rori_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2044 1 +auto[1] 474 1 +auto[2] 501 1 +auto[3] 465 1 +auto[4] 504 1 +auto[5] 453 1 +auto[6] 540 1 +auto[7] 509 1 +auto[8] 521 1 +auto[9] 499 1 +auto[10] 473 1 +auto[11] 514 1 +auto[12] 495 1 +auto[13] 518 1 +auto[14] 479 1 +auto[15] 490 1 +auto[16] 518 1 +auto[17] 490 1 +auto[18] 505 1 +auto[19] 467 1 +auto[20] 533 1 +auto[21] 473 1 +auto[22] 537 1 +auto[23] 492 1 +auto[24] 467 1 +auto[25] 520 1 +auto[26] 485 1 +auto[27] 528 1 +auto[28] 520 1 +auto[29] 533 1 +auto[30] 485 1 +auto[31] 510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2076 1 +auto[1] 570 1 +auto[2] 471 1 +auto[3] 472 1 +auto[4] 487 1 +auto[5] 515 1 +auto[6] 479 1 +auto[7] 462 1 +auto[8] 508 1 +auto[9] 467 1 +auto[10] 502 1 +auto[11] 532 1 +auto[12] 490 1 +auto[13] 491 1 +auto[14] 465 1 +auto[15] 530 1 +auto[16] 478 1 +auto[17] 464 1 +auto[18] 506 1 +auto[19] 512 1 +auto[20] 485 1 +auto[21] 506 1 +auto[22] 489 1 +auto[23] 490 1 +auto[24] 518 1 +auto[25] 522 1 +auto[26] 477 1 +auto[27] 520 1 +auto[28] 542 1 +auto[29] 505 1 +auto[30] 510 1 +auto[31] 501 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1565 1 +RD_01 20 1 +RD_02 16 1 +RD_03 10 1 +RD_04 17 1 +RD_05 18 1 +RD_06 14 1 +RD_07 14 1 +RD_08 15 1 +RD_09 12 1 +RD_0a 10 1 +RD_0b 32 1 +RD_0c 9 1 +RD_0d 18 1 +RD_0e 13 1 +RD_0f 17 1 +RD_10 19 1 +RD_11 13 1 +RD_12 12 1 +RD_13 25 1 +RD_14 22 1 +RD_15 14 1 +RD_16 16 1 +RD_17 18 1 +RD_18 11 1 +RD_19 9 1 +RD_1a 19 1 +RD_1b 13 1 +RD_1c 17 1 +RD_1d 12 1 +RD_1e 18 1 +RD_1f 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6687 1 +auto_NON_ZERO 10855 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 534 1 +SHAMT_01 547 1 +SHAMT_02 552 1 +SHAMT_03 536 1 +SHAMT_04 507 1 +SHAMT_05 581 1 +SHAMT_06 541 1 +SHAMT_07 490 1 +SHAMT_08 560 1 +SHAMT_09 550 1 +SHAMT_0a 566 1 +SHAMT_0b 549 1 +SHAMT_0c 614 1 +SHAMT_0d 516 1 +SHAMT_0e 533 1 +SHAMT_0f 566 1 +SHAMT_10 569 1 +SHAMT_11 562 1 +SHAMT_12 546 1 +SHAMT_13 577 1 +SHAMT_14 577 1 +SHAMT_15 565 1 +SHAMT_16 551 1 +SHAMT_17 468 1 +SHAMT_18 522 1 +SHAMT_19 506 1 +SHAMT_1a 538 1 +SHAMT_1b 543 1 +SHAMT_1c 594 1 +SHAMT_1d 586 1 +SHAMT_1e 557 1 +SHAMT_1f 539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6687 1 +auto_NON_ZERO 10855 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4917 1 +BIT30_1 3130 1 +BIT29_1 3161 1 +BIT28_1 3176 1 +BIT27_1 3075 1 +BIT26_1 3061 1 +BIT25_1 3007 1 +BIT24_1 2997 1 +BIT23_1 3015 1 +BIT22_1 2996 1 +BIT21_1 3063 1 +BIT20_1 3030 1 +BIT19_1 3047 1 +BIT18_1 3043 1 +BIT17_1 3074 1 +BIT16_1 3198 1 +BIT15_1 4016 1 +BIT14_1 3927 1 +BIT13_1 4129 1 +BIT12_1 3988 1 +BIT11_1 4441 1 +BIT10_1 4389 1 +BIT9_1 3996 1 +BIT8_1 3455 1 +BIT7_1 4284 1 +BIT6_1 3744 1 +BIT5_1 3941 1 +BIT4_1 5054 1 +BIT3_1 5073 1 +BIT2_1 4953 1 +BIT1_1 3960 1 +BIT0_1 4567 1 +BIT31_0 12625 1 +BIT30_0 14412 1 +BIT29_0 14381 1 +BIT28_0 14366 1 +BIT27_0 14467 1 +BIT26_0 14481 1 +BIT25_0 14535 1 +BIT24_0 14545 1 +BIT23_0 14527 1 +BIT22_0 14546 1 +BIT21_0 14479 1 +BIT20_0 14512 1 +BIT19_0 14495 1 +BIT18_0 14499 1 +BIT17_0 14468 1 +BIT16_0 14344 1 +BIT15_0 13526 1 +BIT14_0 13615 1 +BIT13_0 13413 1 +BIT12_0 13554 1 +BIT11_0 13101 1 +BIT10_0 13153 1 +BIT9_0 13546 1 +BIT8_0 14087 1 +BIT7_0 13258 1 +BIT6_0 13798 1 +BIT5_0 13601 1 +BIT4_0 12488 1 +BIT3_0 12469 1 +BIT2_0 12589 1 +BIT1_0 13582 1 +BIT0_0 12975 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3697 1 +BIT30_1 3685 1 +BIT29_1 3765 1 +BIT28_1 3662 1 +BIT27_1 3696 1 +BIT26_1 3770 1 +BIT25_1 3709 1 +BIT24_1 3742 1 +BIT23_1 3703 1 +BIT22_1 3655 1 +BIT21_1 3730 1 +BIT20_1 3758 1 +BIT19_1 3734 1 +BIT18_1 3715 1 +BIT17_1 3717 1 +BIT16_1 3724 1 +BIT15_1 3730 1 +BIT14_1 3657 1 +BIT13_1 3733 1 +BIT12_1 3712 1 +BIT11_1 3701 1 +BIT10_1 3628 1 +BIT9_1 3745 1 +BIT8_1 3675 1 +BIT7_1 3688 1 +BIT6_1 3768 1 +BIT5_1 3624 1 +BIT4_1 3788 1 +BIT3_1 3749 1 +BIT2_1 3833 1 +BIT1_1 3677 1 +BIT0_1 3737 1 +BIT31_0 13845 1 +BIT30_0 13857 1 +BIT29_0 13777 1 +BIT28_0 13880 1 +BIT27_0 13846 1 +BIT26_0 13772 1 +BIT25_0 13833 1 +BIT24_0 13800 1 +BIT23_0 13839 1 +BIT22_0 13887 1 +BIT21_0 13812 1 +BIT20_0 13784 1 +BIT19_0 13808 1 +BIT18_0 13827 1 +BIT17_0 13825 1 +BIT16_0 13818 1 +BIT15_0 13812 1 +BIT14_0 13885 1 +BIT13_0 13809 1 +BIT12_0 13830 1 +BIT11_0 13841 1 +BIT10_0 13914 1 +BIT9_0 13797 1 +BIT8_0 13867 1 +BIT7_0 13854 1 +BIT6_0 13774 1 +BIT5_0 13918 1 +BIT4_0 13754 1 +BIT3_0 13793 1 +BIT2_0 13709 1 +BIT1_0 13865 1 +BIT0_0 13805 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bclri_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2042 1 +auto[1] 517 1 +auto[2] 527 1 +auto[3] 504 1 +auto[4] 509 1 +auto[5] 505 1 +auto[6] 476 1 +auto[7] 511 1 +auto[8] 471 1 +auto[9] 488 1 +auto[10] 504 1 +auto[11] 496 1 +auto[12] 510 1 +auto[13] 511 1 +auto[14] 483 1 +auto[15] 500 1 +auto[16] 465 1 +auto[17] 514 1 +auto[18] 460 1 +auto[19] 490 1 +auto[20] 533 1 +auto[21] 521 1 +auto[22] 506 1 +auto[23] 562 1 +auto[24] 497 1 +auto[25] 521 1 +auto[26] 504 1 +auto[27] 516 1 +auto[28] 519 1 +auto[29] 495 1 +auto[30] 517 1 +auto[31] 460 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2101 1 +auto[1] 524 1 +auto[2] 478 1 +auto[3] 574 1 +auto[4] 520 1 +auto[5] 466 1 +auto[6] 515 1 +auto[7] 519 1 +auto[8] 483 1 +auto[9] 532 1 +auto[10] 511 1 +auto[11] 506 1 +auto[12] 486 1 +auto[13] 508 1 +auto[14] 480 1 +auto[15] 466 1 +auto[16] 474 1 +auto[17] 508 1 +auto[18] 462 1 +auto[19] 458 1 +auto[20] 501 1 +auto[21] 493 1 +auto[22] 478 1 +auto[23] 538 1 +auto[24] 530 1 +auto[25] 522 1 +auto[26] 539 1 +auto[27] 521 1 +auto[28] 493 1 +auto[29] 523 1 +auto[30] 484 1 +auto[31] 441 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1566 1 +RD_01 21 1 +RD_02 16 1 +RD_03 15 1 +RD_04 13 1 +RD_05 11 1 +RD_06 14 1 +RD_07 17 1 +RD_08 9 1 +RD_09 19 1 +RD_0a 24 1 +RD_0b 18 1 +RD_0c 12 1 +RD_0d 14 1 +RD_0e 15 1 +RD_0f 14 1 +RD_10 16 1 +RD_11 15 1 +RD_12 9 1 +RD_13 16 1 +RD_14 16 1 +RD_15 18 1 +RD_16 16 1 +RD_17 14 1 +RD_18 20 1 +RD_19 21 1 +RD_1a 18 1 +RD_1b 8 1 +RD_1c 12 1 +RD_1d 14 1 +RD_1e 13 1 +RD_1f 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6636 1 +auto_NON_ZERO 10998 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 558 1 +SHAMT_01 552 1 +SHAMT_02 562 1 +SHAMT_03 534 1 +SHAMT_04 537 1 +SHAMT_05 533 1 +SHAMT_06 572 1 +SHAMT_07 583 1 +SHAMT_08 561 1 +SHAMT_09 565 1 +SHAMT_0a 531 1 +SHAMT_0b 578 1 +SHAMT_0c 569 1 +SHAMT_0d 563 1 +SHAMT_0e 569 1 +SHAMT_0f 523 1 +SHAMT_10 572 1 +SHAMT_11 548 1 +SHAMT_12 530 1 +SHAMT_13 545 1 +SHAMT_14 537 1 +SHAMT_15 537 1 +SHAMT_16 574 1 +SHAMT_17 555 1 +SHAMT_18 520 1 +SHAMT_19 560 1 +SHAMT_1a 565 1 +SHAMT_1b 494 1 +SHAMT_1c 540 1 +SHAMT_1d 581 1 +SHAMT_1e 544 1 +SHAMT_1f 542 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6678 1 +auto_NON_ZERO 10956 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5073 1 +BIT30_1 3281 1 +BIT29_1 3254 1 +BIT28_1 3253 1 +BIT27_1 3115 1 +BIT26_1 3151 1 +BIT25_1 3138 1 +BIT24_1 3182 1 +BIT23_1 3123 1 +BIT22_1 3153 1 +BIT21_1 3122 1 +BIT20_1 3150 1 +BIT19_1 3118 1 +BIT18_1 3118 1 +BIT17_1 3082 1 +BIT16_1 3322 1 +BIT15_1 4192 1 +BIT14_1 3983 1 +BIT13_1 4312 1 +BIT12_1 4108 1 +BIT11_1 4555 1 +BIT10_1 4529 1 +BIT9_1 4015 1 +BIT8_1 3529 1 +BIT7_1 4380 1 +BIT6_1 3736 1 +BIT5_1 3984 1 +BIT4_1 5086 1 +BIT3_1 5304 1 +BIT2_1 5164 1 +BIT1_1 4062 1 +BIT0_1 4517 1 +BIT31_0 12561 1 +BIT30_0 14353 1 +BIT29_0 14380 1 +BIT28_0 14381 1 +BIT27_0 14519 1 +BIT26_0 14483 1 +BIT25_0 14496 1 +BIT24_0 14452 1 +BIT23_0 14511 1 +BIT22_0 14481 1 +BIT21_0 14512 1 +BIT20_0 14484 1 +BIT19_0 14516 1 +BIT18_0 14516 1 +BIT17_0 14552 1 +BIT16_0 14312 1 +BIT15_0 13442 1 +BIT14_0 13651 1 +BIT13_0 13322 1 +BIT12_0 13526 1 +BIT11_0 13079 1 +BIT10_0 13105 1 +BIT9_0 13619 1 +BIT8_0 14105 1 +BIT7_0 13254 1 +BIT6_0 13898 1 +BIT5_0 13650 1 +BIT4_0 12548 1 +BIT3_0 12330 1 +BIT2_0 12470 1 +BIT1_0 13572 1 +BIT0_0 13117 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4925 1 +BIT30_1 3167 1 +BIT29_1 3131 1 +BIT28_1 3168 1 +BIT27_1 3029 1 +BIT26_1 3054 1 +BIT25_1 3023 1 +BIT24_1 3084 1 +BIT23_1 3010 1 +BIT22_1 3065 1 +BIT21_1 3020 1 +BIT20_1 3051 1 +BIT19_1 3034 1 +BIT18_1 3031 1 +BIT17_1 2976 1 +BIT16_1 3224 1 +BIT15_1 4064 1 +BIT14_1 3857 1 +BIT13_1 4193 1 +BIT12_1 3990 1 +BIT11_1 4412 1 +BIT10_1 4381 1 +BIT9_1 3882 1 +BIT8_1 3423 1 +BIT7_1 4241 1 +BIT6_1 3624 1 +BIT5_1 3864 1 +BIT4_1 4923 1 +BIT3_1 5132 1 +BIT2_1 4995 1 +BIT1_1 3945 1 +BIT0_1 4373 1 +BIT31_0 12709 1 +BIT30_0 14467 1 +BIT29_0 14503 1 +BIT28_0 14466 1 +BIT27_0 14605 1 +BIT26_0 14580 1 +BIT25_0 14611 1 +BIT24_0 14550 1 +BIT23_0 14624 1 +BIT22_0 14569 1 +BIT21_0 14614 1 +BIT20_0 14583 1 +BIT19_0 14600 1 +BIT18_0 14603 1 +BIT17_0 14658 1 +BIT16_0 14410 1 +BIT15_0 13570 1 +BIT14_0 13777 1 +BIT13_0 13441 1 +BIT12_0 13644 1 +BIT11_0 13222 1 +BIT10_0 13253 1 +BIT9_0 13752 1 +BIT8_0 14211 1 +BIT7_0 13393 1 +BIT6_0 14010 1 +BIT5_0 13770 1 +BIT4_0 12711 1 +BIT3_0 12502 1 +BIT2_0 12639 1 +BIT1_0 13689 1 +BIT0_0 13261 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_binvi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.44 98.44 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2018 1 +auto[1] 514 1 +auto[2] 492 1 +auto[3] 528 1 +auto[4] 490 1 +auto[5] 498 1 +auto[6] 484 1 +auto[7] 488 1 +auto[8] 484 1 +auto[9] 492 1 +auto[10] 521 1 +auto[11] 491 1 +auto[12] 506 1 +auto[13] 489 1 +auto[14] 512 1 +auto[15] 495 1 +auto[16] 517 1 +auto[17] 539 1 +auto[18] 496 1 +auto[19] 507 1 +auto[20] 503 1 +auto[21] 486 1 +auto[22] 486 1 +auto[23] 474 1 +auto[24] 486 1 +auto[25] 519 1 +auto[26] 504 1 +auto[27] 499 1 +auto[28] 483 1 +auto[29] 518 1 +auto[30] 482 1 +auto[31] 478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2020 1 +auto[1] 561 1 +auto[2] 481 1 +auto[3] 512 1 +auto[4] 501 1 +auto[5] 517 1 +auto[6] 506 1 +auto[7] 489 1 +auto[8] 530 1 +auto[9] 526 1 +auto[10] 472 1 +auto[11] 471 1 +auto[12] 470 1 +auto[13] 519 1 +auto[14] 467 1 +auto[15] 453 1 +auto[16] 486 1 +auto[17] 534 1 +auto[18] 508 1 +auto[19] 452 1 +auto[20] 492 1 +auto[21] 505 1 +auto[22] 550 1 +auto[23] 476 1 +auto[24] 463 1 +auto[25] 505 1 +auto[26] 479 1 +auto[27] 487 1 +auto[28] 466 1 +auto[29] 551 1 +auto[30] 511 1 +auto[31] 519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1548 1 +RD_01 13 1 +RD_02 17 1 +RD_03 10 1 +RD_04 24 1 +RD_05 13 1 +RD_06 12 1 +RD_07 17 1 +RD_08 18 1 +RD_09 18 1 +RD_0a 14 1 +RD_0b 15 1 +RD_0c 19 1 +RD_0d 14 1 +RD_0e 18 1 +RD_0f 18 1 +RD_10 11 1 +RD_11 20 1 +RD_12 17 1 +RD_13 7 1 +RD_14 21 1 +RD_15 14 1 +RD_16 17 1 +RD_17 12 1 +RD_18 15 1 +RD_19 10 1 +RD_1a 10 1 +RD_1b 14 1 +RD_1c 15 1 +RD_1d 23 1 +RD_1e 13 1 +RD_1f 16 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6575 1 +auto_NON_ZERO 10904 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 539 1 +SHAMT_01 545 1 +SHAMT_02 543 1 +SHAMT_03 570 1 +SHAMT_04 558 1 +SHAMT_05 554 1 +SHAMT_06 620 1 +SHAMT_07 569 1 +SHAMT_08 519 1 +SHAMT_09 540 1 +SHAMT_0a 561 1 +SHAMT_0b 553 1 +SHAMT_0c 515 1 +SHAMT_0d 539 1 +SHAMT_0e 575 1 +SHAMT_0f 531 1 +SHAMT_10 568 1 +SHAMT_11 548 1 +SHAMT_12 516 1 +SHAMT_13 540 1 +SHAMT_14 545 1 +SHAMT_15 512 1 +SHAMT_16 560 1 +SHAMT_17 525 1 +SHAMT_18 532 1 +SHAMT_19 538 1 +SHAMT_1a 551 1 +SHAMT_1b 541 1 +SHAMT_1c 532 1 +SHAMT_1d 563 1 +SHAMT_1e 543 1 +SHAMT_1f 534 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 42 1 +auto_NON_ZERO 17437 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4938 1 +BIT30_1 3214 1 +BIT29_1 3243 1 +BIT28_1 3262 1 +BIT27_1 3161 1 +BIT26_1 3154 1 +BIT25_1 3094 1 +BIT24_1 3120 1 +BIT23_1 3157 1 +BIT22_1 3148 1 +BIT21_1 3140 1 +BIT20_1 3160 1 +BIT19_1 3171 1 +BIT18_1 3148 1 +BIT17_1 3136 1 +BIT16_1 3365 1 +BIT15_1 4020 1 +BIT14_1 3979 1 +BIT13_1 4184 1 +BIT12_1 4038 1 +BIT11_1 4414 1 +BIT10_1 4434 1 +BIT9_1 3960 1 +BIT8_1 3569 1 +BIT7_1 4346 1 +BIT6_1 3821 1 +BIT5_1 3995 1 +BIT4_1 4999 1 +BIT3_1 5126 1 +BIT2_1 5043 1 +BIT1_1 3972 1 +BIT0_1 4541 1 +BIT31_0 12541 1 +BIT30_0 14265 1 +BIT29_0 14236 1 +BIT28_0 14217 1 +BIT27_0 14318 1 +BIT26_0 14325 1 +BIT25_0 14385 1 +BIT24_0 14359 1 +BIT23_0 14322 1 +BIT22_0 14331 1 +BIT21_0 14339 1 +BIT20_0 14319 1 +BIT19_0 14308 1 +BIT18_0 14331 1 +BIT17_0 14343 1 +BIT16_0 14114 1 +BIT15_0 13459 1 +BIT14_0 13500 1 +BIT13_0 13295 1 +BIT12_0 13441 1 +BIT11_0 13065 1 +BIT10_0 13045 1 +BIT9_0 13519 1 +BIT8_0 13910 1 +BIT7_0 13133 1 +BIT6_0 13658 1 +BIT5_0 13484 1 +BIT4_0 12480 1 +BIT3_0 12353 1 +BIT2_0 12436 1 +BIT1_0 13507 1 +BIT0_0 12938 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5172 1 +BIT30_1 3553 1 +BIT29_1 3610 1 +BIT28_1 3556 1 +BIT27_1 3496 1 +BIT26_1 3525 1 +BIT25_1 3422 1 +BIT24_1 3488 1 +BIT23_1 3476 1 +BIT22_1 3504 1 +BIT21_1 3462 1 +BIT20_1 3511 1 +BIT19_1 3523 1 +BIT18_1 3504 1 +BIT17_1 3484 1 +BIT16_1 3711 1 +BIT15_1 4307 1 +BIT14_1 4274 1 +BIT13_1 4461 1 +BIT12_1 4291 1 +BIT11_1 4703 1 +BIT10_1 4723 1 +BIT9_1 4236 1 +BIT8_1 3878 1 +BIT7_1 4649 1 +BIT6_1 4177 1 +BIT5_1 4259 1 +BIT4_1 5269 1 +BIT3_1 5332 1 +BIT2_1 5270 1 +BIT1_1 4257 1 +BIT0_1 4816 1 +BIT31_0 12307 1 +BIT30_0 13926 1 +BIT29_0 13869 1 +BIT28_0 13923 1 +BIT27_0 13983 1 +BIT26_0 13954 1 +BIT25_0 14057 1 +BIT24_0 13991 1 +BIT23_0 14003 1 +BIT22_0 13975 1 +BIT21_0 14017 1 +BIT20_0 13968 1 +BIT19_0 13956 1 +BIT18_0 13975 1 +BIT17_0 13995 1 +BIT16_0 13768 1 +BIT15_0 13172 1 +BIT14_0 13205 1 +BIT13_0 13018 1 +BIT12_0 13188 1 +BIT11_0 12776 1 +BIT10_0 12756 1 +BIT9_0 13243 1 +BIT8_0 13601 1 +BIT7_0 12830 1 +BIT6_0 13302 1 +BIT5_0 13220 1 +BIT4_0 12210 1 +BIT3_0 12147 1 +BIT2_0 12209 1 +BIT1_0 13222 1 +BIT0_0 12663 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 98.84 98.84 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 98.84 1 100 1 64 64 uvma_isacov_pkg.rev32_seq_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 466 0 466 100.00 +Crosses 18474 2020 16454 96.53 + + +Variables for Group uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_instr 126 0 126 100.00 100 1 1 0 +cp_instr_prev_x2 126 0 126 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x2 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x3 0 0 0 1 0 +cp_group_pipe_x4 0 0 0 1 0 +cp_gpr_raw_hazard 2 0 2 100.00 100 1 1 0 +cp_csr_hazard 2 0 2 100.00 100 1 1 0 +cp_is_csr_write 2 0 2 100.00 100 1 1 0 +cp_csr 182 0 182 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rev32_seq_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 98.84 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 98.84 98.84 1 100 1 1 64 64 uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rev32_seq_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 466 0 466 100.00 +Crosses 18474 2020 16454 96.53 + + +Variables for Group Instance uvma_isacov_pkg.rev32_seq_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_instr 126 0 126 100.00 100 1 1 0 +cp_instr_prev_x2 126 0 126 100.00 100 1 1 0 +cp_group 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x2 13 0 13 100.00 100 1 1 0 +cp_group_pipe_x3 0 0 0 1 0 +cp_group_pipe_x4 0 0 0 1 0 +cp_gpr_raw_hazard 2 0 2 100.00 100 1 1 0 +cp_csr_hazard 2 0 2 100.00 100 1 1 0 +cp_is_csr_write 2 0 2 100.00 100 1 1 0 +cp_csr 182 0 182 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rev32_seq_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_seq_instr_x2 15876 2018 13858 87.29 100 1 1 0 +cross_seq_group_x2 169 2 167 98.82 100 1 1 0 +cross_seq_group_x3 0 0 0 1 0 +cross_seq_group_x4 0 0 0 1 0 +cross_seq_gpr_raw_hazard 63 0 63 100.00 100 1 1 0 +cross_seq_csr_hazard_x2 2366 0 2366 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 126 0 126 100.00 + + +Automatically Generated Bins for cp_instr + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN 0 Excluded +auto_DRET 0 Excluded +auto_LR_W 0 Excluded +auto_SC_W 0 Excluded +auto_AMOSWAP_W 0 Excluded +auto_AMOADD_W 0 Excluded +auto_AMOXOR_W 0 Excluded +auto_AMOAND_W 0 Excluded +auto_AMOOR_W 0 Excluded +auto_AMOMIN_W 0 Excluded +auto_AMOMAX_W 0 Excluded +auto_AMOMINU_W 0 Excluded +auto_AMOMAXU_W 0 Excluded +auto_FENCE_I 0 Excluded +IGN_FENCEI 0 Excluded +IGN_A 0 Excluded +IGN_DRET 0 Excluded +IGN_UNKNOWN 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_LUI 481055 1 +auto_AUIPC 265016 1 +auto_JAL 122746 1 +auto_JALR 1867 1 +auto_BEQ 288099 1 +auto_BNE 266599 1 +auto_BLT 6459 1 +auto_BGE 6668 1 +auto_BLTU 6686 1 +auto_BGEU 6945 1 +auto_LB 93104 1 +auto_LH 29405 1 +auto_LW 1000952 1 +auto_LBU 147318 1 +auto_LHU 29245 1 +auto_SB 91939 1 +auto_SH 29180 1 +auto_SW 1206371 1 +auto_ADDI 624302 1 +auto_SLTI 20561 1 +auto_SLTIU 20627 1 +auto_XORI 20614 1 +auto_ORI 22606 1 +auto_ANDI 21263 1 +auto_SLLI 20190 1 +auto_SRLI 220905 1 +auto_SRAI 20392 1 +auto_ADD 1078695 1 +auto_SUB 20395 1 +auto_SLL 20341 1 +auto_SLT 20781 1 +auto_SLTU 21019 1 +auto_XOR 20066 1 +auto_SRL 20269 1 +auto_SRA 20418 1 +auto_OR 20061 1 +auto_AND 76382 1 +auto_FENCE 11209 1 +auto_ECALL 1483 1 +auto_EBREAK 5057 1 +auto_MRET 279895 1 +auto_WFI 3292 1 +auto_MUL 21051 1 +auto_MULH 20653 1 +auto_MULHSU 20631 1 +auto_MULHU 20505 1 +auto_DIV 20692 1 +auto_DIVU 20961 1 +auto_REM 20203 1 +auto_REMU 21136 1 +auto_C_ADDI4SPN 8593 1 +auto_C_LW 63386 1 +auto_C_SW 63866 1 +auto_C_NOP 63120 1 +auto_C_ADDI 1279390 1 +auto_C_JAL 59160 1 +auto_C_LI 313866 1 +auto_C_ADDI16SP 14396 1 +auto_C_LUI 14005 1 +auto_C_SRLI 76150 1 +auto_C_SRAI 11091 1 +auto_C_ANDI 10262 1 +auto_C_SUB 10843 1 +auto_C_XOR 10834 1 +auto_C_OR 10966 1 +auto_C_AND 14748 1 +auto_C_J 67740 1 +auto_C_BEQZ 4838 1 +auto_C_BNEZ 70015 1 +auto_C_SLLI 13902 1 +auto_C_LWSP 15166 1 +auto_C_JR 361 1 +auto_C_MV 12980 1 +auto_C_EBREAK 4674 1 +auto_C_JALR 302 1 +auto_C_ADD 15064 1 +auto_C_SWSP 15159 1 +auto_SH1ADD 17480 1 +auto_SH2ADD 17626 1 +auto_SH3ADD 17460 1 +auto_CLZ 17628 1 +auto_CTZ 17494 1 +auto_CPOP 17767 1 +auto_MIN 17609 1 +auto_MAX 17607 1 +auto_MINU 17348 1 +auto_MAXU 17690 1 +auto_SEXT_B 17501 1 +auto_SEXT_H 17335 1 +auto_ZEXT_H 17747 1 +auto_ANDN 17566 1 +auto_ORN 17897 1 +auto_XNOR 17600 1 +auto_ROR 17717 1 +auto_RORI 17542 1 +auto_ROL 17639 1 +auto_REV8 17387 1 +auto_ORC_B 17765 1 +auto_CLMUL 17517 1 +auto_CLMULH 17610 1 +auto_CLMULR 17594 1 +auto_BSET 17778 1 +auto_BSETI 17605 1 +auto_BCLR 17502 1 +auto_BCLRI 17634 1 +auto_BINV 17460 1 +auto_BINVI 17479 1 +auto_BEXT 17544 1 +auto_BEXTI 17660 1 +auto_CSRRW 97389 1 +auto_CSRRS 1439174 1 +auto_CSRRC 8875 1 +auto_CSRRWI 9143 1 +auto_CSRRSI 8941 1 +auto_CSRRCI 9155 1 +auto_C_LBU 4809 1 +auto_C_LHU 160 1 +auto_C_LH 131 1 +auto_C_SB 717 1 +auto_C_SH 145 1 +auto_C_ZEXT_B 10478 1 +auto_C_SEXT_B 10637 1 +auto_C_ZEXT_H 10565 1 +auto_C_SEXT_H 10630 1 +auto_C_NOT 10449 1 +auto_C_MUL 10860 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_instr_prev_x2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 126 0 126 100.00 + + +Automatically Generated Bins for cp_instr_prev_x2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN 0 Excluded +auto_DRET 0 Excluded +auto_LR_W 0 Excluded +auto_SC_W 0 Excluded +auto_AMOSWAP_W 0 Excluded +auto_AMOADD_W 0 Excluded +auto_AMOXOR_W 0 Excluded +auto_AMOAND_W 0 Excluded +auto_AMOOR_W 0 Excluded +auto_AMOMIN_W 0 Excluded +auto_AMOMAX_W 0 Excluded +auto_AMOMINU_W 0 Excluded +auto_AMOMAXU_W 0 Excluded +auto_FENCE_I 0 Excluded +IGN_FENCEI 0 Excluded +IGN_A 0 Excluded +IGN_DRET 0 Excluded +IGN_UNKNOWN 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_LUI 481055 1 +auto_AUIPC 265016 1 +auto_JAL 122746 1 +auto_JALR 1866 1 +auto_BEQ 288099 1 +auto_BNE 266599 1 +auto_BLT 6459 1 +auto_BGE 6668 1 +auto_BLTU 6686 1 +auto_BGEU 6945 1 +auto_LB 93104 1 +auto_LH 29405 1 +auto_LW 1000952 1 +auto_LBU 147318 1 +auto_LHU 29245 1 +auto_SB 91939 1 +auto_SH 29180 1 +auto_SW 1206371 1 +auto_ADDI 624301 1 +auto_SLTI 20561 1 +auto_SLTIU 20627 1 +auto_XORI 20614 1 +auto_ORI 22606 1 +auto_ANDI 21263 1 +auto_SLLI 20190 1 +auto_SRLI 220905 1 +auto_SRAI 20392 1 +auto_ADD 1078692 1 +auto_SUB 20395 1 +auto_SLL 20341 1 +auto_SLT 20781 1 +auto_SLTU 21019 1 +auto_XOR 20066 1 +auto_SRL 20269 1 +auto_SRA 20418 1 +auto_OR 20061 1 +auto_AND 76382 1 +auto_FENCE 11209 1 +auto_ECALL 1483 1 +auto_EBREAK 5057 1 +auto_MRET 279895 1 +auto_WFI 3292 1 +auto_MUL 21051 1 +auto_MULH 20653 1 +auto_MULHSU 20631 1 +auto_MULHU 20505 1 +auto_DIV 20692 1 +auto_DIVU 20961 1 +auto_REM 20203 1 +auto_REMU 21136 1 +auto_C_ADDI4SPN 8593 1 +auto_C_LW 63386 1 +auto_C_SW 63865 1 +auto_C_NOP 63120 1 +auto_C_ADDI 1279375 1 +auto_C_JAL 59159 1 +auto_C_LI 313865 1 +auto_C_ADDI16SP 14396 1 +auto_C_LUI 14005 1 +auto_C_SRLI 76150 1 +auto_C_SRAI 11091 1 +auto_C_ANDI 10262 1 +auto_C_SUB 10843 1 +auto_C_XOR 10834 1 +auto_C_OR 10966 1 +auto_C_AND 14748 1 +auto_C_J 65408 1 +auto_C_BEQZ 4838 1 +auto_C_BNEZ 70015 1 +auto_C_SLLI 13902 1 +auto_C_LWSP 15166 1 +auto_C_JR 361 1 +auto_C_MV 12980 1 +auto_C_EBREAK 4674 1 +auto_C_JALR 302 1 +auto_C_ADD 15064 1 +auto_C_SWSP 15159 1 +auto_SH1ADD 17480 1 +auto_SH2ADD 17626 1 +auto_SH3ADD 17460 1 +auto_CLZ 17628 1 +auto_CTZ 17494 1 +auto_CPOP 17767 1 +auto_MIN 17609 1 +auto_MAX 17607 1 +auto_MINU 17348 1 +auto_MAXU 17690 1 +auto_SEXT_B 17501 1 +auto_SEXT_H 17335 1 +auto_ZEXT_H 17747 1 +auto_ANDN 17566 1 +auto_ORN 17897 1 +auto_XNOR 17600 1 +auto_ROR 17716 1 +auto_RORI 17542 1 +auto_ROL 17639 1 +auto_REV8 17387 1 +auto_ORC_B 17765 1 +auto_CLMUL 17517 1 +auto_CLMULH 17610 1 +auto_CLMULR 17594 1 +auto_BSET 17778 1 +auto_BSETI 17605 1 +auto_BCLR 17502 1 +auto_BCLRI 17634 1 +auto_BINV 17460 1 +auto_BINVI 17479 1 +auto_BEXT 17544 1 +auto_BEXTI 17660 1 +auto_CSRRW 97389 1 +auto_CSRRS 1439174 1 +auto_CSRRC 8875 1 +auto_CSRRWI 9143 1 +auto_CSRRSI 8941 1 +auto_CSRRCI 9155 1 +auto_C_LBU 4809 1 +auto_C_LHU 160 1 +auto_C_LH 131 1 +auto_C_SB 717 1 +auto_C_SH 145 1 +auto_C_ZEXT_B 10478 1 +auto_C_SEXT_B 10637 1 +auto_C_ZEXT_H 10565 1 +auto_C_SEXT_H 10630 1 +auto_C_NOT 10449 1 +auto_C_MUL 10860 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 13 0 13 100.00 + + +Automatically Generated Bins for cp_group + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_FENCE_I_GROUP 0 Illegal +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_LOAD_GROUP 1383676 1 +auto_STORE_GROUP 1407377 1 +auto_ALU_GROUP 5551715 1 +auto_BRANCH_GROUP 656309 1 +auto_JUMP_GROUP 252176 1 +auto_FENCE_GROUP 11209 1 +auto_RET_GROUP 279895 1 +auto_WFI_GROUP 3292 1 +auto_CSR_GROUP 1572677 1 +auto_ENV_GROUP 11214 1 +auto_MUL_GROUP 31911 1 +auto_MULTI_MUL_GROUP 61789 1 +auto_DIV_GROUP 82992 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group_pipe_x2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 13 0 13 100.00 + + +Automatically Generated Bins for cp_group_pipe_x2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_FENCE_I_GROUP 0 Illegal +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_LOAD_GROUP 1383676 1 +auto_STORE_GROUP 1407376 1 +auto_ALU_GROUP 5551694 1 +auto_BRANCH_GROUP 656309 1 +auto_JUMP_GROUP 249842 1 +auto_FENCE_GROUP 11209 1 +auto_RET_GROUP 279895 1 +auto_WFI_GROUP 3292 1 +auto_CSR_GROUP 1572677 1 +auto_ENV_GROUP 11214 1 +auto_MUL_GROUP 31911 1 +auto_MULTI_MUL_GROUP 61789 1 +auto_DIV_GROUP 82992 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group_pipe_x3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 0 0 0 + + +Automatically Generated Bins for cp_group_pipe_x3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_LOAD_GROUP 0 Excluded +auto_STORE_GROUP 0 Excluded +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_ALU_GROUP 0 Excluded +auto_BRANCH_GROUP 0 Excluded +auto_JUMP_GROUP 0 Excluded +auto_FENCE_GROUP 0 Excluded +auto_FENCE_I_GROUP 0 Illegal +auto_RET_GROUP 0 Excluded +auto_WFI_GROUP 0 Excluded +auto_CSR_GROUP 0 Excluded +auto_ENV_GROUP 0 Excluded +auto_MUL_GROUP 0 Excluded +auto_MULTI_MUL_GROUP 0 Excluded +auto_DIV_GROUP 0 Excluded +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal +IGN_X3_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_group_pipe_x4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 0 0 0 + + +Automatically Generated Bins for cp_group_pipe_x4 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_UNKNOWN_GROUP 0 Illegal +auto_LOAD_GROUP 0 Excluded +auto_STORE_GROUP 0 Excluded +auto_MISALIGN_LOAD_GROUP 0 Illegal +auto_MISALIGN_STORE_GROUP 0 Illegal +auto_ALU_GROUP 0 Excluded +auto_BRANCH_GROUP 0 Excluded +auto_JUMP_GROUP 0 Excluded +auto_FENCE_GROUP 0 Excluded +auto_FENCE_I_GROUP 0 Illegal +auto_RET_GROUP 0 Excluded +auto_WFI_GROUP 0 Excluded +auto_CSR_GROUP 0 Excluded +auto_ENV_GROUP 0 Excluded +auto_MUL_GROUP 0 Excluded +auto_MULTI_MUL_GROUP 0 Excluded +auto_DIV_GROUP 0 Excluded +auto_ALOAD_GROUP 0 Illegal +auto_ASTORE_GROUP 0 Illegal +auto_AMEM_GROUP 0 Illegal +ILL_FENCE_I 0 Illegal +ILL_MISALIGN 0 Illegal +ILL_EXT_A 0 Illegal +ILL_UNKNOWN 0 Illegal +IGN_X4_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_gpr_raw_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_gpr_raw_hazard + + +Bins + +NAME COUNT AT LEAST +RAW_HAZARD 3555851 1 +NO_RAW_HAZARD 7750381 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_csr_hazard + + +Bins + +NAME COUNT AT LEAST +CSR_HAZARD 130397 1 +NO_CSR_HAZARD 11175835 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_csr_write + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_is_csr_write + + +Bins + +NAME COUNT AT LEAST +IS_CSR_WRITE 130438 1 +NOT_CSR_WRITE 11175794 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 182 0 182 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +RW_CSR_MVENDORID 0 Excluded +RW_CSR_MARCHID 0 Excluded +RW_CSR_MIMPID 0 Excluded +RW_CSR_MHARTID 0 Excluded +RW_CSR_MCONFIGPTR 0 Excluded +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +RW_CSR_MSTATUS 268389 1 +RW_CSR_MISA 2639 1 +RW_CSR_MIE 2712 1 +RW_CSR_MTVEC 2546 1 +RW_CSR_MSTATUSH 303 1 +RW_CSR_MCOUNTINHIBIT 260 1 +RW_CSR_MHPMEVENT3 243 1 +RW_CSR_MHPMEVENT4 218 1 +RW_CSR_MHPMEVENT5 217 1 +RW_CSR_MHPMEVENT6 230 1 +RW_CSR_MHPMEVENT7 240 1 +RW_CSR_MHPMEVENT8 219 1 +RW_CSR_MHPMEVENT9 235 1 +RW_CSR_MHPMEVENT10 227 1 +RW_CSR_MHPMEVENT11 248 1 +RW_CSR_MHPMEVENT12 235 1 +RW_CSR_MHPMEVENT13 227 1 +RW_CSR_MHPMEVENT14 204 1 +RW_CSR_MHPMEVENT15 231 1 +RW_CSR_MHPMEVENT16 238 1 +RW_CSR_MHPMEVENT17 233 1 +RW_CSR_MHPMEVENT18 224 1 +RW_CSR_MHPMEVENT19 236 1 +RW_CSR_MHPMEVENT20 215 1 +RW_CSR_MHPMEVENT21 212 1 +RW_CSR_MHPMEVENT22 261 1 +RW_CSR_MHPMEVENT23 217 1 +RW_CSR_MHPMEVENT24 218 1 +RW_CSR_MHPMEVENT25 222 1 +RW_CSR_MHPMEVENT26 233 1 +RW_CSR_MHPMEVENT27 240 1 +RW_CSR_MHPMEVENT28 219 1 +RW_CSR_MHPMEVENT29 221 1 +RW_CSR_MHPMEVENT30 230 1 +RW_CSR_MHPMEVENT31 257 1 +RW_CSR_MSCRATCH 23924 1 +RW_CSR_MEPC 557368 1 +RW_CSR_MCAUSE 470775 1 +RW_CSR_MTVAL 309 1 +RW_CSR_MIP 205637 1 +RW_CSR_PMPCFG0 303 1 +RW_CSR_PMPCFG1 309 1 +RW_CSR_PMPCFG2 301 1 +RW_CSR_PMPCFG3 301 1 +RW_CSR_PMPCFG4 229 1 +RW_CSR_PMPCFG5 226 1 +RW_CSR_PMPCFG6 240 1 +RW_CSR_PMPCFG7 228 1 +RW_CSR_PMPCFG8 241 1 +RW_CSR_PMPCFG9 241 1 +RW_CSR_PMPCFG10 227 1 +RW_CSR_PMPCFG11 241 1 +RW_CSR_PMPCFG12 229 1 +RW_CSR_PMPCFG13 222 1 +RW_CSR_PMPCFG14 223 1 +RW_CSR_PMPCFG15 264 1 +RW_CSR_PMPADDR0 207 1 +RW_CSR_PMPADDR1 191 1 +RW_CSR_PMPADDR2 229 1 +RW_CSR_PMPADDR3 199 1 +RW_CSR_PMPADDR4 192 1 +RW_CSR_PMPADDR5 211 1 +RW_CSR_PMPADDR6 210 1 +RW_CSR_PMPADDR7 220 1 +RW_CSR_PMPADDR8 193 1 +RW_CSR_PMPADDR9 233 1 +RW_CSR_PMPADDR10 210 1 +RW_CSR_PMPADDR11 209 1 +RW_CSR_PMPADDR12 209 1 +RW_CSR_PMPADDR13 204 1 +RW_CSR_PMPADDR14 199 1 +RW_CSR_PMPADDR15 220 1 +RW_CSR_PMPADDR16 24 1 +RW_CSR_PMPADDR17 150 1 +RW_CSR_PMPADDR18 140 1 +RW_CSR_PMPADDR19 139 1 +RW_CSR_PMPADDR20 152 1 +RW_CSR_PMPADDR21 139 1 +RW_CSR_PMPADDR22 168 1 +RW_CSR_PMPADDR23 142 1 +RW_CSR_PMPADDR24 147 1 +RW_CSR_PMPADDR25 141 1 +RW_CSR_PMPADDR26 152 1 +RW_CSR_PMPADDR27 160 1 +RW_CSR_PMPADDR28 156 1 +RW_CSR_PMPADDR29 130 1 +RW_CSR_PMPADDR30 145 1 +RW_CSR_PMPADDR31 147 1 +RW_CSR_PMPADDR32 24 1 +RW_CSR_PMPADDR33 138 1 +RW_CSR_PMPADDR34 136 1 +RW_CSR_PMPADDR35 150 1 +RW_CSR_PMPADDR36 139 1 +RW_CSR_PMPADDR37 149 1 +RW_CSR_PMPADDR38 136 1 +RW_CSR_PMPADDR39 136 1 +RW_CSR_PMPADDR40 144 1 +RW_CSR_PMPADDR41 144 1 +RW_CSR_PMPADDR42 159 1 +RW_CSR_PMPADDR43 146 1 +RW_CSR_PMPADDR44 144 1 +RW_CSR_PMPADDR45 143 1 +RW_CSR_PMPADDR46 137 1 +RW_CSR_PMPADDR47 151 1 +RW_CSR_PMPADDR48 24 1 +RW_CSR_PMPADDR49 124 1 +RW_CSR_PMPADDR50 160 1 +RW_CSR_PMPADDR51 168 1 +RW_CSR_PMPADDR52 137 1 +RW_CSR_PMPADDR53 154 1 +RW_CSR_PMPADDR54 148 1 +RW_CSR_PMPADDR55 140 1 +RW_CSR_PMPADDR56 132 1 +RW_CSR_PMPADDR57 132 1 +RW_CSR_PMPADDR58 139 1 +RW_CSR_PMPADDR59 149 1 +RW_CSR_PMPADDR60 143 1 +RW_CSR_PMPADDR61 167 1 +RW_CSR_PMPADDR62 146 1 +RW_CSR_PMPADDR63 151 1 +RW_CSR_MCYCLE 309 1 +RW_CSR_MINSTRET 310 1 +RW_CSR_MHPMCOUNTER3 215 1 +RW_CSR_MHPMCOUNTER4 220 1 +RW_CSR_MHPMCOUNTER5 223 1 +RW_CSR_MHPMCOUNTER6 210 1 +RW_CSR_MHPMCOUNTER7 230 1 +RW_CSR_MHPMCOUNTER8 247 1 +RW_CSR_MHPMCOUNTER9 252 1 +RW_CSR_MHPMCOUNTER10 220 1 +RW_CSR_MHPMCOUNTER11 229 1 +RW_CSR_MHPMCOUNTER12 215 1 +RW_CSR_MHPMCOUNTER13 233 1 +RW_CSR_MHPMCOUNTER14 223 1 +RW_CSR_MHPMCOUNTER15 245 1 +RW_CSR_MHPMCOUNTER16 232 1 +RW_CSR_MHPMCOUNTER17 211 1 +RW_CSR_MHPMCOUNTER18 250 1 +RW_CSR_MHPMCOUNTER19 248 1 +RW_CSR_MHPMCOUNTER20 233 1 +RW_CSR_MHPMCOUNTER21 233 1 +RW_CSR_MHPMCOUNTER22 221 1 +RW_CSR_MHPMCOUNTER23 252 1 +RW_CSR_MHPMCOUNTER24 228 1 +RW_CSR_MHPMCOUNTER25 230 1 +RW_CSR_MHPMCOUNTER26 235 1 +RW_CSR_MHPMCOUNTER27 232 1 +RW_CSR_MHPMCOUNTER28 231 1 +RW_CSR_MHPMCOUNTER29 249 1 +RW_CSR_MHPMCOUNTER30 221 1 +RW_CSR_MHPMCOUNTER31 235 1 +RW_CSR_MCYCLEH 303 1 +RW_CSR_MINSTRETH 289 1 +RW_CSR_MHPMCOUNTER3H 235 1 +RW_CSR_MHPMCOUNTER4H 235 1 +RW_CSR_MHPMCOUNTER5H 224 1 +RW_CSR_MHPMCOUNTER6H 243 1 +RW_CSR_MHPMCOUNTER7H 246 1 +RW_CSR_MHPMCOUNTER8H 264 1 +RW_CSR_MHPMCOUNTER9H 219 1 +RW_CSR_MHPMCOUNTER10H 229 1 +RW_CSR_MHPMCOUNTER11H 229 1 +RW_CSR_MHPMCOUNTER12H 231 1 +RW_CSR_MHPMCOUNTER13H 232 1 +RW_CSR_MHPMCOUNTER14H 212 1 +RW_CSR_MHPMCOUNTER15H 213 1 +RW_CSR_MHPMCOUNTER16H 244 1 +RW_CSR_MHPMCOUNTER17H 234 1 +RW_CSR_MHPMCOUNTER18H 229 1 +RW_CSR_MHPMCOUNTER19H 223 1 +RW_CSR_MHPMCOUNTER20H 220 1 +RW_CSR_MHPMCOUNTER21H 234 1 +RW_CSR_MHPMCOUNTER22H 222 1 +RW_CSR_MHPMCOUNTER23H 255 1 +RW_CSR_MHPMCOUNTER24H 222 1 +RW_CSR_MHPMCOUNTER25H 231 1 +RW_CSR_MHPMCOUNTER26H 225 1 +RW_CSR_MHPMCOUNTER27H 218 1 +RW_CSR_MHPMCOUNTER28H 250 1 +RW_CSR_MHPMCOUNTER29H 225 1 +RW_CSR_MHPMCOUNTER30H 223 1 +RW_CSR_MHPMCOUNTER31H 241 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_instr_x2 + + +Samples crossed: cp_instr cp_instr_prev_x2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 15876 2018 13858 87.29 2018 + + +Automatically Generated Cross Bins for cross_seq_instr_x2 + + +Uncovered bins + +cp_instr cp_instr_prev_x2 COUNT AT LEAST NUMBER +[auto_LUI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LUI] [auto_C_SW] 0 1 1 +[auto_LUI] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LUI] [auto_C_SB , auto_C_SH] -- -- 2 +[auto_AUIPC] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_AUIPC] [auto_C_EBREAK] 0 1 1 +[auto_JAL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_JAL] [auto_WFI] 0 1 1 +[auto_JAL] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_JAL] [auto_C_LH] 0 1 1 +[auto_JALR] [auto_JALR] 0 1 1 +[auto_JALR] [auto_LB , auto_LH] -- -- 2 +[auto_JALR] [auto_LBU , auto_LHU , auto_SB , auto_SH] -- -- 4 +[auto_JALR] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_JALR] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_JALR] [auto_C_JAL] 0 1 1 +[auto_JALR] [auto_C_SRAI] 0 1 1 +[auto_JALR] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_JALR] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_JALR] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_JALR] [auto_C_SWSP] 0 1 1 +[auto_JALR] [auto_SH2ADD] 0 1 1 +[auto_JALR] [auto_MIN , auto_MAX , auto_MINU] -- -- 3 +[auto_JALR] [auto_ANDN] 0 1 1 +[auto_JALR] [auto_REV8] 0 1 1 +[auto_JALR] [auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] -- -- 4 +[auto_JALR] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B] -- -- 6 +[auto_JALR] [auto_C_SEXT_H , auto_C_NOT] -- -- 2 +[auto_BEQ] [auto_LW] 0 1 1 +[auto_BEQ] [auto_SW] 0 1 1 +[auto_BEQ] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BEQ] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BEQ] [auto_C_JAL] 0 1 1 +[auto_BEQ] [auto_C_J] 0 1 1 +[auto_BEQ] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BEQ] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BEQ] [auto_C_SWSP] 0 1 1 +[auto_BEQ] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BNE] [auto_LW] 0 1 1 +[auto_BNE] [auto_EBREAK] 0 1 1 +[auto_BNE] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BNE] [auto_C_JAL] 0 1 1 +[auto_BNE] [auto_C_J] 0 1 1 +[auto_BNE] [auto_C_LWSP] 0 1 1 +[auto_BNE] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BNE] [auto_C_SWSP] 0 1 1 +[auto_BNE] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BLT] [auto_SW] 0 1 1 +[auto_BLT] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BLT] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BLT] [auto_C_JAL] 0 1 1 +[auto_BLT] [auto_C_J] 0 1 1 +[auto_BLT] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BLT] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BLT] [auto_C_SWSP] 0 1 1 +[auto_BLT] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BGE] [auto_SH , auto_SW] -- -- 2 +[auto_BGE] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BGE] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BGE] [auto_C_J] 0 1 1 +[auto_BGE] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BGE] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BGE] [auto_C_SWSP] 0 1 1 +[auto_BGE] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BLTU] [auto_LW] 0 1 1 +[auto_BLTU] [auto_SH , auto_SW] -- -- 2 +[auto_BLTU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BLTU] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BLTU] [auto_C_JAL] 0 1 1 +[auto_BLTU] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BLTU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BLTU] [auto_C_SWSP] 0 1 1 +[auto_BLTU] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_BGEU] [auto_LW] 0 1 1 +[auto_BGEU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_BGEU] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_BGEU] [auto_C_JAL] 0 1 1 +[auto_BGEU] [auto_C_J] 0 1 1 +[auto_BGEU] [auto_C_LWSP , auto_C_JR] -- -- 2 +[auto_BGEU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_BGEU] [auto_C_SWSP] 0 1 1 +[auto_BGEU] [auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 4 +[auto_LB] [auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 7 +[auto_LB] [auto_EBREAK] 0 1 1 +[auto_LB] [auto_C_JAL] 0 1 1 +[auto_LB] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_LB] [auto_C_JR] 0 1 1 +[auto_LB] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LH] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LH] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LH] [auto_C_JAL] 0 1 1 +[auto_LH] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_LH] [auto_C_JR] 0 1 1 +[auto_LH] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LW] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LW] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LW] [auto_C_JAL] 0 1 1 +[auto_LW] [auto_C_BEQZ , auto_C_BNEZ] -- -- 2 +[auto_LW] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LBU] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LBU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LBU] [auto_C_JAL] 0 1 1 +[auto_LBU] [auto_C_BEQZ , auto_C_BNEZ] -- -- 2 +[auto_LBU] [auto_C_JR] 0 1 1 +[auto_LBU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_LHU] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_LHU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_LHU] [auto_C_JAL] 0 1 1 +[auto_LHU] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_LHU] [auto_C_JR] 0 1 1 +[auto_LHU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SB] [auto_JAL , auto_JALR , auto_BEQ] -- -- 3 +[auto_SB] [auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 4 +[auto_SB] [auto_EBREAK] 0 1 1 +[auto_SB] [auto_C_JAL] 0 1 1 +[auto_SB] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_SB] [auto_C_JR] 0 1 1 +[auto_SB] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SH] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_SH] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SH] [auto_C_JAL] 0 1 1 +[auto_SH] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_SH] [auto_C_JR] 0 1 1 +[auto_SH] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SH] [auto_C_SH] 0 1 1 +[auto_SW] [auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 7 +[auto_SW] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SW] [auto_C_JAL] 0 1 1 +[auto_SW] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_SW] [auto_C_JR] 0 1 1 +[auto_SW] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_ADDI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ADDI] [auto_C_SW] 0 1 1 +[auto_ADDI] [auto_C_EBREAK] 0 1 1 +[auto_ADDI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ADDI] [auto_C_SH] 0 1 1 +[auto_SLTI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLTI] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_SLTI] [auto_C_JR] 0 1 1 +[auto_SLTI] [auto_C_EBREAK] 0 1 1 +[auto_SLTI] [auto_C_SH] 0 1 1 +[auto_SLTIU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLTIU] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_SLTIU] [auto_C_JR] 0 1 1 +[auto_SLTIU] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SLTIU] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_XORI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_XORI] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_XORI] [auto_C_EBREAK] 0 1 1 +[auto_XORI] [auto_C_LHU] 0 1 1 +[auto_XORI] [auto_C_SH] 0 1 1 +[auto_ORI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ORI] [auto_C_LW] 0 1 1 +[auto_ORI] [auto_C_EBREAK] 0 1 1 +[auto_ORI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ORI] [auto_C_SH] 0 1 1 +[auto_ANDI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ANDI] [auto_C_JR] 0 1 1 +[auto_ANDI] [auto_C_EBREAK] 0 1 1 +[auto_ANDI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ANDI] [auto_C_SH] 0 1 1 +[auto_SLLI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLLI] [auto_C_SW] 0 1 1 +[auto_SLLI] [auto_C_JR] 0 1 1 +[auto_SLLI] [auto_C_EBREAK] 0 1 1 +[auto_SLLI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_SLLI] [auto_C_SH] 0 1 1 +[auto_SRLI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRLI] [auto_C_JR] 0 1 1 +[auto_SRLI] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SRLI] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_SRLI] [auto_C_SH] 0 1 1 +[auto_SRAI] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRAI] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SRAI] [auto_C_LHU] 0 1 1 +[auto_SRAI] [auto_C_SH] 0 1 1 +[auto_ADD] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ADD] [auto_C_LW] 0 1 1 +[auto_ADD] [auto_C_JR] 0 1 1 +[auto_ADD] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_ADD] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_ADD] [auto_C_SH] 0 1 1 +[auto_SUB] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SUB] [auto_C_SW] 0 1 1 +[auto_SUB] [auto_C_JR] 0 1 1 +[auto_SUB] [auto_C_EBREAK] 0 1 1 +[auto_SUB] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_SUB] [auto_C_SH] 0 1 1 +[auto_SLL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLL] [auto_C_SW] 0 1 1 +[auto_SLL] [auto_C_JR] 0 1 1 +[auto_SLL] [auto_C_EBREAK] 0 1 1 +[auto_SLL] [auto_C_LBU] 0 1 1 +[auto_SLL] [auto_C_LH] 0 1 1 +[auto_SLL] [auto_C_SH] 0 1 1 +[auto_SLT] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLT] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SLT] [auto_C_SB , auto_C_SH] -- -- 2 +[auto_SLTU] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SLTU] [auto_C_EBREAK] 0 1 1 +[auto_SLTU] [auto_C_LHU] 0 1 1 +[auto_SLTU] [auto_C_SB] 0 1 1 +[auto_XOR] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_XOR] [auto_C_LW] 0 1 1 +[auto_XOR] [auto_C_JR] 0 1 1 +[auto_XOR] [auto_C_EBREAK] 0 1 1 +[auto_XOR] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_XOR] [auto_C_SH] 0 1 1 +[auto_SRL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRL] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_SRL] [auto_C_EBREAK] 0 1 1 +[auto_SRL] [auto_C_LBU] 0 1 1 +[auto_SRL] [auto_C_SH] 0 1 1 +[auto_SRA] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_SRA] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_SRA] [auto_C_LHU , auto_C_LH] -- -- 2 +[auto_OR] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_OR] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_OR] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_OR] [auto_C_LHU] 0 1 1 +[auto_AND] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_AND] [auto_C_LW] 0 1 1 +[auto_AND] [auto_C_EBREAK] 0 1 1 +[auto_AND] [auto_C_LHU] 0 1 1 +[auto_AND] [auto_C_SH] 0 1 1 +[auto_FENCE] [auto_EBREAK] 0 1 1 +[auto_FENCE] [auto_C_SW] 0 1 1 +[auto_FENCE] [auto_C_JR] 0 1 1 +[auto_FENCE] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_FENCE] [auto_C_LHU] 0 1 1 +[auto_ECALL] [auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 8 +[auto_ECALL] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_ECALL] [auto_C_LW , auto_C_SW] -- -- 2 +[auto_ECALL] [auto_C_JAL] 0 1 1 +[auto_ECALL] [auto_C_J , auto_C_BEQZ , auto_C_BNEZ] -- -- 3 +[auto_ECALL] [auto_C_JR] 0 1 1 +[auto_ECALL] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_ECALL] [auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH] -- -- 5 +[auto_EBREAK] [auto_JALR] 0 1 1 +[auto_EBREAK] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_EBREAK] [auto_C_JR] 0 1 1 +[auto_EBREAK] [auto_C_EBREAK , auto_C_JALR] -- -- 2 +[auto_EBREAK] [auto_C_LH] 0 1 1 +[auto_EBREAK] [auto_C_SH] 0 1 1 +[auto_MRET] [auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ] -- -- 5 +[auto_MRET] [auto_BLT , auto_BGE , auto_BLTU , auto_BGEU] -- -- 4 +[auto_MRET] [auto_LH , auto_LW , auto_LBU , auto_LHU] -- -- 4 +[auto_MRET] [auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND] -- -- 21 +[auto_MRET] [auto_ECALL , auto_EBREAK] -- -- 2 +[auto_MRET] [auto_MUL] 0 1 1 +[auto_MRET] [auto_MULHSU , auto_MULHU] -- -- 2 +[auto_MRET] [auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP] -- -- 7 +[auto_MRET] [auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND] -- -- 11 +[auto_MRET] [auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] -- -- 10 +[auto_MRET] [auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI] -- -- 32 +[auto_MRET] [auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] -- -- 4 + + +Excluded/Illegal bins + +cp_instr cp_instr_prev_x2 COUNT STATUS +[auto_UNKNOWN] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (140 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_UNKNOWN] -- Excluded (40 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_DRET] -- Excluded (40 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (440 bins) +[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK] [auto_FENCE_I] -- Excluded (40 bins) +[auto_DRET] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (140 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_UNKNOWN] -- Excluded (37 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_DRET] -- Excluded (37 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (407 bins) +[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP] [auto_FENCE_I] -- Excluded (37 bins) +[auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (1540 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_UNKNOWN] -- Excluded (38 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_DRET] -- Excluded (38 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (418 bins) +[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI] [auto_FENCE_I] -- Excluded (38 bins) +[auto_FENCE_I] [auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] -- Excluded (140 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_UNKNOWN] -- Excluded (11 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_DRET] -- Excluded (11 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W] -- Excluded (121 bins) +[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL] [auto_FENCE_I] -- Excluded (11 bins) + + +Covered bins + +cp_instr cp_instr_prev_x2 COUNT AT LEAST +auto_LUI auto_LUI 8213 1 +auto_LUI auto_AUIPC 243 1 +auto_LUI auto_JAL 93 1 +auto_LUI auto_JALR 7 1 +auto_LUI auto_BEQ 80 1 +auto_LUI auto_BNE 73 1 +auto_LUI auto_BLT 72 1 +auto_LUI auto_BGE 56 1 +auto_LUI auto_BLTU 56 1 +auto_LUI auto_BGEU 70 1 +auto_LUI auto_LB 338 1 +auto_LUI auto_LH 98 1 +auto_LUI auto_LW 49 1 +auto_LUI auto_LBU 352 1 +auto_LUI auto_LHU 99 1 +auto_LUI auto_SB 346 1 +auto_LUI auto_SH 105 1 +auto_LUI auto_SW 112 1 +auto_LUI auto_ADDI 16971 1 +auto_LUI auto_SLTI 281 1 +auto_LUI auto_SLTIU 267 1 +auto_LUI auto_XORI 255 1 +auto_LUI auto_ORI 356 1 +auto_LUI auto_ANDI 279 1 +auto_LUI auto_SLLI 259 1 +auto_LUI auto_SRLI 242 1 +auto_LUI auto_SRAI 223 1 +auto_LUI auto_ADD 297 1 +auto_LUI auto_SUB 257 1 +auto_LUI auto_SLL 253 1 +auto_LUI auto_SLT 350 1 +auto_LUI auto_SLTU 274 1 +auto_LUI auto_XOR 241 1 +auto_LUI auto_SRL 235 1 +auto_LUI auto_SRA 266 1 +auto_LUI auto_OR 280 1 +auto_LUI auto_AND 254 1 +auto_LUI auto_FENCE 95 1 +auto_LUI auto_MRET 6354 1 +auto_LUI auto_WFI 54 1 +auto_LUI auto_MUL 247 1 +auto_LUI auto_MULH 274 1 +auto_LUI auto_MULHSU 273 1 +auto_LUI auto_MULHU 242 1 +auto_LUI auto_DIV 235 1 +auto_LUI auto_DIVU 401 1 +auto_LUI auto_REM 268 1 +auto_LUI auto_REMU 272 1 +auto_LUI auto_C_ADDI4SPN 88 1 +auto_LUI auto_C_LW 1 1 +auto_LUI auto_C_NOP 1027 1 +auto_LUI auto_C_ADDI 205628 1 +auto_LUI auto_C_JAL 30 1 +auto_LUI auto_C_LI 15782 1 +auto_LUI auto_C_ADDI16SP 88 1 +auto_LUI auto_C_LUI 99 1 +auto_LUI auto_C_SRLI 94 1 +auto_LUI auto_C_SRAI 78 1 +auto_LUI auto_C_ANDI 84 1 +auto_LUI auto_C_SUB 91 1 +auto_LUI auto_C_XOR 113 1 +auto_LUI auto_C_OR 93 1 +auto_LUI auto_C_AND 112 1 +auto_LUI auto_C_J 2367 1 +auto_LUI auto_C_BEQZ 31 1 +auto_LUI auto_C_BNEZ 39 1 +auto_LUI auto_C_SLLI 128 1 +auto_LUI auto_C_LWSP 3 1 +auto_LUI auto_C_JR 4 1 +auto_LUI auto_C_MV 104 1 +auto_LUI auto_C_ADD 133 1 +auto_LUI auto_C_SWSP 5 1 +auto_LUI auto_SH1ADD 205 1 +auto_LUI auto_SH2ADD 188 1 +auto_LUI auto_SH3ADD 199 1 +auto_LUI auto_CLZ 200 1 +auto_LUI auto_CTZ 186 1 +auto_LUI auto_CPOP 219 1 +auto_LUI auto_MIN 217 1 +auto_LUI auto_MAX 209 1 +auto_LUI auto_MINU 190 1 +auto_LUI auto_MAXU 176 1 +auto_LUI auto_SEXT_B 214 1 +auto_LUI auto_SEXT_H 201 1 +auto_LUI auto_ZEXT_H 172 1 +auto_LUI auto_ANDN 203 1 +auto_LUI auto_ORN 219 1 +auto_LUI auto_XNOR 191 1 +auto_LUI auto_ROR 221 1 +auto_LUI auto_RORI 207 1 +auto_LUI auto_ROL 207 1 +auto_LUI auto_REV8 174 1 +auto_LUI auto_ORC_B 202 1 +auto_LUI auto_CLMUL 203 1 +auto_LUI auto_CLMULH 194 1 +auto_LUI auto_CLMULR 217 1 +auto_LUI auto_BSET 193 1 +auto_LUI auto_BSETI 184 1 +auto_LUI auto_BCLR 200 1 +auto_LUI auto_BCLRI 202 1 +auto_LUI auto_BINV 190 1 +auto_LUI auto_BINVI 200 1 +auto_LUI auto_BEXT 177 1 +auto_LUI auto_BEXTI 204 1 +auto_LUI auto_CSRRW 73 1 +auto_LUI auto_CSRRS 206529 1 +auto_LUI auto_CSRRC 63 1 +auto_LUI auto_CSRRWI 67 1 +auto_LUI auto_CSRRSI 68 1 +auto_LUI auto_CSRRCI 73 1 +auto_LUI auto_C_LBU 3 1 +auto_LUI auto_C_LHU 2 1 +auto_LUI auto_C_LH 1 1 +auto_LUI auto_C_ZEXT_B 84 1 +auto_LUI auto_C_SEXT_B 109 1 +auto_LUI auto_C_ZEXT_H 101 1 +auto_LUI auto_C_SEXT_H 83 1 +auto_LUI auto_C_NOT 91 1 +auto_LUI auto_C_MUL 105 1 +auto_AUIPC auto_LUI 830 1 +auto_AUIPC auto_AUIPC 337 1 +auto_AUIPC auto_JAL 77 1 +auto_AUIPC auto_JALR 36 1 +auto_AUIPC auto_BEQ 76 1 +auto_AUIPC auto_BNE 80 1 +auto_AUIPC auto_BLT 96 1 +auto_AUIPC auto_BGE 97 1 +auto_AUIPC auto_BLTU 81 1 +auto_AUIPC auto_BGEU 66 1 +auto_AUIPC auto_LB 4745 1 +auto_AUIPC auto_LH 1493 1 +auto_AUIPC auto_LW 713 1 +auto_AUIPC auto_LBU 4598 1 +auto_AUIPC auto_LHU 1400 1 +auto_AUIPC auto_SB 4631 1 +auto_AUIPC auto_SH 1541 1 +auto_AUIPC auto_SW 682 1 +auto_AUIPC auto_ADDI 6129 1 +auto_AUIPC auto_SLTI 325 1 +auto_AUIPC auto_SLTIU 296 1 +auto_AUIPC auto_XORI 329 1 +auto_AUIPC auto_ORI 359 1 +auto_AUIPC auto_ANDI 402 1 +auto_AUIPC auto_SLLI 311 1 +auto_AUIPC auto_SRLI 309 1 +auto_AUIPC auto_SRAI 329 1 +auto_AUIPC auto_ADD 220 1 +auto_AUIPC auto_SUB 304 1 +auto_AUIPC auto_SLL 361 1 +auto_AUIPC auto_SLT 385 1 +auto_AUIPC auto_SLTU 336 1 +auto_AUIPC auto_XOR 317 1 +auto_AUIPC auto_SRL 294 1 +auto_AUIPC auto_SRA 309 1 +auto_AUIPC auto_OR 337 1 +auto_AUIPC auto_AND 306 1 +auto_AUIPC auto_FENCE 135 1 +auto_AUIPC auto_MRET 1902 1 +auto_AUIPC auto_WFI 90 1 +auto_AUIPC auto_MUL 316 1 +auto_AUIPC auto_MULH 352 1 +auto_AUIPC auto_MULHSU 319 1 +auto_AUIPC auto_MULHU 353 1 +auto_AUIPC auto_DIV 456 1 +auto_AUIPC auto_DIVU 372 1 +auto_AUIPC auto_REM 349 1 +auto_AUIPC auto_REMU 324 1 +auto_AUIPC auto_C_ADDI4SPN 137 1 +auto_AUIPC auto_C_LW 11 1 +auto_AUIPC auto_C_SW 10 1 +auto_AUIPC auto_C_NOP 872 1 +auto_AUIPC auto_C_ADDI 205435 1 +auto_AUIPC auto_C_JAL 35 1 +auto_AUIPC auto_C_LI 3413 1 +auto_AUIPC auto_C_ADDI16SP 135 1 +auto_AUIPC auto_C_LUI 165 1 +auto_AUIPC auto_C_SRLI 140 1 +auto_AUIPC auto_C_SRAI 130 1 +auto_AUIPC auto_C_ANDI 124 1 +auto_AUIPC auto_C_SUB 115 1 +auto_AUIPC auto_C_XOR 133 1 +auto_AUIPC auto_C_OR 162 1 +auto_AUIPC auto_C_AND 139 1 +auto_AUIPC auto_C_J 36 1 +auto_AUIPC auto_C_BEQZ 58 1 +auto_AUIPC auto_C_BNEZ 60 1 +auto_AUIPC auto_C_SLLI 213 1 +auto_AUIPC auto_C_LWSP 66 1 +auto_AUIPC auto_C_JR 21 1 +auto_AUIPC auto_C_MV 191 1 +auto_AUIPC auto_C_JALR 34 1 +auto_AUIPC auto_C_ADD 210 1 +auto_AUIPC auto_C_SWSP 57 1 +auto_AUIPC auto_SH1ADD 266 1 +auto_AUIPC auto_SH2ADD 267 1 +auto_AUIPC auto_SH3ADD 284 1 +auto_AUIPC auto_CLZ 274 1 +auto_AUIPC auto_CTZ 250 1 +auto_AUIPC auto_CPOP 238 1 +auto_AUIPC auto_MIN 227 1 +auto_AUIPC auto_MAX 242 1 +auto_AUIPC auto_MINU 255 1 +auto_AUIPC auto_MAXU 257 1 +auto_AUIPC auto_SEXT_B 238 1 +auto_AUIPC auto_SEXT_H 247 1 +auto_AUIPC auto_ZEXT_H 279 1 +auto_AUIPC auto_ANDN 252 1 +auto_AUIPC auto_ORN 255 1 +auto_AUIPC auto_XNOR 250 1 +auto_AUIPC auto_ROR 243 1 +auto_AUIPC auto_RORI 284 1 +auto_AUIPC auto_ROL 242 1 +auto_AUIPC auto_REV8 245 1 +auto_AUIPC auto_ORC_B 228 1 +auto_AUIPC auto_CLMUL 286 1 +auto_AUIPC auto_CLMULH 272 1 +auto_AUIPC auto_CLMULR 241 1 +auto_AUIPC auto_BSET 289 1 +auto_AUIPC auto_BSETI 240 1 +auto_AUIPC auto_BCLR 233 1 +auto_AUIPC auto_BCLRI 231 1 +auto_AUIPC auto_BINV 221 1 +auto_AUIPC auto_BINVI 251 1 +auto_AUIPC auto_BEXT 227 1 +auto_AUIPC auto_BEXTI 256 1 +auto_AUIPC auto_CSRRW 4818 1 +auto_AUIPC auto_CSRRS 98 1 +auto_AUIPC auto_CSRRC 103 1 +auto_AUIPC auto_CSRRWI 127 1 +auto_AUIPC auto_CSRRSI 99 1 +auto_AUIPC auto_CSRRCI 116 1 +auto_AUIPC auto_C_LBU 20 1 +auto_AUIPC auto_C_LHU 3 1 +auto_AUIPC auto_C_LH 4 1 +auto_AUIPC auto_C_SB 28 1 +auto_AUIPC auto_C_SH 5 1 +auto_AUIPC auto_C_ZEXT_B 144 1 +auto_AUIPC auto_C_SEXT_B 164 1 +auto_AUIPC auto_C_ZEXT_H 170 1 +auto_AUIPC auto_C_SEXT_H 146 1 +auto_AUIPC auto_C_NOT 147 1 +auto_AUIPC auto_C_MUL 147 1 +auto_JAL auto_LUI 98 1 +auto_JAL auto_AUIPC 106 1 +auto_JAL auto_JAL 73023 1 +auto_JAL auto_JALR 2 1 +auto_JAL auto_BEQ 17 1 +auto_JAL auto_BNE 23 1 +auto_JAL auto_BLT 18 1 +auto_JAL auto_BGE 20 1 +auto_JAL auto_BLTU 18 1 +auto_JAL auto_BGEU 35 1 +auto_JAL auto_LB 621 1 +auto_JAL auto_LH 200 1 +auto_JAL auto_LW 94 1 +auto_JAL auto_LBU 618 1 +auto_JAL auto_LHU 214 1 +auto_JAL auto_SB 632 1 +auto_JAL auto_SH 199 1 +auto_JAL auto_SW 71 1 +auto_JAL auto_ADDI 448 1 +auto_JAL auto_SLTI 110 1 +auto_JAL auto_SLTIU 95 1 +auto_JAL auto_XORI 94 1 +auto_JAL auto_ORI 84 1 +auto_JAL auto_ANDI 94 1 +auto_JAL auto_SLLI 99 1 +auto_JAL auto_SRLI 95 1 +auto_JAL auto_SRAI 100 1 +auto_JAL auto_ADD 107 1 +auto_JAL auto_SUB 89 1 +auto_JAL auto_SLL 116 1 +auto_JAL auto_SLT 97 1 +auto_JAL auto_SLTU 110 1 +auto_JAL auto_XOR 143 1 +auto_JAL auto_SRL 98 1 +auto_JAL auto_SRA 96 1 +auto_JAL auto_OR 112 1 +auto_JAL auto_AND 118 1 +auto_JAL auto_FENCE 85 1 +auto_JAL auto_MRET 143 1 +auto_JAL auto_MUL 121 1 +auto_JAL auto_MULH 82 1 +auto_JAL auto_MULHSU 118 1 +auto_JAL auto_MULHU 91 1 +auto_JAL auto_DIV 95 1 +auto_JAL auto_DIVU 80 1 +auto_JAL auto_REM 99 1 +auto_JAL auto_REMU 182 1 +auto_JAL auto_C_ADDI4SPN 57 1 +auto_JAL auto_C_LW 1 1 +auto_JAL auto_C_SW 1 1 +auto_JAL auto_C_NOP 323 1 +auto_JAL auto_C_ADDI 106 1 +auto_JAL auto_C_JAL 18783 1 +auto_JAL auto_C_LI 113 1 +auto_JAL auto_C_ADDI16SP 59 1 +auto_JAL auto_C_LUI 90 1 +auto_JAL auto_C_SRLI 84 1 +auto_JAL auto_C_SRAI 95 1 +auto_JAL auto_C_ANDI 51 1 +auto_JAL auto_C_SUB 56 1 +auto_JAL auto_C_XOR 65 1 +auto_JAL auto_C_OR 56 1 +auto_JAL auto_C_AND 47 1 +auto_JAL auto_C_J 18766 1 +auto_JAL auto_C_BEQZ 9 1 +auto_JAL auto_C_BNEZ 2 1 +auto_JAL auto_C_SLLI 161 1 +auto_JAL auto_C_LWSP 18 1 +auto_JAL auto_C_JR 5 1 +auto_JAL auto_C_MV 101 1 +auto_JAL auto_C_ADD 137 1 +auto_JAL auto_C_SWSP 25 1 +auto_JAL auto_SH1ADD 128 1 +auto_JAL auto_SH2ADD 95 1 +auto_JAL auto_SH3ADD 102 1 +auto_JAL auto_CLZ 79 1 +auto_JAL auto_CTZ 92 1 +auto_JAL auto_CPOP 104 1 +auto_JAL auto_MIN 90 1 +auto_JAL auto_MAX 72 1 +auto_JAL auto_MINU 88 1 +auto_JAL auto_MAXU 91 1 +auto_JAL auto_SEXT_B 107 1 +auto_JAL auto_SEXT_H 94 1 +auto_JAL auto_ZEXT_H 113 1 +auto_JAL auto_ANDN 84 1 +auto_JAL auto_ORN 79 1 +auto_JAL auto_XNOR 85 1 +auto_JAL auto_ROR 103 1 +auto_JAL auto_RORI 70 1 +auto_JAL auto_ROL 86 1 +auto_JAL auto_REV8 85 1 +auto_JAL auto_ORC_B 77 1 +auto_JAL auto_CLMUL 104 1 +auto_JAL auto_CLMULH 94 1 +auto_JAL auto_CLMULR 83 1 +auto_JAL auto_BSET 86 1 +auto_JAL auto_BSETI 109 1 +auto_JAL auto_BCLR 109 1 +auto_JAL auto_BCLRI 100 1 +auto_JAL auto_BINV 103 1 +auto_JAL auto_BINVI 101 1 +auto_JAL auto_BEXT 88 1 +auto_JAL auto_BEXTI 79 1 +auto_JAL auto_CSRRW 288 1 +auto_JAL auto_CSRRS 92 1 +auto_JAL auto_CSRRC 90 1 +auto_JAL auto_CSRRWI 118 1 +auto_JAL auto_CSRRSI 94 1 +auto_JAL auto_CSRRCI 86 1 +auto_JAL auto_C_LBU 6 1 +auto_JAL auto_C_LHU 2 1 +auto_JAL auto_C_SB 4 1 +auto_JAL auto_C_SH 1 1 +auto_JAL auto_C_ZEXT_B 58 1 +auto_JAL auto_C_SEXT_B 61 1 +auto_JAL auto_C_ZEXT_H 59 1 +auto_JAL auto_C_SEXT_H 62 1 +auto_JAL auto_C_NOT 57 1 +auto_JAL auto_C_MUL 67 1 +auto_JALR auto_LUI 15 1 +auto_JALR auto_AUIPC 5 1 +auto_JALR auto_JAL 1 1 +auto_JALR auto_BEQ 5 1 +auto_JALR auto_BNE 26 1 +auto_JALR auto_BLT 31 1 +auto_JALR auto_BGE 25 1 +auto_JALR auto_BLTU 22 1 +auto_JALR auto_BGEU 48 1 +auto_JALR auto_LW 1 1 +auto_JALR auto_SW 1 1 +auto_JALR auto_ADDI 632 1 +auto_JALR auto_SLTI 14 1 +auto_JALR auto_SLTIU 18 1 +auto_JALR auto_XORI 5 1 +auto_JALR auto_ORI 10 1 +auto_JALR auto_ANDI 8 1 +auto_JALR auto_SLLI 21 1 +auto_JALR auto_SRLI 7 1 +auto_JALR auto_SRAI 5 1 +auto_JALR auto_ADD 32 1 +auto_JALR auto_SUB 2 1 +auto_JALR auto_SLL 3 1 +auto_JALR auto_SLT 30 1 +auto_JALR auto_SLTU 22 1 +auto_JALR auto_XOR 3 1 +auto_JALR auto_SRL 14 1 +auto_JALR auto_SRA 4 1 +auto_JALR auto_OR 5 1 +auto_JALR auto_AND 5 1 +auto_JALR auto_FENCE 8 1 +auto_JALR auto_MRET 192 1 +auto_JALR auto_WFI 2 1 +auto_JALR auto_MUL 17 1 +auto_JALR auto_MULH 21 1 +auto_JALR auto_MULHSU 21 1 +auto_JALR auto_MULHU 9 1 +auto_JALR auto_DIV 27 1 +auto_JALR auto_DIVU 9 1 +auto_JALR auto_REM 7 1 +auto_JALR auto_REMU 9 1 +auto_JALR auto_C_ADDI4SPN 2 1 +auto_JALR auto_C_NOP 16 1 +auto_JALR auto_C_ADDI 8 1 +auto_JALR auto_C_LI 4 1 +auto_JALR auto_C_ADDI16SP 1 1 +auto_JALR auto_C_LUI 1 1 +auto_JALR auto_C_SRLI 5 1 +auto_JALR auto_C_ANDI 8 1 +auto_JALR auto_C_SUB 6 1 +auto_JALR auto_C_XOR 5 1 +auto_JALR auto_C_OR 4 1 +auto_JALR auto_C_AND 4 1 +auto_JALR auto_C_SLLI 9 1 +auto_JALR auto_C_MV 343 1 +auto_JALR auto_C_ADD 5 1 +auto_JALR auto_SH1ADD 3 1 +auto_JALR auto_SH3ADD 2 1 +auto_JALR auto_CLZ 10 1 +auto_JALR auto_CTZ 3 1 +auto_JALR auto_CPOP 9 1 +auto_JALR auto_MAXU 1 1 +auto_JALR auto_SEXT_B 3 1 +auto_JALR auto_SEXT_H 1 1 +auto_JALR auto_ZEXT_H 2 1 +auto_JALR auto_ORN 1 1 +auto_JALR auto_XNOR 2 1 +auto_JALR auto_ROR 7 1 +auto_JALR auto_RORI 1 1 +auto_JALR auto_ROL 2 1 +auto_JALR auto_ORC_B 5 1 +auto_JALR auto_CLMUL 5 1 +auto_JALR auto_CLMULH 13 1 +auto_JALR auto_CLMULR 2 1 +auto_JALR auto_BSET 2 1 +auto_JALR auto_BSETI 7 1 +auto_JALR auto_BCLR 1 1 +auto_JALR auto_BCLRI 1 1 +auto_JALR auto_BINV 2 1 +auto_JALR auto_BINVI 1 1 +auto_JALR auto_BEXT 2 1 +auto_JALR auto_BEXTI 4 1 +auto_JALR auto_CSRRW 1 1 +auto_JALR auto_CSRRS 1 1 +auto_JALR auto_C_SEXT_B 1 1 +auto_JALR auto_C_ZEXT_H 4 1 +auto_JALR auto_C_MUL 5 1 +auto_BEQ auto_LUI 82 1 +auto_BEQ auto_AUIPC 66 1 +auto_BEQ auto_JAL 18 1 +auto_BEQ auto_JALR 26 1 +auto_BEQ auto_BEQ 73952 1 +auto_BEQ auto_BNE 77 1 +auto_BEQ auto_BLT 64 1 +auto_BEQ auto_BGE 74 1 +auto_BEQ auto_BLTU 69 1 +auto_BEQ auto_BGEU 66 1 +auto_BEQ auto_LB 3 1 +auto_BEQ auto_LH 2 1 +auto_BEQ auto_LBU 6 1 +auto_BEQ auto_LHU 3 1 +auto_BEQ auto_SB 4 1 +auto_BEQ auto_SH 1 1 +auto_BEQ auto_ADDI 69 1 +auto_BEQ auto_SLTI 68 1 +auto_BEQ auto_SLTIU 70 1 +auto_BEQ auto_XORI 77 1 +auto_BEQ auto_ORI 82 1 +auto_BEQ auto_ANDI 77 1 +auto_BEQ auto_SLLI 165 1 +auto_BEQ auto_SRLI 82 1 +auto_BEQ auto_SRAI 62 1 +auto_BEQ auto_ADD 49 1 +auto_BEQ auto_SUB 102 1 +auto_BEQ auto_SLL 70 1 +auto_BEQ auto_SLT 77 1 +auto_BEQ auto_SLTU 112 1 +auto_BEQ auto_XOR 69 1 +auto_BEQ auto_SRL 71 1 +auto_BEQ auto_SRA 82 1 +auto_BEQ auto_OR 160 1 +auto_BEQ auto_AND 74 1 +auto_BEQ auto_FENCE 50 1 +auto_BEQ auto_MRET 189 1 +auto_BEQ auto_WFI 5 1 +auto_BEQ auto_MUL 78 1 +auto_BEQ auto_MULH 67 1 +auto_BEQ auto_MULHSU 56 1 +auto_BEQ auto_MULHU 57 1 +auto_BEQ auto_DIV 66 1 +auto_BEQ auto_DIVU 63 1 +auto_BEQ auto_REM 75 1 +auto_BEQ auto_REMU 78 1 +auto_BEQ auto_C_ADDI4SPN 38 1 +auto_BEQ auto_C_NOP 243 1 +auto_BEQ auto_C_ADDI 205308 1 +auto_BEQ auto_C_LI 2393 1 +auto_BEQ auto_C_ADDI16SP 33 1 +auto_BEQ auto_C_LUI 57 1 +auto_BEQ auto_C_SRLI 52 1 +auto_BEQ auto_C_SRAI 56 1 +auto_BEQ auto_C_ANDI 33 1 +auto_BEQ auto_C_SUB 39 1 +auto_BEQ auto_C_XOR 51 1 +auto_BEQ auto_C_OR 49 1 +auto_BEQ auto_C_AND 52 1 +auto_BEQ auto_C_BEQZ 55 1 +auto_BEQ auto_C_BNEZ 35 1 +auto_BEQ auto_C_SLLI 52 1 +auto_BEQ auto_C_MV 48 1 +auto_BEQ auto_C_ADD 62 1 +auto_BEQ auto_SH1ADD 55 1 +auto_BEQ auto_SH2ADD 66 1 +auto_BEQ auto_SH3ADD 62 1 +auto_BEQ auto_CLZ 70 1 +auto_BEQ auto_CTZ 64 1 +auto_BEQ auto_CPOP 72 1 +auto_BEQ auto_MIN 61 1 +auto_BEQ auto_MAX 62 1 +auto_BEQ auto_MINU 65 1 +auto_BEQ auto_MAXU 45 1 +auto_BEQ auto_SEXT_B 79 1 +auto_BEQ auto_SEXT_H 60 1 +auto_BEQ auto_ZEXT_H 60 1 +auto_BEQ auto_ANDN 65 1 +auto_BEQ auto_ORN 67 1 +auto_BEQ auto_XNOR 67 1 +auto_BEQ auto_ROR 52 1 +auto_BEQ auto_RORI 58 1 +auto_BEQ auto_ROL 54 1 +auto_BEQ auto_REV8 62 1 +auto_BEQ auto_ORC_B 54 1 +auto_BEQ auto_CLMUL 72 1 +auto_BEQ auto_CLMULH 39 1 +auto_BEQ auto_CLMULR 59 1 +auto_BEQ auto_BSET 58 1 +auto_BEQ auto_BSETI 62 1 +auto_BEQ auto_BCLR 58 1 +auto_BEQ auto_BCLRI 62 1 +auto_BEQ auto_BINV 67 1 +auto_BEQ auto_BINVI 56 1 +auto_BEQ auto_BEXT 39 1 +auto_BEQ auto_BEXTI 75 1 +auto_BEQ auto_CSRRW 55 1 +auto_BEQ auto_CSRRS 43 1 +auto_BEQ auto_CSRRC 53 1 +auto_BEQ auto_CSRRWI 51 1 +auto_BEQ auto_CSRRSI 50 1 +auto_BEQ auto_CSRRCI 51 1 +auto_BEQ auto_C_ZEXT_B 44 1 +auto_BEQ auto_C_SEXT_B 54 1 +auto_BEQ auto_C_ZEXT_H 51 1 +auto_BEQ auto_C_SEXT_H 48 1 +auto_BEQ auto_C_NOT 43 1 +auto_BEQ auto_C_MUL 38 1 +auto_BNE auto_LUI 55 1 +auto_BNE auto_AUIPC 87 1 +auto_BNE auto_JAL 12 1 +auto_BNE auto_JALR 9 1 +auto_BNE auto_BEQ 81 1 +auto_BNE auto_BNE 81 1 +auto_BNE auto_BLT 56 1 +auto_BNE auto_BGE 67 1 +auto_BNE auto_BLTU 69 1 +auto_BNE auto_BGEU 64 1 +auto_BNE auto_LB 4 1 +auto_BNE auto_LH 2 1 +auto_BNE auto_LBU 3 1 +auto_BNE auto_LHU 1 1 +auto_BNE auto_SB 4 1 +auto_BNE auto_SH 1 1 +auto_BNE auto_SW 2 1 +auto_BNE auto_ADDI 79 1 +auto_BNE auto_SLTI 65 1 +auto_BNE auto_SLTIU 70 1 +auto_BNE auto_XORI 57 1 +auto_BNE auto_ORI 60 1 +auto_BNE auto_ANDI 153 1 +auto_BNE auto_SLLI 78 1 +auto_BNE auto_SRLI 200181 1 +auto_BNE auto_SRAI 89 1 +auto_BNE auto_ADD 149 1 +auto_BNE auto_SUB 84 1 +auto_BNE auto_SLL 75 1 +auto_BNE auto_SLT 89 1 +auto_BNE auto_SLTU 54 1 +auto_BNE auto_XOR 72 1 +auto_BNE auto_SRL 64 1 +auto_BNE auto_SRA 162 1 +auto_BNE auto_OR 56 1 +auto_BNE auto_AND 56148 1 +auto_BNE auto_FENCE 50 1 +auto_BNE auto_ECALL 1 1 +auto_BNE auto_MRET 173 1 +auto_BNE auto_WFI 12 1 +auto_BNE auto_MUL 52 1 +auto_BNE auto_MULH 56 1 +auto_BNE auto_MULHSU 58 1 +auto_BNE auto_MULHU 69 1 +auto_BNE auto_DIV 72 1 +auto_BNE auto_DIVU 64 1 +auto_BNE auto_REM 67 1 +auto_BNE auto_REMU 102 1 +auto_BNE auto_C_ADDI4SPN 36 1 +auto_BNE auto_C_NOP 213 1 +auto_BNE auto_C_ADDI 49 1 +auto_BNE auto_C_LI 52 1 +auto_BNE auto_C_ADDI16SP 48 1 +auto_BNE auto_C_LUI 49 1 +auto_BNE auto_C_SRLI 55 1 +auto_BNE auto_C_SRAI 55 1 +auto_BNE auto_C_ANDI 41 1 +auto_BNE auto_C_SUB 46 1 +auto_BNE auto_C_XOR 46 1 +auto_BNE auto_C_OR 28 1 +auto_BNE auto_C_AND 3934 1 +auto_BNE auto_C_BEQZ 45 1 +auto_BNE auto_C_BNEZ 35 1 +auto_BNE auto_C_SLLI 88 1 +auto_BNE auto_C_JR 2 1 +auto_BNE auto_C_MV 52 1 +auto_BNE auto_C_ADD 61 1 +auto_BNE auto_SH1ADD 57 1 +auto_BNE auto_SH2ADD 53 1 +auto_BNE auto_SH3ADD 55 1 +auto_BNE auto_CLZ 69 1 +auto_BNE auto_CTZ 68 1 +auto_BNE auto_CPOP 61 1 +auto_BNE auto_MIN 69 1 +auto_BNE auto_MAX 55 1 +auto_BNE auto_MINU 49 1 +auto_BNE auto_MAXU 52 1 +auto_BNE auto_SEXT_B 53 1 +auto_BNE auto_SEXT_H 60 1 +auto_BNE auto_ZEXT_H 50 1 +auto_BNE auto_ANDN 61 1 +auto_BNE auto_ORN 56 1 +auto_BNE auto_XNOR 59 1 +auto_BNE auto_ROR 55 1 +auto_BNE auto_RORI 54 1 +auto_BNE auto_ROL 66 1 +auto_BNE auto_REV8 53 1 +auto_BNE auto_ORC_B 63 1 +auto_BNE auto_CLMUL 68 1 +auto_BNE auto_CLMULH 62 1 +auto_BNE auto_CLMULR 66 1 +auto_BNE auto_BSET 52 1 +auto_BNE auto_BSETI 55 1 +auto_BNE auto_BCLR 57 1 +auto_BNE auto_BCLRI 52 1 +auto_BNE auto_BINV 72 1 +auto_BNE auto_BINVI 65 1 +auto_BNE auto_BEXT 45 1 +auto_BNE auto_BEXTI 62 1 +auto_BNE auto_CSRRW 52 1 +auto_BNE auto_CSRRS 53 1 +auto_BNE auto_CSRRC 56 1 +auto_BNE auto_CSRRWI 58 1 +auto_BNE auto_CSRRSI 68 1 +auto_BNE auto_CSRRCI 61 1 +auto_BNE auto_C_ZEXT_B 39 1 +auto_BNE auto_C_SEXT_B 40 1 +auto_BNE auto_C_ZEXT_H 55 1 +auto_BNE auto_C_SEXT_H 54 1 +auto_BNE auto_C_NOT 42 1 +auto_BNE auto_C_MUL 53 1 +auto_BLT auto_LUI 63 1 +auto_BLT auto_AUIPC 77 1 +auto_BLT auto_JAL 3 1 +auto_BLT auto_JALR 10 1 +auto_BLT auto_BEQ 84 1 +auto_BLT auto_BNE 76 1 +auto_BLT auto_BLT 67 1 +auto_BLT auto_BGE 67 1 +auto_BLT auto_BLTU 74 1 +auto_BLT auto_BGEU 63 1 +auto_BLT auto_LB 6 1 +auto_BLT auto_LH 2 1 +auto_BLT auto_LW 3 1 +auto_BLT auto_LBU 10 1 +auto_BLT auto_LHU 1 1 +auto_BLT auto_SB 5 1 +auto_BLT auto_SH 1 1 +auto_BLT auto_ADDI 126 1 +auto_BLT auto_SLTI 77 1 +auto_BLT auto_SLTIU 77 1 +auto_BLT auto_XORI 63 1 +auto_BLT auto_ORI 106 1 +auto_BLT auto_ANDI 69 1 +auto_BLT auto_SLLI 124 1 +auto_BLT auto_SRLI 79 1 +auto_BLT auto_SRAI 71 1 +auto_BLT auto_ADD 57 1 +auto_BLT auto_SUB 83 1 +auto_BLT auto_SLL 58 1 +auto_BLT auto_SLT 74 1 +auto_BLT auto_SLTU 84 1 +auto_BLT auto_XOR 80 1 +auto_BLT auto_SRL 70 1 +auto_BLT auto_SRA 66 1 +auto_BLT auto_OR 68 1 +auto_BLT auto_AND 69 1 +auto_BLT auto_FENCE 48 1 +auto_BLT auto_MRET 185 1 +auto_BLT auto_WFI 3 1 +auto_BLT auto_MUL 79 1 +auto_BLT auto_MULH 58 1 +auto_BLT auto_MULHSU 138 1 +auto_BLT auto_MULHU 67 1 +auto_BLT auto_DIV 64 1 +auto_BLT auto_DIVU 76 1 +auto_BLT auto_REM 64 1 +auto_BLT auto_REMU 51 1 +auto_BLT auto_C_ADDI4SPN 44 1 +auto_BLT auto_C_NOP 232 1 +auto_BLT auto_C_ADDI 50 1 +auto_BLT auto_C_LI 49 1 +auto_BLT auto_C_ADDI16SP 43 1 +auto_BLT auto_C_LUI 47 1 +auto_BLT auto_C_SRLI 62 1 +auto_BLT auto_C_SRAI 38 1 +auto_BLT auto_C_ANDI 64 1 +auto_BLT auto_C_SUB 38 1 +auto_BLT auto_C_XOR 31 1 +auto_BLT auto_C_OR 43 1 +auto_BLT auto_C_AND 50 1 +auto_BLT auto_C_BEQZ 41 1 +auto_BLT auto_C_BNEZ 45 1 +auto_BLT auto_C_SLLI 72 1 +auto_BLT auto_C_MV 47 1 +auto_BLT auto_C_ADD 59 1 +auto_BLT auto_SH1ADD 70 1 +auto_BLT auto_SH2ADD 64 1 +auto_BLT auto_SH3ADD 52 1 +auto_BLT auto_CLZ 53 1 +auto_BLT auto_CTZ 70 1 +auto_BLT auto_CPOP 58 1 +auto_BLT auto_MIN 64 1 +auto_BLT auto_MAX 53 1 +auto_BLT auto_MINU 63 1 +auto_BLT auto_MAXU 60 1 +auto_BLT auto_SEXT_B 57 1 +auto_BLT auto_SEXT_H 49 1 +auto_BLT auto_ZEXT_H 58 1 +auto_BLT auto_ANDN 53 1 +auto_BLT auto_ORN 65 1 +auto_BLT auto_XNOR 69 1 +auto_BLT auto_ROR 51 1 +auto_BLT auto_RORI 65 1 +auto_BLT auto_ROL 53 1 +auto_BLT auto_REV8 57 1 +auto_BLT auto_ORC_B 60 1 +auto_BLT auto_CLMUL 61 1 +auto_BLT auto_CLMULH 57 1 +auto_BLT auto_CLMULR 45 1 +auto_BLT auto_BSET 55 1 +auto_BLT auto_BSETI 56 1 +auto_BLT auto_BCLR 78 1 +auto_BLT auto_BCLRI 54 1 +auto_BLT auto_BINV 62 1 +auto_BLT auto_BINVI 59 1 +auto_BLT auto_BEXT 42 1 +auto_BLT auto_BEXTI 53 1 +auto_BLT auto_CSRRW 59 1 +auto_BLT auto_CSRRS 63 1 +auto_BLT auto_CSRRC 40 1 +auto_BLT auto_CSRRWI 58 1 +auto_BLT auto_CSRRSI 52 1 +auto_BLT auto_CSRRCI 58 1 +auto_BLT auto_C_ZEXT_B 40 1 +auto_BLT auto_C_SEXT_B 57 1 +auto_BLT auto_C_ZEXT_H 48 1 +auto_BLT auto_C_SEXT_H 36 1 +auto_BLT auto_C_NOT 36 1 +auto_BLT auto_C_MUL 45 1 +auto_BGE auto_LUI 76 1 +auto_BGE auto_AUIPC 61 1 +auto_BGE auto_JAL 62 1 +auto_BGE auto_JALR 67 1 +auto_BGE auto_BEQ 68 1 +auto_BGE auto_BNE 64 1 +auto_BGE auto_BLT 64 1 +auto_BGE auto_BGE 52 1 +auto_BGE auto_BLTU 77 1 +auto_BGE auto_BGEU 56 1 +auto_BGE auto_LB 6 1 +auto_BGE auto_LH 1 1 +auto_BGE auto_LW 2 1 +auto_BGE auto_LBU 5 1 +auto_BGE auto_LHU 1 1 +auto_BGE auto_SB 5 1 +auto_BGE auto_ADDI 87 1 +auto_BGE auto_SLTI 149 1 +auto_BGE auto_SLTIU 62 1 +auto_BGE auto_XORI 73 1 +auto_BGE auto_ORI 102 1 +auto_BGE auto_ANDI 74 1 +auto_BGE auto_SLLI 71 1 +auto_BGE auto_SRLI 59 1 +auto_BGE auto_SRAI 74 1 +auto_BGE auto_ADD 57 1 +auto_BGE auto_SUB 83 1 +auto_BGE auto_SLL 91 1 +auto_BGE auto_SLT 70 1 +auto_BGE auto_SLTU 62 1 +auto_BGE auto_XOR 83 1 +auto_BGE auto_SRL 57 1 +auto_BGE auto_SRA 56 1 +auto_BGE auto_OR 110 1 +auto_BGE auto_AND 52 1 +auto_BGE auto_FENCE 58 1 +auto_BGE auto_MRET 226 1 +auto_BGE auto_WFI 6 1 +auto_BGE auto_MUL 70 1 +auto_BGE auto_MULH 65 1 +auto_BGE auto_MULHSU 87 1 +auto_BGE auto_MULHU 58 1 +auto_BGE auto_DIV 92 1 +auto_BGE auto_DIVU 155 1 +auto_BGE auto_REM 65 1 +auto_BGE auto_REMU 107 1 +auto_BGE auto_C_ADDI4SPN 38 1 +auto_BGE auto_C_NOP 237 1 +auto_BGE auto_C_ADDI 50 1 +auto_BGE auto_C_JAL 1 1 +auto_BGE auto_C_LI 50 1 +auto_BGE auto_C_ADDI16SP 47 1 +auto_BGE auto_C_LUI 52 1 +auto_BGE auto_C_SRLI 50 1 +auto_BGE auto_C_SRAI 45 1 +auto_BGE auto_C_ANDI 55 1 +auto_BGE auto_C_SUB 48 1 +auto_BGE auto_C_XOR 35 1 +auto_BGE auto_C_OR 43 1 +auto_BGE auto_C_AND 36 1 +auto_BGE auto_C_BEQZ 39 1 +auto_BGE auto_C_BNEZ 58 1 +auto_BGE auto_C_SLLI 50 1 +auto_BGE auto_C_MV 55 1 +auto_BGE auto_C_ADD 53 1 +auto_BGE auto_SH1ADD 72 1 +auto_BGE auto_SH2ADD 63 1 +auto_BGE auto_SH3ADD 58 1 +auto_BGE auto_CLZ 51 1 +auto_BGE auto_CTZ 53 1 +auto_BGE auto_CPOP 56 1 +auto_BGE auto_MIN 60 1 +auto_BGE auto_MAX 58 1 +auto_BGE auto_MINU 54 1 +auto_BGE auto_MAXU 66 1 +auto_BGE auto_SEXT_B 59 1 +auto_BGE auto_SEXT_H 67 1 +auto_BGE auto_ZEXT_H 68 1 +auto_BGE auto_ANDN 60 1 +auto_BGE auto_ORN 67 1 +auto_BGE auto_XNOR 65 1 +auto_BGE auto_ROR 57 1 +auto_BGE auto_RORI 60 1 +auto_BGE auto_ROL 68 1 +auto_BGE auto_REV8 47 1 +auto_BGE auto_ORC_B 59 1 +auto_BGE auto_CLMUL 56 1 +auto_BGE auto_CLMULH 56 1 +auto_BGE auto_CLMULR 55 1 +auto_BGE auto_BSET 56 1 +auto_BGE auto_BSETI 57 1 +auto_BGE auto_BCLR 62 1 +auto_BGE auto_BCLRI 54 1 +auto_BGE auto_BINV 55 1 +auto_BGE auto_BINVI 54 1 +auto_BGE auto_BEXT 69 1 +auto_BGE auto_BEXTI 63 1 +auto_BGE auto_CSRRW 56 1 +auto_BGE auto_CSRRS 46 1 +auto_BGE auto_CSRRC 46 1 +auto_BGE auto_CSRRWI 53 1 +auto_BGE auto_CSRRSI 53 1 +auto_BGE auto_CSRRCI 63 1 +auto_BGE auto_C_ZEXT_B 55 1 +auto_BGE auto_C_SEXT_B 47 1 +auto_BGE auto_C_ZEXT_H 45 1 +auto_BGE auto_C_SEXT_H 42 1 +auto_BGE auto_C_NOT 45 1 +auto_BGE auto_C_MUL 42 1 +auto_BLTU auto_LUI 126 1 +auto_BLTU auto_AUIPC 59 1 +auto_BLTU auto_JAL 19 1 +auto_BLTU auto_JALR 8 1 +auto_BLTU auto_BEQ 60 1 +auto_BLTU auto_BNE 76 1 +auto_BLTU auto_BLT 138 1 +auto_BLTU auto_BGE 73 1 +auto_BLTU auto_BLTU 60 1 +auto_BLTU auto_BGEU 61 1 +auto_BLTU auto_LB 6 1 +auto_BLTU auto_LH 2 1 +auto_BLTU auto_LBU 7 1 +auto_BLTU auto_LHU 1 1 +auto_BLTU auto_SB 5 1 +auto_BLTU auto_ADDI 81 1 +auto_BLTU auto_SLTI 64 1 +auto_BLTU auto_SLTIU 64 1 +auto_BLTU auto_XORI 78 1 +auto_BLTU auto_ORI 76 1 +auto_BLTU auto_ANDI 86 1 +auto_BLTU auto_SLLI 64 1 +auto_BLTU auto_SRLI 80 1 +auto_BLTU auto_SRAI 70 1 +auto_BLTU auto_ADD 51 1 +auto_BLTU auto_SUB 88 1 +auto_BLTU auto_SLL 66 1 +auto_BLTU auto_SLT 71 1 +auto_BLTU auto_SLTU 76 1 +auto_BLTU auto_XOR 70 1 +auto_BLTU auto_SRL 47 1 +auto_BLTU auto_SRA 136 1 +auto_BLTU auto_OR 67 1 +auto_BLTU auto_AND 68 1 +auto_BLTU auto_FENCE 51 1 +auto_BLTU auto_MRET 233 1 +auto_BLTU auto_WFI 10 1 +auto_BLTU auto_MUL 69 1 +auto_BLTU auto_MULH 72 1 +auto_BLTU auto_MULHSU 128 1 +auto_BLTU auto_MULHU 74 1 +auto_BLTU auto_DIV 79 1 +auto_BLTU auto_DIVU 67 1 +auto_BLTU auto_REM 78 1 +auto_BLTU auto_REMU 98 1 +auto_BLTU auto_C_ADDI4SPN 49 1 +auto_BLTU auto_C_NOP 217 1 +auto_BLTU auto_C_ADDI 53 1 +auto_BLTU auto_C_LI 44 1 +auto_BLTU auto_C_ADDI16SP 42 1 +auto_BLTU auto_C_LUI 46 1 +auto_BLTU auto_C_SRLI 44 1 +auto_BLTU auto_C_SRAI 66 1 +auto_BLTU auto_C_ANDI 63 1 +auto_BLTU auto_C_SUB 45 1 +auto_BLTU auto_C_XOR 46 1 +auto_BLTU auto_C_OR 46 1 +auto_BLTU auto_C_AND 51 1 +auto_BLTU auto_C_J 1 1 +auto_BLTU auto_C_BEQZ 52 1 +auto_BLTU auto_C_BNEZ 61 1 +auto_BLTU auto_C_SLLI 58 1 +auto_BLTU auto_C_MV 57 1 +auto_BLTU auto_C_ADD 51 1 +auto_BLTU auto_SH1ADD 55 1 +auto_BLTU auto_SH2ADD 72 1 +auto_BLTU auto_SH3ADD 59 1 +auto_BLTU auto_CLZ 62 1 +auto_BLTU auto_CTZ 61 1 +auto_BLTU auto_CPOP 82 1 +auto_BLTU auto_MIN 68 1 +auto_BLTU auto_MAX 54 1 +auto_BLTU auto_MINU 74 1 +auto_BLTU auto_MAXU 53 1 +auto_BLTU auto_SEXT_B 65 1 +auto_BLTU auto_SEXT_H 53 1 +auto_BLTU auto_ZEXT_H 71 1 +auto_BLTU auto_ANDN 66 1 +auto_BLTU auto_ORN 66 1 +auto_BLTU auto_XNOR 62 1 +auto_BLTU auto_ROR 51 1 +auto_BLTU auto_RORI 61 1 +auto_BLTU auto_ROL 50 1 +auto_BLTU auto_REV8 57 1 +auto_BLTU auto_ORC_B 65 1 +auto_BLTU auto_CLMUL 48 1 +auto_BLTU auto_CLMULH 57 1 +auto_BLTU auto_CLMULR 51 1 +auto_BLTU auto_BSET 55 1 +auto_BLTU auto_BSETI 56 1 +auto_BLTU auto_BCLR 50 1 +auto_BLTU auto_BCLRI 48 1 +auto_BLTU auto_BINV 46 1 +auto_BLTU auto_BINVI 57 1 +auto_BLTU auto_BEXT 57 1 +auto_BLTU auto_BEXTI 59 1 +auto_BLTU auto_CSRRW 63 1 +auto_BLTU auto_CSRRS 58 1 +auto_BLTU auto_CSRRC 66 1 +auto_BLTU auto_CSRRWI 45 1 +auto_BLTU auto_CSRRSI 58 1 +auto_BLTU auto_CSRRCI 48 1 +auto_BLTU auto_C_ZEXT_B 45 1 +auto_BLTU auto_C_SEXT_B 55 1 +auto_BLTU auto_C_ZEXT_H 43 1 +auto_BLTU auto_C_SEXT_H 59 1 +auto_BLTU auto_C_NOT 45 1 +auto_BLTU auto_C_MUL 55 1 +auto_BGEU auto_LUI 69 1 +auto_BGEU auto_AUIPC 104 1 +auto_BGEU auto_JAL 4 1 +auto_BGEU auto_JALR 16 1 +auto_BGEU auto_BEQ 48 1 +auto_BGEU auto_BNE 78 1 +auto_BGEU auto_BLT 52 1 +auto_BGEU auto_BGE 65 1 +auto_BGEU auto_BLTU 101 1 +auto_BGEU auto_BGEU 70 1 +auto_BGEU auto_LB 6 1 +auto_BGEU auto_LH 1 1 +auto_BGEU auto_LBU 3 1 +auto_BGEU auto_LHU 1 1 +auto_BGEU auto_SB 5 1 +auto_BGEU auto_SH 1 1 +auto_BGEU auto_SW 1 1 +auto_BGEU auto_ADDI 73 1 +auto_BGEU auto_SLTI 87 1 +auto_BGEU auto_SLTIU 71 1 +auto_BGEU auto_XORI 62 1 +auto_BGEU auto_ORI 55 1 +auto_BGEU auto_ANDI 142 1 +auto_BGEU auto_SLLI 66 1 +auto_BGEU auto_SRLI 66 1 +auto_BGEU auto_SRAI 157 1 +auto_BGEU auto_ADD 67 1 +auto_BGEU auto_SUB 68 1 +auto_BGEU auto_SLL 65 1 +auto_BGEU auto_SLT 67 1 +auto_BGEU auto_SLTU 79 1 +auto_BGEU auto_XOR 76 1 +auto_BGEU auto_SRL 64 1 +auto_BGEU auto_SRA 73 1 +auto_BGEU auto_OR 54 1 +auto_BGEU auto_AND 86 1 +auto_BGEU auto_FENCE 59 1 +auto_BGEU auto_MRET 176 1 +auto_BGEU auto_WFI 5 1 +auto_BGEU auto_MUL 61 1 +auto_BGEU auto_MULH 86 1 +auto_BGEU auto_MULHSU 59 1 +auto_BGEU auto_MULHU 76 1 +auto_BGEU auto_DIV 84 1 +auto_BGEU auto_DIVU 76 1 +auto_BGEU auto_REM 67 1 +auto_BGEU auto_REMU 51 1 +auto_BGEU auto_C_ADDI4SPN 39 1 +auto_BGEU auto_C_NOP 195 1 +auto_BGEU auto_C_ADDI 70 1 +auto_BGEU auto_C_LI 78 1 +auto_BGEU auto_C_ADDI16SP 48 1 +auto_BGEU auto_C_LUI 43 1 +auto_BGEU auto_C_SRLI 39 1 +auto_BGEU auto_C_SRAI 42 1 +auto_BGEU auto_C_ANDI 49 1 +auto_BGEU auto_C_SUB 46 1 +auto_BGEU auto_C_XOR 54 1 +auto_BGEU auto_C_OR 47 1 +auto_BGEU auto_C_AND 36 1 +auto_BGEU auto_C_BEQZ 50 1 +auto_BGEU auto_C_BNEZ 42 1 +auto_BGEU auto_C_SLLI 59 1 +auto_BGEU auto_C_MV 49 1 +auto_BGEU auto_C_ADD 591 1 +auto_BGEU auto_SH1ADD 50 1 +auto_BGEU auto_SH2ADD 61 1 +auto_BGEU auto_SH3ADD 64 1 +auto_BGEU auto_CLZ 58 1 +auto_BGEU auto_CTZ 54 1 +auto_BGEU auto_CPOP 61 1 +auto_BGEU auto_MIN 59 1 +auto_BGEU auto_MAX 67 1 +auto_BGEU auto_MINU 52 1 +auto_BGEU auto_MAXU 56 1 +auto_BGEU auto_SEXT_B 60 1 +auto_BGEU auto_SEXT_H 61 1 +auto_BGEU auto_ZEXT_H 61 1 +auto_BGEU auto_ANDN 62 1 +auto_BGEU auto_ORN 52 1 +auto_BGEU auto_XNOR 63 1 +auto_BGEU auto_ROR 58 1 +auto_BGEU auto_RORI 62 1 +auto_BGEU auto_ROL 52 1 +auto_BGEU auto_REV8 49 1 +auto_BGEU auto_ORC_B 48 1 +auto_BGEU auto_CLMUL 59 1 +auto_BGEU auto_CLMULH 57 1 +auto_BGEU auto_CLMULR 56 1 +auto_BGEU auto_BSET 59 1 +auto_BGEU auto_BSETI 58 1 +auto_BGEU auto_BCLR 62 1 +auto_BGEU auto_BCLRI 58 1 +auto_BGEU auto_BINV 59 1 +auto_BGEU auto_BINVI 62 1 +auto_BGEU auto_BEXT 54 1 +auto_BGEU auto_BEXTI 60 1 +auto_BGEU auto_CSRRW 50 1 +auto_BGEU auto_CSRRS 43 1 +auto_BGEU auto_CSRRC 66 1 +auto_BGEU auto_CSRRWI 59 1 +auto_BGEU auto_CSRRSI 50 1 +auto_BGEU auto_CSRRCI 67 1 +auto_BGEU auto_C_LBU 1 1 +auto_BGEU auto_C_ZEXT_B 50 1 +auto_BGEU auto_C_SEXT_B 50 1 +auto_BGEU auto_C_ZEXT_H 43 1 +auto_BGEU auto_C_SEXT_H 47 1 +auto_BGEU auto_C_NOT 40 1 +auto_BGEU auto_C_MUL 45 1 +auto_LB auto_LUI 365 1 +auto_LB auto_AUIPC 336 1 +auto_LB auto_JAL 1 1 +auto_LB auto_LB 13965 1 +auto_LB auto_LH 3851 1 +auto_LB auto_LW 1633 1 +auto_LB auto_LBU 13758 1 +auto_LB auto_LHU 3784 1 +auto_LB auto_SB 13964 1 +auto_LB auto_SH 3702 1 +auto_LB auto_SW 1558 1 +auto_LB auto_ADDI 4267 1 +auto_LB auto_SLTI 326 1 +auto_LB auto_SLTIU 333 1 +auto_LB auto_XORI 382 1 +auto_LB auto_ORI 381 1 +auto_LB auto_ANDI 386 1 +auto_LB auto_SLLI 320 1 +auto_LB auto_SRLI 361 1 +auto_LB auto_SRAI 360 1 +auto_LB auto_ADD 330 1 +auto_LB auto_SUB 360 1 +auto_LB auto_SLL 323 1 +auto_LB auto_SLT 363 1 +auto_LB auto_SLTU 368 1 +auto_LB auto_XOR 328 1 +auto_LB auto_SRL 339 1 +auto_LB auto_SRA 333 1 +auto_LB auto_OR 343 1 +auto_LB auto_AND 326 1 +auto_LB auto_FENCE 338 1 +auto_LB auto_ECALL 1 1 +auto_LB auto_MRET 2058 1 +auto_LB auto_WFI 15 1 +auto_LB auto_MUL 357 1 +auto_LB auto_MULH 356 1 +auto_LB auto_MULHSU 356 1 +auto_LB auto_MULHU 365 1 +auto_LB auto_DIV 345 1 +auto_LB auto_DIVU 369 1 +auto_LB auto_REM 340 1 +auto_LB auto_REMU 373 1 +auto_LB auto_C_ADDI4SPN 171 1 +auto_LB auto_C_LW 19 1 +auto_LB auto_C_SW 29 1 +auto_LB auto_C_NOP 1232 1 +auto_LB auto_C_ADDI 263 1 +auto_LB auto_C_LI 277 1 +auto_LB auto_C_ADDI16SP 158 1 +auto_LB auto_C_LUI 286 1 +auto_LB auto_C_SRLI 265 1 +auto_LB auto_C_SRAI 272 1 +auto_LB auto_C_ANDI 256 1 +auto_LB auto_C_SUB 293 1 +auto_LB auto_C_XOR 281 1 +auto_LB auto_C_OR 275 1 +auto_LB auto_C_AND 281 1 +auto_LB auto_C_SLLI 286 1 +auto_LB auto_C_LWSP 126 1 +auto_LB auto_C_MV 258 1 +auto_LB auto_C_ADD 315 1 +auto_LB auto_C_SWSP 114 1 +auto_LB auto_SH1ADD 305 1 +auto_LB auto_SH2ADD 330 1 +auto_LB auto_SH3ADD 351 1 +auto_LB auto_CLZ 338 1 +auto_LB auto_CTZ 342 1 +auto_LB auto_CPOP 340 1 +auto_LB auto_MIN 332 1 +auto_LB auto_MAX 341 1 +auto_LB auto_MINU 336 1 +auto_LB auto_MAXU 333 1 +auto_LB auto_SEXT_B 337 1 +auto_LB auto_SEXT_H 350 1 +auto_LB auto_ZEXT_H 346 1 +auto_LB auto_ANDN 312 1 +auto_LB auto_ORN 339 1 +auto_LB auto_XNOR 352 1 +auto_LB auto_ROR 325 1 +auto_LB auto_RORI 329 1 +auto_LB auto_ROL 314 1 +auto_LB auto_REV8 321 1 +auto_LB auto_ORC_B 351 1 +auto_LB auto_CLMUL 360 1 +auto_LB auto_CLMULH 361 1 +auto_LB auto_CLMULR 347 1 +auto_LB auto_BSET 369 1 +auto_LB auto_BSETI 364 1 +auto_LB auto_BCLR 319 1 +auto_LB auto_BCLRI 306 1 +auto_LB auto_BINV 326 1 +auto_LB auto_BINVI 363 1 +auto_LB auto_BEXT 355 1 +auto_LB auto_BEXTI 337 1 +auto_LB auto_CSRRW 532 1 +auto_LB auto_CSRRS 330 1 +auto_LB auto_CSRRC 325 1 +auto_LB auto_CSRRWI 312 1 +auto_LB auto_CSRRSI 336 1 +auto_LB auto_CSRRCI 367 1 +auto_LB auto_C_LBU 44 1 +auto_LB auto_C_LHU 16 1 +auto_LB auto_C_LH 14 1 +auto_LB auto_C_SB 54 1 +auto_LB auto_C_SH 16 1 +auto_LB auto_C_ZEXT_B 309 1 +auto_LB auto_C_SEXT_B 293 1 +auto_LB auto_C_ZEXT_H 266 1 +auto_LB auto_C_SEXT_H 289 1 +auto_LB auto_C_NOT 292 1 +auto_LB auto_C_MUL 302 1 +auto_LH auto_LUI 106 1 +auto_LH auto_AUIPC 134 1 +auto_LH auto_LB 3797 1 +auto_LH auto_LH 1715 1 +auto_LH auto_LW 656 1 +auto_LH auto_LBU 3812 1 +auto_LH auto_LHU 1749 1 +auto_LH auto_SB 3643 1 +auto_LH auto_SH 1686 1 +auto_LH auto_SW 686 1 +auto_LH auto_ADDI 1354 1 +auto_LH auto_SLTI 104 1 +auto_LH auto_SLTIU 106 1 +auto_LH auto_XORI 104 1 +auto_LH auto_ORI 126 1 +auto_LH auto_ANDI 108 1 +auto_LH auto_SLLI 109 1 +auto_LH auto_SRLI 105 1 +auto_LH auto_SRAI 113 1 +auto_LH auto_ADD 101 1 +auto_LH auto_SUB 114 1 +auto_LH auto_SLL 120 1 +auto_LH auto_SLT 98 1 +auto_LH auto_SLTU 135 1 +auto_LH auto_XOR 120 1 +auto_LH auto_SRL 107 1 +auto_LH auto_SRA 118 1 +auto_LH auto_OR 111 1 +auto_LH auto_AND 131 1 +auto_LH auto_FENCE 105 1 +auto_LH auto_MRET 718 1 +auto_LH auto_WFI 4 1 +auto_LH auto_MUL 118 1 +auto_LH auto_MULH 108 1 +auto_LH auto_MULHSU 106 1 +auto_LH auto_MULHU 117 1 +auto_LH auto_DIV 93 1 +auto_LH auto_DIVU 132 1 +auto_LH auto_REM 101 1 +auto_LH auto_REMU 116 1 +auto_LH auto_C_ADDI4SPN 55 1 +auto_LH auto_C_LW 9 1 +auto_LH auto_C_SW 7 1 +auto_LH auto_C_NOP 345 1 +auto_LH auto_C_ADDI 84 1 +auto_LH auto_C_LI 88 1 +auto_LH auto_C_ADDI16SP 43 1 +auto_LH auto_C_LUI 90 1 +auto_LH auto_C_SRLI 96 1 +auto_LH auto_C_SRAI 98 1 +auto_LH auto_C_ANDI 83 1 +auto_LH auto_C_SUB 97 1 +auto_LH auto_C_XOR 90 1 +auto_LH auto_C_OR 85 1 +auto_LH auto_C_AND 96 1 +auto_LH auto_C_SLLI 96 1 +auto_LH auto_C_LWSP 44 1 +auto_LH auto_C_MV 106 1 +auto_LH auto_C_ADD 73 1 +auto_LH auto_C_SWSP 30 1 +auto_LH auto_SH1ADD 107 1 +auto_LH auto_SH2ADD 112 1 +auto_LH auto_SH3ADD 109 1 +auto_LH auto_CLZ 103 1 +auto_LH auto_CTZ 98 1 +auto_LH auto_CPOP 117 1 +auto_LH auto_MIN 105 1 +auto_LH auto_MAX 95 1 +auto_LH auto_MINU 103 1 +auto_LH auto_MAXU 108 1 +auto_LH auto_SEXT_B 104 1 +auto_LH auto_SEXT_H 95 1 +auto_LH auto_ZEXT_H 109 1 +auto_LH auto_ANDN 102 1 +auto_LH auto_ORN 97 1 +auto_LH auto_XNOR 90 1 +auto_LH auto_ROR 123 1 +auto_LH auto_RORI 136 1 +auto_LH auto_ROL 115 1 +auto_LH auto_REV8 107 1 +auto_LH auto_ORC_B 103 1 +auto_LH auto_CLMUL 110 1 +auto_LH auto_CLMULH 110 1 +auto_LH auto_CLMULR 104 1 +auto_LH auto_BSET 94 1 +auto_LH auto_BSETI 110 1 +auto_LH auto_BCLR 115 1 +auto_LH auto_BCLRI 118 1 +auto_LH auto_BINV 91 1 +auto_LH auto_BINVI 101 1 +auto_LH auto_BEXT 107 1 +auto_LH auto_BEXTI 110 1 +auto_LH auto_CSRRW 101 1 +auto_LH auto_CSRRS 116 1 +auto_LH auto_CSRRC 119 1 +auto_LH auto_CSRRWI 90 1 +auto_LH auto_CSRRSI 97 1 +auto_LH auto_CSRRCI 106 1 +auto_LH auto_C_LBU 11 1 +auto_LH auto_C_LHU 2 1 +auto_LH auto_C_LH 2 1 +auto_LH auto_C_SB 12 1 +auto_LH auto_C_SH 2 1 +auto_LH auto_C_ZEXT_B 93 1 +auto_LH auto_C_SEXT_B 89 1 +auto_LH auto_C_ZEXT_H 80 1 +auto_LH auto_C_SEXT_H 97 1 +auto_LH auto_C_NOT 92 1 +auto_LH auto_C_MUL 87 1 +auto_LW auto_LUI 70 1 +auto_LW auto_AUIPC 73 1 +auto_LW auto_LB 1586 1 +auto_LW auto_LH 682 1 +auto_LW auto_LW 478504 1 +auto_LW auto_LBU 1489 1 +auto_LW auto_LHU 659 1 +auto_LW auto_SB 1577 1 +auto_LW auto_SH 697 1 +auto_LW auto_SW 578 1 +auto_LW auto_ADDI 677 1 +auto_LW auto_SLTI 56 1 +auto_LW auto_SLTIU 87 1 +auto_LW auto_XORI 142 1 +auto_LW auto_ORI 57 1 +auto_LW auto_ANDI 85 1 +auto_LW auto_SLLI 57 1 +auto_LW auto_SRLI 149 1 +auto_LW auto_SRAI 70 1 +auto_LW auto_ADD 484802 1 +auto_LW auto_SUB 72 1 +auto_LW auto_SLL 54 1 +auto_LW auto_SLT 97 1 +auto_LW auto_SLTU 50 1 +auto_LW auto_XOR 81 1 +auto_LW auto_SRL 94 1 +auto_LW auto_SRA 75 1 +auto_LW auto_OR 63 1 +auto_LW auto_AND 61 1 +auto_LW auto_FENCE 53 1 +auto_LW auto_MRET 388 1 +auto_LW auto_WFI 32 1 +auto_LW auto_MUL 79 1 +auto_LW auto_MULH 88 1 +auto_LW auto_MULHSU 179 1 +auto_LW auto_MULHU 77 1 +auto_LW auto_DIV 73 1 +auto_LW auto_DIVU 80 1 +auto_LW auto_REM 101 1 +auto_LW auto_REMU 75 1 +auto_LW auto_C_ADDI4SPN 23 1 +auto_LW auto_C_LW 22832 1 +auto_LW auto_C_SW 5 1 +auto_LW auto_C_NOP 212 1 +auto_LW auto_C_ADDI 37 1 +auto_LW auto_C_LI 49 1 +auto_LW auto_C_ADDI16SP 31 1 +auto_LW auto_C_LUI 38 1 +auto_LW auto_C_SRLI 36 1 +auto_LW auto_C_SRAI 39 1 +auto_LW auto_C_ANDI 44 1 +auto_LW auto_C_SUB 41 1 +auto_LW auto_C_XOR 43 1 +auto_LW auto_C_OR 71 1 +auto_LW auto_C_AND 49 1 +auto_LW auto_C_J 1088 1 +auto_LW auto_C_SLLI 36 1 +auto_LW auto_C_LWSP 8 1 +auto_LW auto_C_JR 17 1 +auto_LW auto_C_MV 45 1 +auto_LW auto_C_ADD 78 1 +auto_LW auto_C_SWSP 11 1 +auto_LW auto_SH1ADD 56 1 +auto_LW auto_SH2ADD 61 1 +auto_LW auto_SH3ADD 46 1 +auto_LW auto_CLZ 45 1 +auto_LW auto_CTZ 48 1 +auto_LW auto_CPOP 53 1 +auto_LW auto_MIN 62 1 +auto_LW auto_MAX 42 1 +auto_LW auto_MINU 58 1 +auto_LW auto_MAXU 40 1 +auto_LW auto_SEXT_B 45 1 +auto_LW auto_SEXT_H 42 1 +auto_LW auto_ZEXT_H 52 1 +auto_LW auto_ANDN 46 1 +auto_LW auto_ORN 42 1 +auto_LW auto_XNOR 71 1 +auto_LW auto_ROR 45 1 +auto_LW auto_RORI 64 1 +auto_LW auto_ROL 74 1 +auto_LW auto_REV8 52 1 +auto_LW auto_ORC_B 38 1 +auto_LW auto_CLMUL 50 1 +auto_LW auto_CLMULH 52 1 +auto_LW auto_CLMULR 48 1 +auto_LW auto_BSET 35 1 +auto_LW auto_BSETI 47 1 +auto_LW auto_BCLR 45 1 +auto_LW auto_BCLRI 38 1 +auto_LW auto_BINV 60 1 +auto_LW auto_BINVI 39 1 +auto_LW auto_BEXT 38 1 +auto_LW auto_BEXTI 56 1 +auto_LW auto_CSRRW 39 1 +auto_LW auto_CSRRS 50 1 +auto_LW auto_CSRRC 40 1 +auto_LW auto_CSRRWI 37 1 +auto_LW auto_CSRRSI 37 1 +auto_LW auto_CSRRCI 34 1 +auto_LW auto_C_LBU 16 1 +auto_LW auto_C_LHU 4 1 +auto_LW auto_C_LH 1 1 +auto_LW auto_C_SB 10 1 +auto_LW auto_C_SH 3 1 +auto_LW auto_C_ZEXT_B 39 1 +auto_LW auto_C_SEXT_B 51 1 +auto_LW auto_C_ZEXT_H 45 1 +auto_LW auto_C_SEXT_H 74 1 +auto_LW auto_C_NOT 49 1 +auto_LW auto_C_MUL 31 1 +auto_LBU auto_LUI 344 1 +auto_LBU auto_AUIPC 343 1 +auto_LBU auto_LB 13842 1 +auto_LBU auto_LH 3738 1 +auto_LBU auto_LW 1550 1 +auto_LBU auto_LBU 13489 1 +auto_LBU auto_LHU 3753 1 +auto_LBU auto_SB 13710 1 +auto_LBU auto_SH 3742 1 +auto_LBU auto_SW 1523 1 +auto_LBU auto_ADDI 4127 1 +auto_LBU auto_SLTI 343 1 +auto_LBU auto_SLTIU 349 1 +auto_LBU auto_XORI 349 1 +auto_LBU auto_ORI 321 1 +auto_LBU auto_ANDI 363 1 +auto_LBU auto_SLLI 381 1 +auto_LBU auto_SRLI 373 1 +auto_LBU auto_SRAI 327 1 +auto_LBU auto_ADD 298 1 +auto_LBU auto_SUB 338 1 +auto_LBU auto_SLL 340 1 +auto_LBU auto_SLT 332 1 +auto_LBU auto_SLTU 364 1 +auto_LBU auto_XOR 339 1 +auto_LBU auto_SRL 357 1 +auto_LBU auto_SRA 345 1 +auto_LBU auto_OR 353 1 +auto_LBU auto_AND 343 1 +auto_LBU auto_FENCE 357 1 +auto_LBU auto_MRET 2015 1 +auto_LBU auto_WFI 9 1 +auto_LBU auto_MUL 358 1 +auto_LBU auto_MULH 352 1 +auto_LBU auto_MULHSU 343 1 +auto_LBU auto_MULHU 366 1 +auto_LBU auto_DIV 351 1 +auto_LBU auto_DIVU 358 1 +auto_LBU auto_REM 348 1 +auto_LBU auto_REMU 363 1 +auto_LBU auto_C_ADDI4SPN 158 1 +auto_LBU auto_C_LW 28 1 +auto_LBU auto_C_SW 20 1 +auto_LBU auto_C_NOP 1152 1 +auto_LBU auto_C_ADDI 280 1 +auto_LBU auto_C_LI 284 1 +auto_LBU auto_C_ADDI16SP 156 1 +auto_LBU auto_C_LUI 282 1 +auto_LBU auto_C_SRLI 276 1 +auto_LBU auto_C_SRAI 247 1 +auto_LBU auto_C_ANDI 274 1 +auto_LBU auto_C_SUB 260 1 +auto_LBU auto_C_XOR 262 1 +auto_LBU auto_C_OR 286 1 +auto_LBU auto_C_AND 302 1 +auto_LBU auto_C_J 17 1 +auto_LBU auto_C_SLLI 295 1 +auto_LBU auto_C_LWSP 117 1 +auto_LBU auto_C_MV 286 1 +auto_LBU auto_C_ADD 305 1 +auto_LBU auto_C_SWSP 120 1 +auto_LBU auto_SH1ADD 334 1 +auto_LBU auto_SH2ADD 337 1 +auto_LBU auto_SH3ADD 308 1 +auto_LBU auto_CLZ 354 1 +auto_LBU auto_CTZ 332 1 +auto_LBU auto_CPOP 336 1 +auto_LBU auto_MIN 304 1 +auto_LBU auto_MAX 356 1 +auto_LBU auto_MINU 306 1 +auto_LBU auto_MAXU 367 1 +auto_LBU auto_SEXT_B 358 1 +auto_LBU auto_SEXT_H 309 1 +auto_LBU auto_ZEXT_H 322 1 +auto_LBU auto_ANDN 323 1 +auto_LBU auto_ORN 352 1 +auto_LBU auto_XNOR 353 1 +auto_LBU auto_ROR 361 1 +auto_LBU auto_RORI 319 1 +auto_LBU auto_ROL 354 1 +auto_LBU auto_REV8 336 1 +auto_LBU auto_ORC_B 341 1 +auto_LBU auto_CLMUL 333 1 +auto_LBU auto_CLMULH 346 1 +auto_LBU auto_CLMULR 331 1 +auto_LBU auto_BSET 365 1 +auto_LBU auto_BSETI 317 1 +auto_LBU auto_BCLR 346 1 +auto_LBU auto_BCLRI 355 1 +auto_LBU auto_BINV 311 1 +auto_LBU auto_BINVI 309 1 +auto_LBU auto_BEXT 334 1 +auto_LBU auto_BEXTI 346 1 +auto_LBU auto_CSRRW 341 1 +auto_LBU auto_CSRRS 56027 1 +auto_LBU auto_CSRRC 278 1 +auto_LBU auto_CSRRWI 373 1 +auto_LBU auto_CSRRSI 326 1 +auto_LBU auto_CSRRCI 344 1 +auto_LBU auto_C_LBU 65 1 +auto_LBU auto_C_LHU 17 1 +auto_LBU auto_C_LH 11 1 +auto_LBU auto_C_SB 50 1 +auto_LBU auto_C_SH 14 1 +auto_LBU auto_C_ZEXT_B 303 1 +auto_LBU auto_C_SEXT_B 286 1 +auto_LBU auto_C_ZEXT_H 288 1 +auto_LBU auto_C_SEXT_H 284 1 +auto_LBU auto_C_NOT 267 1 +auto_LBU auto_C_MUL 286 1 +auto_LHU auto_LUI 110 1 +auto_LHU auto_AUIPC 97 1 +auto_LHU auto_LB 3605 1 +auto_LHU auto_LH 1697 1 +auto_LHU auto_LW 666 1 +auto_LHU auto_LBU 3754 1 +auto_LHU auto_LHU 1706 1 +auto_LHU auto_SB 3793 1 +auto_LHU auto_SH 1643 1 +auto_LHU auto_SW 722 1 +auto_LHU auto_ADDI 1410 1 +auto_LHU auto_SLTI 130 1 +auto_LHU auto_SLTIU 122 1 +auto_LHU auto_XORI 117 1 +auto_LHU auto_ORI 109 1 +auto_LHU auto_ANDI 106 1 +auto_LHU auto_SLLI 100 1 +auto_LHU auto_SRLI 131 1 +auto_LHU auto_SRAI 130 1 +auto_LHU auto_ADD 121 1 +auto_LHU auto_SUB 99 1 +auto_LHU auto_SLL 114 1 +auto_LHU auto_SLT 112 1 +auto_LHU auto_SLTU 107 1 +auto_LHU auto_XOR 126 1 +auto_LHU auto_SRL 118 1 +auto_LHU auto_SRA 100 1 +auto_LHU auto_OR 114 1 +auto_LHU auto_AND 103 1 +auto_LHU auto_FENCE 104 1 +auto_LHU auto_MRET 677 1 +auto_LHU auto_WFI 8 1 +auto_LHU auto_MUL 114 1 +auto_LHU auto_MULH 106 1 +auto_LHU auto_MULHSU 107 1 +auto_LHU auto_MULHU 103 1 +auto_LHU auto_DIV 119 1 +auto_LHU auto_DIVU 114 1 +auto_LHU auto_REM 110 1 +auto_LHU auto_REMU 118 1 +auto_LHU auto_C_ADDI4SPN 51 1 +auto_LHU auto_C_LW 8 1 +auto_LHU auto_C_SW 11 1 +auto_LHU auto_C_NOP 382 1 +auto_LHU auto_C_ADDI 95 1 +auto_LHU auto_C_LI 81 1 +auto_LHU auto_C_ADDI16SP 49 1 +auto_LHU auto_C_LUI 76 1 +auto_LHU auto_C_SRLI 93 1 +auto_LHU auto_C_SRAI 83 1 +auto_LHU auto_C_ANDI 104 1 +auto_LHU auto_C_SUB 101 1 +auto_LHU auto_C_XOR 88 1 +auto_LHU auto_C_OR 84 1 +auto_LHU auto_C_AND 88 1 +auto_LHU auto_C_SLLI 85 1 +auto_LHU auto_C_LWSP 39 1 +auto_LHU auto_C_MV 81 1 +auto_LHU auto_C_ADD 81 1 +auto_LHU auto_C_SWSP 36 1 +auto_LHU auto_SH1ADD 99 1 +auto_LHU auto_SH2ADD 92 1 +auto_LHU auto_SH3ADD 109 1 +auto_LHU auto_CLZ 108 1 +auto_LHU auto_CTZ 108 1 +auto_LHU auto_CPOP 127 1 +auto_LHU auto_MIN 99 1 +auto_LHU auto_MAX 103 1 +auto_LHU auto_MINU 97 1 +auto_LHU auto_MAXU 102 1 +auto_LHU auto_SEXT_B 110 1 +auto_LHU auto_SEXT_H 107 1 +auto_LHU auto_ZEXT_H 93 1 +auto_LHU auto_ANDN 96 1 +auto_LHU auto_ORN 99 1 +auto_LHU auto_XNOR 119 1 +auto_LHU auto_ROR 90 1 +auto_LHU auto_RORI 85 1 +auto_LHU auto_ROL 105 1 +auto_LHU auto_REV8 103 1 +auto_LHU auto_ORC_B 116 1 +auto_LHU auto_CLMUL 104 1 +auto_LHU auto_CLMULH 105 1 +auto_LHU auto_CLMULR 114 1 +auto_LHU auto_BSET 101 1 +auto_LHU auto_BSETI 124 1 +auto_LHU auto_BCLR 110 1 +auto_LHU auto_BCLRI 107 1 +auto_LHU auto_BINV 87 1 +auto_LHU auto_BINVI 104 1 +auto_LHU auto_BEXT 118 1 +auto_LHU auto_BEXTI 118 1 +auto_LHU auto_CSRRW 131 1 +auto_LHU auto_CSRRS 90 1 +auto_LHU auto_CSRRC 126 1 +auto_LHU auto_CSRRWI 102 1 +auto_LHU auto_CSRRSI 100 1 +auto_LHU auto_CSRRCI 108 1 +auto_LHU auto_C_LBU 20 1 +auto_LHU auto_C_LHU 4 1 +auto_LHU auto_C_LH 2 1 +auto_LHU auto_C_SB 22 1 +auto_LHU auto_C_SH 4 1 +auto_LHU auto_C_ZEXT_B 76 1 +auto_LHU auto_C_SEXT_B 90 1 +auto_LHU auto_C_ZEXT_H 78 1 +auto_LHU auto_C_SEXT_H 97 1 +auto_LHU auto_C_NOT 80 1 +auto_LHU auto_C_MUL 98 1 +auto_SB auto_LUI 361 1 +auto_SB auto_AUIPC 357 1 +auto_SB auto_BNE 1 1 +auto_SB auto_LB 13798 1 +auto_SB auto_LH 3744 1 +auto_SB auto_LW 1558 1 +auto_SB auto_LBU 13847 1 +auto_SB auto_LHU 3771 1 +auto_SB auto_SB 13677 1 +auto_SB auto_SH 3816 1 +auto_SB auto_SW 1566 1 +auto_SB auto_ADDI 4184 1 +auto_SB auto_SLTI 381 1 +auto_SB auto_SLTIU 396 1 +auto_SB auto_XORI 320 1 +auto_SB auto_ORI 401 1 +auto_SB auto_ANDI 354 1 +auto_SB auto_SLLI 325 1 +auto_SB auto_SRLI 347 1 +auto_SB auto_SRAI 342 1 +auto_SB auto_ADD 304 1 +auto_SB auto_SUB 321 1 +auto_SB auto_SLL 350 1 +auto_SB auto_SLT 331 1 +auto_SB auto_SLTU 337 1 +auto_SB auto_XOR 339 1 +auto_SB auto_SRL 357 1 +auto_SB auto_SRA 356 1 +auto_SB auto_OR 355 1 +auto_SB auto_AND 322 1 +auto_SB auto_FENCE 345 1 +auto_SB auto_ECALL 1 1 +auto_SB auto_MRET 1899 1 +auto_SB auto_WFI 11 1 +auto_SB auto_MUL 343 1 +auto_SB auto_MULH 302 1 +auto_SB auto_MULHSU 364 1 +auto_SB auto_MULHU 334 1 +auto_SB auto_DIV 348 1 +auto_SB auto_DIVU 370 1 +auto_SB auto_REM 337 1 +auto_SB auto_REMU 363 1 +auto_SB auto_C_ADDI4SPN 162 1 +auto_SB auto_C_LW 26 1 +auto_SB auto_C_SW 27 1 +auto_SB auto_C_NOP 1136 1 +auto_SB auto_C_ADDI 272 1 +auto_SB auto_C_LI 289 1 +auto_SB auto_C_ADDI16SP 138 1 +auto_SB auto_C_LUI 302 1 +auto_SB auto_C_SRLI 285 1 +auto_SB auto_C_SRAI 275 1 +auto_SB auto_C_ANDI 274 1 +auto_SB auto_C_SUB 299 1 +auto_SB auto_C_XOR 290 1 +auto_SB auto_C_OR 260 1 +auto_SB auto_C_AND 272 1 +auto_SB auto_C_SLLI 280 1 +auto_SB auto_C_LWSP 102 1 +auto_SB auto_C_MV 281 1 +auto_SB auto_C_ADD 294 1 +auto_SB auto_C_SWSP 102 1 +auto_SB auto_SH1ADD 357 1 +auto_SB auto_SH2ADD 336 1 +auto_SB auto_SH3ADD 352 1 +auto_SB auto_CLZ 325 1 +auto_SB auto_CTZ 332 1 +auto_SB auto_CPOP 328 1 +auto_SB auto_MIN 340 1 +auto_SB auto_MAX 307 1 +auto_SB auto_MINU 338 1 +auto_SB auto_MAXU 383 1 +auto_SB auto_SEXT_B 314 1 +auto_SB auto_SEXT_H 335 1 +auto_SB auto_ZEXT_H 332 1 +auto_SB auto_ANDN 364 1 +auto_SB auto_ORN 370 1 +auto_SB auto_XNOR 347 1 +auto_SB auto_ROR 346 1 +auto_SB auto_RORI 358 1 +auto_SB auto_ROL 355 1 +auto_SB auto_REV8 322 1 +auto_SB auto_ORC_B 332 1 +auto_SB auto_CLMUL 316 1 +auto_SB auto_CLMULH 325 1 +auto_SB auto_CLMULR 329 1 +auto_SB auto_BSET 320 1 +auto_SB auto_BSETI 345 1 +auto_SB auto_BCLR 343 1 +auto_SB auto_BCLRI 317 1 +auto_SB auto_BINV 347 1 +auto_SB auto_BINVI 352 1 +auto_SB auto_BEXT 343 1 +auto_SB auto_BEXTI 336 1 +auto_SB auto_CSRRW 304 1 +auto_SB auto_CSRRS 353 1 +auto_SB auto_CSRRC 297 1 +auto_SB auto_CSRRWI 341 1 +auto_SB auto_CSRRSI 304 1 +auto_SB auto_CSRRCI 358 1 +auto_SB auto_C_LBU 55 1 +auto_SB auto_C_LHU 15 1 +auto_SB auto_C_LH 13 1 +auto_SB auto_C_SB 55 1 +auto_SB auto_C_SH 18 1 +auto_SB auto_C_ZEXT_B 271 1 +auto_SB auto_C_SEXT_B 296 1 +auto_SB auto_C_ZEXT_H 265 1 +auto_SB auto_C_SEXT_H 280 1 +auto_SB auto_C_NOT 301 1 +auto_SB auto_C_MUL 266 1 +auto_SH auto_LUI 123 1 +auto_SH auto_AUIPC 96 1 +auto_SH auto_LB 3821 1 +auto_SH auto_LH 1725 1 +auto_SH auto_LW 665 1 +auto_SH auto_LBU 3674 1 +auto_SH auto_LHU 1649 1 +auto_SH auto_SB 3774 1 +auto_SH auto_SH 1705 1 +auto_SH auto_SW 700 1 +auto_SH auto_ADDI 1283 1 +auto_SH auto_SLTI 101 1 +auto_SH auto_SLTIU 95 1 +auto_SH auto_XORI 101 1 +auto_SH auto_ORI 87 1 +auto_SH auto_ANDI 102 1 +auto_SH auto_SLLI 124 1 +auto_SH auto_SRLI 110 1 +auto_SH auto_SRAI 117 1 +auto_SH auto_ADD 98 1 +auto_SH auto_SUB 117 1 +auto_SH auto_SLL 128 1 +auto_SH auto_SLT 100 1 +auto_SH auto_SLTU 127 1 +auto_SH auto_XOR 126 1 +auto_SH auto_SRL 111 1 +auto_SH auto_SRA 126 1 +auto_SH auto_OR 113 1 +auto_SH auto_AND 124 1 +auto_SH auto_FENCE 101 1 +auto_SH auto_MRET 773 1 +auto_SH auto_WFI 4 1 +auto_SH auto_MUL 98 1 +auto_SH auto_MULH 108 1 +auto_SH auto_MULHSU 107 1 +auto_SH auto_MULHU 111 1 +auto_SH auto_DIV 102 1 +auto_SH auto_DIVU 120 1 +auto_SH auto_REM 110 1 +auto_SH auto_REMU 109 1 +auto_SH auto_C_ADDI4SPN 53 1 +auto_SH auto_C_LW 10 1 +auto_SH auto_C_SW 3 1 +auto_SH auto_C_NOP 325 1 +auto_SH auto_C_ADDI 91 1 +auto_SH auto_C_LI 92 1 +auto_SH auto_C_ADDI16SP 51 1 +auto_SH auto_C_LUI 95 1 +auto_SH auto_C_SRLI 99 1 +auto_SH auto_C_SRAI 96 1 +auto_SH auto_C_ANDI 97 1 +auto_SH auto_C_SUB 88 1 +auto_SH auto_C_XOR 93 1 +auto_SH auto_C_OR 101 1 +auto_SH auto_C_AND 87 1 +auto_SH auto_C_SLLI 99 1 +auto_SH auto_C_LWSP 26 1 +auto_SH auto_C_MV 109 1 +auto_SH auto_C_ADD 83 1 +auto_SH auto_C_SWSP 31 1 +auto_SH auto_SH1ADD 120 1 +auto_SH auto_SH2ADD 92 1 +auto_SH auto_SH3ADD 95 1 +auto_SH auto_CLZ 86 1 +auto_SH auto_CTZ 111 1 +auto_SH auto_CPOP 117 1 +auto_SH auto_MIN 95 1 +auto_SH auto_MAX 107 1 +auto_SH auto_MINU 112 1 +auto_SH auto_MAXU 108 1 +auto_SH auto_SEXT_B 97 1 +auto_SH auto_SEXT_H 98 1 +auto_SH auto_ZEXT_H 95 1 +auto_SH auto_ANDN 101 1 +auto_SH auto_ORN 94 1 +auto_SH auto_XNOR 108 1 +auto_SH auto_ROR 104 1 +auto_SH auto_RORI 120 1 +auto_SH auto_ROL 112 1 +auto_SH auto_REV8 111 1 +auto_SH auto_ORC_B 94 1 +auto_SH auto_CLMUL 101 1 +auto_SH auto_CLMULH 92 1 +auto_SH auto_CLMULR 102 1 +auto_SH auto_BSET 106 1 +auto_SH auto_BSETI 124 1 +auto_SH auto_BCLR 104 1 +auto_SH auto_BCLRI 98 1 +auto_SH auto_BINV 95 1 +auto_SH auto_BINVI 98 1 +auto_SH auto_BEXT 110 1 +auto_SH auto_BEXTI 104 1 +auto_SH auto_CSRRW 117 1 +auto_SH auto_CSRRS 114 1 +auto_SH auto_CSRRC 101 1 +auto_SH auto_CSRRWI 104 1 +auto_SH auto_CSRRSI 107 1 +auto_SH auto_CSRRCI 106 1 +auto_SH auto_C_LBU 23 1 +auto_SH auto_C_LHU 2 1 +auto_SH auto_C_LH 1 1 +auto_SH auto_C_SB 14 1 +auto_SH auto_C_ZEXT_B 81 1 +auto_SH auto_C_SEXT_B 99 1 +auto_SH auto_C_ZEXT_H 74 1 +auto_SH auto_C_SEXT_H 87 1 +auto_SH auto_C_NOT 67 1 +auto_SH auto_C_MUL 78 1 +auto_SW auto_LUI 76 1 +auto_SW auto_AUIPC 207649 1 +auto_SW auto_JAL 1 1 +auto_SW auto_LB 1580 1 +auto_SW auto_LH 661 1 +auto_SW auto_LW 476 1 +auto_SW auto_LBU 1583 1 +auto_SW auto_LHU 645 1 +auto_SW auto_SB 1563 1 +auto_SW auto_SH 699 1 +auto_SW auto_SW 476937 1 +auto_SW auto_ADDI 244444 1 +auto_SW auto_SLTI 72 1 +auto_SW auto_SLTIU 62 1 +auto_SW auto_XORI 67 1 +auto_SW auto_ORI 56 1 +auto_SW auto_ANDI 83 1 +auto_SW auto_SLLI 114 1 +auto_SW auto_SRLI 93 1 +auto_SW auto_SRAI 67 1 +auto_SW auto_ADD 84 1 +auto_SW auto_SUB 73 1 +auto_SW auto_SLL 68 1 +auto_SW auto_SLT 145 1 +auto_SW auto_SLTU 88 1 +auto_SW auto_XOR 90 1 +auto_SW auto_SRL 77 1 +auto_SW auto_SRA 44 1 +auto_SW auto_OR 46 1 +auto_SW auto_AND 89 1 +auto_SW auto_FENCE 64 1 +auto_SW auto_MRET 369 1 +auto_SW auto_WFI 20 1 +auto_SW auto_MUL 83 1 +auto_SW auto_MULH 157 1 +auto_SW auto_MULHSU 68 1 +auto_SW auto_MULHU 59 1 +auto_SW auto_DIV 74 1 +auto_SW auto_DIVU 60 1 +auto_SW auto_REM 76 1 +auto_SW auto_REMU 85 1 +auto_SW auto_C_ADDI4SPN 75 1 +auto_SW auto_C_LW 7 1 +auto_SW auto_C_SW 22836 1 +auto_SW auto_C_NOP 155 1 +auto_SW auto_C_ADDI 241674 1 +auto_SW auto_C_LI 40 1 +auto_SW auto_C_ADDI16SP 20 1 +auto_SW auto_C_LUI 45 1 +auto_SW auto_C_SRLI 35 1 +auto_SW auto_C_SRAI 40 1 +auto_SW auto_C_ANDI 47 1 +auto_SW auto_C_SUB 74 1 +auto_SW auto_C_XOR 74 1 +auto_SW auto_C_OR 65 1 +auto_SW auto_C_AND 59 1 +auto_SW auto_C_SLLI 47 1 +auto_SW auto_C_LWSP 7 1 +auto_SW auto_C_MV 56 1 +auto_SW auto_C_ADD 49 1 +auto_SW auto_C_SWSP 16 1 +auto_SW auto_SH1ADD 57 1 +auto_SW auto_SH2ADD 51 1 +auto_SW auto_SH3ADD 49 1 +auto_SW auto_CLZ 48 1 +auto_SW auto_CTZ 55 1 +auto_SW auto_CPOP 60 1 +auto_SW auto_MIN 62 1 +auto_SW auto_MAX 41 1 +auto_SW auto_MINU 35 1 +auto_SW auto_MAXU 39 1 +auto_SW auto_SEXT_B 52 1 +auto_SW auto_SEXT_H 61 1 +auto_SW auto_ZEXT_H 54 1 +auto_SW auto_ANDN 46 1 +auto_SW auto_ORN 42 1 +auto_SW auto_XNOR 45 1 +auto_SW auto_ROR 55 1 +auto_SW auto_RORI 33 1 +auto_SW auto_ROL 65 1 +auto_SW auto_REV8 52 1 +auto_SW auto_ORC_B 47 1 +auto_SW auto_CLMUL 42 1 +auto_SW auto_CLMULH 52 1 +auto_SW auto_CLMULR 45 1 +auto_SW auto_BSET 37 1 +auto_SW auto_BSETI 43 1 +auto_SW auto_BCLR 52 1 +auto_SW auto_BCLRI 50 1 +auto_SW auto_BINV 55 1 +auto_SW auto_BINVI 57 1 +auto_SW auto_BEXT 49 1 +auto_SW auto_BEXTI 38 1 +auto_SW auto_CSRRW 46 1 +auto_SW auto_CSRRS 42 1 +auto_SW auto_CSRRC 37 1 +auto_SW auto_CSRRWI 36 1 +auto_SW auto_CSRRSI 48 1 +auto_SW auto_CSRRCI 43 1 +auto_SW auto_C_LBU 3 1 +auto_SW auto_C_LHU 1 1 +auto_SW auto_C_LH 3 1 +auto_SW auto_C_SB 9 1 +auto_SW auto_C_SH 1 1 +auto_SW auto_C_ZEXT_B 40 1 +auto_SW auto_C_SEXT_B 44 1 +auto_SW auto_C_ZEXT_H 42 1 +auto_SW auto_C_SEXT_H 48 1 +auto_SW auto_C_NOT 57 1 +auto_SW auto_C_MUL 34 1 +auto_ADDI auto_LUI 35355 1 +auto_ADDI auto_AUIPC 36987 1 +auto_ADDI auto_JAL 135 1 +auto_ADDI auto_JALR 92 1 +auto_ADDI auto_BEQ 70 1 +auto_ADDI auto_BNE 78 1 +auto_ADDI auto_BLT 89 1 +auto_ADDI auto_BGE 126 1 +auto_ADDI auto_BLTU 108 1 +auto_ADDI auto_BGEU 111 1 +auto_ADDI auto_LB 306 1 +auto_ADDI auto_LH 118 1 +auto_ADDI auto_LW 249992 1 +auto_ADDI auto_LBU 359 1 +auto_ADDI auto_LHU 116 1 +auto_ADDI auto_SB 362 1 +auto_ADDI auto_SH 101 1 +auto_ADDI auto_SW 71 1 +auto_ADDI auto_ADDI 552 1 +auto_ADDI auto_SLTI 298 1 +auto_ADDI auto_SLTIU 359 1 +auto_ADDI auto_XORI 288 1 +auto_ADDI auto_ORI 289 1 +auto_ADDI auto_ANDI 286 1 +auto_ADDI auto_SLLI 304 1 +auto_ADDI auto_SRLI 319 1 +auto_ADDI auto_SRAI 308 1 +auto_ADDI auto_ADD 262521 1 +auto_ADDI auto_SUB 471 1 +auto_ADDI auto_SLL 323 1 +auto_ADDI auto_SLT 339 1 +auto_ADDI auto_SLTU 270 1 +auto_ADDI auto_XOR 319 1 +auto_ADDI auto_SRL 293 1 +auto_ADDI auto_SRA 340 1 +auto_ADDI auto_OR 264 1 +auto_ADDI auto_AND 383 1 +auto_ADDI auto_FENCE 198 1 +auto_ADDI auto_MRET 2193 1 +auto_ADDI auto_WFI 185 1 +auto_ADDI auto_MUL 333 1 +auto_ADDI auto_MULH 332 1 +auto_ADDI auto_MULHSU 356 1 +auto_ADDI auto_MULHU 291 1 +auto_ADDI auto_DIV 281 1 +auto_ADDI auto_DIVU 311 1 +auto_ADDI auto_REM 317 1 +auto_ADDI auto_REMU 356 1 +auto_ADDI auto_C_ADDI4SPN 78 1 +auto_ADDI auto_C_LW 12987 1 +auto_ADDI auto_C_NOP 726 1 +auto_ADDI auto_C_ADDI 168 1 +auto_ADDI auto_C_JAL 35 1 +auto_ADDI auto_C_LI 127 1 +auto_ADDI auto_C_ADDI16SP 70 1 +auto_ADDI auto_C_LUI 2565 1 +auto_ADDI auto_C_SRLI 99 1 +auto_ADDI auto_C_SRAI 120 1 +auto_ADDI auto_C_ANDI 106 1 +auto_ADDI auto_C_SUB 111 1 +auto_ADDI auto_C_XOR 115 1 +auto_ADDI auto_C_OR 90 1 +auto_ADDI auto_C_AND 105 1 +auto_ADDI auto_C_J 29 1 +auto_ADDI auto_C_BEQZ 49 1 +auto_ADDI auto_C_BNEZ 38 1 +auto_ADDI auto_C_SLLI 125 1 +auto_ADDI auto_C_LWSP 9 1 +auto_ADDI auto_C_JR 40 1 +auto_ADDI auto_C_MV 117 1 +auto_ADDI auto_C_JALR 47 1 +auto_ADDI auto_C_ADD 166 1 +auto_ADDI auto_C_SWSP 6 1 +auto_ADDI auto_SH1ADD 210 1 +auto_ADDI auto_SH2ADD 207 1 +auto_ADDI auto_SH3ADD 195 1 +auto_ADDI auto_CLZ 230 1 +auto_ADDI auto_CTZ 185 1 +auto_ADDI auto_CPOP 213 1 +auto_ADDI auto_MIN 239 1 +auto_ADDI auto_MAX 259 1 +auto_ADDI auto_MINU 202 1 +auto_ADDI auto_MAXU 201 1 +auto_ADDI auto_SEXT_B 217 1 +auto_ADDI auto_SEXT_H 192 1 +auto_ADDI auto_ZEXT_H 220 1 +auto_ADDI auto_ANDN 211 1 +auto_ADDI auto_ORN 190 1 +auto_ADDI auto_XNOR 210 1 +auto_ADDI auto_ROR 208 1 +auto_ADDI auto_RORI 234 1 +auto_ADDI auto_ROL 212 1 +auto_ADDI auto_REV8 210 1 +auto_ADDI auto_ORC_B 223 1 +auto_ADDI auto_CLMUL 197 1 +auto_ADDI auto_CLMULH 208 1 +auto_ADDI auto_CLMULR 205 1 +auto_ADDI auto_BSET 205 1 +auto_ADDI auto_BSETI 220 1 +auto_ADDI auto_BCLR 184 1 +auto_ADDI auto_BCLRI 212 1 +auto_ADDI auto_BINV 213 1 +auto_ADDI auto_BINVI 211 1 +auto_ADDI auto_BEXT 218 1 +auto_ADDI auto_BEXTI 237 1 +auto_ADDI auto_CSRRW 74 1 +auto_ADDI auto_CSRRS 121 1 +auto_ADDI auto_CSRRC 78 1 +auto_ADDI auto_CSRRWI 70 1 +auto_ADDI auto_CSRRSI 66 1 +auto_ADDI auto_CSRRCI 58 1 +auto_ADDI auto_C_LBU 3 1 +auto_ADDI auto_C_SB 5 1 +auto_ADDI auto_C_ZEXT_B 117 1 +auto_ADDI auto_C_SEXT_B 105 1 +auto_ADDI auto_C_ZEXT_H 132 1 +auto_ADDI auto_C_SEXT_H 83 1 +auto_ADDI auto_C_NOT 122 1 +auto_ADDI auto_C_MUL 107 1 +auto_SLTI auto_LUI 352 1 +auto_SLTI auto_AUIPC 269 1 +auto_SLTI auto_JAL 89 1 +auto_SLTI auto_JALR 20 1 +auto_SLTI auto_BEQ 73 1 +auto_SLTI auto_BNE 65 1 +auto_SLTI auto_BLT 86 1 +auto_SLTI auto_BGE 138 1 +auto_SLTI auto_BLTU 64 1 +auto_SLTI auto_BGEU 69 1 +auto_SLTI auto_LB 344 1 +auto_SLTI auto_LH 114 1 +auto_SLTI auto_LW 103 1 +auto_SLTI auto_LBU 343 1 +auto_SLTI auto_LHU 98 1 +auto_SLTI auto_SB 330 1 +auto_SLTI auto_SH 108 1 +auto_SLTI auto_SW 76 1 +auto_SLTI auto_ADDI 438 1 +auto_SLTI auto_SLTI 282 1 +auto_SLTI auto_SLTIU 255 1 +auto_SLTI auto_XORI 262 1 +auto_SLTI auto_ORI 237 1 +auto_SLTI auto_ANDI 246 1 +auto_SLTI auto_SLLI 278 1 +auto_SLTI auto_SRLI 326 1 +auto_SLTI auto_SRAI 231 1 +auto_SLTI auto_ADD 191 1 +auto_SLTI auto_SUB 305 1 +auto_SLTI auto_SLL 242 1 +auto_SLTI auto_SLT 277 1 +auto_SLTI auto_SLTU 282 1 +auto_SLTI auto_XOR 220 1 +auto_SLTI auto_SRL 227 1 +auto_SLTI auto_SRA 258 1 +auto_SLTI auto_OR 248 1 +auto_SLTI auto_AND 233 1 +auto_SLTI auto_FENCE 114 1 +auto_SLTI auto_MRET 811 1 +auto_SLTI auto_WFI 61 1 +auto_SLTI auto_MUL 249 1 +auto_SLTI auto_MULH 242 1 +auto_SLTI auto_MULHSU 285 1 +auto_SLTI auto_MULHU 235 1 +auto_SLTI auto_DIV 230 1 +auto_SLTI auto_DIVU 232 1 +auto_SLTI auto_REM 269 1 +auto_SLTI auto_REMU 305 1 +auto_SLTI auto_C_ADDI4SPN 72 1 +auto_SLTI auto_C_NOP 626 1 +auto_SLTI auto_C_ADDI 133 1 +auto_SLTI auto_C_JAL 28 1 +auto_SLTI auto_C_LI 111 1 +auto_SLTI auto_C_ADDI16SP 70 1 +auto_SLTI auto_C_LUI 104 1 +auto_SLTI auto_C_SRLI 115 1 +auto_SLTI auto_C_SRAI 101 1 +auto_SLTI auto_C_ANDI 111 1 +auto_SLTI auto_C_SUB 97 1 +auto_SLTI auto_C_XOR 103 1 +auto_SLTI auto_C_OR 93 1 +auto_SLTI auto_C_AND 103 1 +auto_SLTI auto_C_J 35 1 +auto_SLTI auto_C_BEQZ 51 1 +auto_SLTI auto_C_BNEZ 41 1 +auto_SLTI auto_C_SLLI 111 1 +auto_SLTI auto_C_LWSP 3 1 +auto_SLTI auto_C_MV 105 1 +auto_SLTI auto_C_JALR 3 1 +auto_SLTI auto_C_ADD 133 1 +auto_SLTI auto_C_SWSP 5 1 +auto_SLTI auto_SH1ADD 188 1 +auto_SLTI auto_SH2ADD 193 1 +auto_SLTI auto_SH3ADD 203 1 +auto_SLTI auto_CLZ 195 1 +auto_SLTI auto_CTZ 189 1 +auto_SLTI auto_CPOP 192 1 +auto_SLTI auto_MIN 198 1 +auto_SLTI auto_MAX 203 1 +auto_SLTI auto_MINU 216 1 +auto_SLTI auto_MAXU 194 1 +auto_SLTI auto_SEXT_B 202 1 +auto_SLTI auto_SEXT_H 219 1 +auto_SLTI auto_ZEXT_H 187 1 +auto_SLTI auto_ANDN 211 1 +auto_SLTI auto_ORN 200 1 +auto_SLTI auto_XNOR 236 1 +auto_SLTI auto_ROR 191 1 +auto_SLTI auto_RORI 181 1 +auto_SLTI auto_ROL 221 1 +auto_SLTI auto_REV8 198 1 +auto_SLTI auto_ORC_B 188 1 +auto_SLTI auto_CLMUL 186 1 +auto_SLTI auto_CLMULH 188 1 +auto_SLTI auto_CLMULR 195 1 +auto_SLTI auto_BSET 200 1 +auto_SLTI auto_BSETI 193 1 +auto_SLTI auto_BCLR 209 1 +auto_SLTI auto_BCLRI 194 1 +auto_SLTI auto_BINV 219 1 +auto_SLTI auto_BINVI 188 1 +auto_SLTI auto_BEXT 197 1 +auto_SLTI auto_BEXTI 210 1 +auto_SLTI auto_CSRRW 70 1 +auto_SLTI auto_CSRRS 72 1 +auto_SLTI auto_CSRRC 69 1 +auto_SLTI auto_CSRRWI 77 1 +auto_SLTI auto_CSRRSI 71 1 +auto_SLTI auto_CSRRCI 65 1 +auto_SLTI auto_C_LBU 4 1 +auto_SLTI auto_C_LHU 1 1 +auto_SLTI auto_C_LH 1 1 +auto_SLTI auto_C_SB 2 1 +auto_SLTI auto_C_ZEXT_B 94 1 +auto_SLTI auto_C_SEXT_B 98 1 +auto_SLTI auto_C_ZEXT_H 100 1 +auto_SLTI auto_C_SEXT_H 103 1 +auto_SLTI auto_C_NOT 93 1 +auto_SLTI auto_C_MUL 91 1 +auto_SLTIU auto_LUI 324 1 +auto_SLTIU auto_AUIPC 265 1 +auto_SLTIU auto_JAL 72 1 +auto_SLTIU auto_JALR 24 1 +auto_SLTIU auto_BEQ 71 1 +auto_SLTIU auto_BNE 66 1 +auto_SLTIU auto_BLT 55 1 +auto_SLTIU auto_BGE 65 1 +auto_SLTIU auto_BLTU 66 1 +auto_SLTIU auto_BGEU 61 1 +auto_SLTIU auto_LB 387 1 +auto_SLTIU auto_LH 112 1 +auto_SLTIU auto_LW 54 1 +auto_SLTIU auto_LBU 314 1 +auto_SLTIU auto_LHU 122 1 +auto_SLTIU auto_SB 332 1 +auto_SLTIU auto_SH 118 1 +auto_SLTIU auto_SW 72 1 +auto_SLTIU auto_ADDI 424 1 +auto_SLTIU auto_SLTI 249 1 +auto_SLTIU auto_SLTIU 305 1 +auto_SLTIU auto_XORI 267 1 +auto_SLTIU auto_ORI 257 1 +auto_SLTIU auto_ANDI 305 1 +auto_SLTIU auto_SLLI 321 1 +auto_SLTIU auto_SRLI 331 1 +auto_SLTIU auto_SRAI 273 1 +auto_SLTIU auto_ADD 232 1 +auto_SLTIU auto_SUB 237 1 +auto_SLTIU auto_SLL 268 1 +auto_SLTIU auto_SLT 243 1 +auto_SLTIU auto_SLTU 268 1 +auto_SLTIU auto_XOR 226 1 +auto_SLTIU auto_SRL 259 1 +auto_SLTIU auto_SRA 277 1 +auto_SLTIU auto_OR 262 1 +auto_SLTIU auto_AND 261 1 +auto_SLTIU auto_FENCE 95 1 +auto_SLTIU auto_MRET 841 1 +auto_SLTIU auto_WFI 66 1 +auto_SLTIU auto_MUL 241 1 +auto_SLTIU auto_MULH 245 1 +auto_SLTIU auto_MULHSU 269 1 +auto_SLTIU auto_MULHU 279 1 +auto_SLTIU auto_DIV 258 1 +auto_SLTIU auto_DIVU 238 1 +auto_SLTIU auto_REM 250 1 +auto_SLTIU auto_REMU 256 1 +auto_SLTIU auto_C_ADDI4SPN 83 1 +auto_SLTIU auto_C_NOP 636 1 +auto_SLTIU auto_C_ADDI 128 1 +auto_SLTIU auto_C_JAL 27 1 +auto_SLTIU auto_C_LI 99 1 +auto_SLTIU auto_C_ADDI16SP 71 1 +auto_SLTIU auto_C_LUI 74 1 +auto_SLTIU auto_C_SRLI 108 1 +auto_SLTIU auto_C_SRAI 95 1 +auto_SLTIU auto_C_ANDI 85 1 +auto_SLTIU auto_C_SUB 99 1 +auto_SLTIU auto_C_XOR 104 1 +auto_SLTIU auto_C_OR 89 1 +auto_SLTIU auto_C_AND 92 1 +auto_SLTIU auto_C_J 35 1 +auto_SLTIU auto_C_BEQZ 45 1 +auto_SLTIU auto_C_BNEZ 44 1 +auto_SLTIU auto_C_SLLI 153 1 +auto_SLTIU auto_C_LWSP 9 1 +auto_SLTIU auto_C_MV 118 1 +auto_SLTIU auto_C_ADD 167 1 +auto_SLTIU auto_C_SWSP 3 1 +auto_SLTIU auto_SH1ADD 215 1 +auto_SLTIU auto_SH2ADD 196 1 +auto_SLTIU auto_SH3ADD 200 1 +auto_SLTIU auto_CLZ 198 1 +auto_SLTIU auto_CTZ 194 1 +auto_SLTIU auto_CPOP 213 1 +auto_SLTIU auto_MIN 216 1 +auto_SLTIU auto_MAX 207 1 +auto_SLTIU auto_MINU 193 1 +auto_SLTIU auto_MAXU 182 1 +auto_SLTIU auto_SEXT_B 194 1 +auto_SLTIU auto_SEXT_H 194 1 +auto_SLTIU auto_ZEXT_H 195 1 +auto_SLTIU auto_ANDN 222 1 +auto_SLTIU auto_ORN 190 1 +auto_SLTIU auto_XNOR 203 1 +auto_SLTIU auto_ROR 166 1 +auto_SLTIU auto_RORI 199 1 +auto_SLTIU auto_ROL 194 1 +auto_SLTIU auto_REV8 216 1 +auto_SLTIU auto_ORC_B 227 1 +auto_SLTIU auto_CLMUL 212 1 +auto_SLTIU auto_CLMULH 197 1 +auto_SLTIU auto_CLMULR 191 1 +auto_SLTIU auto_BSET 215 1 +auto_SLTIU auto_BSETI 178 1 +auto_SLTIU auto_BCLR 209 1 +auto_SLTIU auto_BCLRI 211 1 +auto_SLTIU auto_BINV 189 1 +auto_SLTIU auto_BINVI 196 1 +auto_SLTIU auto_BEXT 193 1 +auto_SLTIU auto_BEXTI 212 1 +auto_SLTIU auto_CSRRW 63 1 +auto_SLTIU auto_CSRRS 64 1 +auto_SLTIU auto_CSRRC 65 1 +auto_SLTIU auto_CSRRWI 57 1 +auto_SLTIU auto_CSRRSI 55 1 +auto_SLTIU auto_CSRRCI 52 1 +auto_SLTIU auto_C_LBU 3 1 +auto_SLTIU auto_C_SB 2 1 +auto_SLTIU auto_C_SH 1 1 +auto_SLTIU auto_C_ZEXT_B 72 1 +auto_SLTIU auto_C_SEXT_B 84 1 +auto_SLTIU auto_C_ZEXT_H 122 1 +auto_SLTIU auto_C_SEXT_H 122 1 +auto_SLTIU auto_C_NOT 100 1 +auto_SLTIU auto_C_MUL 101 1 +auto_XORI auto_LUI 251 1 +auto_XORI auto_AUIPC 277 1 +auto_XORI auto_JAL 67 1 +auto_XORI auto_JALR 10 1 +auto_XORI auto_BEQ 61 1 +auto_XORI auto_BNE 58 1 +auto_XORI auto_BLT 85 1 +auto_XORI auto_BGE 56 1 +auto_XORI auto_BLTU 68 1 +auto_XORI auto_BGEU 64 1 +auto_XORI auto_LB 352 1 +auto_XORI auto_LH 97 1 +auto_XORI auto_LW 104 1 +auto_XORI auto_LBU 348 1 +auto_XORI auto_LHU 98 1 +auto_XORI auto_SB 361 1 +auto_XORI auto_SH 94 1 +auto_XORI auto_SW 81 1 +auto_XORI auto_ADDI 451 1 +auto_XORI auto_SLTI 235 1 +auto_XORI auto_SLTIU 262 1 +auto_XORI auto_XORI 261 1 +auto_XORI auto_ORI 230 1 +auto_XORI auto_ANDI 403 1 +auto_XORI auto_SLLI 229 1 +auto_XORI auto_SRLI 252 1 +auto_XORI auto_SRAI 263 1 +auto_XORI auto_ADD 226 1 +auto_XORI auto_SUB 264 1 +auto_XORI auto_SLL 259 1 +auto_XORI auto_SLT 275 1 +auto_XORI auto_SLTU 254 1 +auto_XORI auto_XOR 238 1 +auto_XORI auto_SRL 286 1 +auto_XORI auto_SRA 250 1 +auto_XORI auto_OR 247 1 +auto_XORI auto_AND 269 1 +auto_XORI auto_FENCE 88 1 +auto_XORI auto_MRET 835 1 +auto_XORI auto_WFI 72 1 +auto_XORI auto_MUL 310 1 +auto_XORI auto_MULH 241 1 +auto_XORI auto_MULHSU 276 1 +auto_XORI auto_MULHU 279 1 +auto_XORI auto_DIV 235 1 +auto_XORI auto_DIVU 252 1 +auto_XORI auto_REM 276 1 +auto_XORI auto_REMU 282 1 +auto_XORI auto_C_ADDI4SPN 73 1 +auto_XORI auto_C_NOP 617 1 +auto_XORI auto_C_ADDI 113 1 +auto_XORI auto_C_JAL 26 1 +auto_XORI auto_C_LI 103 1 +auto_XORI auto_C_ADDI16SP 72 1 +auto_XORI auto_C_LUI 114 1 +auto_XORI auto_C_SRLI 113 1 +auto_XORI auto_C_SRAI 114 1 +auto_XORI auto_C_ANDI 97 1 +auto_XORI auto_C_SUB 117 1 +auto_XORI auto_C_XOR 111 1 +auto_XORI auto_C_OR 102 1 +auto_XORI auto_C_AND 112 1 +auto_XORI auto_C_J 29 1 +auto_XORI auto_C_BEQZ 51 1 +auto_XORI auto_C_BNEZ 53 1 +auto_XORI auto_C_SLLI 113 1 +auto_XORI auto_C_LWSP 3 1 +auto_XORI auto_C_JR 3 1 +auto_XORI auto_C_MV 119 1 +auto_XORI auto_C_JALR 2 1 +auto_XORI auto_C_ADD 150 1 +auto_XORI auto_C_SWSP 4 1 +auto_XORI auto_SH1ADD 205 1 +auto_XORI auto_SH2ADD 189 1 +auto_XORI auto_SH3ADD 196 1 +auto_XORI auto_CLZ 224 1 +auto_XORI auto_CTZ 209 1 +auto_XORI auto_CPOP 195 1 +auto_XORI auto_MIN 212 1 +auto_XORI auto_MAX 193 1 +auto_XORI auto_MINU 210 1 +auto_XORI auto_MAXU 187 1 +auto_XORI auto_SEXT_B 209 1 +auto_XORI auto_SEXT_H 204 1 +auto_XORI auto_ZEXT_H 195 1 +auto_XORI auto_ANDN 204 1 +auto_XORI auto_ORN 194 1 +auto_XORI auto_XNOR 208 1 +auto_XORI auto_ROR 173 1 +auto_XORI auto_RORI 185 1 +auto_XORI auto_ROL 208 1 +auto_XORI auto_REV8 199 1 +auto_XORI auto_ORC_B 196 1 +auto_XORI auto_CLMUL 196 1 +auto_XORI auto_CLMULH 172 1 +auto_XORI auto_CLMULR 185 1 +auto_XORI auto_BSET 206 1 +auto_XORI auto_BSETI 195 1 +auto_XORI auto_BCLR 177 1 +auto_XORI auto_BCLRI 186 1 +auto_XORI auto_BINV 176 1 +auto_XORI auto_BINVI 193 1 +auto_XORI auto_BEXT 211 1 +auto_XORI auto_BEXTI 186 1 +auto_XORI auto_CSRRW 53 1 +auto_XORI auto_CSRRS 69 1 +auto_XORI auto_CSRRC 82 1 +auto_XORI auto_CSRRWI 92 1 +auto_XORI auto_CSRRSI 75 1 +auto_XORI auto_CSRRCI 72 1 +auto_XORI auto_C_LBU 1 1 +auto_XORI auto_C_LH 1 1 +auto_XORI auto_C_SB 1 1 +auto_XORI auto_C_ZEXT_B 125 1 +auto_XORI auto_C_SEXT_B 74 1 +auto_XORI auto_C_ZEXT_H 102 1 +auto_XORI auto_C_SEXT_H 119 1 +auto_XORI auto_C_NOT 98 1 +auto_XORI auto_C_MUL 129 1 +auto_ORI auto_LUI 237 1 +auto_ORI auto_AUIPC 291 1 +auto_ORI auto_JAL 60 1 +auto_ORI auto_JALR 29 1 +auto_ORI auto_BEQ 76 1 +auto_ORI auto_BNE 78 1 +auto_ORI auto_BLT 74 1 +auto_ORI auto_BGE 76 1 +auto_ORI auto_BLTU 60 1 +auto_ORI auto_BGEU 73 1 +auto_ORI auto_LB 359 1 +auto_ORI auto_LH 105 1 +auto_ORI auto_LW 74 1 +auto_ORI auto_LBU 318 1 +auto_ORI auto_LHU 111 1 +auto_ORI auto_SB 358 1 +auto_ORI auto_SH 105 1 +auto_ORI auto_SW 73 1 +auto_ORI auto_ADDI 2808 1 +auto_ORI auto_SLTI 233 1 +auto_ORI auto_SLTIU 244 1 +auto_ORI auto_XORI 257 1 +auto_ORI auto_ORI 283 1 +auto_ORI auto_ANDI 256 1 +auto_ORI auto_SLLI 277 1 +auto_ORI auto_SRLI 254 1 +auto_ORI auto_SRAI 239 1 +auto_ORI auto_ADD 202 1 +auto_ORI auto_SUB 226 1 +auto_ORI auto_SLL 245 1 +auto_ORI auto_SLT 311 1 +auto_ORI auto_SLTU 262 1 +auto_ORI auto_XOR 245 1 +auto_ORI auto_SRL 263 1 +auto_ORI auto_SRA 246 1 +auto_ORI auto_OR 256 1 +auto_ORI auto_AND 268 1 +auto_ORI auto_FENCE 107 1 +auto_ORI auto_MRET 789 1 +auto_ORI auto_WFI 139 1 +auto_ORI auto_MUL 244 1 +auto_ORI auto_MULH 274 1 +auto_ORI auto_MULHSU 281 1 +auto_ORI auto_MULHU 240 1 +auto_ORI auto_DIV 253 1 +auto_ORI auto_DIVU 250 1 +auto_ORI auto_REM 255 1 +auto_ORI auto_REMU 257 1 +auto_ORI auto_C_ADDI4SPN 83 1 +auto_ORI auto_C_SW 1 1 +auto_ORI auto_C_NOP 650 1 +auto_ORI auto_C_ADDI 117 1 +auto_ORI auto_C_JAL 18 1 +auto_ORI auto_C_LI 96 1 +auto_ORI auto_C_ADDI16SP 72 1 +auto_ORI auto_C_LUI 99 1 +auto_ORI auto_C_SRLI 102 1 +auto_ORI auto_C_SRAI 104 1 +auto_ORI auto_C_ANDI 97 1 +auto_ORI auto_C_SUB 81 1 +auto_ORI auto_C_XOR 97 1 +auto_ORI auto_C_OR 96 1 +auto_ORI auto_C_AND 85 1 +auto_ORI auto_C_J 38 1 +auto_ORI auto_C_BEQZ 52 1 +auto_ORI auto_C_BNEZ 59 1 +auto_ORI auto_C_SLLI 145 1 +auto_ORI auto_C_LWSP 6 1 +auto_ORI auto_C_JR 4 1 +auto_ORI auto_C_MV 119 1 +auto_ORI auto_C_JALR 2 1 +auto_ORI auto_C_ADD 136 1 +auto_ORI auto_C_SWSP 4 1 +auto_ORI auto_SH1ADD 184 1 +auto_ORI auto_SH2ADD 213 1 +auto_ORI auto_SH3ADD 203 1 +auto_ORI auto_CLZ 191 1 +auto_ORI auto_CTZ 156 1 +auto_ORI auto_CPOP 195 1 +auto_ORI auto_MIN 227 1 +auto_ORI auto_MAX 193 1 +auto_ORI auto_MINU 171 1 +auto_ORI auto_MAXU 212 1 +auto_ORI auto_SEXT_B 203 1 +auto_ORI auto_SEXT_H 175 1 +auto_ORI auto_ZEXT_H 215 1 +auto_ORI auto_ANDN 186 1 +auto_ORI auto_ORN 210 1 +auto_ORI auto_XNOR 198 1 +auto_ORI auto_ROR 184 1 +auto_ORI auto_RORI 187 1 +auto_ORI auto_ROL 173 1 +auto_ORI auto_REV8 203 1 +auto_ORI auto_ORC_B 182 1 +auto_ORI auto_CLMUL 215 1 +auto_ORI auto_CLMULH 186 1 +auto_ORI auto_CLMULR 201 1 +auto_ORI auto_BSET 194 1 +auto_ORI auto_BSETI 186 1 +auto_ORI auto_BCLR 205 1 +auto_ORI auto_BCLRI 182 1 +auto_ORI auto_BINV 197 1 +auto_ORI auto_BINVI 199 1 +auto_ORI auto_BEXT 221 1 +auto_ORI auto_BEXTI 190 1 +auto_ORI auto_CSRRW 61 1 +auto_ORI auto_CSRRS 68 1 +auto_ORI auto_CSRRC 75 1 +auto_ORI auto_CSRRWI 72 1 +auto_ORI auto_CSRRSI 71 1 +auto_ORI auto_CSRRCI 73 1 +auto_ORI auto_C_LBU 3 1 +auto_ORI auto_C_SB 2 1 +auto_ORI auto_C_ZEXT_B 94 1 +auto_ORI auto_C_SEXT_B 104 1 +auto_ORI auto_C_ZEXT_H 95 1 +auto_ORI auto_C_SEXT_H 84 1 +auto_ORI auto_C_NOT 96 1 +auto_ORI auto_C_MUL 87 1 +auto_ANDI auto_LUI 248 1 +auto_ANDI auto_AUIPC 357 1 +auto_ANDI auto_JAL 77 1 +auto_ANDI auto_JALR 39 1 +auto_ANDI auto_BEQ 154 1 +auto_ANDI auto_BNE 147 1 +auto_ANDI auto_BLT 64 1 +auto_ANDI auto_BGE 65 1 +auto_ANDI auto_BLTU 70 1 +auto_ANDI auto_BGEU 93 1 +auto_ANDI auto_LB 322 1 +auto_ANDI auto_LH 109 1 +auto_ANDI auto_LW 50 1 +auto_ANDI auto_LBU 330 1 +auto_ANDI auto_LHU 122 1 +auto_ANDI auto_SB 360 1 +auto_ANDI auto_SH 106 1 +auto_ANDI auto_SW 76 1 +auto_ANDI auto_ADDI 424 1 +auto_ANDI auto_SLTI 290 1 +auto_ANDI auto_SLTIU 284 1 +auto_ANDI auto_XORI 254 1 +auto_ANDI auto_ORI 283 1 +auto_ANDI auto_ANDI 283 1 +auto_ANDI auto_SLLI 265 1 +auto_ANDI auto_SRLI 287 1 +auto_ANDI auto_SRAI 264 1 +auto_ANDI auto_ADD 214 1 +auto_ANDI auto_SUB 289 1 +auto_ANDI auto_SLL 272 1 +auto_ANDI auto_SLT 415 1 +auto_ANDI auto_SLTU 282 1 +auto_ANDI auto_XOR 259 1 +auto_ANDI auto_SRL 266 1 +auto_ANDI auto_SRA 245 1 +auto_ANDI auto_OR 247 1 +auto_ANDI auto_AND 321 1 +auto_ANDI auto_FENCE 116 1 +auto_ANDI auto_MRET 876 1 +auto_ANDI auto_WFI 122 1 +auto_ANDI auto_MUL 350 1 +auto_ANDI auto_MULH 250 1 +auto_ANDI auto_MULHSU 276 1 +auto_ANDI auto_MULHU 293 1 +auto_ANDI auto_DIV 285 1 +auto_ANDI auto_DIVU 251 1 +auto_ANDI auto_REM 257 1 +auto_ANDI auto_REMU 261 1 +auto_ANDI auto_C_ADDI4SPN 90 1 +auto_ANDI auto_C_LW 1 1 +auto_ANDI auto_C_SW 1 1 +auto_ANDI auto_C_NOP 675 1 +auto_ANDI auto_C_ADDI 109 1 +auto_ANDI auto_C_JAL 28 1 +auto_ANDI auto_C_LI 119 1 +auto_ANDI auto_C_ADDI16SP 69 1 +auto_ANDI auto_C_LUI 86 1 +auto_ANDI auto_C_SRLI 88 1 +auto_ANDI auto_C_SRAI 93 1 +auto_ANDI auto_C_ANDI 89 1 +auto_ANDI auto_C_SUB 89 1 +auto_ANDI auto_C_XOR 117 1 +auto_ANDI auto_C_OR 104 1 +auto_ANDI auto_C_AND 114 1 +auto_ANDI auto_C_J 38 1 +auto_ANDI auto_C_BEQZ 59 1 +auto_ANDI auto_C_BNEZ 36 1 +auto_ANDI auto_C_SLLI 126 1 +auto_ANDI auto_C_LWSP 3 1 +auto_ANDI auto_C_MV 138 1 +auto_ANDI auto_C_JALR 2 1 +auto_ANDI auto_C_ADD 132 1 +auto_ANDI auto_C_SWSP 7 1 +auto_ANDI auto_SH1ADD 190 1 +auto_ANDI auto_SH2ADD 195 1 +auto_ANDI auto_SH3ADD 193 1 +auto_ANDI auto_CLZ 196 1 +auto_ANDI auto_CTZ 195 1 +auto_ANDI auto_CPOP 189 1 +auto_ANDI auto_MIN 200 1 +auto_ANDI auto_MAX 190 1 +auto_ANDI auto_MINU 218 1 +auto_ANDI auto_MAXU 201 1 +auto_ANDI auto_SEXT_B 164 1 +auto_ANDI auto_SEXT_H 193 1 +auto_ANDI auto_ZEXT_H 183 1 +auto_ANDI auto_ANDN 181 1 +auto_ANDI auto_ORN 190 1 +auto_ANDI auto_XNOR 199 1 +auto_ANDI auto_ROR 214 1 +auto_ANDI auto_RORI 180 1 +auto_ANDI auto_ROL 199 1 +auto_ANDI auto_REV8 196 1 +auto_ANDI auto_ORC_B 208 1 +auto_ANDI auto_CLMUL 216 1 +auto_ANDI auto_CLMULH 217 1 +auto_ANDI auto_CLMULR 211 1 +auto_ANDI auto_BSET 197 1 +auto_ANDI auto_BSETI 208 1 +auto_ANDI auto_BCLR 173 1 +auto_ANDI auto_BCLRI 185 1 +auto_ANDI auto_BINV 209 1 +auto_ANDI auto_BINVI 205 1 +auto_ANDI auto_BEXT 202 1 +auto_ANDI auto_BEXTI 202 1 +auto_ANDI auto_CSRRW 59 1 +auto_ANDI auto_CSRRS 80 1 +auto_ANDI auto_CSRRC 67 1 +auto_ANDI auto_CSRRWI 70 1 +auto_ANDI auto_CSRRSI 63 1 +auto_ANDI auto_CSRRCI 60 1 +auto_ANDI auto_C_LBU 3 1 +auto_ANDI auto_C_SB 2 1 +auto_ANDI auto_C_ZEXT_B 96 1 +auto_ANDI auto_C_SEXT_B 95 1 +auto_ANDI auto_C_ZEXT_H 94 1 +auto_ANDI auto_C_SEXT_H 107 1 +auto_ANDI auto_C_NOT 84 1 +auto_ANDI auto_C_MUL 101 1 +auto_SLLI auto_LUI 277 1 +auto_SLLI auto_AUIPC 251 1 +auto_SLLI auto_JAL 63 1 +auto_SLLI auto_JALR 15 1 +auto_SLLI auto_BEQ 77 1 +auto_SLLI auto_BNE 52 1 +auto_SLLI auto_BLT 72 1 +auto_SLLI auto_BGE 108 1 +auto_SLLI auto_BLTU 87 1 +auto_SLLI auto_BGEU 64 1 +auto_SLLI auto_LB 323 1 +auto_SLLI auto_LH 94 1 +auto_SLLI auto_LW 101 1 +auto_SLLI auto_LBU 358 1 +auto_SLLI auto_LHU 105 1 +auto_SLLI auto_SB 360 1 +auto_SLLI auto_SH 116 1 +auto_SLLI auto_SW 95 1 +auto_SLLI auto_ADDI 490 1 +auto_SLLI auto_SLTI 240 1 +auto_SLLI auto_SLTIU 252 1 +auto_SLLI auto_XORI 262 1 +auto_SLLI auto_ORI 241 1 +auto_SLLI auto_ANDI 230 1 +auto_SLLI auto_SLLI 290 1 +auto_SLLI auto_SRLI 242 1 +auto_SLLI auto_SRAI 253 1 +auto_SLLI auto_ADD 330 1 +auto_SLLI auto_SUB 246 1 +auto_SLLI auto_SLL 339 1 +auto_SLLI auto_SLT 211 1 +auto_SLLI auto_SLTU 266 1 +auto_SLLI auto_XOR 254 1 +auto_SLLI auto_SRL 282 1 +auto_SLLI auto_SRA 248 1 +auto_SLLI auto_OR 271 1 +auto_SLLI auto_AND 291 1 +auto_SLLI auto_FENCE 107 1 +auto_SLLI auto_MRET 736 1 +auto_SLLI auto_WFI 55 1 +auto_SLLI auto_MUL 251 1 +auto_SLLI auto_MULH 286 1 +auto_SLLI auto_MULHSU 238 1 +auto_SLLI auto_MULHU 253 1 +auto_SLLI auto_DIV 245 1 +auto_SLLI auto_DIVU 269 1 +auto_SLLI auto_REM 256 1 +auto_SLLI auto_REMU 276 1 +auto_SLLI auto_C_ADDI4SPN 61 1 +auto_SLLI auto_C_LW 2 1 +auto_SLLI auto_C_NOP 622 1 +auto_SLLI auto_C_ADDI 197 1 +auto_SLLI auto_C_JAL 27 1 +auto_SLLI auto_C_LI 107 1 +auto_SLLI auto_C_ADDI16SP 67 1 +auto_SLLI auto_C_LUI 99 1 +auto_SLLI auto_C_SRLI 103 1 +auto_SLLI auto_C_SRAI 92 1 +auto_SLLI auto_C_ANDI 82 1 +auto_SLLI auto_C_SUB 74 1 +auto_SLLI auto_C_XOR 104 1 +auto_SLLI auto_C_OR 101 1 +auto_SLLI auto_C_AND 83 1 +auto_SLLI auto_C_J 32 1 +auto_SLLI auto_C_BEQZ 46 1 +auto_SLLI auto_C_BNEZ 44 1 +auto_SLLI auto_C_SLLI 160 1 +auto_SLLI auto_C_LWSP 7 1 +auto_SLLI auto_C_MV 97 1 +auto_SLLI auto_C_JALR 1 1 +auto_SLLI auto_C_ADD 166 1 +auto_SLLI auto_C_SWSP 2 1 +auto_SLLI auto_SH1ADD 198 1 +auto_SLLI auto_SH2ADD 191 1 +auto_SLLI auto_SH3ADD 212 1 +auto_SLLI auto_CLZ 182 1 +auto_SLLI auto_CTZ 176 1 +auto_SLLI auto_CPOP 198 1 +auto_SLLI auto_MIN 170 1 +auto_SLLI auto_MAX 200 1 +auto_SLLI auto_MINU 177 1 +auto_SLLI auto_MAXU 192 1 +auto_SLLI auto_SEXT_B 179 1 +auto_SLLI auto_SEXT_H 182 1 +auto_SLLI auto_ZEXT_H 190 1 +auto_SLLI auto_ANDN 174 1 +auto_SLLI auto_ORN 188 1 +auto_SLLI auto_XNOR 189 1 +auto_SLLI auto_ROR 184 1 +auto_SLLI auto_RORI 217 1 +auto_SLLI auto_ROL 196 1 +auto_SLLI auto_REV8 191 1 +auto_SLLI auto_ORC_B 191 1 +auto_SLLI auto_CLMUL 191 1 +auto_SLLI auto_CLMULH 205 1 +auto_SLLI auto_CLMULR 176 1 +auto_SLLI auto_BSET 205 1 +auto_SLLI auto_BSETI 204 1 +auto_SLLI auto_BCLR 160 1 +auto_SLLI auto_BCLRI 193 1 +auto_SLLI auto_BINV 188 1 +auto_SLLI auto_BINVI 190 1 +auto_SLLI auto_BEXT 202 1 +auto_SLLI auto_BEXTI 167 1 +auto_SLLI auto_CSRRW 61 1 +auto_SLLI auto_CSRRS 60 1 +auto_SLLI auto_CSRRC 69 1 +auto_SLLI auto_CSRRWI 82 1 +auto_SLLI auto_CSRRSI 59 1 +auto_SLLI auto_CSRRCI 82 1 +auto_SLLI auto_C_LBU 2 1 +auto_SLLI auto_C_SB 4 1 +auto_SLLI auto_C_ZEXT_B 83 1 +auto_SLLI auto_C_SEXT_B 84 1 +auto_SLLI auto_C_ZEXT_H 85 1 +auto_SLLI auto_C_SEXT_H 105 1 +auto_SLLI auto_C_NOT 57 1 +auto_SLLI auto_C_MUL 95 1 +auto_SRLI auto_LUI 268 1 +auto_SRLI auto_AUIPC 279 1 +auto_SRLI auto_JAL 63 1 +auto_SRLI auto_JALR 21 1 +auto_SRLI auto_BEQ 88 1 +auto_SRLI auto_BNE 151 1 +auto_SRLI auto_BLT 58 1 +auto_SRLI auto_BGE 56 1 +auto_SRLI auto_BLTU 150 1 +auto_SRLI auto_BGEU 70 1 +auto_SRLI auto_LB 374 1 +auto_SRLI auto_LH 110 1 +auto_SRLI auto_LW 60 1 +auto_SRLI auto_LBU 354 1 +auto_SRLI auto_LHU 96 1 +auto_SRLI auto_SB 342 1 +auto_SRLI auto_SH 102 1 +auto_SRLI auto_SW 45 1 +auto_SRLI auto_ADDI 409 1 +auto_SRLI auto_SLTI 268 1 +auto_SRLI auto_SLTIU 242 1 +auto_SRLI auto_XORI 270 1 +auto_SRLI auto_ORI 276 1 +auto_SRLI auto_ANDI 258 1 +auto_SRLI auto_SLLI 290 1 +auto_SRLI auto_SRLI 280 1 +auto_SRLI auto_SRAI 247 1 +auto_SRLI auto_ADD 237 1 +auto_SRLI auto_SUB 263 1 +auto_SRLI auto_SLL 263 1 +auto_SRLI auto_SLT 269 1 +auto_SRLI auto_SLTU 232 1 +auto_SRLI auto_XOR 223 1 +auto_SRLI auto_SRL 260 1 +auto_SRLI auto_SRA 251 1 +auto_SRLI auto_OR 281 1 +auto_SRLI auto_AND 232 1 +auto_SRLI auto_FENCE 101 1 +auto_SRLI auto_MRET 813 1 +auto_SRLI auto_WFI 58 1 +auto_SRLI auto_MUL 252 1 +auto_SRLI auto_MULH 298 1 +auto_SRLI auto_MULHSU 264 1 +auto_SRLI auto_MULHU 228 1 +auto_SRLI auto_DIV 272 1 +auto_SRLI auto_DIVU 279 1 +auto_SRLI auto_REM 272 1 +auto_SRLI auto_REMU 450 1 +auto_SRLI auto_C_ADDI4SPN 77 1 +auto_SRLI auto_C_LW 1 1 +auto_SRLI auto_C_SW 1 1 +auto_SRLI auto_C_NOP 659 1 +auto_SRLI auto_C_ADDI 124 1 +auto_SRLI auto_C_JAL 27 1 +auto_SRLI auto_C_LI 113 1 +auto_SRLI auto_C_ADDI16SP 88 1 +auto_SRLI auto_C_LUI 124 1 +auto_SRLI auto_C_SRLI 103 1 +auto_SRLI auto_C_SRAI 122 1 +auto_SRLI auto_C_ANDI 94 1 +auto_SRLI auto_C_SUB 90 1 +auto_SRLI auto_C_XOR 97 1 +auto_SRLI auto_C_OR 92 1 +auto_SRLI auto_C_AND 88 1 +auto_SRLI auto_C_J 39 1 +auto_SRLI auto_C_BEQZ 43 1 +auto_SRLI auto_C_BNEZ 46 1 +auto_SRLI auto_C_SLLI 129 1 +auto_SRLI auto_C_LWSP 6 1 +auto_SRLI auto_C_MV 114 1 +auto_SRLI auto_C_ADD 116 1 +auto_SRLI auto_C_SWSP 8 1 +auto_SRLI auto_SH1ADD 190 1 +auto_SRLI auto_SH2ADD 181 1 +auto_SRLI auto_SH3ADD 189 1 +auto_SRLI auto_CLZ 206 1 +auto_SRLI auto_CTZ 202 1 +auto_SRLI auto_CPOP 210 1 +auto_SRLI auto_MIN 199 1 +auto_SRLI auto_MAX 162 1 +auto_SRLI auto_MINU 207 1 +auto_SRLI auto_MAXU 202 1 +auto_SRLI auto_SEXT_B 209 1 +auto_SRLI auto_SEXT_H 185 1 +auto_SRLI auto_ZEXT_H 185 1 +auto_SRLI auto_ANDN 200 1 +auto_SRLI auto_ORN 199 1 +auto_SRLI auto_XNOR 188 1 +auto_SRLI auto_ROR 180 1 +auto_SRLI auto_RORI 196 1 +auto_SRLI auto_ROL 181 1 +auto_SRLI auto_REV8 206 1 +auto_SRLI auto_ORC_B 209 1 +auto_SRLI auto_CLMUL 220 1 +auto_SRLI auto_CLMULH 210 1 +auto_SRLI auto_CLMULR 192 1 +auto_SRLI auto_BSET 207 1 +auto_SRLI auto_BSETI 215 1 +auto_SRLI auto_BCLR 205 1 +auto_SRLI auto_BCLRI 192 1 +auto_SRLI auto_BINV 227 1 +auto_SRLI auto_BINVI 209 1 +auto_SRLI auto_BEXT 194 1 +auto_SRLI auto_BEXTI 193 1 +auto_SRLI auto_CSRRW 81 1 +auto_SRLI auto_CSRRS 200195 1 +auto_SRLI auto_CSRRC 70 1 +auto_SRLI auto_CSRRWI 56 1 +auto_SRLI auto_CSRRSI 75 1 +auto_SRLI auto_CSRRCI 74 1 +auto_SRLI auto_C_LBU 1 1 +auto_SRLI auto_C_SB 3 1 +auto_SRLI auto_C_ZEXT_B 119 1 +auto_SRLI auto_C_SEXT_B 101 1 +auto_SRLI auto_C_ZEXT_H 76 1 +auto_SRLI auto_C_SEXT_H 89 1 +auto_SRLI auto_C_NOT 93 1 +auto_SRLI auto_C_MUL 96 1 +auto_SRAI auto_LUI 264 1 +auto_SRAI auto_AUIPC 258 1 +auto_SRAI auto_JAL 62 1 +auto_SRAI auto_JALR 6 1 +auto_SRAI auto_BEQ 76 1 +auto_SRAI auto_BNE 89 1 +auto_SRAI auto_BLT 78 1 +auto_SRAI auto_BGE 89 1 +auto_SRAI auto_BLTU 73 1 +auto_SRAI auto_BGEU 61 1 +auto_SRAI auto_LB 345 1 +auto_SRAI auto_LH 104 1 +auto_SRAI auto_LW 71 1 +auto_SRAI auto_LBU 341 1 +auto_SRAI auto_LHU 116 1 +auto_SRAI auto_SB 332 1 +auto_SRAI auto_SH 99 1 +auto_SRAI auto_SW 92 1 +auto_SRAI auto_ADDI 415 1 +auto_SRAI auto_SLTI 256 1 +auto_SRAI auto_SLTIU 374 1 +auto_SRAI auto_XORI 256 1 +auto_SRAI auto_ORI 267 1 +auto_SRAI auto_ANDI 243 1 +auto_SRAI auto_SLLI 266 1 +auto_SRAI auto_SRLI 245 1 +auto_SRAI auto_SRAI 316 1 +auto_SRAI auto_ADD 215 1 +auto_SRAI auto_SUB 287 1 +auto_SRAI auto_SLL 327 1 +auto_SRAI auto_SLT 240 1 +auto_SRAI auto_SLTU 273 1 +auto_SRAI auto_XOR 244 1 +auto_SRAI auto_SRL 351 1 +auto_SRAI auto_SRA 242 1 +auto_SRAI auto_OR 243 1 +auto_SRAI auto_AND 357 1 +auto_SRAI auto_FENCE 104 1 +auto_SRAI auto_MRET 770 1 +auto_SRAI auto_WFI 57 1 +auto_SRAI auto_MUL 214 1 +auto_SRAI auto_MULH 254 1 +auto_SRAI auto_MULHSU 268 1 +auto_SRAI auto_MULHU 260 1 +auto_SRAI auto_DIV 240 1 +auto_SRAI auto_DIVU 248 1 +auto_SRAI auto_REM 261 1 +auto_SRAI auto_REMU 260 1 +auto_SRAI auto_C_ADDI4SPN 77 1 +auto_SRAI auto_C_LW 1 1 +auto_SRAI auto_C_SW 2 1 +auto_SRAI auto_C_NOP 621 1 +auto_SRAI auto_C_ADDI 99 1 +auto_SRAI auto_C_JAL 23 1 +auto_SRAI auto_C_LI 78 1 +auto_SRAI auto_C_ADDI16SP 71 1 +auto_SRAI auto_C_LUI 103 1 +auto_SRAI auto_C_SRLI 90 1 +auto_SRAI auto_C_SRAI 105 1 +auto_SRAI auto_C_ANDI 85 1 +auto_SRAI auto_C_SUB 91 1 +auto_SRAI auto_C_XOR 103 1 +auto_SRAI auto_C_OR 105 1 +auto_SRAI auto_C_AND 89 1 +auto_SRAI auto_C_J 25 1 +auto_SRAI auto_C_BEQZ 40 1 +auto_SRAI auto_C_BNEZ 40 1 +auto_SRAI auto_C_SLLI 131 1 +auto_SRAI auto_C_LWSP 4 1 +auto_SRAI auto_C_JR 1 1 +auto_SRAI auto_C_MV 129 1 +auto_SRAI auto_C_ADD 143 1 +auto_SRAI auto_C_SWSP 6 1 +auto_SRAI auto_SH1ADD 185 1 +auto_SRAI auto_SH2ADD 179 1 +auto_SRAI auto_SH3ADD 209 1 +auto_SRAI auto_CLZ 216 1 +auto_SRAI auto_CTZ 200 1 +auto_SRAI auto_CPOP 215 1 +auto_SRAI auto_MIN 213 1 +auto_SRAI auto_MAX 160 1 +auto_SRAI auto_MINU 187 1 +auto_SRAI auto_MAXU 215 1 +auto_SRAI auto_SEXT_B 189 1 +auto_SRAI auto_SEXT_H 183 1 +auto_SRAI auto_ZEXT_H 184 1 +auto_SRAI auto_ANDN 201 1 +auto_SRAI auto_ORN 196 1 +auto_SRAI auto_XNOR 198 1 +auto_SRAI auto_ROR 195 1 +auto_SRAI auto_RORI 240 1 +auto_SRAI auto_ROL 200 1 +auto_SRAI auto_REV8 191 1 +auto_SRAI auto_ORC_B 180 1 +auto_SRAI auto_CLMUL 190 1 +auto_SRAI auto_CLMULH 204 1 +auto_SRAI auto_CLMULR 189 1 +auto_SRAI auto_BSET 200 1 +auto_SRAI auto_BSETI 206 1 +auto_SRAI auto_BCLR 181 1 +auto_SRAI auto_BCLRI 211 1 +auto_SRAI auto_BINV 189 1 +auto_SRAI auto_BINVI 218 1 +auto_SRAI auto_BEXT 200 1 +auto_SRAI auto_BEXTI 184 1 +auto_SRAI auto_CSRRW 59 1 +auto_SRAI auto_CSRRS 55 1 +auto_SRAI auto_CSRRC 63 1 +auto_SRAI auto_CSRRWI 61 1 +auto_SRAI auto_CSRRSI 71 1 +auto_SRAI auto_CSRRCI 91 1 +auto_SRAI auto_C_LBU 3 1 +auto_SRAI auto_C_LH 2 1 +auto_SRAI auto_C_SB 3 1 +auto_SRAI auto_C_ZEXT_B 74 1 +auto_SRAI auto_C_SEXT_B 95 1 +auto_SRAI auto_C_ZEXT_H 89 1 +auto_SRAI auto_C_SEXT_H 74 1 +auto_SRAI auto_C_NOT 95 1 +auto_SRAI auto_C_MUL 78 1 +auto_ADD auto_LUI 209 1 +auto_ADD auto_AUIPC 222 1 +auto_ADD auto_JAL 69 1 +auto_ADD auto_JALR 12 1 +auto_ADD auto_BEQ 61 1 +auto_ADD auto_BNE 52 1 +auto_ADD auto_BLT 66 1 +auto_ADD auto_BGE 82 1 +auto_ADD auto_BLTU 59 1 +auto_ADD auto_BGEU 86 1 +auto_ADD auto_LB 331 1 +auto_ADD auto_LH 107 1 +auto_ADD auto_LW 42 1 +auto_ADD auto_LBU 312 1 +auto_ADD auto_LHU 101 1 +auto_ADD auto_SB 301 1 +auto_ADD auto_SH 92 1 +auto_ADD auto_SW 696055 1 +auto_ADD auto_ADDI 262592 1 +auto_ADD auto_SLTI 239 1 +auto_ADD auto_SLTIU 198 1 +auto_ADD auto_XORI 240 1 +auto_ADD auto_ORI 276 1 +auto_ADD auto_ANDI 270 1 +auto_ADD auto_SLLI 252 1 +auto_ADD auto_SRLI 200 1 +auto_ADD auto_SRAI 200 1 +auto_ADD auto_ADD 261 1 +auto_ADD auto_SUB 218 1 +auto_ADD auto_SLL 205 1 +auto_ADD auto_SLT 213 1 +auto_ADD auto_SLTU 402 1 +auto_ADD auto_XOR 181 1 +auto_ADD auto_SRL 240 1 +auto_ADD auto_SRA 234 1 +auto_ADD auto_OR 223 1 +auto_ADD auto_AND 227 1 +auto_ADD auto_FENCE 79 1 +auto_ADD auto_MRET 733 1 +auto_ADD auto_WFI 71 1 +auto_ADD auto_MUL 257 1 +auto_ADD auto_MULH 201 1 +auto_ADD auto_MULHSU 314 1 +auto_ADD auto_MULHU 229 1 +auto_ADD auto_DIV 220 1 +auto_ADD auto_DIVU 278 1 +auto_ADD auto_REM 208 1 +auto_ADD auto_REMU 242 1 +auto_ADD auto_C_ADDI4SPN 68 1 +auto_ADD auto_C_SW 31865 1 +auto_ADD auto_C_NOP 607 1 +auto_ADD auto_C_ADDI 99 1 +auto_ADD auto_C_JAL 28 1 +auto_ADD auto_C_LI 95 1 +auto_ADD auto_C_ADDI16SP 3023 1 +auto_ADD auto_C_LUI 95 1 +auto_ADD auto_C_SRLI 82 1 +auto_ADD auto_C_SRAI 91 1 +auto_ADD auto_C_ANDI 74 1 +auto_ADD auto_C_SUB 72 1 +auto_ADD auto_C_XOR 91 1 +auto_ADD auto_C_OR 82 1 +auto_ADD auto_C_AND 87 1 +auto_ADD auto_C_J 27 1 +auto_ADD auto_C_BEQZ 43 1 +auto_ADD auto_C_BNEZ 45 1 +auto_ADD auto_C_SLLI 128 1 +auto_ADD auto_C_LWSP 5 1 +auto_ADD auto_C_MV 90 1 +auto_ADD auto_C_ADD 106 1 +auto_ADD auto_C_SWSP 7794 1 +auto_ADD auto_SH1ADD 155 1 +auto_ADD auto_SH2ADD 148 1 +auto_ADD auto_SH3ADD 157 1 +auto_ADD auto_CLZ 140 1 +auto_ADD auto_CTZ 139 1 +auto_ADD auto_CPOP 146 1 +auto_ADD auto_MIN 153 1 +auto_ADD auto_MAX 179 1 +auto_ADD auto_MINU 153 1 +auto_ADD auto_MAXU 152 1 +auto_ADD auto_SEXT_B 163 1 +auto_ADD auto_SEXT_H 131 1 +auto_ADD auto_ZEXT_H 136 1 +auto_ADD auto_ANDN 160 1 +auto_ADD auto_ORN 151 1 +auto_ADD auto_XNOR 149 1 +auto_ADD auto_ROR 151 1 +auto_ADD auto_RORI 174 1 +auto_ADD auto_ROL 175 1 +auto_ADD auto_REV8 156 1 +auto_ADD auto_ORC_B 146 1 +auto_ADD auto_CLMUL 135 1 +auto_ADD auto_CLMULH 186 1 +auto_ADD auto_CLMULR 150 1 +auto_ADD auto_BSET 154 1 +auto_ADD auto_BSETI 153 1 +auto_ADD auto_BCLR 171 1 +auto_ADD auto_BCLRI 144 1 +auto_ADD auto_BINV 173 1 +auto_ADD auto_BINVI 168 1 +auto_ADD auto_BEXT 163 1 +auto_ADD auto_BEXTI 160 1 +auto_ADD auto_CSRRW 60218 1 +auto_ADD auto_CSRRS 69 1 +auto_ADD auto_CSRRC 68 1 +auto_ADD auto_CSRRWI 61 1 +auto_ADD auto_CSRRSI 62 1 +auto_ADD auto_CSRRCI 55 1 +auto_ADD auto_C_LBU 3 1 +auto_ADD auto_C_SB 3 1 +auto_ADD auto_C_ZEXT_B 108 1 +auto_ADD auto_C_SEXT_B 88 1 +auto_ADD auto_C_ZEXT_H 83 1 +auto_ADD auto_C_SEXT_H 81 1 +auto_ADD auto_C_NOT 77 1 +auto_ADD auto_C_MUL 89 1 +auto_SUB auto_LUI 277 1 +auto_SUB auto_AUIPC 255 1 +auto_SUB auto_JAL 57 1 +auto_SUB auto_JALR 19 1 +auto_SUB auto_BEQ 68 1 +auto_SUB auto_BNE 70 1 +auto_SUB auto_BLT 77 1 +auto_SUB auto_BGE 163 1 +auto_SUB auto_BLTU 67 1 +auto_SUB auto_BGEU 64 1 +auto_SUB auto_LB 335 1 +auto_SUB auto_LH 101 1 +auto_SUB auto_LW 73 1 +auto_SUB auto_LBU 326 1 +auto_SUB auto_LHU 110 1 +auto_SUB auto_SB 323 1 +auto_SUB auto_SH 112 1 +auto_SUB auto_SW 54 1 +auto_SUB auto_ADDI 394 1 +auto_SUB auto_SLTI 264 1 +auto_SUB auto_SLTIU 278 1 +auto_SUB auto_XORI 254 1 +auto_SUB auto_ORI 251 1 +auto_SUB auto_ANDI 265 1 +auto_SUB auto_SLLI 241 1 +auto_SUB auto_SRLI 284 1 +auto_SUB auto_SRAI 238 1 +auto_SUB auto_ADD 242 1 +auto_SUB auto_SUB 292 1 +auto_SUB auto_SLL 273 1 +auto_SUB auto_SLT 252 1 +auto_SUB auto_SLTU 302 1 +auto_SUB auto_XOR 237 1 +auto_SUB auto_SRL 236 1 +auto_SUB auto_SRA 255 1 +auto_SUB auto_OR 217 1 +auto_SUB auto_AND 235 1 +auto_SUB auto_FENCE 87 1 +auto_SUB auto_MRET 793 1 +auto_SUB auto_WFI 71 1 +auto_SUB auto_MUL 261 1 +auto_SUB auto_MULH 350 1 +auto_SUB auto_MULHSU 265 1 +auto_SUB auto_MULHU 253 1 +auto_SUB auto_DIV 249 1 +auto_SUB auto_DIVU 247 1 +auto_SUB auto_REM 230 1 +auto_SUB auto_REMU 293 1 +auto_SUB auto_C_ADDI4SPN 88 1 +auto_SUB auto_C_LW 1 1 +auto_SUB auto_C_NOP 767 1 +auto_SUB auto_C_ADDI 136 1 +auto_SUB auto_C_JAL 44 1 +auto_SUB auto_C_LI 89 1 +auto_SUB auto_C_ADDI16SP 69 1 +auto_SUB auto_C_LUI 94 1 +auto_SUB auto_C_SRLI 82 1 +auto_SUB auto_C_SRAI 101 1 +auto_SUB auto_C_ANDI 99 1 +auto_SUB auto_C_SUB 88 1 +auto_SUB auto_C_XOR 100 1 +auto_SUB auto_C_OR 118 1 +auto_SUB auto_C_AND 108 1 +auto_SUB auto_C_J 33 1 +auto_SUB auto_C_BEQZ 44 1 +auto_SUB auto_C_BNEZ 44 1 +auto_SUB auto_C_SLLI 112 1 +auto_SUB auto_C_LWSP 3 1 +auto_SUB auto_C_MV 121 1 +auto_SUB auto_C_JALR 2 1 +auto_SUB auto_C_ADD 127 1 +auto_SUB auto_C_SWSP 9 1 +auto_SUB auto_SH1ADD 187 1 +auto_SUB auto_SH2ADD 181 1 +auto_SUB auto_SH3ADD 207 1 +auto_SUB auto_CLZ 176 1 +auto_SUB auto_CTZ 214 1 +auto_SUB auto_CPOP 196 1 +auto_SUB auto_MIN 196 1 +auto_SUB auto_MAX 198 1 +auto_SUB auto_MINU 204 1 +auto_SUB auto_MAXU 192 1 +auto_SUB auto_SEXT_B 186 1 +auto_SUB auto_SEXT_H 188 1 +auto_SUB auto_ZEXT_H 176 1 +auto_SUB auto_ANDN 177 1 +auto_SUB auto_ORN 238 1 +auto_SUB auto_XNOR 211 1 +auto_SUB auto_ROR 220 1 +auto_SUB auto_RORI 209 1 +auto_SUB auto_ROL 197 1 +auto_SUB auto_REV8 186 1 +auto_SUB auto_ORC_B 176 1 +auto_SUB auto_CLMUL 214 1 +auto_SUB auto_CLMULH 180 1 +auto_SUB auto_CLMULR 188 1 +auto_SUB auto_BSET 190 1 +auto_SUB auto_BSETI 182 1 +auto_SUB auto_BCLR 196 1 +auto_SUB auto_BCLRI 195 1 +auto_SUB auto_BINV 181 1 +auto_SUB auto_BINVI 197 1 +auto_SUB auto_BEXT 204 1 +auto_SUB auto_BEXTI 198 1 +auto_SUB auto_CSRRW 87 1 +auto_SUB auto_CSRRS 84 1 +auto_SUB auto_CSRRC 52 1 +auto_SUB auto_CSRRWI 77 1 +auto_SUB auto_CSRRSI 98 1 +auto_SUB auto_CSRRCI 80 1 +auto_SUB auto_C_LBU 6 1 +auto_SUB auto_C_SB 1 1 +auto_SUB auto_C_ZEXT_B 82 1 +auto_SUB auto_C_SEXT_B 92 1 +auto_SUB auto_C_ZEXT_H 92 1 +auto_SUB auto_C_SEXT_H 86 1 +auto_SUB auto_C_NOT 93 1 +auto_SUB auto_C_MUL 86 1 +auto_SLL auto_LUI 286 1 +auto_SLL auto_AUIPC 243 1 +auto_SLL auto_JAL 80 1 +auto_SLL auto_JALR 16 1 +auto_SLL auto_BEQ 56 1 +auto_SLL auto_BNE 71 1 +auto_SLL auto_BLT 93 1 +auto_SLL auto_BGE 62 1 +auto_SLL auto_BLTU 60 1 +auto_SLL auto_BGEU 39 1 +auto_SLL auto_LB 352 1 +auto_SLL auto_LH 107 1 +auto_SLL auto_LW 83 1 +auto_SLL auto_LBU 317 1 +auto_SLL auto_LHU 96 1 +auto_SLL auto_SB 340 1 +auto_SLL auto_SH 98 1 +auto_SLL auto_SW 58 1 +auto_SLL auto_ADDI 402 1 +auto_SLL auto_SLTI 247 1 +auto_SLL auto_SLTIU 271 1 +auto_SLL auto_XORI 276 1 +auto_SLL auto_ORI 269 1 +auto_SLL auto_ANDI 244 1 +auto_SLL auto_SLLI 285 1 +auto_SLL auto_SRLI 274 1 +auto_SLL auto_SRAI 227 1 +auto_SLL auto_ADD 221 1 +auto_SLL auto_SUB 250 1 +auto_SLL auto_SLL 293 1 +auto_SLL auto_SLT 279 1 +auto_SLL auto_SLTU 246 1 +auto_SLL auto_XOR 242 1 +auto_SLL auto_SRL 227 1 +auto_SLL auto_SRA 272 1 +auto_SLL auto_OR 256 1 +auto_SLL auto_AND 376 1 +auto_SLL auto_FENCE 93 1 +auto_SLL auto_MRET 799 1 +auto_SLL auto_WFI 155 1 +auto_SLL auto_MUL 245 1 +auto_SLL auto_MULH 261 1 +auto_SLL auto_MULHSU 256 1 +auto_SLL auto_MULHU 261 1 +auto_SLL auto_DIV 267 1 +auto_SLL auto_DIVU 243 1 +auto_SLL auto_REM 282 1 +auto_SLL auto_REMU 250 1 +auto_SLL auto_C_ADDI4SPN 92 1 +auto_SLL auto_C_LW 1 1 +auto_SLL auto_C_NOP 648 1 +auto_SLL auto_C_ADDI 116 1 +auto_SLL auto_C_JAL 33 1 +auto_SLL auto_C_LI 110 1 +auto_SLL auto_C_ADDI16SP 66 1 +auto_SLL auto_C_LUI 99 1 +auto_SLL auto_C_SRLI 85 1 +auto_SLL auto_C_SRAI 101 1 +auto_SLL auto_C_ANDI 116 1 +auto_SLL auto_C_SUB 91 1 +auto_SLL auto_C_XOR 95 1 +auto_SLL auto_C_OR 93 1 +auto_SLL auto_C_AND 116 1 +auto_SLL auto_C_J 30 1 +auto_SLL auto_C_BEQZ 49 1 +auto_SLL auto_C_BNEZ 47 1 +auto_SLL auto_C_SLLI 111 1 +auto_SLL auto_C_LWSP 9 1 +auto_SLL auto_C_MV 111 1 +auto_SLL auto_C_JALR 2 1 +auto_SLL auto_C_ADD 143 1 +auto_SLL auto_C_SWSP 6 1 +auto_SLL auto_SH1ADD 197 1 +auto_SLL auto_SH2ADD 168 1 +auto_SLL auto_SH3ADD 183 1 +auto_SLL auto_CLZ 230 1 +auto_SLL auto_CTZ 222 1 +auto_SLL auto_CPOP 173 1 +auto_SLL auto_MIN 196 1 +auto_SLL auto_MAX 226 1 +auto_SLL auto_MINU 192 1 +auto_SLL auto_MAXU 197 1 +auto_SLL auto_SEXT_B 204 1 +auto_SLL auto_SEXT_H 202 1 +auto_SLL auto_ZEXT_H 208 1 +auto_SLL auto_ANDN 161 1 +auto_SLL auto_ORN 209 1 +auto_SLL auto_XNOR 219 1 +auto_SLL auto_ROR 208 1 +auto_SLL auto_RORI 203 1 +auto_SLL auto_ROL 182 1 +auto_SLL auto_REV8 207 1 +auto_SLL auto_ORC_B 186 1 +auto_SLL auto_CLMUL 200 1 +auto_SLL auto_CLMULH 172 1 +auto_SLL auto_CLMULR 185 1 +auto_SLL auto_BSET 184 1 +auto_SLL auto_BSETI 200 1 +auto_SLL auto_BCLR 219 1 +auto_SLL auto_BCLRI 197 1 +auto_SLL auto_BINV 191 1 +auto_SLL auto_BINVI 188 1 +auto_SLL auto_BEXT 181 1 +auto_SLL auto_BEXTI 204 1 +auto_SLL auto_CSRRW 72 1 +auto_SLL auto_CSRRS 65 1 +auto_SLL auto_CSRRC 70 1 +auto_SLL auto_CSRRWI 52 1 +auto_SLL auto_CSRRSI 61 1 +auto_SLL auto_CSRRCI 76 1 +auto_SLL auto_C_LHU 1 1 +auto_SLL auto_C_SB 1 1 +auto_SLL auto_C_ZEXT_B 94 1 +auto_SLL auto_C_SEXT_B 94 1 +auto_SLL auto_C_ZEXT_H 104 1 +auto_SLL auto_C_SEXT_H 95 1 +auto_SLL auto_C_NOT 94 1 +auto_SLL auto_C_MUL 72 1 +auto_SLT auto_LUI 254 1 +auto_SLT auto_AUIPC 295 1 +auto_SLT auto_JAL 75 1 +auto_SLT auto_JALR 9 1 +auto_SLT auto_BEQ 67 1 +auto_SLT auto_BNE 76 1 +auto_SLT auto_BLT 79 1 +auto_SLT auto_BGE 74 1 +auto_SLT auto_BLTU 69 1 +auto_SLT auto_BGEU 77 1 +auto_SLT auto_LB 358 1 +auto_SLT auto_LH 110 1 +auto_SLT auto_LW 42 1 +auto_SLT auto_LBU 365 1 +auto_SLT auto_LHU 105 1 +auto_SLT auto_SB 286 1 +auto_SLT auto_SH 109 1 +auto_SLT auto_SW 69 1 +auto_SLT auto_ADDI 548 1 +auto_SLT auto_SLTI 249 1 +auto_SLT auto_SLTIU 289 1 +auto_SLT auto_XORI 245 1 +auto_SLT auto_ORI 263 1 +auto_SLT auto_ANDI 225 1 +auto_SLT auto_SLLI 286 1 +auto_SLT auto_SRLI 293 1 +auto_SLT auto_SRAI 335 1 +auto_SLT auto_ADD 252 1 +auto_SLT auto_SUB 263 1 +auto_SLT auto_SLL 263 1 +auto_SLT auto_SLT 337 1 +auto_SLT auto_SLTU 264 1 +auto_SLT auto_XOR 328 1 +auto_SLT auto_SRL 265 1 +auto_SLT auto_SRA 262 1 +auto_SLT auto_OR 264 1 +auto_SLT auto_AND 244 1 +auto_SLT auto_FENCE 104 1 +auto_SLT auto_MRET 735 1 +auto_SLT auto_WFI 51 1 +auto_SLT auto_MUL 236 1 +auto_SLT auto_MULH 240 1 +auto_SLT auto_MULHSU 269 1 +auto_SLT auto_MULHU 269 1 +auto_SLT auto_DIV 292 1 +auto_SLT auto_DIVU 291 1 +auto_SLT auto_REM 240 1 +auto_SLT auto_REMU 282 1 +auto_SLT auto_C_ADDI4SPN 79 1 +auto_SLT auto_C_LW 1 1 +auto_SLT auto_C_SW 1 1 +auto_SLT auto_C_NOP 642 1 +auto_SLT auto_C_ADDI 120 1 +auto_SLT auto_C_JAL 27 1 +auto_SLT auto_C_LI 108 1 +auto_SLT auto_C_ADDI16SP 81 1 +auto_SLT auto_C_LUI 122 1 +auto_SLT auto_C_SRLI 114 1 +auto_SLT auto_C_SRAI 105 1 +auto_SLT auto_C_ANDI 87 1 +auto_SLT auto_C_SUB 99 1 +auto_SLT auto_C_XOR 92 1 +auto_SLT auto_C_OR 110 1 +auto_SLT auto_C_AND 115 1 +auto_SLT auto_C_J 25 1 +auto_SLT auto_C_BEQZ 46 1 +auto_SLT auto_C_BNEZ 53 1 +auto_SLT auto_C_SLLI 131 1 +auto_SLT auto_C_LWSP 5 1 +auto_SLT auto_C_JR 1 1 +auto_SLT auto_C_MV 113 1 +auto_SLT auto_C_ADD 143 1 +auto_SLT auto_C_SWSP 2 1 +auto_SLT auto_SH1ADD 215 1 +auto_SLT auto_SH2ADD 229 1 +auto_SLT auto_SH3ADD 180 1 +auto_SLT auto_CLZ 187 1 +auto_SLT auto_CTZ 187 1 +auto_SLT auto_CPOP 175 1 +auto_SLT auto_MIN 226 1 +auto_SLT auto_MAX 188 1 +auto_SLT auto_MINU 179 1 +auto_SLT auto_MAXU 195 1 +auto_SLT auto_SEXT_B 201 1 +auto_SLT auto_SEXT_H 193 1 +auto_SLT auto_ZEXT_H 204 1 +auto_SLT auto_ANDN 211 1 +auto_SLT auto_ORN 197 1 +auto_SLT auto_XNOR 185 1 +auto_SLT auto_ROR 186 1 +auto_SLT auto_RORI 192 1 +auto_SLT auto_ROL 211 1 +auto_SLT auto_REV8 214 1 +auto_SLT auto_ORC_B 223 1 +auto_SLT auto_CLMUL 202 1 +auto_SLT auto_CLMULH 234 1 +auto_SLT auto_CLMULR 197 1 +auto_SLT auto_BSET 217 1 +auto_SLT auto_BSETI 195 1 +auto_SLT auto_BCLR 186 1 +auto_SLT auto_BCLRI 174 1 +auto_SLT auto_BINV 188 1 +auto_SLT auto_BINVI 164 1 +auto_SLT auto_BEXT 193 1 +auto_SLT auto_BEXTI 216 1 +auto_SLT auto_CSRRW 70 1 +auto_SLT auto_CSRRS 79 1 +auto_SLT auto_CSRRC 74 1 +auto_SLT auto_CSRRWI 79 1 +auto_SLT auto_CSRRSI 76 1 +auto_SLT auto_CSRRCI 85 1 +auto_SLT auto_C_LBU 1 1 +auto_SLT auto_C_LHU 1 1 +auto_SLT auto_C_LH 2 1 +auto_SLT auto_C_ZEXT_B 89 1 +auto_SLT auto_C_SEXT_B 75 1 +auto_SLT auto_C_ZEXT_H 91 1 +auto_SLT auto_C_SEXT_H 87 1 +auto_SLT auto_C_NOT 89 1 +auto_SLT auto_C_MUL 114 1 +auto_SLTU auto_LUI 246 1 +auto_SLTU auto_AUIPC 269 1 +auto_SLTU auto_JAL 71 1 +auto_SLTU auto_JALR 63 1 +auto_SLTU auto_BEQ 78 1 +auto_SLTU auto_BNE 59 1 +auto_SLTU auto_BLT 79 1 +auto_SLTU auto_BGE 59 1 +auto_SLTU auto_BLTU 139 1 +auto_SLTU auto_BGEU 59 1 +auto_SLTU auto_LB 332 1 +auto_SLTU auto_LH 118 1 +auto_SLTU auto_LW 58 1 +auto_SLTU auto_LBU 342 1 +auto_SLTU auto_LHU 111 1 +auto_SLTU auto_SB 341 1 +auto_SLTU auto_SH 118 1 +auto_SLTU auto_SW 58 1 +auto_SLTU auto_ADDI 553 1 +auto_SLTU auto_SLTI 262 1 +auto_SLTU auto_SLTIU 228 1 +auto_SLTU auto_XORI 286 1 +auto_SLTU auto_ORI 253 1 +auto_SLTU auto_ANDI 288 1 +auto_SLTU auto_SLLI 224 1 +auto_SLTU auto_SRLI 260 1 +auto_SLTU auto_SRAI 353 1 +auto_SLTU auto_ADD 225 1 +auto_SLTU auto_SUB 300 1 +auto_SLTU auto_SLL 275 1 +auto_SLTU auto_SLT 272 1 +auto_SLTU auto_SLTU 364 1 +auto_SLTU auto_XOR 253 1 +auto_SLTU auto_SRL 240 1 +auto_SLTU auto_SRA 220 1 +auto_SLTU auto_OR 277 1 +auto_SLTU auto_AND 242 1 +auto_SLTU auto_FENCE 143 1 +auto_SLTU auto_MRET 886 1 +auto_SLTU auto_WFI 64 1 +auto_SLTU auto_MUL 287 1 +auto_SLTU auto_MULH 252 1 +auto_SLTU auto_MULHSU 277 1 +auto_SLTU auto_MULHU 368 1 +auto_SLTU auto_DIV 235 1 +auto_SLTU auto_DIVU 253 1 +auto_SLTU auto_REM 229 1 +auto_SLTU auto_REMU 244 1 +auto_SLTU auto_C_ADDI4SPN 99 1 +auto_SLTU auto_C_LW 1 1 +auto_SLTU auto_C_SW 1 1 +auto_SLTU auto_C_NOP 686 1 +auto_SLTU auto_C_ADDI 139 1 +auto_SLTU auto_C_JAL 30 1 +auto_SLTU auto_C_LI 94 1 +auto_SLTU auto_C_ADDI16SP 69 1 +auto_SLTU auto_C_LUI 111 1 +auto_SLTU auto_C_SRLI 85 1 +auto_SLTU auto_C_SRAI 111 1 +auto_SLTU auto_C_ANDI 74 1 +auto_SLTU auto_C_SUB 106 1 +auto_SLTU auto_C_XOR 84 1 +auto_SLTU auto_C_OR 118 1 +auto_SLTU auto_C_AND 100 1 +auto_SLTU auto_C_J 24 1 +auto_SLTU auto_C_BEQZ 41 1 +auto_SLTU auto_C_BNEZ 38 1 +auto_SLTU auto_C_SLLI 115 1 +auto_SLTU auto_C_LWSP 7 1 +auto_SLTU auto_C_JR 5 1 +auto_SLTU auto_C_MV 90 1 +auto_SLTU auto_C_JALR 6 1 +auto_SLTU auto_C_ADD 152 1 +auto_SLTU auto_C_SWSP 4 1 +auto_SLTU auto_SH1ADD 201 1 +auto_SLTU auto_SH2ADD 197 1 +auto_SLTU auto_SH3ADD 196 1 +auto_SLTU auto_CLZ 234 1 +auto_SLTU auto_CTZ 189 1 +auto_SLTU auto_CPOP 216 1 +auto_SLTU auto_MIN 197 1 +auto_SLTU auto_MAX 198 1 +auto_SLTU auto_MINU 207 1 +auto_SLTU auto_MAXU 191 1 +auto_SLTU auto_SEXT_B 200 1 +auto_SLTU auto_SEXT_H 202 1 +auto_SLTU auto_ZEXT_H 193 1 +auto_SLTU auto_ANDN 211 1 +auto_SLTU auto_ORN 206 1 +auto_SLTU auto_XNOR 219 1 +auto_SLTU auto_ROR 189 1 +auto_SLTU auto_RORI 224 1 +auto_SLTU auto_ROL 196 1 +auto_SLTU auto_REV8 224 1 +auto_SLTU auto_ORC_B 177 1 +auto_SLTU auto_CLMUL 190 1 +auto_SLTU auto_CLMULH 168 1 +auto_SLTU auto_CLMULR 209 1 +auto_SLTU auto_BSET 215 1 +auto_SLTU auto_BSETI 187 1 +auto_SLTU auto_BCLR 190 1 +auto_SLTU auto_BCLRI 190 1 +auto_SLTU auto_BINV 225 1 +auto_SLTU auto_BINVI 212 1 +auto_SLTU auto_BEXT 190 1 +auto_SLTU auto_BEXTI 214 1 +auto_SLTU auto_CSRRW 61 1 +auto_SLTU auto_CSRRS 46 1 +auto_SLTU auto_CSRRC 73 1 +auto_SLTU auto_CSRRWI 53 1 +auto_SLTU auto_CSRRSI 59 1 +auto_SLTU auto_CSRRCI 74 1 +auto_SLTU auto_C_LBU 3 1 +auto_SLTU auto_C_LH 1 1 +auto_SLTU auto_C_SH 1 1 +auto_SLTU auto_C_ZEXT_B 95 1 +auto_SLTU auto_C_SEXT_B 100 1 +auto_SLTU auto_C_ZEXT_H 93 1 +auto_SLTU auto_C_SEXT_H 100 1 +auto_SLTU auto_C_NOT 100 1 +auto_SLTU auto_C_MUL 100 1 +auto_XOR auto_LUI 269 1 +auto_XOR auto_AUIPC 268 1 +auto_XOR auto_JAL 66 1 +auto_XOR auto_JALR 16 1 +auto_XOR auto_BEQ 75 1 +auto_XOR auto_BNE 57 1 +auto_XOR auto_BLT 74 1 +auto_XOR auto_BGE 59 1 +auto_XOR auto_BLTU 73 1 +auto_XOR auto_BGEU 76 1 +auto_XOR auto_LB 332 1 +auto_XOR auto_LH 112 1 +auto_XOR auto_LW 54 1 +auto_XOR auto_LBU 372 1 +auto_XOR auto_LHU 104 1 +auto_XOR auto_SB 343 1 +auto_XOR auto_SH 90 1 +auto_XOR auto_SW 166 1 +auto_XOR auto_ADDI 408 1 +auto_XOR auto_SLTI 278 1 +auto_XOR auto_SLTIU 242 1 +auto_XOR auto_XORI 242 1 +auto_XOR auto_ORI 270 1 +auto_XOR auto_ANDI 291 1 +auto_XOR auto_SLLI 231 1 +auto_XOR auto_SRLI 264 1 +auto_XOR auto_SRAI 263 1 +auto_XOR auto_ADD 196 1 +auto_XOR auto_SUB 233 1 +auto_XOR auto_SLL 237 1 +auto_XOR auto_SLT 267 1 +auto_XOR auto_SLTU 273 1 +auto_XOR auto_XOR 195 1 +auto_XOR auto_SRL 230 1 +auto_XOR auto_SRA 243 1 +auto_XOR auto_OR 273 1 +auto_XOR auto_AND 253 1 +auto_XOR auto_FENCE 120 1 +auto_XOR auto_MRET 809 1 +auto_XOR auto_WFI 68 1 +auto_XOR auto_MUL 343 1 +auto_XOR auto_MULH 243 1 +auto_XOR auto_MULHSU 236 1 +auto_XOR auto_MULHU 320 1 +auto_XOR auto_DIV 228 1 +auto_XOR auto_DIVU 272 1 +auto_XOR auto_REM 237 1 +auto_XOR auto_REMU 277 1 +auto_XOR auto_C_ADDI4SPN 82 1 +auto_XOR auto_C_SW 1 1 +auto_XOR auto_C_NOP 677 1 +auto_XOR auto_C_ADDI 121 1 +auto_XOR auto_C_JAL 29 1 +auto_XOR auto_C_LI 106 1 +auto_XOR auto_C_ADDI16SP 92 1 +auto_XOR auto_C_LUI 95 1 +auto_XOR auto_C_SRLI 84 1 +auto_XOR auto_C_SRAI 97 1 +auto_XOR auto_C_ANDI 75 1 +auto_XOR auto_C_SUB 114 1 +auto_XOR auto_C_XOR 94 1 +auto_XOR auto_C_OR 93 1 +auto_XOR auto_C_AND 98 1 +auto_XOR auto_C_J 50 1 +auto_XOR auto_C_BEQZ 55 1 +auto_XOR auto_C_BNEZ 33 1 +auto_XOR auto_C_SLLI 122 1 +auto_XOR auto_C_LWSP 5 1 +auto_XOR auto_C_MV 111 1 +auto_XOR auto_C_JALR 2 1 +auto_XOR auto_C_ADD 117 1 +auto_XOR auto_C_SWSP 4 1 +auto_XOR auto_SH1ADD 178 1 +auto_XOR auto_SH2ADD 181 1 +auto_XOR auto_SH3ADD 183 1 +auto_XOR auto_CLZ 205 1 +auto_XOR auto_CTZ 172 1 +auto_XOR auto_CPOP 189 1 +auto_XOR auto_MIN 187 1 +auto_XOR auto_MAX 187 1 +auto_XOR auto_MINU 173 1 +auto_XOR auto_MAXU 214 1 +auto_XOR auto_SEXT_B 177 1 +auto_XOR auto_SEXT_H 205 1 +auto_XOR auto_ZEXT_H 173 1 +auto_XOR auto_ANDN 200 1 +auto_XOR auto_ORN 192 1 +auto_XOR auto_XNOR 175 1 +auto_XOR auto_ROR 209 1 +auto_XOR auto_RORI 184 1 +auto_XOR auto_ROL 177 1 +auto_XOR auto_REV8 212 1 +auto_XOR auto_ORC_B 186 1 +auto_XOR auto_CLMUL 162 1 +auto_XOR auto_CLMULH 211 1 +auto_XOR auto_CLMULR 207 1 +auto_XOR auto_BSET 197 1 +auto_XOR auto_BSETI 172 1 +auto_XOR auto_BCLR 168 1 +auto_XOR auto_BCLRI 165 1 +auto_XOR auto_BINV 189 1 +auto_XOR auto_BINVI 182 1 +auto_XOR auto_BEXT 211 1 +auto_XOR auto_BEXTI 202 1 +auto_XOR auto_CSRRW 72 1 +auto_XOR auto_CSRRS 63 1 +auto_XOR auto_CSRRC 66 1 +auto_XOR auto_CSRRWI 72 1 +auto_XOR auto_CSRRSI 74 1 +auto_XOR auto_CSRRCI 71 1 +auto_XOR auto_C_LBU 2 1 +auto_XOR auto_C_SB 2 1 +auto_XOR auto_C_ZEXT_B 96 1 +auto_XOR auto_C_SEXT_B 96 1 +auto_XOR auto_C_ZEXT_H 91 1 +auto_XOR auto_C_SEXT_H 105 1 +auto_XOR auto_C_NOT 101 1 +auto_XOR auto_C_MUL 125 1 +auto_SRL auto_LUI 228 1 +auto_SRL auto_AUIPC 257 1 +auto_SRL auto_JAL 78 1 +auto_SRL auto_JALR 47 1 +auto_SRL auto_BEQ 104 1 +auto_SRL auto_BNE 62 1 +auto_SRL auto_BLT 101 1 +auto_SRL auto_BGE 75 1 +auto_SRL auto_BLTU 71 1 +auto_SRL auto_BGEU 54 1 +auto_SRL auto_LB 363 1 +auto_SRL auto_LH 120 1 +auto_SRL auto_LW 70 1 +auto_SRL auto_LBU 362 1 +auto_SRL auto_LHU 106 1 +auto_SRL auto_SB 315 1 +auto_SRL auto_SH 97 1 +auto_SRL auto_SW 53 1 +auto_SRL auto_ADDI 381 1 +auto_SRL auto_SLTI 240 1 +auto_SRL auto_SLTIU 346 1 +auto_SRL auto_XORI 274 1 +auto_SRL auto_ORI 256 1 +auto_SRL auto_ANDI 279 1 +auto_SRL auto_SLLI 283 1 +auto_SRL auto_SRLI 258 1 +auto_SRL auto_SRAI 269 1 +auto_SRL auto_ADD 211 1 +auto_SRL auto_SUB 277 1 +auto_SRL auto_SLL 235 1 +auto_SRL auto_SLT 250 1 +auto_SRL auto_SLTU 240 1 +auto_SRL auto_XOR 251 1 +auto_SRL auto_SRL 277 1 +auto_SRL auto_SRA 229 1 +auto_SRL auto_OR 225 1 +auto_SRL auto_AND 258 1 +auto_SRL auto_FENCE 75 1 +auto_SRL auto_MRET 838 1 +auto_SRL auto_WFI 64 1 +auto_SRL auto_MUL 264 1 +auto_SRL auto_MULH 255 1 +auto_SRL auto_MULHSU 273 1 +auto_SRL auto_MULHU 277 1 +auto_SRL auto_DIV 281 1 +auto_SRL auto_DIVU 341 1 +auto_SRL auto_REM 284 1 +auto_SRL auto_REMU 265 1 +auto_SRL auto_C_ADDI4SPN 61 1 +auto_SRL auto_C_NOP 644 1 +auto_SRL auto_C_ADDI 130 1 +auto_SRL auto_C_JAL 31 1 +auto_SRL auto_C_LI 103 1 +auto_SRL auto_C_ADDI16SP 67 1 +auto_SRL auto_C_LUI 92 1 +auto_SRL auto_C_SRLI 104 1 +auto_SRL auto_C_SRAI 89 1 +auto_SRL auto_C_ANDI 87 1 +auto_SRL auto_C_SUB 96 1 +auto_SRL auto_C_XOR 102 1 +auto_SRL auto_C_OR 109 1 +auto_SRL auto_C_AND 89 1 +auto_SRL auto_C_J 24 1 +auto_SRL auto_C_BEQZ 38 1 +auto_SRL auto_C_BNEZ 32 1 +auto_SRL auto_C_SLLI 97 1 +auto_SRL auto_C_LWSP 1 1 +auto_SRL auto_C_JR 4 1 +auto_SRL auto_C_MV 109 1 +auto_SRL auto_C_JALR 8 1 +auto_SRL auto_C_ADD 131 1 +auto_SRL auto_C_SWSP 4 1 +auto_SRL auto_SH1ADD 201 1 +auto_SRL auto_SH2ADD 185 1 +auto_SRL auto_SH3ADD 178 1 +auto_SRL auto_CLZ 199 1 +auto_SRL auto_CTZ 206 1 +auto_SRL auto_CPOP 193 1 +auto_SRL auto_MIN 207 1 +auto_SRL auto_MAX 183 1 +auto_SRL auto_MINU 170 1 +auto_SRL auto_MAXU 191 1 +auto_SRL auto_SEXT_B 176 1 +auto_SRL auto_SEXT_H 168 1 +auto_SRL auto_ZEXT_H 183 1 +auto_SRL auto_ANDN 203 1 +auto_SRL auto_ORN 201 1 +auto_SRL auto_XNOR 185 1 +auto_SRL auto_ROR 220 1 +auto_SRL auto_RORI 192 1 +auto_SRL auto_ROL 184 1 +auto_SRL auto_REV8 181 1 +auto_SRL auto_ORC_B 208 1 +auto_SRL auto_CLMUL 183 1 +auto_SRL auto_CLMULH 191 1 +auto_SRL auto_CLMULR 185 1 +auto_SRL auto_BSET 210 1 +auto_SRL auto_BSETI 183 1 +auto_SRL auto_BCLR 210 1 +auto_SRL auto_BCLRI 250 1 +auto_SRL auto_BINV 213 1 +auto_SRL auto_BINVI 182 1 +auto_SRL auto_BEXT 197 1 +auto_SRL auto_BEXTI 213 1 +auto_SRL auto_CSRRW 66 1 +auto_SRL auto_CSRRS 70 1 +auto_SRL auto_CSRRC 62 1 +auto_SRL auto_CSRRWI 86 1 +auto_SRL auto_CSRRSI 63 1 +auto_SRL auto_CSRRCI 64 1 +auto_SRL auto_C_LHU 1 1 +auto_SRL auto_C_LH 1 1 +auto_SRL auto_C_SB 2 1 +auto_SRL auto_C_ZEXT_B 93 1 +auto_SRL auto_C_SEXT_B 94 1 +auto_SRL auto_C_ZEXT_H 90 1 +auto_SRL auto_C_SEXT_H 88 1 +auto_SRL auto_C_NOT 85 1 +auto_SRL auto_C_MUL 102 1 +auto_SRA auto_LUI 274 1 +auto_SRA auto_AUIPC 281 1 +auto_SRA auto_JAL 60 1 +auto_SRA auto_JALR 76 1 +auto_SRA auto_BEQ 61 1 +auto_SRA auto_BNE 58 1 +auto_SRA auto_BLT 57 1 +auto_SRA auto_BGE 72 1 +auto_SRA auto_BLTU 71 1 +auto_SRA auto_BGEU 76 1 +auto_SRA auto_LB 306 1 +auto_SRA auto_LH 110 1 +auto_SRA auto_LW 48 1 +auto_SRA auto_LBU 342 1 +auto_SRA auto_LHU 115 1 +auto_SRA auto_SB 345 1 +auto_SRA auto_SH 106 1 +auto_SRA auto_SW 50 1 +auto_SRA auto_ADDI 416 1 +auto_SRA auto_SLTI 249 1 +auto_SRA auto_SLTIU 228 1 +auto_SRA auto_XORI 260 1 +auto_SRA auto_ORI 262 1 +auto_SRA auto_ANDI 327 1 +auto_SRA auto_SLLI 241 1 +auto_SRA auto_SRLI 268 1 +auto_SRA auto_SRAI 268 1 +auto_SRA auto_ADD 236 1 +auto_SRA auto_SUB 239 1 +auto_SRA auto_SLL 262 1 +auto_SRA auto_SLT 258 1 +auto_SRA auto_SLTU 264 1 +auto_SRA auto_XOR 249 1 +auto_SRA auto_SRL 247 1 +auto_SRA auto_SRA 337 1 +auto_SRA auto_OR 229 1 +auto_SRA auto_AND 239 1 +auto_SRA auto_FENCE 107 1 +auto_SRA auto_MRET 802 1 +auto_SRA auto_WFI 85 1 +auto_SRA auto_MUL 264 1 +auto_SRA auto_MULH 249 1 +auto_SRA auto_MULHSU 241 1 +auto_SRA auto_MULHU 292 1 +auto_SRA auto_DIV 354 1 +auto_SRA auto_DIVU 264 1 +auto_SRA auto_REM 259 1 +auto_SRA auto_REMU 286 1 +auto_SRA auto_C_ADDI4SPN 86 1 +auto_SRA auto_C_LW 3 1 +auto_SRA auto_C_SW 1 1 +auto_SRA auto_C_NOP 651 1 +auto_SRA auto_C_ADDI 121 1 +auto_SRA auto_C_JAL 33 1 +auto_SRA auto_C_LI 109 1 +auto_SRA auto_C_ADDI16SP 71 1 +auto_SRA auto_C_LUI 125 1 +auto_SRA auto_C_SRLI 115 1 +auto_SRA auto_C_SRAI 91 1 +auto_SRA auto_C_ANDI 99 1 +auto_SRA auto_C_SUB 100 1 +auto_SRA auto_C_XOR 109 1 +auto_SRA auto_C_OR 98 1 +auto_SRA auto_C_AND 100 1 +auto_SRA auto_C_J 43 1 +auto_SRA auto_C_BEQZ 37 1 +auto_SRA auto_C_BNEZ 57 1 +auto_SRA auto_C_SLLI 127 1 +auto_SRA auto_C_LWSP 4 1 +auto_SRA auto_C_JR 4 1 +auto_SRA auto_C_MV 109 1 +auto_SRA auto_C_ADD 128 1 +auto_SRA auto_C_SWSP 6 1 +auto_SRA auto_SH1ADD 176 1 +auto_SRA auto_SH2ADD 210 1 +auto_SRA auto_SH3ADD 211 1 +auto_SRA auto_CLZ 188 1 +auto_SRA auto_CTZ 202 1 +auto_SRA auto_CPOP 217 1 +auto_SRA auto_MIN 177 1 +auto_SRA auto_MAX 199 1 +auto_SRA auto_MINU 198 1 +auto_SRA auto_MAXU 193 1 +auto_SRA auto_SEXT_B 207 1 +auto_SRA auto_SEXT_H 210 1 +auto_SRA auto_ZEXT_H 212 1 +auto_SRA auto_ANDN 182 1 +auto_SRA auto_ORN 181 1 +auto_SRA auto_XNOR 191 1 +auto_SRA auto_ROR 208 1 +auto_SRA auto_RORI 175 1 +auto_SRA auto_ROL 212 1 +auto_SRA auto_REV8 167 1 +auto_SRA auto_ORC_B 215 1 +auto_SRA auto_CLMUL 224 1 +auto_SRA auto_CLMULH 182 1 +auto_SRA auto_CLMULR 186 1 +auto_SRA auto_BSET 185 1 +auto_SRA auto_BSETI 184 1 +auto_SRA auto_BCLR 202 1 +auto_SRA auto_BCLRI 204 1 +auto_SRA auto_BINV 165 1 +auto_SRA auto_BINVI 176 1 +auto_SRA auto_BEXT 213 1 +auto_SRA auto_BEXTI 208 1 +auto_SRA auto_CSRRW 50 1 +auto_SRA auto_CSRRS 70 1 +auto_SRA auto_CSRRC 77 1 +auto_SRA auto_CSRRWI 73 1 +auto_SRA auto_CSRRSI 59 1 +auto_SRA auto_CSRRCI 58 1 +auto_SRA auto_C_LBU 2 1 +auto_SRA auto_C_SB 2 1 +auto_SRA auto_C_SH 1 1 +auto_SRA auto_C_ZEXT_B 94 1 +auto_SRA auto_C_SEXT_B 101 1 +auto_SRA auto_C_ZEXT_H 87 1 +auto_SRA auto_C_SEXT_H 93 1 +auto_SRA auto_C_NOT 78 1 +auto_SRA auto_C_MUL 96 1 +auto_OR auto_LUI 256 1 +auto_OR auto_AUIPC 295 1 +auto_OR auto_JAL 81 1 +auto_OR auto_JALR 15 1 +auto_OR auto_BEQ 85 1 +auto_OR auto_BNE 83 1 +auto_OR auto_BLT 64 1 +auto_OR auto_BGE 74 1 +auto_OR auto_BLTU 77 1 +auto_OR auto_BGEU 49 1 +auto_OR auto_LB 360 1 +auto_OR auto_LH 99 1 +auto_OR auto_LW 69 1 +auto_OR auto_LBU 346 1 +auto_OR auto_LHU 105 1 +auto_OR auto_SB 370 1 +auto_OR auto_SH 108 1 +auto_OR auto_SW 52 1 +auto_OR auto_ADDI 401 1 +auto_OR auto_SLTI 270 1 +auto_OR auto_SLTIU 283 1 +auto_OR auto_XORI 259 1 +auto_OR auto_ORI 250 1 +auto_OR auto_ANDI 258 1 +auto_OR auto_SLLI 259 1 +auto_OR auto_SRLI 287 1 +auto_OR auto_SRAI 270 1 +auto_OR auto_ADD 179 1 +auto_OR auto_SUB 244 1 +auto_OR auto_SLL 252 1 +auto_OR auto_SLT 276 1 +auto_OR auto_SLTU 239 1 +auto_OR auto_XOR 239 1 +auto_OR auto_SRL 228 1 +auto_OR auto_SRA 252 1 +auto_OR auto_OR 268 1 +auto_OR auto_AND 256 1 +auto_OR auto_FENCE 80 1 +auto_OR auto_MRET 798 1 +auto_OR auto_WFI 73 1 +auto_OR auto_MUL 253 1 +auto_OR auto_MULH 265 1 +auto_OR auto_MULHSU 227 1 +auto_OR auto_MULHU 265 1 +auto_OR auto_DIV 257 1 +auto_OR auto_DIVU 233 1 +auto_OR auto_REM 298 1 +auto_OR auto_REMU 250 1 +auto_OR auto_C_ADDI4SPN 76 1 +auto_OR auto_C_NOP 622 1 +auto_OR auto_C_ADDI 129 1 +auto_OR auto_C_JAL 24 1 +auto_OR auto_C_LI 98 1 +auto_OR auto_C_ADDI16SP 68 1 +auto_OR auto_C_LUI 108 1 +auto_OR auto_C_SRLI 113 1 +auto_OR auto_C_SRAI 87 1 +auto_OR auto_C_ANDI 84 1 +auto_OR auto_C_SUB 124 1 +auto_OR auto_C_XOR 92 1 +auto_OR auto_C_OR 91 1 +auto_OR auto_C_AND 99 1 +auto_OR auto_C_J 42 1 +auto_OR auto_C_BEQZ 49 1 +auto_OR auto_C_BNEZ 39 1 +auto_OR auto_C_SLLI 100 1 +auto_OR auto_C_LWSP 6 1 +auto_OR auto_C_JR 7 1 +auto_OR auto_C_MV 136 1 +auto_OR auto_C_ADD 139 1 +auto_OR auto_C_SWSP 4 1 +auto_OR auto_SH1ADD 194 1 +auto_OR auto_SH2ADD 198 1 +auto_OR auto_SH3ADD 186 1 +auto_OR auto_CLZ 188 1 +auto_OR auto_CTZ 193 1 +auto_OR auto_CPOP 196 1 +auto_OR auto_MIN 217 1 +auto_OR auto_MAX 196 1 +auto_OR auto_MINU 188 1 +auto_OR auto_MAXU 172 1 +auto_OR auto_SEXT_B 194 1 +auto_OR auto_SEXT_H 188 1 +auto_OR auto_ZEXT_H 192 1 +auto_OR auto_ANDN 177 1 +auto_OR auto_ORN 209 1 +auto_OR auto_XNOR 177 1 +auto_OR auto_ROR 219 1 +auto_OR auto_RORI 200 1 +auto_OR auto_ROL 210 1 +auto_OR auto_REV8 193 1 +auto_OR auto_ORC_B 197 1 +auto_OR auto_CLMUL 176 1 +auto_OR auto_CLMULH 185 1 +auto_OR auto_CLMULR 186 1 +auto_OR auto_BSET 196 1 +auto_OR auto_BSETI 239 1 +auto_OR auto_BCLR 199 1 +auto_OR auto_BCLRI 195 1 +auto_OR auto_BINV 183 1 +auto_OR auto_BINVI 186 1 +auto_OR auto_BEXT 201 1 +auto_OR auto_BEXTI 164 1 +auto_OR auto_CSRRW 59 1 +auto_OR auto_CSRRS 53 1 +auto_OR auto_CSRRC 60 1 +auto_OR auto_CSRRWI 61 1 +auto_OR auto_CSRRSI 78 1 +auto_OR auto_CSRRCI 76 1 +auto_OR auto_C_LBU 3 1 +auto_OR auto_C_LH 1 1 +auto_OR auto_C_SB 3 1 +auto_OR auto_C_SH 1 1 +auto_OR auto_C_ZEXT_B 98 1 +auto_OR auto_C_SEXT_B 103 1 +auto_OR auto_C_ZEXT_H 105 1 +auto_OR auto_C_SEXT_H 85 1 +auto_OR auto_C_NOT 89 1 +auto_OR auto_C_MUL 98 1 +auto_AND auto_LUI 245 1 +auto_AND auto_AUIPC 241 1 +auto_AND auto_JAL 80 1 +auto_AND auto_JALR 7 1 +auto_AND auto_BEQ 48 1 +auto_AND auto_BNE 52 1 +auto_AND auto_BLT 77 1 +auto_AND auto_BGE 77 1 +auto_AND auto_BLTU 58 1 +auto_AND auto_BGEU 105 1 +auto_AND auto_LB 372 1 +auto_AND auto_LH 110 1 +auto_AND auto_LW 154 1 +auto_AND auto_LBU 326 1 +auto_AND auto_LHU 101 1 +auto_AND auto_SB 289 1 +auto_AND auto_SH 97 1 +auto_AND auto_SW 54 1 +auto_AND auto_ADDI 386 1 +auto_AND auto_SLTI 239 1 +auto_AND auto_SLTIU 249 1 +auto_AND auto_XORI 226 1 +auto_AND auto_ORI 223 1 +auto_AND auto_ANDI 261 1 +auto_AND auto_SLLI 228 1 +auto_AND auto_SRLI 362 1 +auto_AND auto_SRAI 211 1 +auto_AND auto_ADD 207 1 +auto_AND auto_SUB 340 1 +auto_AND auto_SLL 237 1 +auto_AND auto_SLT 234 1 +auto_AND auto_SLTU 238 1 +auto_AND auto_XOR 204 1 +auto_AND auto_SRL 263 1 +auto_AND auto_SRA 290 1 +auto_AND auto_OR 227 1 +auto_AND auto_AND 333 1 +auto_AND auto_FENCE 87 1 +auto_AND auto_MRET 869 1 +auto_AND auto_WFI 68 1 +auto_AND auto_MUL 307 1 +auto_AND auto_MULH 361 1 +auto_AND auto_MULHSU 251 1 +auto_AND auto_MULHU 279 1 +auto_AND auto_DIV 276 1 +auto_AND auto_DIVU 243 1 +auto_AND auto_REM 287 1 +auto_AND auto_REMU 238 1 +auto_AND auto_C_ADDI4SPN 58 1 +auto_AND auto_C_SW 1 1 +auto_AND auto_C_NOP 703 1 +auto_AND auto_C_ADDI 134 1 +auto_AND auto_C_JAL 39 1 +auto_AND auto_C_LI 56166 1 +auto_AND auto_C_ADDI16SP 83 1 +auto_AND auto_C_LUI 88 1 +auto_AND auto_C_SRLI 99 1 +auto_AND auto_C_SRAI 98 1 +auto_AND auto_C_ANDI 89 1 +auto_AND auto_C_SUB 109 1 +auto_AND auto_C_XOR 85 1 +auto_AND auto_C_OR 102 1 +auto_AND auto_C_AND 87 1 +auto_AND auto_C_J 29 1 +auto_AND auto_C_BEQZ 46 1 +auto_AND auto_C_BNEZ 41 1 +auto_AND auto_C_SLLI 135 1 +auto_AND auto_C_LWSP 5 1 +auto_AND auto_C_JR 1 1 +auto_AND auto_C_MV 94 1 +auto_AND auto_C_JALR 13 1 +auto_AND auto_C_ADD 130 1 +auto_AND auto_C_SWSP 9 1 +auto_AND auto_SH1ADD 202 1 +auto_AND auto_SH2ADD 187 1 +auto_AND auto_SH3ADD 197 1 +auto_AND auto_CLZ 195 1 +auto_AND auto_CTZ 200 1 +auto_AND auto_CPOP 199 1 +auto_AND auto_MIN 192 1 +auto_AND auto_MAX 213 1 +auto_AND auto_MINU 172 1 +auto_AND auto_MAXU 201 1 +auto_AND auto_SEXT_B 200 1 +auto_AND auto_SEXT_H 195 1 +auto_AND auto_ZEXT_H 177 1 +auto_AND auto_ANDN 196 1 +auto_AND auto_ORN 189 1 +auto_AND auto_XNOR 199 1 +auto_AND auto_ROR 199 1 +auto_AND auto_RORI 185 1 +auto_AND auto_ROL 187 1 +auto_AND auto_REV8 179 1 +auto_AND auto_ORC_B 187 1 +auto_AND auto_CLMUL 163 1 +auto_AND auto_CLMULH 183 1 +auto_AND auto_CLMULR 224 1 +auto_AND auto_BSET 193 1 +auto_AND auto_BSETI 220 1 +auto_AND auto_BCLR 206 1 +auto_AND auto_BCLRI 204 1 +auto_AND auto_BINV 208 1 +auto_AND auto_BINVI 190 1 +auto_AND auto_BEXT 205 1 +auto_AND auto_BEXTI 194 1 +auto_AND auto_CSRRW 72 1 +auto_AND auto_CSRRS 77 1 +auto_AND auto_CSRRC 68 1 +auto_AND auto_CSRRWI 76 1 +auto_AND auto_CSRRSI 71 1 +auto_AND auto_CSRRCI 73 1 +auto_AND auto_C_LBU 1 1 +auto_AND auto_C_LH 1 1 +auto_AND auto_C_SB 3 1 +auto_AND auto_C_ZEXT_B 82 1 +auto_AND auto_C_SEXT_B 77 1 +auto_AND auto_C_ZEXT_H 92 1 +auto_AND auto_C_SEXT_H 85 1 +auto_AND auto_C_NOT 81 1 +auto_AND auto_C_MUL 121 1 +auto_FENCE auto_LUI 107 1 +auto_FENCE auto_AUIPC 123 1 +auto_FENCE auto_JAL 68 1 +auto_FENCE auto_JALR 14 1 +auto_FENCE auto_BEQ 71 1 +auto_FENCE auto_BNE 48 1 +auto_FENCE auto_BLT 64 1 +auto_FENCE auto_BGE 74 1 +auto_FENCE auto_BLTU 71 1 +auto_FENCE auto_BGEU 69 1 +auto_FENCE auto_LB 319 1 +auto_FENCE auto_LH 91 1 +auto_FENCE auto_LW 135 1 +auto_FENCE auto_LBU 353 1 +auto_FENCE auto_LHU 107 1 +auto_FENCE auto_SB 318 1 +auto_FENCE auto_SH 108 1 +auto_FENCE auto_SW 62 1 +auto_FENCE auto_ADDI 244 1 +auto_FENCE auto_SLTI 179 1 +auto_FENCE auto_SLTIU 122 1 +auto_FENCE auto_XORI 127 1 +auto_FENCE auto_ORI 168 1 +auto_FENCE auto_ANDI 94 1 +auto_FENCE auto_SLLI 92 1 +auto_FENCE auto_SRLI 124 1 +auto_FENCE auto_SRAI 115 1 +auto_FENCE auto_ADD 104 1 +auto_FENCE auto_SUB 100 1 +auto_FENCE auto_SLL 104 1 +auto_FENCE auto_SLT 122 1 +auto_FENCE auto_SLTU 86 1 +auto_FENCE auto_XOR 110 1 +auto_FENCE auto_SRL 90 1 +auto_FENCE auto_SRA 107 1 +auto_FENCE auto_OR 114 1 +auto_FENCE auto_AND 125 1 +auto_FENCE auto_FENCE 101 1 +auto_FENCE auto_ECALL 1 1 +auto_FENCE auto_MRET 545 1 +auto_FENCE auto_WFI 44 1 +auto_FENCE auto_MUL 101 1 +auto_FENCE auto_MULH 123 1 +auto_FENCE auto_MULHSU 124 1 +auto_FENCE auto_MULHU 107 1 +auto_FENCE auto_DIV 120 1 +auto_FENCE auto_DIVU 118 1 +auto_FENCE auto_REM 114 1 +auto_FENCE auto_REMU 115 1 +auto_FENCE auto_C_ADDI4SPN 59 1 +auto_FENCE auto_C_LW 1 1 +auto_FENCE auto_C_NOP 329 1 +auto_FENCE auto_C_ADDI 78 1 +auto_FENCE auto_C_JAL 23 1 +auto_FENCE auto_C_LI 81 1 +auto_FENCE auto_C_ADDI16SP 61 1 +auto_FENCE auto_C_LUI 63 1 +auto_FENCE auto_C_SRLI 57 1 +auto_FENCE auto_C_SRAI 70 1 +auto_FENCE auto_C_ANDI 72 1 +auto_FENCE auto_C_SUB 74 1 +auto_FENCE auto_C_XOR 69 1 +auto_FENCE auto_C_OR 76 1 +auto_FENCE auto_C_AND 74 1 +auto_FENCE auto_C_J 25 1 +auto_FENCE auto_C_BEQZ 44 1 +auto_FENCE auto_C_BNEZ 40 1 +auto_FENCE auto_C_SLLI 97 1 +auto_FENCE auto_C_LWSP 5 1 +auto_FENCE auto_C_MV 69 1 +auto_FENCE auto_C_ADD 78 1 +auto_FENCE auto_C_SWSP 3 1 +auto_FENCE auto_SH1ADD 94 1 +auto_FENCE auto_SH2ADD 84 1 +auto_FENCE auto_SH3ADD 94 1 +auto_FENCE auto_CLZ 79 1 +auto_FENCE auto_CTZ 87 1 +auto_FENCE auto_CPOP 63 1 +auto_FENCE auto_MIN 80 1 +auto_FENCE auto_MAX 79 1 +auto_FENCE auto_MINU 86 1 +auto_FENCE auto_MAXU 76 1 +auto_FENCE auto_SEXT_B 83 1 +auto_FENCE auto_SEXT_H 66 1 +auto_FENCE auto_ZEXT_H 87 1 +auto_FENCE auto_ANDN 79 1 +auto_FENCE auto_ORN 95 1 +auto_FENCE auto_XNOR 80 1 +auto_FENCE auto_ROR 66 1 +auto_FENCE auto_RORI 78 1 +auto_FENCE auto_ROL 66 1 +auto_FENCE auto_REV8 88 1 +auto_FENCE auto_ORC_B 68 1 +auto_FENCE auto_CLMUL 81 1 +auto_FENCE auto_CLMULH 75 1 +auto_FENCE auto_CLMULR 70 1 +auto_FENCE auto_BSET 60 1 +auto_FENCE auto_BSETI 92 1 +auto_FENCE auto_BCLR 84 1 +auto_FENCE auto_BCLRI 77 1 +auto_FENCE auto_BINV 67 1 +auto_FENCE auto_BINVI 71 1 +auto_FENCE auto_BEXT 87 1 +auto_FENCE auto_BEXTI 92 1 +auto_FENCE auto_CSRRW 249 1 +auto_FENCE auto_CSRRS 70 1 +auto_FENCE auto_CSRRC 66 1 +auto_FENCE auto_CSRRWI 70 1 +auto_FENCE auto_CSRRSI 62 1 +auto_FENCE auto_CSRRCI 59 1 +auto_FENCE auto_C_LBU 2 1 +auto_FENCE auto_C_LH 1 1 +auto_FENCE auto_C_SB 2 1 +auto_FENCE auto_C_SH 1 1 +auto_FENCE auto_C_ZEXT_B 47 1 +auto_FENCE auto_C_SEXT_B 62 1 +auto_FENCE auto_C_ZEXT_H 87 1 +auto_FENCE auto_C_SEXT_H 63 1 +auto_FENCE auto_C_NOT 73 1 +auto_FENCE auto_C_MUL 71 1 +auto_ECALL auto_LUI 9 1 +auto_ECALL auto_AUIPC 2 1 +auto_ECALL auto_LB 147 1 +auto_ECALL auto_LH 43 1 +auto_ECALL auto_LW 10 1 +auto_ECALL auto_LBU 135 1 +auto_ECALL auto_LHU 34 1 +auto_ECALL auto_SB 138 1 +auto_ECALL auto_SH 40 1 +auto_ECALL auto_SW 18 1 +auto_ECALL auto_ADDI 35 1 +auto_ECALL auto_SLTI 8 1 +auto_ECALL auto_SLTIU 7 1 +auto_ECALL auto_XORI 7 1 +auto_ECALL auto_ORI 10 1 +auto_ECALL auto_ANDI 1 1 +auto_ECALL auto_SLLI 8 1 +auto_ECALL auto_SRLI 6 1 +auto_ECALL auto_SRAI 9 1 +auto_ECALL auto_ADD 4 1 +auto_ECALL auto_SUB 7 1 +auto_ECALL auto_SLL 1 1 +auto_ECALL auto_SLT 11 1 +auto_ECALL auto_SLTU 10 1 +auto_ECALL auto_XOR 6 1 +auto_ECALL auto_SRL 6 1 +auto_ECALL auto_SRA 12 1 +auto_ECALL auto_OR 6 1 +auto_ECALL auto_AND 6 1 +auto_ECALL auto_FENCE 7 1 +auto_ECALL auto_MRET 76 1 +auto_ECALL auto_WFI 3 1 +auto_ECALL auto_MUL 11 1 +auto_ECALL auto_MULH 7 1 +auto_ECALL auto_MULHSU 5 1 +auto_ECALL auto_MULHU 7 1 +auto_ECALL auto_DIV 9 1 +auto_ECALL auto_DIVU 5 1 +auto_ECALL auto_REM 7 1 +auto_ECALL auto_REMU 5 1 +auto_ECALL auto_C_ADDI4SPN 3 1 +auto_ECALL auto_C_NOP 28 1 +auto_ECALL auto_C_ADDI 8 1 +auto_ECALL auto_C_LI 9 1 +auto_ECALL auto_C_ADDI16SP 4 1 +auto_ECALL auto_C_LUI 1 1 +auto_ECALL auto_C_SRLI 10 1 +auto_ECALL auto_C_SRAI 15 1 +auto_ECALL auto_C_ANDI 9 1 +auto_ECALL auto_C_SUB 3 1 +auto_ECALL auto_C_XOR 3 1 +auto_ECALL auto_C_OR 5 1 +auto_ECALL auto_C_AND 5 1 +auto_ECALL auto_C_SLLI 9 1 +auto_ECALL auto_C_LWSP 5 1 +auto_ECALL auto_C_MV 5 1 +auto_ECALL auto_C_ADD 12 1 +auto_ECALL auto_C_SWSP 1 1 +auto_ECALL auto_SH1ADD 9 1 +auto_ECALL auto_SH2ADD 8 1 +auto_ECALL auto_SH3ADD 12 1 +auto_ECALL auto_CLZ 3 1 +auto_ECALL auto_CTZ 6 1 +auto_ECALL auto_CPOP 6 1 +auto_ECALL auto_MIN 6 1 +auto_ECALL auto_MAX 9 1 +auto_ECALL auto_MINU 7 1 +auto_ECALL auto_MAXU 3 1 +auto_ECALL auto_SEXT_B 3 1 +auto_ECALL auto_SEXT_H 6 1 +auto_ECALL auto_ZEXT_H 11 1 +auto_ECALL auto_ANDN 1 1 +auto_ECALL auto_ORN 4 1 +auto_ECALL auto_XNOR 8 1 +auto_ECALL auto_ROR 4 1 +auto_ECALL auto_RORI 9 1 +auto_ECALL auto_ROL 7 1 +auto_ECALL auto_REV8 6 1 +auto_ECALL auto_ORC_B 8 1 +auto_ECALL auto_CLMUL 7 1 +auto_ECALL auto_CLMULH 3 1 +auto_ECALL auto_CLMULR 10 1 +auto_ECALL auto_BSET 11 1 +auto_ECALL auto_BSETI 6 1 +auto_ECALL auto_BCLR 6 1 +auto_ECALL auto_BCLRI 7 1 +auto_ECALL auto_BINV 7 1 +auto_ECALL auto_BINVI 7 1 +auto_ECALL auto_BEXT 8 1 +auto_ECALL auto_BEXTI 7 1 +auto_ECALL auto_CSRRW 187 1 +auto_ECALL auto_CSRRS 7 1 +auto_ECALL auto_CSRRC 10 1 +auto_ECALL auto_CSRRWI 8 1 +auto_ECALL auto_CSRRSI 4 1 +auto_ECALL auto_CSRRCI 4 1 +auto_ECALL auto_C_ZEXT_B 4 1 +auto_ECALL auto_C_SEXT_B 4 1 +auto_ECALL auto_C_ZEXT_H 4 1 +auto_ECALL auto_C_SEXT_H 12 1 +auto_ECALL auto_C_NOT 4 1 +auto_ECALL auto_C_MUL 7 1 +auto_EBREAK auto_LUI 48 1 +auto_EBREAK auto_AUIPC 48 1 +auto_EBREAK auto_JAL 18 1 +auto_EBREAK auto_BEQ 38 1 +auto_EBREAK auto_BNE 38 1 +auto_EBREAK auto_BLT 28 1 +auto_EBREAK auto_BGE 31 1 +auto_EBREAK auto_BLTU 28 1 +auto_EBREAK auto_BGEU 29 1 +auto_EBREAK auto_LB 157 1 +auto_EBREAK auto_LH 48 1 +auto_EBREAK auto_LW 15 1 +auto_EBREAK auto_LBU 145 1 +auto_EBREAK auto_LHU 41 1 +auto_EBREAK auto_SB 134 1 +auto_EBREAK auto_SH 41 1 +auto_EBREAK auto_SW 19 1 +auto_EBREAK auto_ADDI 70 1 +auto_EBREAK auto_SLTI 53 1 +auto_EBREAK auto_SLTIU 39 1 +auto_EBREAK auto_XORI 45 1 +auto_EBREAK auto_ORI 42 1 +auto_EBREAK auto_ANDI 50 1 +auto_EBREAK auto_SLLI 49 1 +auto_EBREAK auto_SRLI 55 1 +auto_EBREAK auto_SRAI 35 1 +auto_EBREAK auto_ADD 55 1 +auto_EBREAK auto_SUB 56 1 +auto_EBREAK auto_SLL 49 1 +auto_EBREAK auto_SLT 44 1 +auto_EBREAK auto_SLTU 45 1 +auto_EBREAK auto_XOR 51 1 +auto_EBREAK auto_SRL 61 1 +auto_EBREAK auto_SRA 57 1 +auto_EBREAK auto_OR 59 1 +auto_EBREAK auto_AND 49 1 +auto_EBREAK auto_FENCE 33 1 +auto_EBREAK auto_MRET 160 1 +auto_EBREAK auto_WFI 9 1 +auto_EBREAK auto_MUL 55 1 +auto_EBREAK auto_MULH 41 1 +auto_EBREAK auto_MULHSU 47 1 +auto_EBREAK auto_MULHU 53 1 +auto_EBREAK auto_DIV 51 1 +auto_EBREAK auto_DIVU 40 1 +auto_EBREAK auto_REM 46 1 +auto_EBREAK auto_REMU 53 1 +auto_EBREAK auto_C_ADDI4SPN 45 1 +auto_EBREAK auto_C_LW 1 1 +auto_EBREAK auto_C_SW 2 1 +auto_EBREAK auto_C_NOP 193 1 +auto_EBREAK auto_C_ADDI 32 1 +auto_EBREAK auto_C_JAL 18 1 +auto_EBREAK auto_C_LI 43 1 +auto_EBREAK auto_C_ADDI16SP 30 1 +auto_EBREAK auto_C_LUI 44 1 +auto_EBREAK auto_C_SRLI 39 1 +auto_EBREAK auto_C_SRAI 36 1 +auto_EBREAK auto_C_ANDI 43 1 +auto_EBREAK auto_C_SUB 48 1 +auto_EBREAK auto_C_XOR 39 1 +auto_EBREAK auto_C_OR 44 1 +auto_EBREAK auto_C_AND 41 1 +auto_EBREAK auto_C_J 20 1 +auto_EBREAK auto_C_BEQZ 32 1 +auto_EBREAK auto_C_BNEZ 19 1 +auto_EBREAK auto_C_SLLI 42 1 +auto_EBREAK auto_C_LWSP 2 1 +auto_EBREAK auto_C_MV 41 1 +auto_EBREAK auto_C_ADD 40 1 +auto_EBREAK auto_C_SWSP 4 1 +auto_EBREAK auto_SH1ADD 42 1 +auto_EBREAK auto_SH2ADD 34 1 +auto_EBREAK auto_SH3ADD 33 1 +auto_EBREAK auto_CLZ 37 1 +auto_EBREAK auto_CTZ 43 1 +auto_EBREAK auto_CPOP 46 1 +auto_EBREAK auto_MIN 52 1 +auto_EBREAK auto_MAX 49 1 +auto_EBREAK auto_MINU 28 1 +auto_EBREAK auto_MAXU 36 1 +auto_EBREAK auto_SEXT_B 36 1 +auto_EBREAK auto_SEXT_H 49 1 +auto_EBREAK auto_ZEXT_H 34 1 +auto_EBREAK auto_ANDN 31 1 +auto_EBREAK auto_ORN 36 1 +auto_EBREAK auto_XNOR 44 1 +auto_EBREAK auto_ROR 29 1 +auto_EBREAK auto_RORI 34 1 +auto_EBREAK auto_ROL 35 1 +auto_EBREAK auto_REV8 23 1 +auto_EBREAK auto_ORC_B 33 1 +auto_EBREAK auto_CLMUL 44 1 +auto_EBREAK auto_CLMULH 38 1 +auto_EBREAK auto_CLMULR 47 1 +auto_EBREAK auto_BSET 46 1 +auto_EBREAK auto_BSETI 43 1 +auto_EBREAK auto_BCLR 33 1 +auto_EBREAK auto_BCLRI 46 1 +auto_EBREAK auto_BINV 44 1 +auto_EBREAK auto_BINVI 32 1 +auto_EBREAK auto_BEXT 31 1 +auto_EBREAK auto_BEXTI 47 1 +auto_EBREAK auto_CSRRW 34 1 +auto_EBREAK auto_CSRRS 34 1 +auto_EBREAK auto_CSRRC 42 1 +auto_EBREAK auto_CSRRWI 43 1 +auto_EBREAK auto_CSRRSI 36 1 +auto_EBREAK auto_CSRRCI 40 1 +auto_EBREAK auto_C_LBU 2 1 +auto_EBREAK auto_C_LHU 1 1 +auto_EBREAK auto_C_SB 1 1 +auto_EBREAK auto_C_ZEXT_B 36 1 +auto_EBREAK auto_C_SEXT_B 34 1 +auto_EBREAK auto_C_ZEXT_H 35 1 +auto_EBREAK auto_C_SEXT_H 44 1 +auto_EBREAK auto_C_NOT 42 1 +auto_EBREAK auto_C_MUL 42 1 +auto_MRET auto_BNE 1 1 +auto_MRET auto_LB 1 1 +auto_MRET auto_SB 1 1 +auto_MRET auto_FENCE 1 1 +auto_MRET auto_MRET 1 1 +auto_MRET auto_WFI 1 1 +auto_MRET auto_MULH 1 1 +auto_MRET auto_DIV 1 1 +auto_MRET auto_C_ADDI 265206 1 +auto_MRET auto_C_J 1 1 +auto_MRET auto_CSRRW 14497 1 +auto_MRET auto_CSRRS 182 1 +auto_MRET auto_C_MUL 1 1 +auto_WFI auto_LUI 75 1 +auto_WFI auto_AUIPC 73 1 +auto_WFI auto_JAL 9 1 +auto_WFI auto_JALR 5 1 +auto_WFI auto_BEQ 88 1 +auto_WFI auto_BNE 1 1 +auto_WFI auto_BLT 14 1 +auto_WFI auto_BGE 10 1 +auto_WFI auto_BLTU 43 1 +auto_WFI auto_BGEU 21 1 +auto_WFI auto_LB 16 1 +auto_WFI auto_LH 5 1 +auto_WFI auto_LW 18 1 +auto_WFI auto_LBU 8 1 +auto_WFI auto_LHU 10 1 +auto_WFI auto_SB 12 1 +auto_WFI auto_SH 12 1 +auto_WFI auto_SW 49 1 +auto_WFI auto_ADDI 96 1 +auto_WFI auto_SLTI 81 1 +auto_WFI auto_SLTIU 76 1 +auto_WFI auto_XORI 76 1 +auto_WFI auto_ORI 71 1 +auto_WFI auto_ANDI 76 1 +auto_WFI auto_SLLI 63 1 +auto_WFI auto_SRLI 46 1 +auto_WFI auto_SRAI 59 1 +auto_WFI auto_ADD 47 1 +auto_WFI auto_SUB 38 1 +auto_WFI auto_SLL 56 1 +auto_WFI auto_SLT 67 1 +auto_WFI auto_SLTU 62 1 +auto_WFI auto_XOR 165 1 +auto_WFI auto_SRL 103 1 +auto_WFI auto_SRA 53 1 +auto_WFI auto_OR 68 1 +auto_WFI auto_AND 60 1 +auto_WFI auto_FENCE 26 1 +auto_WFI auto_ECALL 1 1 +auto_WFI auto_MRET 474 1 +auto_WFI auto_WFI 55 1 +auto_WFI auto_MUL 60 1 +auto_WFI auto_MULH 148 1 +auto_WFI auto_MULHSU 44 1 +auto_WFI auto_MULHU 66 1 +auto_WFI auto_DIV 111 1 +auto_WFI auto_DIVU 46 1 +auto_WFI auto_REM 59 1 +auto_WFI auto_REMU 44 1 +auto_WFI auto_C_NOP 108 1 +auto_WFI auto_C_ADDI 13 1 +auto_WFI auto_C_SRLI 7 1 +auto_WFI auto_C_XOR 2 1 +auto_WFI auto_C_SLLI 4 1 +auto_WFI auto_C_MV 6 1 +auto_WFI auto_C_ADD 2 1 +auto_WFI auto_C_SWSP 1 1 +auto_WFI auto_CSRRW 183 1 +auto_MUL auto_LUI 324 1 +auto_MUL auto_AUIPC 225 1 +auto_MUL auto_JAL 79 1 +auto_MUL auto_JALR 9 1 +auto_MUL auto_BEQ 81 1 +auto_MUL auto_BNE 67 1 +auto_MUL auto_BLT 64 1 +auto_MUL auto_BGE 88 1 +auto_MUL auto_BLTU 67 1 +auto_MUL auto_BGEU 79 1 +auto_MUL auto_LB 329 1 +auto_MUL auto_LH 128 1 +auto_MUL auto_LW 87 1 +auto_MUL auto_LBU 311 1 +auto_MUL auto_LHU 99 1 +auto_MUL auto_SB 347 1 +auto_MUL auto_SH 102 1 +auto_MUL auto_SW 177 1 +auto_MUL auto_ADDI 495 1 +auto_MUL auto_SLTI 278 1 +auto_MUL auto_SLTIU 254 1 +auto_MUL auto_XORI 302 1 +auto_MUL auto_ORI 241 1 +auto_MUL auto_ANDI 376 1 +auto_MUL auto_SLLI 245 1 +auto_MUL auto_SRLI 278 1 +auto_MUL auto_SRAI 248 1 +auto_MUL auto_ADD 219 1 +auto_MUL auto_SUB 248 1 +auto_MUL auto_SLL 302 1 +auto_MUL auto_SLT 244 1 +auto_MUL auto_SLTU 250 1 +auto_MUL auto_XOR 276 1 +auto_MUL auto_SRL 287 1 +auto_MUL auto_SRA 256 1 +auto_MUL auto_OR 266 1 +auto_MUL auto_AND 274 1 +auto_MUL auto_FENCE 124 1 +auto_MUL auto_MRET 865 1 +auto_MUL auto_WFI 48 1 +auto_MUL auto_MUL 262 1 +auto_MUL auto_MULH 240 1 +auto_MUL auto_MULHSU 226 1 +auto_MUL auto_MULHU 260 1 +auto_MUL auto_DIV 288 1 +auto_MUL auto_DIVU 364 1 +auto_MUL auto_REM 304 1 +auto_MUL auto_REMU 271 1 +auto_MUL auto_C_ADDI4SPN 76 1 +auto_MUL auto_C_LW 1 1 +auto_MUL auto_C_NOP 648 1 +auto_MUL auto_C_ADDI 139 1 +auto_MUL auto_C_JAL 20 1 +auto_MUL auto_C_LI 97 1 +auto_MUL auto_C_ADDI16SP 81 1 +auto_MUL auto_C_LUI 91 1 +auto_MUL auto_C_SRLI 108 1 +auto_MUL auto_C_SRAI 91 1 +auto_MUL auto_C_ANDI 85 1 +auto_MUL auto_C_SUB 100 1 +auto_MUL auto_C_XOR 75 1 +auto_MUL auto_C_OR 112 1 +auto_MUL auto_C_AND 90 1 +auto_MUL auto_C_J 36 1 +auto_MUL auto_C_BEQZ 39 1 +auto_MUL auto_C_BNEZ 57 1 +auto_MUL auto_C_SLLI 140 1 +auto_MUL auto_C_LWSP 6 1 +auto_MUL auto_C_MV 119 1 +auto_MUL auto_C_JALR 1 1 +auto_MUL auto_C_ADD 131 1 +auto_MUL auto_C_SWSP 4 1 +auto_MUL auto_SH1ADD 172 1 +auto_MUL auto_SH2ADD 206 1 +auto_MUL auto_SH3ADD 197 1 +auto_MUL auto_CLZ 181 1 +auto_MUL auto_CTZ 213 1 +auto_MUL auto_CPOP 163 1 +auto_MUL auto_MIN 184 1 +auto_MUL auto_MAX 195 1 +auto_MUL auto_MINU 211 1 +auto_MUL auto_MAXU 201 1 +auto_MUL auto_SEXT_B 170 1 +auto_MUL auto_SEXT_H 192 1 +auto_MUL auto_ZEXT_H 196 1 +auto_MUL auto_ANDN 180 1 +auto_MUL auto_ORN 199 1 +auto_MUL auto_XNOR 194 1 +auto_MUL auto_ROR 190 1 +auto_MUL auto_RORI 180 1 +auto_MUL auto_ROL 192 1 +auto_MUL auto_REV8 190 1 +auto_MUL auto_ORC_B 174 1 +auto_MUL auto_CLMUL 188 1 +auto_MUL auto_CLMULH 201 1 +auto_MUL auto_CLMULR 203 1 +auto_MUL auto_BSET 201 1 +auto_MUL auto_BSETI 209 1 +auto_MUL auto_BCLR 202 1 +auto_MUL auto_BCLRI 204 1 +auto_MUL auto_BINV 199 1 +auto_MUL auto_BINVI 207 1 +auto_MUL auto_BEXT 179 1 +auto_MUL auto_BEXTI 209 1 +auto_MUL auto_CSRRW 253 1 +auto_MUL auto_CSRRS 86 1 +auto_MUL auto_CSRRC 76 1 +auto_MUL auto_CSRRWI 76 1 +auto_MUL auto_CSRRSI 86 1 +auto_MUL auto_CSRRCI 81 1 +auto_MUL auto_C_LBU 1 1 +auto_MUL auto_C_LHU 2 1 +auto_MUL auto_C_LH 1 1 +auto_MUL auto_C_SB 2 1 +auto_MUL auto_C_ZEXT_B 99 1 +auto_MUL auto_C_SEXT_B 101 1 +auto_MUL auto_C_ZEXT_H 109 1 +auto_MUL auto_C_SEXT_H 95 1 +auto_MUL auto_C_NOT 89 1 +auto_MUL auto_C_MUL 111 1 +auto_MULH auto_LUI 268 1 +auto_MULH auto_AUIPC 254 1 +auto_MULH auto_JAL 62 1 +auto_MULH auto_JALR 12 1 +auto_MULH auto_BEQ 63 1 +auto_MULH auto_BNE 154 1 +auto_MULH auto_BLT 103 1 +auto_MULH auto_BGE 81 1 +auto_MULH auto_BLTU 57 1 +auto_MULH auto_BGEU 65 1 +auto_MULH auto_LB 326 1 +auto_MULH auto_LH 96 1 +auto_MULH auto_LW 79 1 +auto_MULH auto_LBU 344 1 +auto_MULH auto_LHU 117 1 +auto_MULH auto_SB 314 1 +auto_MULH auto_SH 103 1 +auto_MULH auto_SW 93 1 +auto_MULH auto_ADDI 447 1 +auto_MULH auto_SLTI 275 1 +auto_MULH auto_SLTIU 251 1 +auto_MULH auto_XORI 248 1 +auto_MULH auto_ORI 239 1 +auto_MULH auto_ANDI 268 1 +auto_MULH auto_SLLI 261 1 +auto_MULH auto_SRLI 274 1 +auto_MULH auto_SRAI 240 1 +auto_MULH auto_ADD 217 1 +auto_MULH auto_SUB 241 1 +auto_MULH auto_SLL 234 1 +auto_MULH auto_SLT 236 1 +auto_MULH auto_SLTU 411 1 +auto_MULH auto_XOR 236 1 +auto_MULH auto_SRL 330 1 +auto_MULH auto_SRA 316 1 +auto_MULH auto_OR 248 1 +auto_MULH auto_AND 250 1 +auto_MULH auto_FENCE 111 1 +auto_MULH auto_ECALL 1 1 +auto_MULH auto_MRET 787 1 +auto_MULH auto_WFI 55 1 +auto_MULH auto_MUL 258 1 +auto_MULH auto_MULH 237 1 +auto_MULH auto_MULHSU 253 1 +auto_MULH auto_MULHU 230 1 +auto_MULH auto_DIV 225 1 +auto_MULH auto_DIVU 335 1 +auto_MULH auto_REM 253 1 +auto_MULH auto_REMU 252 1 +auto_MULH auto_C_ADDI4SPN 88 1 +auto_MULH auto_C_SW 1 1 +auto_MULH auto_C_NOP 603 1 +auto_MULH auto_C_ADDI 104 1 +auto_MULH auto_C_JAL 23 1 +auto_MULH auto_C_LI 104 1 +auto_MULH auto_C_ADDI16SP 90 1 +auto_MULH auto_C_LUI 108 1 +auto_MULH auto_C_SRLI 105 1 +auto_MULH auto_C_SRAI 105 1 +auto_MULH auto_C_ANDI 93 1 +auto_MULH auto_C_SUB 110 1 +auto_MULH auto_C_XOR 80 1 +auto_MULH auto_C_OR 91 1 +auto_MULH auto_C_AND 107 1 +auto_MULH auto_C_J 30 1 +auto_MULH auto_C_BEQZ 42 1 +auto_MULH auto_C_BNEZ 44 1 +auto_MULH auto_C_SLLI 120 1 +auto_MULH auto_C_LWSP 2 1 +auto_MULH auto_C_JR 3 1 +auto_MULH auto_C_MV 94 1 +auto_MULH auto_C_JALR 3 1 +auto_MULH auto_C_ADD 132 1 +auto_MULH auto_C_SWSP 5 1 +auto_MULH auto_SH1ADD 195 1 +auto_MULH auto_SH2ADD 190 1 +auto_MULH auto_SH3ADD 177 1 +auto_MULH auto_CLZ 188 1 +auto_MULH auto_CTZ 207 1 +auto_MULH auto_CPOP 213 1 +auto_MULH auto_MIN 179 1 +auto_MULH auto_MAX 202 1 +auto_MULH auto_MINU 232 1 +auto_MULH auto_MAXU 221 1 +auto_MULH auto_SEXT_B 225 1 +auto_MULH auto_SEXT_H 212 1 +auto_MULH auto_ZEXT_H 208 1 +auto_MULH auto_ANDN 194 1 +auto_MULH auto_ORN 223 1 +auto_MULH auto_XNOR 180 1 +auto_MULH auto_ROR 172 1 +auto_MULH auto_RORI 185 1 +auto_MULH auto_ROL 207 1 +auto_MULH auto_REV8 188 1 +auto_MULH auto_ORC_B 230 1 +auto_MULH auto_CLMUL 214 1 +auto_MULH auto_CLMULH 200 1 +auto_MULH auto_CLMULR 188 1 +auto_MULH auto_BSET 189 1 +auto_MULH auto_BSETI 191 1 +auto_MULH auto_BCLR 189 1 +auto_MULH auto_BCLRI 182 1 +auto_MULH auto_BINV 194 1 +auto_MULH auto_BINVI 193 1 +auto_MULH auto_BEXT 184 1 +auto_MULH auto_BEXTI 198 1 +auto_MULH auto_CSRRW 257 1 +auto_MULH auto_CSRRS 83 1 +auto_MULH auto_CSRRC 67 1 +auto_MULH auto_CSRRWI 71 1 +auto_MULH auto_CSRRSI 79 1 +auto_MULH auto_CSRRCI 72 1 +auto_MULH auto_C_LBU 2 1 +auto_MULH auto_C_LHU 2 1 +auto_MULH auto_C_SB 1 1 +auto_MULH auto_C_SH 1 1 +auto_MULH auto_C_ZEXT_B 100 1 +auto_MULH auto_C_SEXT_B 90 1 +auto_MULH auto_C_ZEXT_H 77 1 +auto_MULH auto_C_SEXT_H 98 1 +auto_MULH auto_C_NOT 104 1 +auto_MULH auto_C_MUL 102 1 +auto_MULHSU auto_LUI 279 1 +auto_MULHSU auto_AUIPC 263 1 +auto_MULHSU auto_JAL 87 1 +auto_MULHSU auto_JALR 20 1 +auto_MULHSU auto_BEQ 62 1 +auto_MULHSU auto_BNE 60 1 +auto_MULHSU auto_BLT 74 1 +auto_MULHSU auto_BGE 69 1 +auto_MULHSU auto_BLTU 91 1 +auto_MULHSU auto_BGEU 99 1 +auto_MULHSU auto_LB 334 1 +auto_MULHSU auto_LH 126 1 +auto_MULHSU auto_LW 63 1 +auto_MULHSU auto_LBU 318 1 +auto_MULHSU auto_LHU 101 1 +auto_MULHSU auto_SB 343 1 +auto_MULHSU auto_SH 102 1 +auto_MULHSU auto_SW 68 1 +auto_MULHSU auto_ADDI 507 1 +auto_MULHSU auto_SLTI 262 1 +auto_MULHSU auto_SLTIU 298 1 +auto_MULHSU auto_XORI 290 1 +auto_MULHSU auto_ORI 265 1 +auto_MULHSU auto_ANDI 237 1 +auto_MULHSU auto_SLLI 251 1 +auto_MULHSU auto_SRLI 252 1 +auto_MULHSU auto_SRAI 264 1 +auto_MULHSU auto_ADD 234 1 +auto_MULHSU auto_SUB 266 1 +auto_MULHSU auto_SLL 272 1 +auto_MULHSU auto_SLT 257 1 +auto_MULHSU auto_SLTU 292 1 +auto_MULHSU auto_XOR 351 1 +auto_MULHSU auto_SRL 252 1 +auto_MULHSU auto_SRA 260 1 +auto_MULHSU auto_OR 256 1 +auto_MULHSU auto_AND 250 1 +auto_MULHSU auto_FENCE 89 1 +auto_MULHSU auto_MRET 796 1 +auto_MULHSU auto_WFI 52 1 +auto_MULHSU auto_MUL 245 1 +auto_MULHSU auto_MULH 256 1 +auto_MULHSU auto_MULHSU 229 1 +auto_MULHSU auto_MULHU 258 1 +auto_MULHSU auto_DIV 261 1 +auto_MULHSU auto_DIVU 352 1 +auto_MULHSU auto_REM 263 1 +auto_MULHSU auto_REMU 317 1 +auto_MULHSU auto_C_ADDI4SPN 72 1 +auto_MULHSU auto_C_NOP 654 1 +auto_MULHSU auto_C_ADDI 120 1 +auto_MULHSU auto_C_JAL 28 1 +auto_MULHSU auto_C_LI 99 1 +auto_MULHSU auto_C_ADDI16SP 77 1 +auto_MULHSU auto_C_LUI 89 1 +auto_MULHSU auto_C_SRLI 94 1 +auto_MULHSU auto_C_SRAI 105 1 +auto_MULHSU auto_C_ANDI 117 1 +auto_MULHSU auto_C_SUB 94 1 +auto_MULHSU auto_C_XOR 93 1 +auto_MULHSU auto_C_OR 102 1 +auto_MULHSU auto_C_AND 102 1 +auto_MULHSU auto_C_J 31 1 +auto_MULHSU auto_C_BEQZ 50 1 +auto_MULHSU auto_C_BNEZ 44 1 +auto_MULHSU auto_C_SLLI 141 1 +auto_MULHSU auto_C_JR 1 1 +auto_MULHSU auto_C_MV 97 1 +auto_MULHSU auto_C_JALR 2 1 +auto_MULHSU auto_C_ADD 134 1 +auto_MULHSU auto_C_SWSP 3 1 +auto_MULHSU auto_SH1ADD 198 1 +auto_MULHSU auto_SH2ADD 194 1 +auto_MULHSU auto_SH3ADD 183 1 +auto_MULHSU auto_CLZ 198 1 +auto_MULHSU auto_CTZ 181 1 +auto_MULHSU auto_CPOP 209 1 +auto_MULHSU auto_MIN 209 1 +auto_MULHSU auto_MAX 206 1 +auto_MULHSU auto_MINU 203 1 +auto_MULHSU auto_MAXU 184 1 +auto_MULHSU auto_SEXT_B 186 1 +auto_MULHSU auto_SEXT_H 174 1 +auto_MULHSU auto_ZEXT_H 229 1 +auto_MULHSU auto_ANDN 220 1 +auto_MULHSU auto_ORN 189 1 +auto_MULHSU auto_XNOR 198 1 +auto_MULHSU auto_ROR 239 1 +auto_MULHSU auto_RORI 174 1 +auto_MULHSU auto_ROL 221 1 +auto_MULHSU auto_REV8 153 1 +auto_MULHSU auto_ORC_B 216 1 +auto_MULHSU auto_CLMUL 201 1 +auto_MULHSU auto_CLMULH 181 1 +auto_MULHSU auto_CLMULR 204 1 +auto_MULHSU auto_BSET 227 1 +auto_MULHSU auto_BSETI 192 1 +auto_MULHSU auto_BCLR 208 1 +auto_MULHSU auto_BCLRI 194 1 +auto_MULHSU auto_BINV 179 1 +auto_MULHSU auto_BINVI 191 1 +auto_MULHSU auto_BEXT 209 1 +auto_MULHSU auto_BEXTI 187 1 +auto_MULHSU auto_CSRRW 76 1 +auto_MULHSU auto_CSRRS 76 1 +auto_MULHSU auto_CSRRC 59 1 +auto_MULHSU auto_CSRRWI 70 1 +auto_MULHSU auto_CSRRSI 64 1 +auto_MULHSU auto_CSRRCI 82 1 +auto_MULHSU auto_C_LBU 2 1 +auto_MULHSU auto_C_LHU 1 1 +auto_MULHSU auto_C_LH 1 1 +auto_MULHSU auto_C_SB 1 1 +auto_MULHSU auto_C_SH 1 1 +auto_MULHSU auto_C_ZEXT_B 85 1 +auto_MULHSU auto_C_SEXT_B 87 1 +auto_MULHSU auto_C_ZEXT_H 83 1 +auto_MULHSU auto_C_SEXT_H 79 1 +auto_MULHSU auto_C_NOT 93 1 +auto_MULHSU auto_C_MUL 92 1 +auto_MULHU auto_LUI 226 1 +auto_MULHU auto_AUIPC 263 1 +auto_MULHU auto_JAL 61 1 +auto_MULHU auto_JALR 7 1 +auto_MULHU auto_BEQ 76 1 +auto_MULHU auto_BNE 58 1 +auto_MULHU auto_BLT 77 1 +auto_MULHU auto_BGE 67 1 +auto_MULHU auto_BLTU 71 1 +auto_MULHU auto_BGEU 88 1 +auto_MULHU auto_LB 347 1 +auto_MULHU auto_LH 104 1 +auto_MULHU auto_LW 81 1 +auto_MULHU auto_LBU 333 1 +auto_MULHU auto_LHU 112 1 +auto_MULHU auto_SB 354 1 +auto_MULHU auto_SH 114 1 +auto_MULHU auto_SW 69 1 +auto_MULHU auto_ADDI 409 1 +auto_MULHU auto_SLTI 312 1 +auto_MULHU auto_SLTIU 264 1 +auto_MULHU auto_XORI 256 1 +auto_MULHU auto_ORI 276 1 +auto_MULHU auto_ANDI 341 1 +auto_MULHU auto_SLLI 247 1 +auto_MULHU auto_SRLI 277 1 +auto_MULHU auto_SRAI 282 1 +auto_MULHU auto_ADD 247 1 +auto_MULHU auto_SUB 233 1 +auto_MULHU auto_SLL 245 1 +auto_MULHU auto_SLT 270 1 +auto_MULHU auto_SLTU 262 1 +auto_MULHU auto_XOR 240 1 +auto_MULHU auto_SRL 238 1 +auto_MULHU auto_SRA 253 1 +auto_MULHU auto_OR 233 1 +auto_MULHU auto_AND 242 1 +auto_MULHU auto_FENCE 85 1 +auto_MULHU auto_MRET 813 1 +auto_MULHU auto_WFI 63 1 +auto_MULHU auto_MUL 355 1 +auto_MULHU auto_MULH 232 1 +auto_MULHU auto_MULHSU 258 1 +auto_MULHU auto_MULHU 248 1 +auto_MULHU auto_DIV 253 1 +auto_MULHU auto_DIVU 245 1 +auto_MULHU auto_REM 263 1 +auto_MULHU auto_REMU 261 1 +auto_MULHU auto_C_ADDI4SPN 83 1 +auto_MULHU auto_C_NOP 666 1 +auto_MULHU auto_C_ADDI 137 1 +auto_MULHU auto_C_JAL 31 1 +auto_MULHU auto_C_LI 110 1 +auto_MULHU auto_C_ADDI16SP 84 1 +auto_MULHU auto_C_LUI 94 1 +auto_MULHU auto_C_SRLI 99 1 +auto_MULHU auto_C_SRAI 85 1 +auto_MULHU auto_C_ANDI 111 1 +auto_MULHU auto_C_SUB 121 1 +auto_MULHU auto_C_XOR 107 1 +auto_MULHU auto_C_OR 103 1 +auto_MULHU auto_C_AND 98 1 +auto_MULHU auto_C_J 32 1 +auto_MULHU auto_C_BEQZ 55 1 +auto_MULHU auto_C_BNEZ 51 1 +auto_MULHU auto_C_SLLI 131 1 +auto_MULHU auto_C_LWSP 7 1 +auto_MULHU auto_C_MV 98 1 +auto_MULHU auto_C_JALR 3 1 +auto_MULHU auto_C_ADD 129 1 +auto_MULHU auto_C_SWSP 3 1 +auto_MULHU auto_SH1ADD 182 1 +auto_MULHU auto_SH2ADD 206 1 +auto_MULHU auto_SH3ADD 198 1 +auto_MULHU auto_CLZ 199 1 +auto_MULHU auto_CTZ 200 1 +auto_MULHU auto_CPOP 184 1 +auto_MULHU auto_MIN 198 1 +auto_MULHU auto_MAX 194 1 +auto_MULHU auto_MINU 187 1 +auto_MULHU auto_MAXU 192 1 +auto_MULHU auto_SEXT_B 209 1 +auto_MULHU auto_SEXT_H 182 1 +auto_MULHU auto_ZEXT_H 185 1 +auto_MULHU auto_ANDN 196 1 +auto_MULHU auto_ORN 206 1 +auto_MULHU auto_XNOR 205 1 +auto_MULHU auto_ROR 206 1 +auto_MULHU auto_RORI 189 1 +auto_MULHU auto_ROL 203 1 +auto_MULHU auto_REV8 227 1 +auto_MULHU auto_ORC_B 227 1 +auto_MULHU auto_CLMUL 190 1 +auto_MULHU auto_CLMULH 188 1 +auto_MULHU auto_CLMULR 203 1 +auto_MULHU auto_BSET 212 1 +auto_MULHU auto_BSETI 196 1 +auto_MULHU auto_BCLR 196 1 +auto_MULHU auto_BCLRI 181 1 +auto_MULHU auto_BINV 204 1 +auto_MULHU auto_BINVI 174 1 +auto_MULHU auto_BEXT 221 1 +auto_MULHU auto_BEXTI 202 1 +auto_MULHU auto_CSRRW 78 1 +auto_MULHU auto_CSRRS 62 1 +auto_MULHU auto_CSRRC 70 1 +auto_MULHU auto_CSRRWI 86 1 +auto_MULHU auto_CSRRSI 81 1 +auto_MULHU auto_CSRRCI 83 1 +auto_MULHU auto_C_LBU 2 1 +auto_MULHU auto_C_LHU 1 1 +auto_MULHU auto_C_SB 1 1 +auto_MULHU auto_C_SH 1 1 +auto_MULHU auto_C_ZEXT_B 92 1 +auto_MULHU auto_C_SEXT_B 98 1 +auto_MULHU auto_C_ZEXT_H 97 1 +auto_MULHU auto_C_SEXT_H 91 1 +auto_MULHU auto_C_NOT 87 1 +auto_MULHU auto_C_MUL 84 1 +auto_DIV auto_LUI 264 1 +auto_DIV auto_AUIPC 243 1 +auto_DIV auto_JAL 83 1 +auto_DIV auto_JALR 15 1 +auto_DIV auto_BEQ 66 1 +auto_DIV auto_BNE 62 1 +auto_DIV auto_BLT 59 1 +auto_DIV auto_BGE 75 1 +auto_DIV auto_BLTU 69 1 +auto_DIV auto_BGEU 68 1 +auto_DIV auto_LB 358 1 +auto_DIV auto_LH 101 1 +auto_DIV auto_LW 78 1 +auto_DIV auto_LBU 385 1 +auto_DIV auto_LHU 103 1 +auto_DIV auto_SB 336 1 +auto_DIV auto_SH 98 1 +auto_DIV auto_SW 74 1 +auto_DIV auto_ADDI 441 1 +auto_DIV auto_SLTI 345 1 +auto_DIV auto_SLTIU 242 1 +auto_DIV auto_XORI 252 1 +auto_DIV auto_ORI 260 1 +auto_DIV auto_ANDI 256 1 +auto_DIV auto_SLLI 246 1 +auto_DIV auto_SRLI 277 1 +auto_DIV auto_SRAI 250 1 +auto_DIV auto_ADD 190 1 +auto_DIV auto_SUB 246 1 +auto_DIV auto_SLL 246 1 +auto_DIV auto_SLT 283 1 +auto_DIV auto_SLTU 283 1 +auto_DIV auto_XOR 238 1 +auto_DIV auto_SRL 264 1 +auto_DIV auto_SRA 245 1 +auto_DIV auto_OR 243 1 +auto_DIV auto_AND 276 1 +auto_DIV auto_FENCE 93 1 +auto_DIV auto_ECALL 1 1 +auto_DIV auto_MRET 775 1 +auto_DIV auto_WFI 55 1 +auto_DIV auto_MUL 313 1 +auto_DIV auto_MULH 292 1 +auto_DIV auto_MULHSU 258 1 +auto_DIV auto_MULHU 287 1 +auto_DIV auto_DIV 264 1 +auto_DIV auto_DIVU 272 1 +auto_DIV auto_REM 246 1 +auto_DIV auto_REMU 272 1 +auto_DIV auto_C_ADDI4SPN 73 1 +auto_DIV auto_C_NOP 764 1 +auto_DIV auto_C_ADDI 178 1 +auto_DIV auto_C_JAL 38 1 +auto_DIV auto_C_LI 98 1 +auto_DIV auto_C_ADDI16SP 73 1 +auto_DIV auto_C_LUI 104 1 +auto_DIV auto_C_SRLI 116 1 +auto_DIV auto_C_SRAI 93 1 +auto_DIV auto_C_ANDI 82 1 +auto_DIV auto_C_SUB 75 1 +auto_DIV auto_C_XOR 104 1 +auto_DIV auto_C_OR 100 1 +auto_DIV auto_C_AND 86 1 +auto_DIV auto_C_J 36 1 +auto_DIV auto_C_BEQZ 39 1 +auto_DIV auto_C_BNEZ 50 1 +auto_DIV auto_C_SLLI 144 1 +auto_DIV auto_C_LWSP 5 1 +auto_DIV auto_C_JR 1 1 +auto_DIV auto_C_MV 114 1 +auto_DIV auto_C_JALR 1 1 +auto_DIV auto_C_ADD 145 1 +auto_DIV auto_C_SWSP 10 1 +auto_DIV auto_SH1ADD 177 1 +auto_DIV auto_SH2ADD 223 1 +auto_DIV auto_SH3ADD 207 1 +auto_DIV auto_CLZ 174 1 +auto_DIV auto_CTZ 199 1 +auto_DIV auto_CPOP 193 1 +auto_DIV auto_MIN 184 1 +auto_DIV auto_MAX 202 1 +auto_DIV auto_MINU 198 1 +auto_DIV auto_MAXU 190 1 +auto_DIV auto_SEXT_B 204 1 +auto_DIV auto_SEXT_H 187 1 +auto_DIV auto_ZEXT_H 228 1 +auto_DIV auto_ANDN 174 1 +auto_DIV auto_ORN 220 1 +auto_DIV auto_XNOR 189 1 +auto_DIV auto_ROR 177 1 +auto_DIV auto_RORI 214 1 +auto_DIV auto_ROL 183 1 +auto_DIV auto_REV8 198 1 +auto_DIV auto_ORC_B 212 1 +auto_DIV auto_CLMUL 179 1 +auto_DIV auto_CLMULH 197 1 +auto_DIV auto_CLMULR 227 1 +auto_DIV auto_BSET 198 1 +auto_DIV auto_BSETI 175 1 +auto_DIV auto_BCLR 180 1 +auto_DIV auto_BCLRI 216 1 +auto_DIV auto_BINV 190 1 +auto_DIV auto_BINVI 209 1 +auto_DIV auto_BEXT 201 1 +auto_DIV auto_BEXTI 207 1 +auto_DIV auto_CSRRW 250 1 +auto_DIV auto_CSRRS 58 1 +auto_DIV auto_CSRRC 60 1 +auto_DIV auto_CSRRWI 64 1 +auto_DIV auto_CSRRSI 71 1 +auto_DIV auto_CSRRCI 67 1 +auto_DIV auto_C_LBU 1 1 +auto_DIV auto_C_LHU 1 1 +auto_DIV auto_C_LH 1 1 +auto_DIV auto_C_SB 3 1 +auto_DIV auto_C_SH 1 1 +auto_DIV auto_C_ZEXT_B 80 1 +auto_DIV auto_C_SEXT_B 98 1 +auto_DIV auto_C_ZEXT_H 73 1 +auto_DIV auto_C_SEXT_H 103 1 +auto_DIV auto_C_NOT 79 1 +auto_DIV auto_C_MUL 93 1 +auto_DIVU auto_LUI 236 1 +auto_DIVU auto_AUIPC 256 1 +auto_DIVU auto_JAL 145 1 +auto_DIVU auto_JALR 40 1 +auto_DIVU auto_BEQ 68 1 +auto_DIVU auto_BNE 90 1 +auto_DIVU auto_BLT 71 1 +auto_DIVU auto_BGE 71 1 +auto_DIVU auto_BLTU 79 1 +auto_DIVU auto_BGEU 56 1 +auto_DIVU auto_LB 368 1 +auto_DIVU auto_LH 109 1 +auto_DIVU auto_LW 64 1 +auto_DIVU auto_LBU 349 1 +auto_DIVU auto_LHU 100 1 +auto_DIVU auto_SB 384 1 +auto_DIVU auto_SH 86 1 +auto_DIVU auto_SW 73 1 +auto_DIVU auto_ADDI 426 1 +auto_DIVU auto_SLTI 263 1 +auto_DIVU auto_SLTIU 284 1 +auto_DIVU auto_XORI 395 1 +auto_DIVU auto_ORI 249 1 +auto_DIVU auto_ANDI 308 1 +auto_DIVU auto_SLLI 228 1 +auto_DIVU auto_SRLI 272 1 +auto_DIVU auto_SRAI 403 1 +auto_DIVU auto_ADD 200 1 +auto_DIVU auto_SUB 226 1 +auto_DIVU auto_SLL 264 1 +auto_DIVU auto_SLT 237 1 +auto_DIVU auto_SLTU 342 1 +auto_DIVU auto_XOR 240 1 +auto_DIVU auto_SRL 324 1 +auto_DIVU auto_SRA 239 1 +auto_DIVU auto_OR 260 1 +auto_DIVU auto_AND 267 1 +auto_DIVU auto_FENCE 83 1 +auto_DIVU auto_MRET 815 1 +auto_DIVU auto_WFI 77 1 +auto_DIVU auto_MUL 255 1 +auto_DIVU auto_MULH 241 1 +auto_DIVU auto_MULHSU 307 1 +auto_DIVU auto_MULHU 286 1 +auto_DIVU auto_DIV 249 1 +auto_DIVU auto_DIVU 247 1 +auto_DIVU auto_REM 299 1 +auto_DIVU auto_REMU 354 1 +auto_DIVU auto_C_ADDI4SPN 92 1 +auto_DIVU auto_C_LW 1 1 +auto_DIVU auto_C_NOP 685 1 +auto_DIVU auto_C_ADDI 117 1 +auto_DIVU auto_C_JAL 29 1 +auto_DIVU auto_C_LI 106 1 +auto_DIVU auto_C_ADDI16SP 75 1 +auto_DIVU auto_C_LUI 111 1 +auto_DIVU auto_C_SRLI 89 1 +auto_DIVU auto_C_SRAI 78 1 +auto_DIVU auto_C_ANDI 77 1 +auto_DIVU auto_C_SUB 111 1 +auto_DIVU auto_C_XOR 83 1 +auto_DIVU auto_C_OR 96 1 +auto_DIVU auto_C_AND 99 1 +auto_DIVU auto_C_J 30 1 +auto_DIVU auto_C_BEQZ 39 1 +auto_DIVU auto_C_BNEZ 41 1 +auto_DIVU auto_C_SLLI 104 1 +auto_DIVU auto_C_LWSP 11 1 +auto_DIVU auto_C_JR 7 1 +auto_DIVU auto_C_MV 113 1 +auto_DIVU auto_C_ADD 121 1 +auto_DIVU auto_C_SWSP 4 1 +auto_DIVU auto_SH1ADD 188 1 +auto_DIVU auto_SH2ADD 219 1 +auto_DIVU auto_SH3ADD 189 1 +auto_DIVU auto_CLZ 196 1 +auto_DIVU auto_CTZ 200 1 +auto_DIVU auto_CPOP 209 1 +auto_DIVU auto_MIN 172 1 +auto_DIVU auto_MAX 192 1 +auto_DIVU auto_MINU 205 1 +auto_DIVU auto_MAXU 214 1 +auto_DIVU auto_SEXT_B 192 1 +auto_DIVU auto_SEXT_H 203 1 +auto_DIVU auto_ZEXT_H 218 1 +auto_DIVU auto_ANDN 189 1 +auto_DIVU auto_ORN 225 1 +auto_DIVU auto_XNOR 210 1 +auto_DIVU auto_ROR 205 1 +auto_DIVU auto_RORI 200 1 +auto_DIVU auto_ROL 207 1 +auto_DIVU auto_REV8 185 1 +auto_DIVU auto_ORC_B 196 1 +auto_DIVU auto_CLMUL 204 1 +auto_DIVU auto_CLMULH 195 1 +auto_DIVU auto_CLMULR 177 1 +auto_DIVU auto_BSET 189 1 +auto_DIVU auto_BSETI 205 1 +auto_DIVU auto_BCLR 195 1 +auto_DIVU auto_BCLRI 191 1 +auto_DIVU auto_BINV 212 1 +auto_DIVU auto_BINVI 177 1 +auto_DIVU auto_BEXT 207 1 +auto_DIVU auto_BEXTI 217 1 +auto_DIVU auto_CSRRW 69 1 +auto_DIVU auto_CSRRS 85 1 +auto_DIVU auto_CSRRC 66 1 +auto_DIVU auto_CSRRWI 71 1 +auto_DIVU auto_CSRRSI 80 1 +auto_DIVU auto_CSRRCI 47 1 +auto_DIVU auto_C_LBU 3 1 +auto_DIVU auto_C_LHU 1 1 +auto_DIVU auto_C_LH 1 1 +auto_DIVU auto_C_SB 1 1 +auto_DIVU auto_C_ZEXT_B 107 1 +auto_DIVU auto_C_SEXT_B 93 1 +auto_DIVU auto_C_ZEXT_H 89 1 +auto_DIVU auto_C_SEXT_H 98 1 +auto_DIVU auto_C_NOT 73 1 +auto_DIVU auto_C_MUL 90 1 +auto_REM auto_LUI 289 1 +auto_REM auto_AUIPC 240 1 +auto_REM auto_JAL 75 1 +auto_REM auto_JALR 12 1 +auto_REM auto_BEQ 52 1 +auto_REM auto_BNE 59 1 +auto_REM auto_BLT 56 1 +auto_REM auto_BGE 75 1 +auto_REM auto_BLTU 64 1 +auto_REM auto_BGEU 62 1 +auto_REM auto_LB 357 1 +auto_REM auto_LH 105 1 +auto_REM auto_LW 67 1 +auto_REM auto_LBU 353 1 +auto_REM auto_LHU 108 1 +auto_REM auto_SB 310 1 +auto_REM auto_SH 90 1 +auto_REM auto_SW 53 1 +auto_REM auto_ADDI 426 1 +auto_REM auto_SLTI 242 1 +auto_REM auto_SLTIU 266 1 +auto_REM auto_XORI 268 1 +auto_REM auto_ORI 253 1 +auto_REM auto_ANDI 293 1 +auto_REM auto_SLLI 242 1 +auto_REM auto_SRLI 261 1 +auto_REM auto_SRAI 275 1 +auto_REM auto_ADD 229 1 +auto_REM auto_SUB 268 1 +auto_REM auto_SLL 286 1 +auto_REM auto_SLT 246 1 +auto_REM auto_SLTU 259 1 +auto_REM auto_XOR 248 1 +auto_REM auto_SRL 244 1 +auto_REM auto_SRA 202 1 +auto_REM auto_OR 256 1 +auto_REM auto_AND 251 1 +auto_REM auto_FENCE 90 1 +auto_REM auto_MRET 809 1 +auto_REM auto_WFI 55 1 +auto_REM auto_MUL 269 1 +auto_REM auto_MULH 249 1 +auto_REM auto_MULHSU 253 1 +auto_REM auto_MULHU 272 1 +auto_REM auto_DIV 284 1 +auto_REM auto_DIVU 241 1 +auto_REM auto_REM 215 1 +auto_REM auto_REMU 266 1 +auto_REM auto_C_ADDI4SPN 92 1 +auto_REM auto_C_LW 2 1 +auto_REM auto_C_SW 1 1 +auto_REM auto_C_NOP 688 1 +auto_REM auto_C_ADDI 118 1 +auto_REM auto_C_JAL 30 1 +auto_REM auto_C_LI 113 1 +auto_REM auto_C_ADDI16SP 96 1 +auto_REM auto_C_LUI 126 1 +auto_REM auto_C_SRLI 109 1 +auto_REM auto_C_SRAI 130 1 +auto_REM auto_C_ANDI 73 1 +auto_REM auto_C_SUB 87 1 +auto_REM auto_C_XOR 83 1 +auto_REM auto_C_OR 93 1 +auto_REM auto_C_AND 110 1 +auto_REM auto_C_J 27 1 +auto_REM auto_C_BEQZ 42 1 +auto_REM auto_C_BNEZ 55 1 +auto_REM auto_C_SLLI 133 1 +auto_REM auto_C_LWSP 5 1 +auto_REM auto_C_JR 2 1 +auto_REM auto_C_MV 116 1 +auto_REM auto_C_ADD 161 1 +auto_REM auto_C_SWSP 6 1 +auto_REM auto_SH1ADD 176 1 +auto_REM auto_SH2ADD 234 1 +auto_REM auto_SH3ADD 200 1 +auto_REM auto_CLZ 211 1 +auto_REM auto_CTZ 183 1 +auto_REM auto_CPOP 185 1 +auto_REM auto_MIN 210 1 +auto_REM auto_MAX 178 1 +auto_REM auto_MINU 199 1 +auto_REM auto_MAXU 219 1 +auto_REM auto_SEXT_B 186 1 +auto_REM auto_SEXT_H 204 1 +auto_REM auto_ZEXT_H 186 1 +auto_REM auto_ANDN 184 1 +auto_REM auto_ORN 203 1 +auto_REM auto_XNOR 206 1 +auto_REM auto_ROR 197 1 +auto_REM auto_RORI 196 1 +auto_REM auto_ROL 191 1 +auto_REM auto_REV8 170 1 +auto_REM auto_ORC_B 199 1 +auto_REM auto_CLMUL 176 1 +auto_REM auto_CLMULH 176 1 +auto_REM auto_CLMULR 208 1 +auto_REM auto_BSET 204 1 +auto_REM auto_BSETI 198 1 +auto_REM auto_BCLR 186 1 +auto_REM auto_BCLRI 201 1 +auto_REM auto_BINV 188 1 +auto_REM auto_BINVI 204 1 +auto_REM auto_BEXT 192 1 +auto_REM auto_BEXTI 192 1 +auto_REM auto_CSRRW 77 1 +auto_REM auto_CSRRS 77 1 +auto_REM auto_CSRRC 67 1 +auto_REM auto_CSRRWI 82 1 +auto_REM auto_CSRRSI 69 1 +auto_REM auto_CSRRCI 74 1 +auto_REM auto_C_LBU 3 1 +auto_REM auto_C_LH 2 1 +auto_REM auto_C_SB 2 1 +auto_REM auto_C_SH 1 1 +auto_REM auto_C_ZEXT_B 91 1 +auto_REM auto_C_SEXT_B 104 1 +auto_REM auto_C_ZEXT_H 86 1 +auto_REM auto_C_SEXT_H 99 1 +auto_REM auto_C_NOT 84 1 +auto_REM auto_C_MUL 100 1 +auto_REMU auto_LUI 261 1 +auto_REMU auto_AUIPC 305 1 +auto_REMU auto_JAL 82 1 +auto_REMU auto_JALR 15 1 +auto_REMU auto_BEQ 101 1 +auto_REMU auto_BNE 65 1 +auto_REMU auto_BLT 59 1 +auto_REMU auto_BGE 68 1 +auto_REMU auto_BLTU 157 1 +auto_REMU auto_BGEU 92 1 +auto_REMU auto_LB 371 1 +auto_REMU auto_LH 107 1 +auto_REMU auto_LW 63 1 +auto_REMU auto_LBU 338 1 +auto_REMU auto_LHU 115 1 +auto_REMU auto_SB 359 1 +auto_REMU auto_SH 107 1 +auto_REMU auto_SW 80 1 +auto_REMU auto_ADDI 405 1 +auto_REMU auto_SLTI 234 1 +auto_REMU auto_SLTIU 239 1 +auto_REMU auto_XORI 240 1 +auto_REMU auto_ORI 237 1 +auto_REMU auto_ANDI 273 1 +auto_REMU auto_SLLI 263 1 +auto_REMU auto_SRLI 271 1 +auto_REMU auto_SRAI 280 1 +auto_REMU auto_ADD 209 1 +auto_REMU auto_SUB 349 1 +auto_REMU auto_SLL 241 1 +auto_REMU auto_SLT 253 1 +auto_REMU auto_SLTU 314 1 +auto_REMU auto_XOR 226 1 +auto_REMU auto_SRL 238 1 +auto_REMU auto_SRA 266 1 +auto_REMU auto_OR 264 1 +auto_REMU auto_AND 222 1 +auto_REMU auto_FENCE 115 1 +auto_REMU auto_MRET 899 1 +auto_REMU auto_WFI 110 1 +auto_REMU auto_MUL 395 1 +auto_REMU auto_MULH 283 1 +auto_REMU auto_MULHSU 267 1 +auto_REMU auto_MULHU 285 1 +auto_REMU auto_DIV 265 1 +auto_REMU auto_DIVU 251 1 +auto_REMU auto_REM 210 1 +auto_REMU auto_REMU 268 1 +auto_REMU auto_C_ADDI4SPN 83 1 +auto_REMU auto_C_NOP 677 1 +auto_REMU auto_C_ADDI 202 1 +auto_REMU auto_C_JAL 27 1 +auto_REMU auto_C_LI 94 1 +auto_REMU auto_C_ADDI16SP 79 1 +auto_REMU auto_C_LUI 99 1 +auto_REMU auto_C_SRLI 87 1 +auto_REMU auto_C_SRAI 109 1 +auto_REMU auto_C_ANDI 76 1 +auto_REMU auto_C_SUB 92 1 +auto_REMU auto_C_XOR 118 1 +auto_REMU auto_C_OR 127 1 +auto_REMU auto_C_AND 98 1 +auto_REMU auto_C_J 31 1 +auto_REMU auto_C_BEQZ 47 1 +auto_REMU auto_C_BNEZ 51 1 +auto_REMU auto_C_SLLI 117 1 +auto_REMU auto_C_LWSP 5 1 +auto_REMU auto_C_JR 1 1 +auto_REMU auto_C_MV 122 1 +auto_REMU auto_C_JALR 2 1 +auto_REMU auto_C_ADD 124 1 +auto_REMU auto_C_SWSP 4 1 +auto_REMU auto_SH1ADD 209 1 +auto_REMU auto_SH2ADD 217 1 +auto_REMU auto_SH3ADD 201 1 +auto_REMU auto_CLZ 203 1 +auto_REMU auto_CTZ 210 1 +auto_REMU auto_CPOP 206 1 +auto_REMU auto_MIN 204 1 +auto_REMU auto_MAX 209 1 +auto_REMU auto_MINU 213 1 +auto_REMU auto_MAXU 207 1 +auto_REMU auto_SEXT_B 182 1 +auto_REMU auto_SEXT_H 211 1 +auto_REMU auto_ZEXT_H 197 1 +auto_REMU auto_ANDN 191 1 +auto_REMU auto_ORN 216 1 +auto_REMU auto_XNOR 210 1 +auto_REMU auto_ROR 200 1 +auto_REMU auto_RORI 206 1 +auto_REMU auto_ROL 214 1 +auto_REMU auto_REV8 180 1 +auto_REMU auto_ORC_B 191 1 +auto_REMU auto_CLMUL 179 1 +auto_REMU auto_CLMULH 194 1 +auto_REMU auto_CLMULR 225 1 +auto_REMU auto_BSET 208 1 +auto_REMU auto_BSETI 232 1 +auto_REMU auto_BCLR 204 1 +auto_REMU auto_BCLRI 191 1 +auto_REMU auto_BINV 209 1 +auto_REMU auto_BINVI 208 1 +auto_REMU auto_BEXT 211 1 +auto_REMU auto_BEXTI 211 1 +auto_REMU auto_CSRRW 64 1 +auto_REMU auto_CSRRS 71 1 +auto_REMU auto_CSRRC 73 1 +auto_REMU auto_CSRRWI 82 1 +auto_REMU auto_CSRRSI 57 1 +auto_REMU auto_CSRRCI 76 1 +auto_REMU auto_C_LBU 1 1 +auto_REMU auto_C_LHU 1 1 +auto_REMU auto_C_LH 1 1 +auto_REMU auto_C_SB 2 1 +auto_REMU auto_C_SH 1 1 +auto_REMU auto_C_ZEXT_B 72 1 +auto_REMU auto_C_SEXT_B 112 1 +auto_REMU auto_C_ZEXT_H 88 1 +auto_REMU auto_C_SEXT_H 97 1 +auto_REMU auto_C_NOT 101 1 +auto_REMU auto_C_MUL 99 1 +auto_C_ADDI4SPN auto_LUI 94 1 +auto_C_ADDI4SPN auto_AUIPC 89 1 +auto_C_ADDI4SPN auto_JAL 26 1 +auto_C_ADDI4SPN auto_BEQ 28 1 +auto_C_ADDI4SPN auto_BNE 46 1 +auto_C_ADDI4SPN auto_BLT 62 1 +auto_C_ADDI4SPN auto_BGE 42 1 +auto_C_ADDI4SPN auto_BLTU 42 1 +auto_C_ADDI4SPN auto_BGEU 28 1 +auto_C_ADDI4SPN auto_LB 160 1 +auto_C_ADDI4SPN auto_LH 59 1 +auto_C_ADDI4SPN auto_LW 53 1 +auto_C_ADDI4SPN auto_LBU 159 1 +auto_C_ADDI4SPN auto_LHU 50 1 +auto_C_ADDI4SPN auto_SB 152 1 +auto_C_ADDI4SPN auto_SH 47 1 +auto_C_ADDI4SPN auto_SW 50 1 +auto_C_ADDI4SPN auto_ADDI 177 1 +auto_C_ADDI4SPN auto_SLTI 83 1 +auto_C_ADDI4SPN auto_SLTIU 78 1 +auto_C_ADDI4SPN auto_XORI 77 1 +auto_C_ADDI4SPN auto_ORI 72 1 +auto_C_ADDI4SPN auto_ANDI 68 1 +auto_C_ADDI4SPN auto_SLLI 81 1 +auto_C_ADDI4SPN auto_SRLI 58 1 +auto_C_ADDI4SPN auto_SRAI 90 1 +auto_C_ADDI4SPN auto_ADD 75 1 +auto_C_ADDI4SPN auto_SUB 77 1 +auto_C_ADDI4SPN auto_SLL 77 1 +auto_C_ADDI4SPN auto_SLT 78 1 +auto_C_ADDI4SPN auto_SLTU 92 1 +auto_C_ADDI4SPN auto_XOR 84 1 +auto_C_ADDI4SPN auto_SRL 79 1 +auto_C_ADDI4SPN auto_SRA 97 1 +auto_C_ADDI4SPN auto_OR 72 1 +auto_C_ADDI4SPN auto_AND 74 1 +auto_C_ADDI4SPN auto_FENCE 49 1 +auto_C_ADDI4SPN auto_MRET 245 1 +auto_C_ADDI4SPN auto_MUL 55 1 +auto_C_ADDI4SPN auto_MULH 77 1 +auto_C_ADDI4SPN auto_MULHSU 100 1 +auto_C_ADDI4SPN auto_MULHU 69 1 +auto_C_ADDI4SPN auto_DIV 73 1 +auto_C_ADDI4SPN auto_DIVU 87 1 +auto_C_ADDI4SPN auto_REM 74 1 +auto_C_ADDI4SPN auto_REMU 64 1 +auto_C_ADDI4SPN auto_C_ADDI4SPN 72 1 +auto_C_ADDI4SPN auto_C_SW 1 1 +auto_C_ADDI4SPN auto_C_NOP 244 1 +auto_C_ADDI4SPN auto_C_ADDI 79 1 +auto_C_ADDI4SPN auto_C_JAL 32 1 +auto_C_ADDI4SPN auto_C_LI 57 1 +auto_C_ADDI4SPN auto_C_ADDI16SP 80 1 +auto_C_ADDI4SPN auto_C_LUI 77 1 +auto_C_ADDI4SPN auto_C_SRLI 95 1 +auto_C_ADDI4SPN auto_C_SRAI 78 1 +auto_C_ADDI4SPN auto_C_ANDI 75 1 +auto_C_ADDI4SPN auto_C_SUB 68 1 +auto_C_ADDI4SPN auto_C_XOR 61 1 +auto_C_ADDI4SPN auto_C_OR 77 1 +auto_C_ADDI4SPN auto_C_AND 64 1 +auto_C_ADDI4SPN auto_C_J 27 1 +auto_C_ADDI4SPN auto_C_BEQZ 58 1 +auto_C_ADDI4SPN auto_C_BNEZ 44 1 +auto_C_ADDI4SPN auto_C_SLLI 115 1 +auto_C_ADDI4SPN auto_C_MV 93 1 +auto_C_ADDI4SPN auto_C_JALR 1 1 +auto_C_ADDI4SPN auto_C_ADD 86 1 +auto_C_ADDI4SPN auto_C_SWSP 85 1 +auto_C_ADDI4SPN auto_SH1ADD 97 1 +auto_C_ADDI4SPN auto_SH2ADD 78 1 +auto_C_ADDI4SPN auto_SH3ADD 88 1 +auto_C_ADDI4SPN auto_CLZ 77 1 +auto_C_ADDI4SPN auto_CTZ 68 1 +auto_C_ADDI4SPN auto_CPOP 68 1 +auto_C_ADDI4SPN auto_MIN 79 1 +auto_C_ADDI4SPN auto_MAX 79 1 +auto_C_ADDI4SPN auto_MINU 70 1 +auto_C_ADDI4SPN auto_MAXU 67 1 +auto_C_ADDI4SPN auto_SEXT_B 63 1 +auto_C_ADDI4SPN auto_SEXT_H 90 1 +auto_C_ADDI4SPN auto_ZEXT_H 58 1 +auto_C_ADDI4SPN auto_ANDN 73 1 +auto_C_ADDI4SPN auto_ORN 67 1 +auto_C_ADDI4SPN auto_XNOR 81 1 +auto_C_ADDI4SPN auto_ROR 86 1 +auto_C_ADDI4SPN auto_RORI 71 1 +auto_C_ADDI4SPN auto_ROL 107 1 +auto_C_ADDI4SPN auto_REV8 78 1 +auto_C_ADDI4SPN auto_ORC_B 77 1 +auto_C_ADDI4SPN auto_CLMUL 76 1 +auto_C_ADDI4SPN auto_CLMULH 68 1 +auto_C_ADDI4SPN auto_CLMULR 104 1 +auto_C_ADDI4SPN auto_BSET 88 1 +auto_C_ADDI4SPN auto_BSETI 94 1 +auto_C_ADDI4SPN auto_BCLR 71 1 +auto_C_ADDI4SPN auto_BCLRI 81 1 +auto_C_ADDI4SPN auto_BINV 86 1 +auto_C_ADDI4SPN auto_BINVI 79 1 +auto_C_ADDI4SPN auto_BEXT 67 1 +auto_C_ADDI4SPN auto_BEXTI 75 1 +auto_C_ADDI4SPN auto_CSRRW 40 1 +auto_C_ADDI4SPN auto_CSRRS 46 1 +auto_C_ADDI4SPN auto_CSRRC 48 1 +auto_C_ADDI4SPN auto_CSRRWI 47 1 +auto_C_ADDI4SPN auto_CSRRSI 49 1 +auto_C_ADDI4SPN auto_CSRRCI 46 1 +auto_C_ADDI4SPN auto_C_LH 2 1 +auto_C_ADDI4SPN auto_C_SB 2 1 +auto_C_ADDI4SPN auto_C_SH 1 1 +auto_C_ADDI4SPN auto_C_ZEXT_B 78 1 +auto_C_ADDI4SPN auto_C_SEXT_B 78 1 +auto_C_ADDI4SPN auto_C_ZEXT_H 84 1 +auto_C_ADDI4SPN auto_C_SEXT_H 83 1 +auto_C_ADDI4SPN auto_C_NOT 71 1 +auto_C_ADDI4SPN auto_C_MUL 69 1 +auto_C_LW auto_LUI 2 1 +auto_C_LW auto_LB 26 1 +auto_C_LW auto_LH 4 1 +auto_C_LW auto_LW 16812 1 +auto_C_LW auto_LBU 24 1 +auto_C_LW auto_LHU 3 1 +auto_C_LW auto_SB 25 1 +auto_C_LW auto_SH 10 1 +auto_C_LW auto_SW 5 1 +auto_C_LW auto_ADDI 7 1 +auto_C_LW auto_SLTIU 2 1 +auto_C_LW auto_XORI 2 1 +auto_C_LW auto_ORI 3 1 +auto_C_LW auto_SRLI 1 1 +auto_C_LW auto_SRAI 1 1 +auto_C_LW auto_ADD 37885 1 +auto_C_LW auto_SUB 1 1 +auto_C_LW auto_SLTU 1 1 +auto_C_LW auto_XOR 2 1 +auto_C_LW auto_SRL 1 1 +auto_C_LW auto_SRA 1 1 +auto_C_LW auto_AND 1 1 +auto_C_LW auto_FENCE 3 1 +auto_C_LW auto_MRET 2 1 +auto_C_LW auto_MUL 1 1 +auto_C_LW auto_MULH 1 1 +auto_C_LW auto_DIV 1 1 +auto_C_LW auto_DIVU 3 1 +auto_C_LW auto_REM 1 1 +auto_C_LW auto_REMU 1 1 +auto_C_LW auto_C_LW 8498 1 +auto_C_LW auto_C_SW 8 1 +auto_C_LW auto_C_NOP 3 1 +auto_C_LW auto_C_ADDI 1 1 +auto_C_LW auto_C_LI 3 1 +auto_C_LW auto_C_ADDI16SP 1 1 +auto_C_LW auto_C_SUB 1 1 +auto_C_LW auto_C_XOR 1 1 +auto_C_LW auto_C_OR 1 1 +auto_C_LW auto_C_SLLI 1 1 +auto_C_LW auto_C_MV 2 1 +auto_C_LW auto_C_ADD 1 1 +auto_C_LW auto_SH1ADD 1 1 +auto_C_LW auto_SH2ADD 1 1 +auto_C_LW auto_CTZ 2 1 +auto_C_LW auto_CPOP 1 1 +auto_C_LW auto_MIN 3 1 +auto_C_LW auto_MAXU 2 1 +auto_C_LW auto_ZEXT_H 1 1 +auto_C_LW auto_ORN 1 1 +auto_C_LW auto_XNOR 2 1 +auto_C_LW auto_ROR 1 1 +auto_C_LW auto_ORC_B 1 1 +auto_C_LW auto_CLMUL 2 1 +auto_C_LW auto_BSETI 1 1 +auto_C_LW auto_BCLR 1 1 +auto_C_LW auto_BCLRI 2 1 +auto_C_LW auto_BEXT 1 1 +auto_C_LW auto_CSRRW 1 1 +auto_C_LW auto_CSRRS 1 1 +auto_C_LW auto_C_LBU 1 1 +auto_C_LW auto_C_LHU 1 1 +auto_C_LW auto_C_LH 2 1 +auto_C_LW auto_C_SH 1 1 +auto_C_LW auto_C_SEXT_B 1 1 +auto_C_LW auto_C_MUL 2 1 +auto_C_SW auto_LB 23 1 +auto_C_SW auto_LH 8 1 +auto_C_SW auto_LW 3 1 +auto_C_SW auto_LBU 30 1 +auto_C_SW auto_LHU 8 1 +auto_C_SW auto_SB 30 1 +auto_C_SW auto_SH 12 1 +auto_C_SW auto_SW 17303 1 +auto_C_SW auto_ADDI 19015 1 +auto_C_SW auto_SLTIU 1 1 +auto_C_SW auto_ORI 1 1 +auto_C_SW auto_ANDI 2 1 +auto_C_SW auto_SLLI 1 1 +auto_C_SW auto_SRLI 1 1 +auto_C_SW auto_SUB 1 1 +auto_C_SW auto_SLT 2 1 +auto_C_SW auto_SLTU 2 1 +auto_C_SW auto_SRA 1 1 +auto_C_SW auto_OR 1 1 +auto_C_SW auto_AND 1 1 +auto_C_SW auto_FENCE 2 1 +auto_C_SW auto_MRET 6 1 +auto_C_SW auto_DIV 1 1 +auto_C_SW auto_REM 1 1 +auto_C_SW auto_REMU 1 1 +auto_C_SW auto_C_ADDI4SPN 1 1 +auto_C_SW auto_C_LW 4 1 +auto_C_SW auto_C_SW 8480 1 +auto_C_SW auto_C_NOP 3 1 +auto_C_SW auto_C_ADDI 18880 1 +auto_C_SW auto_C_XOR 1 1 +auto_C_SW auto_C_OR 1 1 +auto_C_SW auto_SH1ADD 1 1 +auto_C_SW auto_CTZ 1 1 +auto_C_SW auto_MAX 1 1 +auto_C_SW auto_MINU 2 1 +auto_C_SW auto_SEXT_H 1 1 +auto_C_SW auto_ZEXT_H 1 1 +auto_C_SW auto_ORN 2 1 +auto_C_SW auto_ORC_B 1 1 +auto_C_SW auto_CLMULH 1 1 +auto_C_SW auto_CLMULR 2 1 +auto_C_SW auto_BSET 2 1 +auto_C_SW auto_BSETI 2 1 +auto_C_SW auto_BCLRI 1 1 +auto_C_SW auto_BINV 2 1 +auto_C_SW auto_BEXT 2 1 +auto_C_SW auto_CSRRW 2 1 +auto_C_SW auto_CSRRS 1 1 +auto_C_SW auto_C_LBU 2 1 +auto_C_SW auto_C_LHU 2 1 +auto_C_SW auto_C_SH 5 1 +auto_C_SW auto_C_ZEXT_B 2 1 +auto_C_SW auto_C_SEXT_H 1 1 +auto_C_SW auto_C_NOT 1 1 +auto_C_SW auto_C_MUL 1 1 +auto_C_NOP auto_LUI 1113 1 +auto_C_NOP auto_AUIPC 622 1 +auto_C_NOP auto_JAL 191 1 +auto_C_NOP auto_JALR 51 1 +auto_C_NOP auto_BEQ 173 1 +auto_C_NOP auto_BNE 179 1 +auto_C_NOP auto_BLT 185 1 +auto_C_NOP auto_BGE 162 1 +auto_C_NOP auto_BLTU 164 1 +auto_C_NOP auto_BGEU 190 1 +auto_C_NOP auto_LB 962 1 +auto_C_NOP auto_LH 299 1 +auto_C_NOP auto_LW 192 1 +auto_C_NOP auto_LBU 934 1 +auto_C_NOP auto_LHU 292 1 +auto_C_NOP auto_SB 985 1 +auto_C_NOP auto_SH 331 1 +auto_C_NOP auto_SW 203 1 +auto_C_NOP auto_ADDI 1135 1 +auto_C_NOP auto_SLTI 647 1 +auto_C_NOP auto_SLTIU 633 1 +auto_C_NOP auto_XORI 583 1 +auto_C_NOP auto_ORI 609 1 +auto_C_NOP auto_ANDI 598 1 +auto_C_NOP auto_SLLI 572 1 +auto_C_NOP auto_SRLI 616 1 +auto_C_NOP auto_SRAI 602 1 +auto_C_NOP auto_ADD 665 1 +auto_C_NOP auto_SUB 651 1 +auto_C_NOP auto_SLL 593 1 +auto_C_NOP auto_SLT 605 1 +auto_C_NOP auto_SLTU 616 1 +auto_C_NOP auto_XOR 613 1 +auto_C_NOP auto_SRL 661 1 +auto_C_NOP auto_SRA 568 1 +auto_C_NOP auto_OR 580 1 +auto_C_NOP auto_AND 641 1 +auto_C_NOP auto_FENCE 284 1 +auto_C_NOP auto_MRET 6680 1 +auto_C_NOP auto_WFI 121 1 +auto_C_NOP auto_MUL 669 1 +auto_C_NOP auto_MULH 607 1 +auto_C_NOP auto_MULHSU 642 1 +auto_C_NOP auto_MULHU 624 1 +auto_C_NOP auto_DIV 662 1 +auto_C_NOP auto_DIVU 625 1 +auto_C_NOP auto_REM 609 1 +auto_C_NOP auto_REMU 636 1 +auto_C_NOP auto_C_ADDI4SPN 191 1 +auto_C_NOP auto_C_LW 1 1 +auto_C_NOP auto_C_SW 3 1 +auto_C_NOP auto_C_NOP 5621 1 +auto_C_NOP auto_C_ADDI 335 1 +auto_C_NOP auto_C_JAL 110 1 +auto_C_NOP auto_C_LI 307 1 +auto_C_NOP auto_C_ADDI16SP 246 1 +auto_C_NOP auto_C_LUI 278 1 +auto_C_NOP auto_C_SRLI 303 1 +auto_C_NOP auto_C_SRAI 305 1 +auto_C_NOP auto_C_ANDI 303 1 +auto_C_NOP auto_C_SUB 308 1 +auto_C_NOP auto_C_XOR 247 1 +auto_C_NOP auto_C_OR 283 1 +auto_C_NOP auto_C_AND 288 1 +auto_C_NOP auto_C_J 114 1 +auto_C_NOP auto_C_BEQZ 114 1 +auto_C_NOP auto_C_BNEZ 126 1 +auto_C_NOP auto_C_SLLI 374 1 +auto_C_NOP auto_C_LWSP 17 1 +auto_C_NOP auto_C_JR 58 1 +auto_C_NOP auto_C_MV 346 1 +auto_C_NOP auto_C_JALR 5 1 +auto_C_NOP auto_C_ADD 384 1 +auto_C_NOP auto_C_SWSP 10 1 +auto_C_NOP auto_SH1ADD 470 1 +auto_C_NOP auto_SH2ADD 465 1 +auto_C_NOP auto_SH3ADD 479 1 +auto_C_NOP auto_CLZ 484 1 +auto_C_NOP auto_CTZ 465 1 +auto_C_NOP auto_CPOP 508 1 +auto_C_NOP auto_MIN 470 1 +auto_C_NOP auto_MAX 504 1 +auto_C_NOP auto_MINU 526 1 +auto_C_NOP auto_MAXU 469 1 +auto_C_NOP auto_SEXT_B 452 1 +auto_C_NOP auto_SEXT_H 462 1 +auto_C_NOP auto_ZEXT_H 485 1 +auto_C_NOP auto_ANDN 476 1 +auto_C_NOP auto_ORN 471 1 +auto_C_NOP auto_XNOR 491 1 +auto_C_NOP auto_ROR 486 1 +auto_C_NOP auto_RORI 478 1 +auto_C_NOP auto_ROL 450 1 +auto_C_NOP auto_REV8 484 1 +auto_C_NOP auto_ORC_B 519 1 +auto_C_NOP auto_CLMUL 474 1 +auto_C_NOP auto_CLMULH 518 1 +auto_C_NOP auto_CLMULR 474 1 +auto_C_NOP auto_BSET 540 1 +auto_C_NOP auto_BSETI 520 1 +auto_C_NOP auto_BCLR 536 1 +auto_C_NOP auto_BCLRI 492 1 +auto_C_NOP auto_BINV 528 1 +auto_C_NOP auto_BINVI 502 1 +auto_C_NOP auto_BEXT 497 1 +auto_C_NOP auto_BEXTI 486 1 +auto_C_NOP auto_CSRRW 2536 1 +auto_C_NOP auto_CSRRS 196 1 +auto_C_NOP auto_CSRRC 192 1 +auto_C_NOP auto_CSRRWI 186 1 +auto_C_NOP auto_CSRRSI 206 1 +auto_C_NOP auto_CSRRCI 185 1 +auto_C_NOP auto_C_LBU 5 1 +auto_C_NOP auto_C_LHU 1 1 +auto_C_NOP auto_C_SB 8 1 +auto_C_NOP auto_C_SH 7 1 +auto_C_NOP auto_C_ZEXT_B 283 1 +auto_C_NOP auto_C_SEXT_B 293 1 +auto_C_NOP auto_C_ZEXT_H 276 1 +auto_C_NOP auto_C_SEXT_H 256 1 +auto_C_NOP auto_C_NOT 295 1 +auto_C_NOP auto_C_MUL 282 1 +auto_C_ADDI auto_LUI 412016 1 +auto_C_ADDI auto_AUIPC 981 1 +auto_C_ADDI auto_JAL 217 1 +auto_C_ADDI auto_JALR 693 1 +auto_C_ADDI auto_BEQ 321 1 +auto_C_ADDI auto_BNE 60256 1 +auto_C_ADDI auto_BLT 302 1 +auto_C_ADDI auto_BGE 400 1 +auto_C_ADDI auto_BLTU 363 1 +auto_C_ADDI auto_BGEU 323 1 +auto_C_ADDI auto_LB 1960 1 +auto_C_ADDI auto_LH 651 1 +auto_C_ADDI auto_LW 241932 1 +auto_C_ADDI auto_LBU 1917 1 +auto_C_ADDI auto_LHU 708 1 +auto_C_ADDI auto_SB 1860 1 +auto_C_ADDI auto_SH 728 1 +auto_C_ADDI auto_SW 422 1 +auto_C_ADDI auto_ADDI 2231 1 +auto_C_ADDI auto_SLTI 841 1 +auto_C_ADDI auto_SLTIU 850 1 +auto_C_ADDI auto_XORI 934 1 +auto_C_ADDI auto_ORI 767 1 +auto_C_ADDI auto_ANDI 875 1 +auto_C_ADDI auto_SLLI 832 1 +auto_C_ADDI auto_SRLI 881 1 +auto_C_ADDI auto_SRAI 830 1 +auto_C_ADDI auto_ADD 812 1 +auto_C_ADDI auto_SUB 894 1 +auto_C_ADDI auto_SLL 830 1 +auto_C_ADDI auto_SLT 883 1 +auto_C_ADDI auto_SLTU 808 1 +auto_C_ADDI auto_XOR 901 1 +auto_C_ADDI auto_SRL 832 1 +auto_C_ADDI auto_SRA 913 1 +auto_C_ADDI auto_OR 833 1 +auto_C_ADDI auto_AND 828 1 +auto_C_ADDI auto_FENCE 1235 1 +auto_C_ADDI auto_ECALL 1291 1 +auto_C_ADDI auto_EBREAK 5057 1 +auto_C_ADDI auto_MRET 201477 1 +auto_C_ADDI auto_WFI 443 1 +auto_C_ADDI auto_MUL 925 1 +auto_C_ADDI auto_MULH 777 1 +auto_C_ADDI auto_MULHSU 880 1 +auto_C_ADDI auto_MULHU 793 1 +auto_C_ADDI auto_DIV 739 1 +auto_C_ADDI auto_DIVU 846 1 +auto_C_ADDI auto_REM 788 1 +auto_C_ADDI auto_REMU 899 1 +auto_C_ADDI auto_C_ADDI4SPN 348 1 +auto_C_ADDI auto_C_LW 18882 1 +auto_C_ADDI auto_C_SW 7 1 +auto_C_ADDI auto_C_NOP 2198 1 +auto_C_ADDI auto_C_ADDI 47960 1 +auto_C_ADDI auto_C_JAL 91 1 +auto_C_ADDI auto_C_LI 205882 1 +auto_C_ADDI auto_C_ADDI16SP 284 1 +auto_C_ADDI auto_C_LUI 518 1 +auto_C_ADDI auto_C_SRLI 445 1 +auto_C_ADDI auto_C_SRAI 453 1 +auto_C_ADDI auto_C_ANDI 400 1 +auto_C_ADDI auto_C_SUB 388 1 +auto_C_ADDI auto_C_XOR 414 1 +auto_C_ADDI auto_C_OR 435 1 +auto_C_ADDI auto_C_AND 384 1 +auto_C_ADDI auto_C_J 56 1 +auto_C_ADDI auto_C_BEQZ 91 1 +auto_C_ADDI auto_C_BNEZ 100 1 +auto_C_ADDI auto_C_SLLI 703 1 +auto_C_ADDI auto_C_LWSP 4892 1 +auto_C_ADDI auto_C_JR 3 1 +auto_C_ADDI auto_C_MV 577 1 +auto_C_ADDI auto_C_EBREAK 4674 1 +auto_C_ADDI auto_C_JALR 18 1 +auto_C_ADDI auto_C_ADD 592 1 +auto_C_ADDI auto_C_SWSP 31 1 +auto_C_ADDI auto_SH1ADD 419 1 +auto_C_ADDI auto_SH2ADD 400 1 +auto_C_ADDI auto_SH3ADD 420 1 +auto_C_ADDI auto_CLZ 395 1 +auto_C_ADDI auto_CTZ 404 1 +auto_C_ADDI auto_CPOP 407 1 +auto_C_ADDI auto_MIN 418 1 +auto_C_ADDI auto_MAX 438 1 +auto_C_ADDI auto_MINU 370 1 +auto_C_ADDI auto_MAXU 393 1 +auto_C_ADDI auto_SEXT_B 420 1 +auto_C_ADDI auto_SEXT_H 391 1 +auto_C_ADDI auto_ZEXT_H 412 1 +auto_C_ADDI auto_ANDN 419 1 +auto_C_ADDI auto_ORN 412 1 +auto_C_ADDI auto_XNOR 392 1 +auto_C_ADDI auto_ROR 447 1 +auto_C_ADDI auto_RORI 407 1 +auto_C_ADDI auto_ROL 415 1 +auto_C_ADDI auto_REV8 376 1 +auto_C_ADDI auto_ORC_B 393 1 +auto_C_ADDI auto_CLMUL 419 1 +auto_C_ADDI auto_CLMULH 431 1 +auto_C_ADDI auto_CLMULR 387 1 +auto_C_ADDI auto_BSET 399 1 +auto_C_ADDI auto_BSETI 413 1 +auto_C_ADDI auto_BCLR 370 1 +auto_C_ADDI auto_BCLRI 410 1 +auto_C_ADDI auto_BINV 427 1 +auto_C_ADDI auto_BINVI 379 1 +auto_C_ADDI auto_BEXT 412 1 +auto_C_ADDI auto_BEXTI 399 1 +auto_C_ADDI auto_CSRRW 374 1 +auto_C_ADDI auto_CSRRS 12137 1 +auto_C_ADDI auto_CSRRC 179 1 +auto_C_ADDI auto_CSRRWI 175 1 +auto_C_ADDI auto_CSRRSI 179 1 +auto_C_ADDI auto_CSRRCI 147 1 +auto_C_ADDI auto_C_LBU 21 1 +auto_C_ADDI auto_C_LHU 2 1 +auto_C_ADDI auto_C_LH 5 1 +auto_C_ADDI auto_C_SB 9 1 +auto_C_ADDI auto_C_SH 3 1 +auto_C_ADDI auto_C_ZEXT_B 431 1 +auto_C_ADDI auto_C_SEXT_B 437 1 +auto_C_ADDI auto_C_ZEXT_H 464 1 +auto_C_ADDI auto_C_SEXT_H 380 1 +auto_C_ADDI auto_C_NOT 425 1 +auto_C_ADDI auto_C_MUL 427 1 +auto_C_JAL auto_JAL 21481 1 +auto_C_JAL auto_BLTU 1 1 +auto_C_JAL auto_LW 17 1 +auto_C_JAL auto_SW 1 1 +auto_C_JAL auto_C_JAL 18770 1 +auto_C_JAL auto_C_LI 17 1 +auto_C_JAL auto_C_J 18847 1 +auto_C_JAL auto_C_LWSP 17 1 +auto_C_JAL auto_C_JR 1 1 +auto_C_JAL auto_C_MV 8 1 +auto_C_LI auto_LUI 5265 1 +auto_C_LI auto_AUIPC 103 1 +auto_C_LI auto_JAL 46 1 +auto_C_LI auto_JALR 9 1 +auto_C_LI auto_BEQ 54 1 +auto_C_LI auto_BNE 51 1 +auto_C_LI auto_BLT 39 1 +auto_C_LI auto_BGE 50 1 +auto_C_LI auto_BLTU 61 1 +auto_C_LI auto_BGEU 45 1 +auto_C_LI auto_LB 296 1 +auto_C_LI auto_LH 75 1 +auto_C_LI auto_LW 55 1 +auto_C_LI auto_LBU 55978 1 +auto_C_LI auto_LHU 84 1 +auto_C_LI auto_SB 295 1 +auto_C_LI auto_SH 77 1 +auto_C_LI auto_SW 59 1 +auto_C_LI auto_ADDI 11245 1 +auto_C_LI auto_SLTI 112 1 +auto_C_LI auto_SLTIU 109 1 +auto_C_LI auto_XORI 115 1 +auto_C_LI auto_ORI 88 1 +auto_C_LI auto_ANDI 112 1 +auto_C_LI auto_SLLI 94 1 +auto_C_LI auto_SRLI 88 1 +auto_C_LI auto_SRAI 88 1 +auto_C_LI auto_ADD 105 1 +auto_C_LI auto_SUB 105 1 +auto_C_LI auto_SLL 80 1 +auto_C_LI auto_SLT 99 1 +auto_C_LI auto_SLTU 95 1 +auto_C_LI auto_XOR 115 1 +auto_C_LI auto_SRL 98 1 +auto_C_LI auto_SRA 105 1 +auto_C_LI auto_OR 100 1 +auto_C_LI auto_AND 95 1 +auto_C_LI auto_FENCE 68 1 +auto_C_LI auto_MRET 534 1 +auto_C_LI auto_MUL 115 1 +auto_C_LI auto_MULH 95 1 +auto_C_LI auto_MULHSU 110 1 +auto_C_LI auto_MULHU 91 1 +auto_C_LI auto_DIV 103 1 +auto_C_LI auto_DIVU 115 1 +auto_C_LI auto_REM 103 1 +auto_C_LI auto_REMU 97 1 +auto_C_LI auto_C_ADDI4SPN 84 1 +auto_C_LI auto_C_LW 2 1 +auto_C_LI auto_C_SW 2 1 +auto_C_LI auto_C_NOP 557 1 +auto_C_LI auto_C_ADDI 347 1 +auto_C_LI auto_C_JAL 39 1 +auto_C_LI auto_C_LI 11145 1 +auto_C_LI auto_C_ADDI16SP 92 1 +auto_C_LI auto_C_LUI 147 1 +auto_C_LI auto_C_SRLI 130 1 +auto_C_LI auto_C_SRAI 120 1 +auto_C_LI auto_C_ANDI 98 1 +auto_C_LI auto_C_SUB 108 1 +auto_C_LI auto_C_XOR 93 1 +auto_C_LI auto_C_OR 107 1 +auto_C_LI auto_C_AND 99 1 +auto_C_LI auto_C_J 2364 1 +auto_C_LI auto_C_BEQZ 57 1 +auto_C_LI auto_C_BNEZ 43 1 +auto_C_LI auto_C_SLLI 169 1 +auto_C_LI auto_C_LWSP 5 1 +auto_C_LI auto_C_JR 23 1 +auto_C_LI auto_C_MV 150 1 +auto_C_LI auto_C_JALR 5 1 +auto_C_LI auto_C_ADD 164 1 +auto_C_LI auto_C_SWSP 6 1 +auto_C_LI auto_SH1ADD 97 1 +auto_C_LI auto_SH2ADD 105 1 +auto_C_LI auto_SH3ADD 112 1 +auto_C_LI auto_CLZ 87 1 +auto_C_LI auto_CTZ 98 1 +auto_C_LI auto_CPOP 110 1 +auto_C_LI auto_MIN 108 1 +auto_C_LI auto_MAX 90 1 +auto_C_LI auto_MINU 106 1 +auto_C_LI auto_MAXU 148 1 +auto_C_LI auto_SEXT_B 93 1 +auto_C_LI auto_SEXT_H 106 1 +auto_C_LI auto_ZEXT_H 104 1 +auto_C_LI auto_ANDN 134 1 +auto_C_LI auto_ORN 96 1 +auto_C_LI auto_XNOR 91 1 +auto_C_LI auto_ROR 101 1 +auto_C_LI auto_RORI 116 1 +auto_C_LI auto_ROL 96 1 +auto_C_LI auto_REV8 112 1 +auto_C_LI auto_ORC_B 111 1 +auto_C_LI auto_CLMUL 106 1 +auto_C_LI auto_CLMULH 103 1 +auto_C_LI auto_CLMULR 96 1 +auto_C_LI auto_BSET 95 1 +auto_C_LI auto_BSETI 94 1 +auto_C_LI auto_BCLR 105 1 +auto_C_LI auto_BCLRI 96 1 +auto_C_LI auto_BINV 112 1 +auto_C_LI auto_BINVI 135 1 +auto_C_LI auto_BEXT 98 1 +auto_C_LI auto_BEXTI 110 1 +auto_C_LI auto_CSRRW 2265 1 +auto_C_LI auto_CSRRS 209121 1 +auto_C_LI auto_CSRRC 674 1 +auto_C_LI auto_CSRRWI 65 1 +auto_C_LI auto_CSRRSI 52 1 +auto_C_LI auto_CSRRCI 71 1 +auto_C_LI auto_C_LBU 4238 1 +auto_C_LI auto_C_LHU 1 1 +auto_C_LI auto_C_SB 4 1 +auto_C_LI auto_C_ZEXT_B 113 1 +auto_C_LI auto_C_SEXT_B 132 1 +auto_C_LI auto_C_ZEXT_H 120 1 +auto_C_LI auto_C_SEXT_H 109 1 +auto_C_LI auto_C_NOT 128 1 +auto_C_LI auto_C_MUL 109 1 +auto_C_ADDI16SP auto_LUI 79 1 +auto_C_ADDI16SP auto_AUIPC 68 1 +auto_C_ADDI16SP auto_JAL 52 1 +auto_C_ADDI16SP auto_JALR 1 1 +auto_C_ADDI16SP auto_BEQ 34 1 +auto_C_ADDI16SP auto_BNE 40 1 +auto_C_ADDI16SP auto_BLT 41 1 +auto_C_ADDI16SP auto_BGE 55 1 +auto_C_ADDI16SP auto_BLTU 35 1 +auto_C_ADDI16SP auto_BGEU 33 1 +auto_C_ADDI16SP auto_LB 153 1 +auto_C_ADDI16SP auto_LH 48 1 +auto_C_ADDI16SP auto_LW 18 1 +auto_C_ADDI16SP auto_LBU 144 1 +auto_C_ADDI16SP auto_LHU 39 1 +auto_C_ADDI16SP auto_SB 171 1 +auto_C_ADDI16SP auto_SH 44 1 +auto_C_ADDI16SP auto_SW 31 1 +auto_C_ADDI16SP auto_ADDI 153 1 +auto_C_ADDI16SP auto_SLTI 68 1 +auto_C_ADDI16SP auto_SLTIU 74 1 +auto_C_ADDI16SP auto_XORI 77 1 +auto_C_ADDI16SP auto_ORI 102 1 +auto_C_ADDI16SP auto_ANDI 69 1 +auto_C_ADDI16SP auto_SLLI 71 1 +auto_C_ADDI16SP auto_SRLI 80 1 +auto_C_ADDI16SP auto_SRAI 73 1 +auto_C_ADDI16SP auto_ADD 3014 1 +auto_C_ADDI16SP auto_SUB 67 1 +auto_C_ADDI16SP auto_SLL 94 1 +auto_C_ADDI16SP auto_SLT 82 1 +auto_C_ADDI16SP auto_SLTU 86 1 +auto_C_ADDI16SP auto_XOR 97 1 +auto_C_ADDI16SP auto_SRL 64 1 +auto_C_ADDI16SP auto_SRA 86 1 +auto_C_ADDI16SP auto_OR 74 1 +auto_C_ADDI16SP auto_AND 80 1 +auto_C_ADDI16SP auto_FENCE 55 1 +auto_C_ADDI16SP auto_MRET 287 1 +auto_C_ADDI16SP auto_MUL 64 1 +auto_C_ADDI16SP auto_MULH 69 1 +auto_C_ADDI16SP auto_MULHSU 57 1 +auto_C_ADDI16SP auto_MULHU 72 1 +auto_C_ADDI16SP auto_DIV 74 1 +auto_C_ADDI16SP auto_DIVU 71 1 +auto_C_ADDI16SP auto_REM 74 1 +auto_C_ADDI16SP auto_REMU 78 1 +auto_C_ADDI16SP auto_C_ADDI4SPN 96 1 +auto_C_ADDI16SP auto_C_NOP 289 1 +auto_C_ADDI16SP auto_C_ADDI 104 1 +auto_C_ADDI16SP auto_C_JAL 43 1 +auto_C_ADDI16SP auto_C_LI 103 1 +auto_C_ADDI16SP auto_C_ADDI16SP 82 1 +auto_C_ADDI16SP auto_C_LUI 86 1 +auto_C_ADDI16SP auto_C_SRLI 94 1 +auto_C_ADDI16SP auto_C_SRAI 90 1 +auto_C_ADDI16SP auto_C_ANDI 78 1 +auto_C_ADDI16SP auto_C_SUB 74 1 +auto_C_ADDI16SP auto_C_XOR 90 1 +auto_C_ADDI16SP auto_C_OR 75 1 +auto_C_ADDI16SP auto_C_AND 84 1 +auto_C_ADDI16SP auto_C_J 29 1 +auto_C_ADDI16SP auto_C_BEQZ 32 1 +auto_C_ADDI16SP auto_C_BNEZ 39 1 +auto_C_ADDI16SP auto_C_SLLI 98 1 +auto_C_ADDI16SP auto_C_LWSP 2991 1 +auto_C_ADDI16SP auto_C_MV 88 1 +auto_C_ADDI16SP auto_C_JALR 3 1 +auto_C_ADDI16SP auto_C_ADD 96 1 +auto_C_ADDI16SP auto_SH1ADD 74 1 +auto_C_ADDI16SP auto_SH2ADD 82 1 +auto_C_ADDI16SP auto_SH3ADD 73 1 +auto_C_ADDI16SP auto_CLZ 80 1 +auto_C_ADDI16SP auto_CTZ 73 1 +auto_C_ADDI16SP auto_CPOP 75 1 +auto_C_ADDI16SP auto_MIN 72 1 +auto_C_ADDI16SP auto_MAX 66 1 +auto_C_ADDI16SP auto_MINU 84 1 +auto_C_ADDI16SP auto_MAXU 56 1 +auto_C_ADDI16SP auto_SEXT_B 82 1 +auto_C_ADDI16SP auto_SEXT_H 79 1 +auto_C_ADDI16SP auto_ZEXT_H 73 1 +auto_C_ADDI16SP auto_ANDN 83 1 +auto_C_ADDI16SP auto_ORN 69 1 +auto_C_ADDI16SP auto_XNOR 78 1 +auto_C_ADDI16SP auto_ROR 61 1 +auto_C_ADDI16SP auto_RORI 79 1 +auto_C_ADDI16SP auto_ROL 73 1 +auto_C_ADDI16SP auto_REV8 83 1 +auto_C_ADDI16SP auto_ORC_B 78 1 +auto_C_ADDI16SP auto_CLMUL 71 1 +auto_C_ADDI16SP auto_CLMULH 67 1 +auto_C_ADDI16SP auto_CLMULR 87 1 +auto_C_ADDI16SP auto_BSET 85 1 +auto_C_ADDI16SP auto_BSETI 73 1 +auto_C_ADDI16SP auto_BCLR 83 1 +auto_C_ADDI16SP auto_BCLRI 76 1 +auto_C_ADDI16SP auto_BINV 54 1 +auto_C_ADDI16SP auto_BINVI 74 1 +auto_C_ADDI16SP auto_BEXT 65 1 +auto_C_ADDI16SP auto_BEXTI 79 1 +auto_C_ADDI16SP auto_CSRRW 45 1 +auto_C_ADDI16SP auto_CSRRS 43 1 +auto_C_ADDI16SP auto_CSRRC 47 1 +auto_C_ADDI16SP auto_CSRRWI 44 1 +auto_C_ADDI16SP auto_CSRRSI 39 1 +auto_C_ADDI16SP auto_CSRRCI 50 1 +auto_C_ADDI16SP auto_C_LBU 1 1 +auto_C_ADDI16SP auto_C_ZEXT_B 80 1 +auto_C_ADDI16SP auto_C_SEXT_B 87 1 +auto_C_ADDI16SP auto_C_ZEXT_H 86 1 +auto_C_ADDI16SP auto_C_SEXT_H 72 1 +auto_C_ADDI16SP auto_C_NOT 75 1 +auto_C_ADDI16SP auto_C_MUL 78 1 +auto_C_LUI auto_LUI 96 1 +auto_C_LUI auto_AUIPC 89 1 +auto_C_LUI auto_JAL 36 1 +auto_C_LUI auto_JALR 9 1 +auto_C_LUI auto_BEQ 70 1 +auto_C_LUI auto_BNE 34 1 +auto_C_LUI auto_BLT 53 1 +auto_C_LUI auto_BGE 51 1 +auto_C_LUI auto_BLTU 43 1 +auto_C_LUI auto_BGEU 44 1 +auto_C_LUI auto_LB 295 1 +auto_C_LUI auto_LH 82 1 +auto_C_LUI auto_LW 38 1 +auto_C_LUI auto_LBU 287 1 +auto_C_LUI auto_LHU 92 1 +auto_C_LUI auto_SB 273 1 +auto_C_LUI auto_SH 80 1 +auto_C_LUI auto_SW 58 1 +auto_C_LUI auto_ADDI 183 1 +auto_C_LUI auto_SLTI 112 1 +auto_C_LUI auto_SLTIU 111 1 +auto_C_LUI auto_XORI 100 1 +auto_C_LUI auto_ORI 95 1 +auto_C_LUI auto_ANDI 99 1 +auto_C_LUI auto_SLLI 109 1 +auto_C_LUI auto_SRLI 94 1 +auto_C_LUI auto_SRAI 92 1 +auto_C_LUI auto_ADD 97 1 +auto_C_LUI auto_SUB 111 1 +auto_C_LUI auto_SLL 110 1 +auto_C_LUI auto_SLT 93 1 +auto_C_LUI auto_SLTU 107 1 +auto_C_LUI auto_XOR 112 1 +auto_C_LUI auto_SRL 101 1 +auto_C_LUI auto_SRA 95 1 +auto_C_LUI auto_OR 91 1 +auto_C_LUI auto_AND 82 1 +auto_C_LUI auto_FENCE 71 1 +auto_C_LUI auto_MRET 385 1 +auto_C_LUI auto_MUL 123 1 +auto_C_LUI auto_MULH 99 1 +auto_C_LUI auto_MULHSU 109 1 +auto_C_LUI auto_MULHU 95 1 +auto_C_LUI auto_DIV 106 1 +auto_C_LUI auto_DIVU 110 1 +auto_C_LUI auto_REM 96 1 +auto_C_LUI auto_REMU 123 1 +auto_C_LUI auto_C_ADDI4SPN 83 1 +auto_C_LUI auto_C_LW 1 1 +auto_C_LUI auto_C_NOP 2609 1 +auto_C_LUI auto_C_ADDI 152 1 +auto_C_LUI auto_C_JAL 30 1 +auto_C_LUI auto_C_LI 149 1 +auto_C_LUI auto_C_ADDI16SP 83 1 +auto_C_LUI auto_C_LUI 121 1 +auto_C_LUI auto_C_SRLI 129 1 +auto_C_LUI auto_C_SRAI 112 1 +auto_C_LUI auto_C_ANDI 90 1 +auto_C_LUI auto_C_SUB 103 1 +auto_C_LUI auto_C_XOR 95 1 +auto_C_LUI auto_C_OR 97 1 +auto_C_LUI auto_C_AND 99 1 +auto_C_LUI auto_C_J 27 1 +auto_C_LUI auto_C_BEQZ 46 1 +auto_C_LUI auto_C_BNEZ 38 1 +auto_C_LUI auto_C_SLLI 192 1 +auto_C_LUI auto_C_LWSP 3 1 +auto_C_LUI auto_C_JR 1 1 +auto_C_LUI auto_C_MV 118 1 +auto_C_LUI auto_C_ADD 139 1 +auto_C_LUI auto_C_SWSP 5 1 +auto_C_LUI auto_SH1ADD 84 1 +auto_C_LUI auto_SH2ADD 111 1 +auto_C_LUI auto_SH3ADD 110 1 +auto_C_LUI auto_CLZ 98 1 +auto_C_LUI auto_CTZ 122 1 +auto_C_LUI auto_CPOP 97 1 +auto_C_LUI auto_MIN 97 1 +auto_C_LUI auto_MAX 99 1 +auto_C_LUI auto_MINU 94 1 +auto_C_LUI auto_MAXU 111 1 +auto_C_LUI auto_SEXT_B 105 1 +auto_C_LUI auto_SEXT_H 115 1 +auto_C_LUI auto_ZEXT_H 113 1 +auto_C_LUI auto_ANDN 100 1 +auto_C_LUI auto_ORN 113 1 +auto_C_LUI auto_XNOR 106 1 +auto_C_LUI auto_ROR 90 1 +auto_C_LUI auto_RORI 107 1 +auto_C_LUI auto_ROL 102 1 +auto_C_LUI auto_REV8 111 1 +auto_C_LUI auto_ORC_B 112 1 +auto_C_LUI auto_CLMUL 106 1 +auto_C_LUI auto_CLMULH 86 1 +auto_C_LUI auto_CLMULR 86 1 +auto_C_LUI auto_BSET 107 1 +auto_C_LUI auto_BSETI 79 1 +auto_C_LUI auto_BCLR 107 1 +auto_C_LUI auto_BCLRI 100 1 +auto_C_LUI auto_BINV 91 1 +auto_C_LUI auto_BINVI 121 1 +auto_C_LUI auto_BEXT 83 1 +auto_C_LUI auto_BEXTI 117 1 +auto_C_LUI auto_CSRRW 205 1 +auto_C_LUI auto_CSRRS 91 1 +auto_C_LUI auto_CSRRC 67 1 +auto_C_LUI auto_CSRRWI 57 1 +auto_C_LUI auto_CSRRSI 77 1 +auto_C_LUI auto_CSRRCI 58 1 +auto_C_LUI auto_C_LBU 4 1 +auto_C_LUI auto_C_SB 3 1 +auto_C_LUI auto_C_ZEXT_B 108 1 +auto_C_LUI auto_C_SEXT_B 86 1 +auto_C_LUI auto_C_ZEXT_H 87 1 +auto_C_LUI auto_C_SEXT_H 106 1 +auto_C_LUI auto_C_NOT 113 1 +auto_C_LUI auto_C_MUL 100 1 +auto_C_SRLI auto_LUI 98 1 +auto_C_SRLI auto_AUIPC 117 1 +auto_C_SRLI auto_JAL 29 1 +auto_C_SRLI auto_JALR 2 1 +auto_C_SRLI auto_BEQ 52 1 +auto_C_SRLI auto_BNE 47 1 +auto_C_SRLI auto_BLT 41 1 +auto_C_SRLI auto_BGE 42 1 +auto_C_SRLI auto_BLTU 40 1 +auto_C_SRLI auto_BGEU 45 1 +auto_C_SRLI auto_LB 270 1 +auto_C_SRLI auto_LH 69 1 +auto_C_SRLI auto_LW 55 1 +auto_C_SRLI auto_LBU 260 1 +auto_C_SRLI auto_LHU 82 1 +auto_C_SRLI auto_SB 249 1 +auto_C_SRLI auto_SH 90 1 +auto_C_SRLI auto_SW 27 1 +auto_C_SRLI auto_ADDI 169 1 +auto_C_SRLI auto_SLTI 101 1 +auto_C_SRLI auto_SLTIU 82 1 +auto_C_SRLI auto_XORI 112 1 +auto_C_SRLI auto_ORI 106 1 +auto_C_SRLI auto_ANDI 101 1 +auto_C_SRLI auto_SLLI 106 1 +auto_C_SRLI auto_SRLI 98 1 +auto_C_SRLI auto_SRAI 110 1 +auto_C_SRLI auto_ADD 74 1 +auto_C_SRLI auto_SUB 94 1 +auto_C_SRLI auto_SLL 104 1 +auto_C_SRLI auto_SLT 95 1 +auto_C_SRLI auto_SLTU 91 1 +auto_C_SRLI auto_XOR 100 1 +auto_C_SRLI auto_SRL 107 1 +auto_C_SRLI auto_SRA 106 1 +auto_C_SRLI auto_OR 94 1 +auto_C_SRLI auto_AND 100 1 +auto_C_SRLI auto_FENCE 53 1 +auto_C_SRLI auto_MRET 434 1 +auto_C_SRLI auto_WFI 1 1 +auto_C_SRLI auto_MUL 87 1 +auto_C_SRLI auto_MULH 89 1 +auto_C_SRLI auto_MULHSU 109 1 +auto_C_SRLI auto_MULHU 98 1 +auto_C_SRLI auto_DIV 99 1 +auto_C_SRLI auto_DIVU 99 1 +auto_C_SRLI auto_REM 88 1 +auto_C_SRLI auto_REMU 97 1 +auto_C_SRLI auto_C_ADDI4SPN 75 1 +auto_C_SRLI auto_C_LW 4 1 +auto_C_SRLI auto_C_SW 1 1 +auto_C_SRLI auto_C_NOP 348 1 +auto_C_SRLI auto_C_ADDI 137 1 +auto_C_SRLI auto_C_JAL 36 1 +auto_C_SRLI auto_C_LI 106 1 +auto_C_SRLI auto_C_ADDI16SP 87 1 +auto_C_SRLI auto_C_LUI 106 1 +auto_C_SRLI auto_C_SRLI 93 1 +auto_C_SRLI auto_C_SRAI 100 1 +auto_C_SRLI auto_C_ANDI 87 1 +auto_C_SRLI auto_C_SUB 87 1 +auto_C_SRLI auto_C_XOR 98 1 +auto_C_SRLI auto_C_OR 101 1 +auto_C_SRLI auto_C_AND 111 1 +auto_C_SRLI auto_C_J 36 1 +auto_C_SRLI auto_C_BEQZ 47 1 +auto_C_SRLI auto_C_BNEZ 44 1 +auto_C_SRLI auto_C_SLLI 170 1 +auto_C_SRLI auto_C_LWSP 2 1 +auto_C_SRLI auto_C_JR 2 1 +auto_C_SRLI auto_C_MV 120 1 +auto_C_SRLI auto_C_JALR 1 1 +auto_C_SRLI auto_C_ADD 130 1 +auto_C_SRLI auto_C_SWSP 5 1 +auto_C_SRLI auto_SH1ADD 84 1 +auto_C_SRLI auto_SH2ADD 106 1 +auto_C_SRLI auto_SH3ADD 92 1 +auto_C_SRLI auto_CLZ 118 1 +auto_C_SRLI auto_CTZ 109 1 +auto_C_SRLI auto_CPOP 96 1 +auto_C_SRLI auto_MIN 97 1 +auto_C_SRLI auto_MAX 105 1 +auto_C_SRLI auto_MINU 101 1 +auto_C_SRLI auto_MAXU 101 1 +auto_C_SRLI auto_SEXT_B 100 1 +auto_C_SRLI auto_SEXT_H 105 1 +auto_C_SRLI auto_ZEXT_H 77 1 +auto_C_SRLI auto_ANDN 92 1 +auto_C_SRLI auto_ORN 91 1 +auto_C_SRLI auto_XNOR 90 1 +auto_C_SRLI auto_ROR 96 1 +auto_C_SRLI auto_RORI 109 1 +auto_C_SRLI auto_ROL 102 1 +auto_C_SRLI auto_REV8 108 1 +auto_C_SRLI auto_ORC_B 96 1 +auto_C_SRLI auto_CLMUL 96 1 +auto_C_SRLI auto_CLMULH 118 1 +auto_C_SRLI auto_CLMULR 92 1 +auto_C_SRLI auto_BSET 90 1 +auto_C_SRLI auto_BSETI 90 1 +auto_C_SRLI auto_BCLR 106 1 +auto_C_SRLI auto_BCLRI 93 1 +auto_C_SRLI auto_BINV 104 1 +auto_C_SRLI auto_BINVI 104 1 +auto_C_SRLI auto_BEXT 107 1 +auto_C_SRLI auto_BEXTI 99 1 +auto_C_SRLI auto_CSRRW 69 1 +auto_C_SRLI auto_CSRRS 65126 1 +auto_C_SRLI auto_CSRRC 57 1 +auto_C_SRLI auto_CSRRWI 50 1 +auto_C_SRLI auto_CSRRSI 59 1 +auto_C_SRLI auto_CSRRCI 57 1 +auto_C_SRLI auto_C_LBU 1 1 +auto_C_SRLI auto_C_LHU 2 1 +auto_C_SRLI auto_C_SH 1 1 +auto_C_SRLI auto_C_ZEXT_B 100 1 +auto_C_SRLI auto_C_SEXT_B 82 1 +auto_C_SRLI auto_C_ZEXT_H 95 1 +auto_C_SRLI auto_C_SEXT_H 90 1 +auto_C_SRLI auto_C_NOT 114 1 +auto_C_SRLI auto_C_MUL 120 1 +auto_C_SRAI auto_LUI 80 1 +auto_C_SRAI auto_AUIPC 93 1 +auto_C_SRAI auto_JAL 31 1 +auto_C_SRAI auto_JALR 6 1 +auto_C_SRAI auto_BEQ 58 1 +auto_C_SRAI auto_BNE 44 1 +auto_C_SRAI auto_BLT 40 1 +auto_C_SRAI auto_BGE 38 1 +auto_C_SRAI auto_BLTU 49 1 +auto_C_SRAI auto_BGEU 48 1 +auto_C_SRAI auto_LB 242 1 +auto_C_SRAI auto_LH 77 1 +auto_C_SRAI auto_LW 37 1 +auto_C_SRAI auto_LBU 228 1 +auto_C_SRAI auto_LHU 83 1 +auto_C_SRAI auto_SB 271 1 +auto_C_SRAI auto_SH 79 1 +auto_C_SRAI auto_SW 39 1 +auto_C_SRAI auto_ADDI 200 1 +auto_C_SRAI auto_SLTI 88 1 +auto_C_SRAI auto_SLTIU 106 1 +auto_C_SRAI auto_XORI 106 1 +auto_C_SRAI auto_ORI 90 1 +auto_C_SRAI auto_ANDI 113 1 +auto_C_SRAI auto_SLLI 107 1 +auto_C_SRAI auto_SRLI 111 1 +auto_C_SRAI auto_SRAI 101 1 +auto_C_SRAI auto_ADD 105 1 +auto_C_SRAI auto_SUB 109 1 +auto_C_SRAI auto_SLL 91 1 +auto_C_SRAI auto_SLT 123 1 +auto_C_SRAI auto_SLTU 102 1 +auto_C_SRAI auto_XOR 113 1 +auto_C_SRAI auto_SRL 87 1 +auto_C_SRAI auto_SRA 102 1 +auto_C_SRAI auto_OR 92 1 +auto_C_SRAI auto_AND 80 1 +auto_C_SRAI auto_FENCE 65 1 +auto_C_SRAI auto_MRET 421 1 +auto_C_SRAI auto_MUL 103 1 +auto_C_SRAI auto_MULH 95 1 +auto_C_SRAI auto_MULHSU 103 1 +auto_C_SRAI auto_MULHU 95 1 +auto_C_SRAI auto_DIV 107 1 +auto_C_SRAI auto_DIVU 104 1 +auto_C_SRAI auto_REM 77 1 +auto_C_SRAI auto_REMU 86 1 +auto_C_SRAI auto_C_ADDI4SPN 89 1 +auto_C_SRAI auto_C_LW 1 1 +auto_C_SRAI auto_C_NOP 334 1 +auto_C_SRAI auto_C_ADDI 118 1 +auto_C_SRAI auto_C_JAL 32 1 +auto_C_SRAI auto_C_LI 135 1 +auto_C_SRAI auto_C_ADDI16SP 83 1 +auto_C_SRAI auto_C_LUI 116 1 +auto_C_SRAI auto_C_SRLI 125 1 +auto_C_SRAI auto_C_SRAI 111 1 +auto_C_SRAI auto_C_ANDI 91 1 +auto_C_SRAI auto_C_SUB 105 1 +auto_C_SRAI auto_C_XOR 113 1 +auto_C_SRAI auto_C_OR 82 1 +auto_C_SRAI auto_C_AND 111 1 +auto_C_SRAI auto_C_J 38 1 +auto_C_SRAI auto_C_BEQZ 45 1 +auto_C_SRAI auto_C_BNEZ 61 1 +auto_C_SRAI auto_C_SLLI 157 1 +auto_C_SRAI auto_C_LWSP 5 1 +auto_C_SRAI auto_C_MV 126 1 +auto_C_SRAI auto_C_JALR 1 1 +auto_C_SRAI auto_C_ADD 129 1 +auto_C_SRAI auto_C_SWSP 5 1 +auto_C_SRAI auto_SH1ADD 106 1 +auto_C_SRAI auto_SH2ADD 101 1 +auto_C_SRAI auto_SH3ADD 97 1 +auto_C_SRAI auto_CLZ 99 1 +auto_C_SRAI auto_CTZ 110 1 +auto_C_SRAI auto_CPOP 93 1 +auto_C_SRAI auto_MIN 84 1 +auto_C_SRAI auto_MAX 96 1 +auto_C_SRAI auto_MINU 100 1 +auto_C_SRAI auto_MAXU 97 1 +auto_C_SRAI auto_SEXT_B 109 1 +auto_C_SRAI auto_SEXT_H 98 1 +auto_C_SRAI auto_ZEXT_H 110 1 +auto_C_SRAI auto_ANDN 100 1 +auto_C_SRAI auto_ORN 101 1 +auto_C_SRAI auto_XNOR 90 1 +auto_C_SRAI auto_ROR 99 1 +auto_C_SRAI auto_RORI 90 1 +auto_C_SRAI auto_ROL 72 1 +auto_C_SRAI auto_REV8 92 1 +auto_C_SRAI auto_ORC_B 84 1 +auto_C_SRAI auto_CLMUL 96 1 +auto_C_SRAI auto_CLMULH 107 1 +auto_C_SRAI auto_CLMULR 95 1 +auto_C_SRAI auto_BSET 97 1 +auto_C_SRAI auto_BSETI 96 1 +auto_C_SRAI auto_BCLR 87 1 +auto_C_SRAI auto_BCLRI 90 1 +auto_C_SRAI auto_BINV 107 1 +auto_C_SRAI auto_BINVI 102 1 +auto_C_SRAI auto_BEXT 107 1 +auto_C_SRAI auto_BEXTI 93 1 +auto_C_SRAI auto_CSRRW 67 1 +auto_C_SRAI auto_CSRRS 67 1 +auto_C_SRAI auto_CSRRC 47 1 +auto_C_SRAI auto_CSRRWI 57 1 +auto_C_SRAI auto_CSRRSI 67 1 +auto_C_SRAI auto_CSRRCI 59 1 +auto_C_SRAI auto_C_LBU 2 1 +auto_C_SRAI auto_C_LHU 1 1 +auto_C_SRAI auto_C_SB 3 1 +auto_C_SRAI auto_C_SH 2 1 +auto_C_SRAI auto_C_ZEXT_B 98 1 +auto_C_SRAI auto_C_SEXT_B 97 1 +auto_C_SRAI auto_C_ZEXT_H 100 1 +auto_C_SRAI auto_C_SEXT_H 97 1 +auto_C_SRAI auto_C_NOT 85 1 +auto_C_SRAI auto_C_MUL 99 1 +auto_C_ANDI auto_LUI 87 1 +auto_C_ANDI auto_AUIPC 96 1 +auto_C_ANDI auto_JAL 30 1 +auto_C_ANDI auto_JALR 8 1 +auto_C_ANDI auto_BEQ 45 1 +auto_C_ANDI auto_BNE 35 1 +auto_C_ANDI auto_BLT 46 1 +auto_C_ANDI auto_BGE 44 1 +auto_C_ANDI auto_BLTU 43 1 +auto_C_ANDI auto_BGEU 34 1 +auto_C_ANDI auto_LB 278 1 +auto_C_ANDI auto_LH 78 1 +auto_C_ANDI auto_LW 37 1 +auto_C_ANDI auto_LBU 269 1 +auto_C_ANDI auto_LHU 84 1 +auto_C_ANDI auto_SB 306 1 +auto_C_ANDI auto_SH 84 1 +auto_C_ANDI auto_SW 34 1 +auto_C_ANDI auto_ADDI 171 1 +auto_C_ANDI auto_SLTI 87 1 +auto_C_ANDI auto_SLTIU 101 1 +auto_C_ANDI auto_XORI 86 1 +auto_C_ANDI auto_ORI 112 1 +auto_C_ANDI auto_ANDI 105 1 +auto_C_ANDI auto_SLLI 71 1 +auto_C_ANDI auto_SRLI 86 1 +auto_C_ANDI auto_SRAI 79 1 +auto_C_ANDI auto_ADD 85 1 +auto_C_ANDI auto_SUB 78 1 +auto_C_ANDI auto_SLL 98 1 +auto_C_ANDI auto_SLT 88 1 +auto_C_ANDI auto_SLTU 77 1 +auto_C_ANDI auto_XOR 91 1 +auto_C_ANDI auto_SRL 89 1 +auto_C_ANDI auto_SRA 86 1 +auto_C_ANDI auto_OR 110 1 +auto_C_ANDI auto_AND 102 1 +auto_C_ANDI auto_FENCE 48 1 +auto_C_ANDI auto_MRET 329 1 +auto_C_ANDI auto_MUL 80 1 +auto_C_ANDI auto_MULH 99 1 +auto_C_ANDI auto_MULHSU 95 1 +auto_C_ANDI auto_MULHU 89 1 +auto_C_ANDI auto_DIV 91 1 +auto_C_ANDI auto_DIVU 86 1 +auto_C_ANDI auto_REM 79 1 +auto_C_ANDI auto_REMU 97 1 +auto_C_ANDI auto_C_ADDI4SPN 67 1 +auto_C_ANDI auto_C_LW 1 1 +auto_C_ANDI auto_C_NOP 323 1 +auto_C_ANDI auto_C_ADDI 133 1 +auto_C_ANDI auto_C_JAL 24 1 +auto_C_ANDI auto_C_LI 90 1 +auto_C_ANDI auto_C_ADDI16SP 78 1 +auto_C_ANDI auto_C_LUI 95 1 +auto_C_ANDI auto_C_SRLI 99 1 +auto_C_ANDI auto_C_SRAI 100 1 +auto_C_ANDI auto_C_ANDI 79 1 +auto_C_ANDI auto_C_SUB 99 1 +auto_C_ANDI auto_C_XOR 100 1 +auto_C_ANDI auto_C_OR 147 1 +auto_C_ANDI auto_C_AND 112 1 +auto_C_ANDI auto_C_J 26 1 +auto_C_ANDI auto_C_BEQZ 51 1 +auto_C_ANDI auto_C_BNEZ 42 1 +auto_C_ANDI auto_C_SLLI 105 1 +auto_C_ANDI auto_C_LWSP 5 1 +auto_C_ANDI auto_C_JR 3 1 +auto_C_ANDI auto_C_MV 91 1 +auto_C_ANDI auto_C_JALR 3 1 +auto_C_ANDI auto_C_ADD 99 1 +auto_C_ANDI auto_C_SWSP 6 1 +auto_C_ANDI auto_SH1ADD 83 1 +auto_C_ANDI auto_SH2ADD 87 1 +auto_C_ANDI auto_SH3ADD 84 1 +auto_C_ANDI auto_CLZ 92 1 +auto_C_ANDI auto_CTZ 85 1 +auto_C_ANDI auto_CPOP 94 1 +auto_C_ANDI auto_MIN 100 1 +auto_C_ANDI auto_MAX 97 1 +auto_C_ANDI auto_MINU 89 1 +auto_C_ANDI auto_MAXU 68 1 +auto_C_ANDI auto_SEXT_B 118 1 +auto_C_ANDI auto_SEXT_H 87 1 +auto_C_ANDI auto_ZEXT_H 86 1 +auto_C_ANDI auto_ANDN 107 1 +auto_C_ANDI auto_ORN 82 1 +auto_C_ANDI auto_XNOR 88 1 +auto_C_ANDI auto_ROR 87 1 +auto_C_ANDI auto_RORI 112 1 +auto_C_ANDI auto_ROL 103 1 +auto_C_ANDI auto_REV8 87 1 +auto_C_ANDI auto_ORC_B 81 1 +auto_C_ANDI auto_CLMUL 75 1 +auto_C_ANDI auto_CLMULH 87 1 +auto_C_ANDI auto_CLMULR 66 1 +auto_C_ANDI auto_BSET 101 1 +auto_C_ANDI auto_BSETI 93 1 +auto_C_ANDI auto_BCLR 91 1 +auto_C_ANDI auto_BCLRI 105 1 +auto_C_ANDI auto_BINV 84 1 +auto_C_ANDI auto_BINVI 107 1 +auto_C_ANDI auto_BEXT 93 1 +auto_C_ANDI auto_BEXTI 76 1 +auto_C_ANDI auto_CSRRW 39 1 +auto_C_ANDI auto_CSRRS 64 1 +auto_C_ANDI auto_CSRRC 57 1 +auto_C_ANDI auto_CSRRWI 53 1 +auto_C_ANDI auto_CSRRSI 55 1 +auto_C_ANDI auto_CSRRCI 53 1 +auto_C_ANDI auto_C_LBU 5 1 +auto_C_ANDI auto_C_LHU 2 1 +auto_C_ANDI auto_C_SB 4 1 +auto_C_ANDI auto_C_SH 1 1 +auto_C_ANDI auto_C_ZEXT_B 84 1 +auto_C_ANDI auto_C_SEXT_B 103 1 +auto_C_ANDI auto_C_ZEXT_H 76 1 +auto_C_ANDI auto_C_SEXT_H 90 1 +auto_C_ANDI auto_C_NOT 88 1 +auto_C_ANDI auto_C_MUL 82 1 +auto_C_SUB auto_LUI 102 1 +auto_C_SUB auto_AUIPC 93 1 +auto_C_SUB auto_JAL 30 1 +auto_C_SUB auto_BEQ 46 1 +auto_C_SUB auto_BNE 45 1 +auto_C_SUB auto_BLT 45 1 +auto_C_SUB auto_BGE 35 1 +auto_C_SUB auto_BLTU 41 1 +auto_C_SUB auto_BGEU 47 1 +auto_C_SUB auto_LB 275 1 +auto_C_SUB auto_LH 84 1 +auto_C_SUB auto_LW 44 1 +auto_C_SUB auto_LBU 261 1 +auto_C_SUB auto_LHU 69 1 +auto_C_SUB auto_SB 269 1 +auto_C_SUB auto_SH 79 1 +auto_C_SUB auto_SW 62 1 +auto_C_SUB auto_ADDI 233 1 +auto_C_SUB auto_SLTI 98 1 +auto_C_SUB auto_SLTIU 88 1 +auto_C_SUB auto_XORI 91 1 +auto_C_SUB auto_ORI 92 1 +auto_C_SUB auto_ANDI 99 1 +auto_C_SUB auto_SLLI 66 1 +auto_C_SUB auto_SRLI 92 1 +auto_C_SUB auto_SRAI 100 1 +auto_C_SUB auto_ADD 76 1 +auto_C_SUB auto_SUB 96 1 +auto_C_SUB auto_SLL 92 1 +auto_C_SUB auto_SLT 91 1 +auto_C_SUB auto_SLTU 90 1 +auto_C_SUB auto_XOR 86 1 +auto_C_SUB auto_SRL 91 1 +auto_C_SUB auto_SRA 102 1 +auto_C_SUB auto_OR 100 1 +auto_C_SUB auto_AND 102 1 +auto_C_SUB auto_FENCE 73 1 +auto_C_SUB auto_MRET 392 1 +auto_C_SUB auto_MUL 108 1 +auto_C_SUB auto_MULH 95 1 +auto_C_SUB auto_MULHSU 118 1 +auto_C_SUB auto_MULHU 99 1 +auto_C_SUB auto_DIV 91 1 +auto_C_SUB auto_DIVU 104 1 +auto_C_SUB auto_REM 96 1 +auto_C_SUB auto_REMU 93 1 +auto_C_SUB auto_C_ADDI4SPN 83 1 +auto_C_SUB auto_C_NOP 348 1 +auto_C_SUB auto_C_ADDI 98 1 +auto_C_SUB auto_C_JAL 27 1 +auto_C_SUB auto_C_LI 103 1 +auto_C_SUB auto_C_ADDI16SP 74 1 +auto_C_SUB auto_C_LUI 105 1 +auto_C_SUB auto_C_SRLI 104 1 +auto_C_SUB auto_C_SRAI 80 1 +auto_C_SUB auto_C_ANDI 104 1 +auto_C_SUB auto_C_SUB 99 1 +auto_C_SUB auto_C_XOR 83 1 +auto_C_SUB auto_C_OR 91 1 +auto_C_SUB auto_C_AND 123 1 +auto_C_SUB auto_C_J 30 1 +auto_C_SUB auto_C_BEQZ 49 1 +auto_C_SUB auto_C_BNEZ 44 1 +auto_C_SUB auto_C_SLLI 105 1 +auto_C_SUB auto_C_LWSP 3 1 +auto_C_SUB auto_C_MV 99 1 +auto_C_SUB auto_C_JALR 6 1 +auto_C_SUB auto_C_ADD 132 1 +auto_C_SUB auto_C_SWSP 1 1 +auto_C_SUB auto_SH1ADD 113 1 +auto_C_SUB auto_SH2ADD 102 1 +auto_C_SUB auto_SH3ADD 94 1 +auto_C_SUB auto_CLZ 111 1 +auto_C_SUB auto_CTZ 107 1 +auto_C_SUB auto_CPOP 79 1 +auto_C_SUB auto_MIN 88 1 +auto_C_SUB auto_MAX 116 1 +auto_C_SUB auto_MINU 112 1 +auto_C_SUB auto_MAXU 107 1 +auto_C_SUB auto_SEXT_B 104 1 +auto_C_SUB auto_SEXT_H 84 1 +auto_C_SUB auto_ZEXT_H 89 1 +auto_C_SUB auto_ANDN 88 1 +auto_C_SUB auto_ORN 103 1 +auto_C_SUB auto_XNOR 103 1 +auto_C_SUB auto_ROR 99 1 +auto_C_SUB auto_RORI 108 1 +auto_C_SUB auto_ROL 87 1 +auto_C_SUB auto_REV8 97 1 +auto_C_SUB auto_ORC_B 79 1 +auto_C_SUB auto_CLMUL 85 1 +auto_C_SUB auto_CLMULH 109 1 +auto_C_SUB auto_CLMULR 109 1 +auto_C_SUB auto_BSET 106 1 +auto_C_SUB auto_BSETI 113 1 +auto_C_SUB auto_BCLR 99 1 +auto_C_SUB auto_BCLRI 110 1 +auto_C_SUB auto_BINV 107 1 +auto_C_SUB auto_BINVI 87 1 +auto_C_SUB auto_BEXT 108 1 +auto_C_SUB auto_BEXTI 77 1 +auto_C_SUB auto_CSRRW 66 1 +auto_C_SUB auto_CSRRS 67 1 +auto_C_SUB auto_CSRRC 64 1 +auto_C_SUB auto_CSRRWI 64 1 +auto_C_SUB auto_CSRRSI 43 1 +auto_C_SUB auto_CSRRCI 59 1 +auto_C_SUB auto_C_LBU 3 1 +auto_C_SUB auto_C_SB 2 1 +auto_C_SUB auto_C_ZEXT_B 97 1 +auto_C_SUB auto_C_SEXT_B 82 1 +auto_C_SUB auto_C_ZEXT_H 90 1 +auto_C_SUB auto_C_SEXT_H 86 1 +auto_C_SUB auto_C_NOT 87 1 +auto_C_SUB auto_C_MUL 81 1 +auto_C_XOR auto_LUI 95 1 +auto_C_XOR auto_AUIPC 97 1 +auto_C_XOR auto_JAL 52 1 +auto_C_XOR auto_JALR 3 1 +auto_C_XOR auto_BEQ 44 1 +auto_C_XOR auto_BNE 62 1 +auto_C_XOR auto_BLT 43 1 +auto_C_XOR auto_BGE 42 1 +auto_C_XOR auto_BLTU 50 1 +auto_C_XOR auto_BGEU 46 1 +auto_C_XOR auto_LB 285 1 +auto_C_XOR auto_LH 71 1 +auto_C_XOR auto_LW 44 1 +auto_C_XOR auto_LBU 265 1 +auto_C_XOR auto_LHU 82 1 +auto_C_XOR auto_SB 259 1 +auto_C_XOR auto_SH 73 1 +auto_C_XOR auto_SW 49 1 +auto_C_XOR auto_ADDI 185 1 +auto_C_XOR auto_SLTI 93 1 +auto_C_XOR auto_SLTIU 89 1 +auto_C_XOR auto_XORI 118 1 +auto_C_XOR auto_ORI 101 1 +auto_C_XOR auto_ANDI 135 1 +auto_C_XOR auto_SLLI 112 1 +auto_C_XOR auto_SRLI 80 1 +auto_C_XOR auto_SRAI 102 1 +auto_C_XOR auto_ADD 70 1 +auto_C_XOR auto_SUB 72 1 +auto_C_XOR auto_SLL 94 1 +auto_C_XOR auto_SLT 105 1 +auto_C_XOR auto_SLTU 114 1 +auto_C_XOR auto_XOR 100 1 +auto_C_XOR auto_SRL 87 1 +auto_C_XOR auto_SRA 91 1 +auto_C_XOR auto_OR 93 1 +auto_C_XOR auto_AND 108 1 +auto_C_XOR auto_FENCE 83 1 +auto_C_XOR auto_MRET 328 1 +auto_C_XOR auto_WFI 1 1 +auto_C_XOR auto_MUL 96 1 +auto_C_XOR auto_MULH 123 1 +auto_C_XOR auto_MULHSU 109 1 +auto_C_XOR auto_MULHU 99 1 +auto_C_XOR auto_DIV 101 1 +auto_C_XOR auto_DIVU 98 1 +auto_C_XOR auto_REM 88 1 +auto_C_XOR auto_REMU 114 1 +auto_C_XOR auto_C_ADDI4SPN 69 1 +auto_C_XOR auto_C_NOP 355 1 +auto_C_XOR auto_C_ADDI 110 1 +auto_C_XOR auto_C_JAL 19 1 +auto_C_XOR auto_C_LI 96 1 +auto_C_XOR auto_C_ADDI16SP 72 1 +auto_C_XOR auto_C_LUI 100 1 +auto_C_XOR auto_C_SRLI 80 1 +auto_C_XOR auto_C_SRAI 99 1 +auto_C_XOR auto_C_ANDI 86 1 +auto_C_XOR auto_C_SUB 88 1 +auto_C_XOR auto_C_XOR 95 1 +auto_C_XOR auto_C_OR 78 1 +auto_C_XOR auto_C_AND 98 1 +auto_C_XOR auto_C_J 32 1 +auto_C_XOR auto_C_BEQZ 44 1 +auto_C_XOR auto_C_BNEZ 47 1 +auto_C_XOR auto_C_SLLI 107 1 +auto_C_XOR auto_C_LWSP 6 1 +auto_C_XOR auto_C_JR 2 1 +auto_C_XOR auto_C_MV 122 1 +auto_C_XOR auto_C_JALR 1 1 +auto_C_XOR auto_C_ADD 134 1 +auto_C_XOR auto_C_SWSP 5 1 +auto_C_XOR auto_SH1ADD 98 1 +auto_C_XOR auto_SH2ADD 107 1 +auto_C_XOR auto_SH3ADD 106 1 +auto_C_XOR auto_CLZ 112 1 +auto_C_XOR auto_CTZ 97 1 +auto_C_XOR auto_CPOP 113 1 +auto_C_XOR auto_MIN 103 1 +auto_C_XOR auto_MAX 82 1 +auto_C_XOR auto_MINU 82 1 +auto_C_XOR auto_MAXU 98 1 +auto_C_XOR auto_SEXT_B 83 1 +auto_C_XOR auto_SEXT_H 83 1 +auto_C_XOR auto_ZEXT_H 109 1 +auto_C_XOR auto_ANDN 114 1 +auto_C_XOR auto_ORN 102 1 +auto_C_XOR auto_XNOR 89 1 +auto_C_XOR auto_ROR 101 1 +auto_C_XOR auto_RORI 103 1 +auto_C_XOR auto_ROL 108 1 +auto_C_XOR auto_REV8 90 1 +auto_C_XOR auto_ORC_B 95 1 +auto_C_XOR auto_CLMUL 106 1 +auto_C_XOR auto_CLMULH 101 1 +auto_C_XOR auto_CLMULR 101 1 +auto_C_XOR auto_BSET 84 1 +auto_C_XOR auto_BSETI 93 1 +auto_C_XOR auto_BCLR 108 1 +auto_C_XOR auto_BCLRI 104 1 +auto_C_XOR auto_BINV 88 1 +auto_C_XOR auto_BINVI 106 1 +auto_C_XOR auto_BEXT 92 1 +auto_C_XOR auto_BEXTI 85 1 +auto_C_XOR auto_CSRRW 53 1 +auto_C_XOR auto_CSRRS 53 1 +auto_C_XOR auto_CSRRC 64 1 +auto_C_XOR auto_CSRRWI 61 1 +auto_C_XOR auto_CSRRSI 52 1 +auto_C_XOR auto_CSRRCI 59 1 +auto_C_XOR auto_C_LBU 2 1 +auto_C_XOR auto_C_ZEXT_B 77 1 +auto_C_XOR auto_C_SEXT_B 80 1 +auto_C_XOR auto_C_ZEXT_H 103 1 +auto_C_XOR auto_C_SEXT_H 99 1 +auto_C_XOR auto_C_NOT 104 1 +auto_C_XOR auto_C_MUL 88 1 +auto_C_OR auto_LUI 98 1 +auto_C_OR auto_AUIPC 86 1 +auto_C_OR auto_JAL 35 1 +auto_C_OR auto_JALR 1 1 +auto_C_OR auto_BEQ 51 1 +auto_C_OR auto_BNE 59 1 +auto_C_OR auto_BLT 51 1 +auto_C_OR auto_BGE 37 1 +auto_C_OR auto_BLTU 53 1 +auto_C_OR auto_BGEU 46 1 +auto_C_OR auto_LB 287 1 +auto_C_OR auto_LH 83 1 +auto_C_OR auto_LW 99 1 +auto_C_OR auto_LBU 255 1 +auto_C_OR auto_LHU 93 1 +auto_C_OR auto_SB 246 1 +auto_C_OR auto_SH 79 1 +auto_C_OR auto_SW 44 1 +auto_C_OR auto_ADDI 170 1 +auto_C_OR auto_SLTI 112 1 +auto_C_OR auto_SLTIU 101 1 +auto_C_OR auto_XORI 92 1 +auto_C_OR auto_ORI 112 1 +auto_C_OR auto_ANDI 86 1 +auto_C_OR auto_SLLI 127 1 +auto_C_OR auto_SRLI 128 1 +auto_C_OR auto_SRAI 84 1 +auto_C_OR auto_ADD 78 1 +auto_C_OR auto_SUB 95 1 +auto_C_OR auto_SLL 96 1 +auto_C_OR auto_SLT 105 1 +auto_C_OR auto_SLTU 108 1 +auto_C_OR auto_XOR 103 1 +auto_C_OR auto_SRL 83 1 +auto_C_OR auto_SRA 93 1 +auto_C_OR auto_OR 81 1 +auto_C_OR auto_AND 89 1 +auto_C_OR auto_FENCE 60 1 +auto_C_OR auto_MRET 366 1 +auto_C_OR auto_MUL 97 1 +auto_C_OR auto_MULH 106 1 +auto_C_OR auto_MULHSU 95 1 +auto_C_OR auto_MULHU 97 1 +auto_C_OR auto_DIV 105 1 +auto_C_OR auto_DIVU 89 1 +auto_C_OR auto_REM 88 1 +auto_C_OR auto_REMU 91 1 +auto_C_OR auto_C_ADDI4SPN 72 1 +auto_C_OR auto_C_SW 1 1 +auto_C_OR auto_C_NOP 347 1 +auto_C_OR auto_C_ADDI 144 1 +auto_C_OR auto_C_JAL 29 1 +auto_C_OR auto_C_LI 85 1 +auto_C_OR auto_C_ADDI16SP 108 1 +auto_C_OR auto_C_LUI 93 1 +auto_C_OR auto_C_SRLI 114 1 +auto_C_OR auto_C_SRAI 91 1 +auto_C_OR auto_C_ANDI 92 1 +auto_C_OR auto_C_SUB 90 1 +auto_C_OR auto_C_XOR 122 1 +auto_C_OR auto_C_OR 102 1 +auto_C_OR auto_C_AND 103 1 +auto_C_OR auto_C_J 33 1 +auto_C_OR auto_C_BEQZ 41 1 +auto_C_OR auto_C_BNEZ 49 1 +auto_C_OR auto_C_SLLI 116 1 +auto_C_OR auto_C_LWSP 2 1 +auto_C_OR auto_C_MV 132 1 +auto_C_OR auto_C_JALR 2 1 +auto_C_OR auto_C_ADD 126 1 +auto_C_OR auto_C_SWSP 8 1 +auto_C_OR auto_SH1ADD 88 1 +auto_C_OR auto_SH2ADD 106 1 +auto_C_OR auto_SH3ADD 110 1 +auto_C_OR auto_CLZ 95 1 +auto_C_OR auto_CTZ 87 1 +auto_C_OR auto_CPOP 96 1 +auto_C_OR auto_MIN 106 1 +auto_C_OR auto_MAX 86 1 +auto_C_OR auto_MINU 91 1 +auto_C_OR auto_MAXU 96 1 +auto_C_OR auto_SEXT_B 117 1 +auto_C_OR auto_SEXT_H 102 1 +auto_C_OR auto_ZEXT_H 106 1 +auto_C_OR auto_ANDN 99 1 +auto_C_OR auto_ORN 94 1 +auto_C_OR auto_XNOR 83 1 +auto_C_OR auto_ROR 114 1 +auto_C_OR auto_RORI 114 1 +auto_C_OR auto_ROL 94 1 +auto_C_OR auto_REV8 90 1 +auto_C_OR auto_ORC_B 103 1 +auto_C_OR auto_CLMUL 99 1 +auto_C_OR auto_CLMULH 104 1 +auto_C_OR auto_CLMULR 84 1 +auto_C_OR auto_BSET 86 1 +auto_C_OR auto_BSETI 90 1 +auto_C_OR auto_BCLR 93 1 +auto_C_OR auto_BCLRI 98 1 +auto_C_OR auto_BINV 110 1 +auto_C_OR auto_BINVI 126 1 +auto_C_OR auto_BEXT 94 1 +auto_C_OR auto_BEXTI 78 1 +auto_C_OR auto_CSRRW 55 1 +auto_C_OR auto_CSRRS 53 1 +auto_C_OR auto_CSRRC 58 1 +auto_C_OR auto_CSRRWI 59 1 +auto_C_OR auto_CSRRSI 42 1 +auto_C_OR auto_CSRRCI 61 1 +auto_C_OR auto_C_LBU 2 1 +auto_C_OR auto_C_LHU 1 1 +auto_C_OR auto_C_LH 2 1 +auto_C_OR auto_C_SB 3 1 +auto_C_OR auto_C_SH 1 1 +auto_C_OR auto_C_ZEXT_B 91 1 +auto_C_OR auto_C_SEXT_B 92 1 +auto_C_OR auto_C_ZEXT_H 92 1 +auto_C_OR auto_C_SEXT_H 103 1 +auto_C_OR auto_C_NOT 87 1 +auto_C_OR auto_C_MUL 83 1 +auto_C_AND auto_LUI 109 1 +auto_C_AND auto_AUIPC 108 1 +auto_C_AND auto_JAL 29 1 +auto_C_AND auto_JALR 14 1 +auto_C_AND auto_BEQ 53 1 +auto_C_AND auto_BNE 53 1 +auto_C_AND auto_BLT 42 1 +auto_C_AND auto_BGE 52 1 +auto_C_AND auto_BLTU 47 1 +auto_C_AND auto_BGEU 46 1 +auto_C_AND auto_LB 270 1 +auto_C_AND auto_LH 99 1 +auto_C_AND auto_LW 37 1 +auto_C_AND auto_LBU 272 1 +auto_C_AND auto_LHU 91 1 +auto_C_AND auto_SB 288 1 +auto_C_AND auto_SH 92 1 +auto_C_AND auto_SW 39 1 +auto_C_AND auto_ADDI 174 1 +auto_C_AND auto_SLTI 115 1 +auto_C_AND auto_SLTIU 97 1 +auto_C_AND auto_XORI 106 1 +auto_C_AND auto_ORI 94 1 +auto_C_AND auto_ANDI 97 1 +auto_C_AND auto_SLLI 81 1 +auto_C_AND auto_SRLI 96 1 +auto_C_AND auto_SRAI 79 1 +auto_C_AND auto_ADD 71 1 +auto_C_AND auto_SUB 90 1 +auto_C_AND auto_SLL 107 1 +auto_C_AND auto_SLT 110 1 +auto_C_AND auto_SLTU 111 1 +auto_C_AND auto_XOR 92 1 +auto_C_AND auto_SRL 84 1 +auto_C_AND auto_SRA 111 1 +auto_C_AND auto_OR 110 1 +auto_C_AND auto_AND 89 1 +auto_C_AND auto_FENCE 74 1 +auto_C_AND auto_MRET 293 1 +auto_C_AND auto_WFI 1 1 +auto_C_AND auto_MUL 83 1 +auto_C_AND auto_MULH 111 1 +auto_C_AND auto_MULHSU 90 1 +auto_C_AND auto_MULHU 86 1 +auto_C_AND auto_DIV 87 1 +auto_C_AND auto_DIVU 84 1 +auto_C_AND auto_REM 85 1 +auto_C_AND auto_REMU 101 1 +auto_C_AND auto_C_ADDI4SPN 78 1 +auto_C_AND auto_C_SW 1 1 +auto_C_AND auto_C_NOP 333 1 +auto_C_AND auto_C_ADDI 134 1 +auto_C_AND auto_C_JAL 29 1 +auto_C_AND auto_C_LI 3996 1 +auto_C_AND auto_C_ADDI16SP 74 1 +auto_C_AND auto_C_LUI 83 1 +auto_C_AND auto_C_SRLI 94 1 +auto_C_AND auto_C_SRAI 103 1 +auto_C_AND auto_C_ANDI 100 1 +auto_C_AND auto_C_SUB 92 1 +auto_C_AND auto_C_XOR 111 1 +auto_C_AND auto_C_OR 109 1 +auto_C_AND auto_C_AND 94 1 +auto_C_AND auto_C_J 18 1 +auto_C_AND auto_C_BEQZ 47 1 +auto_C_AND auto_C_BNEZ 48 1 +auto_C_AND auto_C_SLLI 128 1 +auto_C_AND auto_C_LWSP 5 1 +auto_C_AND auto_C_MV 102 1 +auto_C_AND auto_C_JALR 3 1 +auto_C_AND auto_C_ADD 119 1 +auto_C_AND auto_C_SWSP 3 1 +auto_C_AND auto_SH1ADD 96 1 +auto_C_AND auto_SH2ADD 96 1 +auto_C_AND auto_SH3ADD 94 1 +auto_C_AND auto_CLZ 86 1 +auto_C_AND auto_CTZ 106 1 +auto_C_AND auto_CPOP 114 1 +auto_C_AND auto_MIN 105 1 +auto_C_AND auto_MAX 94 1 +auto_C_AND auto_MINU 113 1 +auto_C_AND auto_MAXU 102 1 +auto_C_AND auto_SEXT_B 89 1 +auto_C_AND auto_SEXT_H 100 1 +auto_C_AND auto_ZEXT_H 107 1 +auto_C_AND auto_ANDN 97 1 +auto_C_AND auto_ORN 94 1 +auto_C_AND auto_XNOR 92 1 +auto_C_AND auto_ROR 95 1 +auto_C_AND auto_RORI 114 1 +auto_C_AND auto_ROL 72 1 +auto_C_AND auto_REV8 86 1 +auto_C_AND auto_ORC_B 113 1 +auto_C_AND auto_CLMUL 112 1 +auto_C_AND auto_CLMULH 88 1 +auto_C_AND auto_CLMULR 108 1 +auto_C_AND auto_BSET 87 1 +auto_C_AND auto_BSETI 112 1 +auto_C_AND auto_BCLR 111 1 +auto_C_AND auto_BCLRI 114 1 +auto_C_AND auto_BINV 100 1 +auto_C_AND auto_BINVI 104 1 +auto_C_AND auto_BEXT 96 1 +auto_C_AND auto_BEXTI 83 1 +auto_C_AND auto_CSRRW 53 1 +auto_C_AND auto_CSRRS 59 1 +auto_C_AND auto_CSRRC 48 1 +auto_C_AND auto_CSRRWI 51 1 +auto_C_AND auto_CSRRSI 51 1 +auto_C_AND auto_CSRRCI 64 1 +auto_C_AND auto_C_LBU 2 1 +auto_C_AND auto_C_LHU 2 1 +auto_C_AND auto_C_SH 1 1 +auto_C_AND auto_C_ZEXT_B 96 1 +auto_C_AND auto_C_SEXT_B 94 1 +auto_C_AND auto_C_ZEXT_H 106 1 +auto_C_AND auto_C_SEXT_H 97 1 +auto_C_AND auto_C_NOT 105 1 +auto_C_AND auto_C_MUL 85 1 +auto_C_J auto_LUI 40 1 +auto_C_J auto_AUIPC 23 1 +auto_C_J auto_JAL 21823 1 +auto_C_J auto_BEQ 2340 1 +auto_C_J auto_BNE 4 1 +auto_C_J auto_BLT 1 1 +auto_C_J auto_BGE 1 1 +auto_C_J auto_BGEU 528 1 +auto_C_J auto_SW 2383 1 +auto_C_J auto_ADDI 32 1 +auto_C_J auto_SLTI 29 1 +auto_C_J auto_SLTIU 29 1 +auto_C_J auto_XORI 28 1 +auto_C_J auto_ORI 28 1 +auto_C_J auto_ANDI 26 1 +auto_C_J auto_SLLI 22 1 +auto_C_J auto_SRLI 31 1 +auto_C_J auto_SRAI 17 1 +auto_C_J auto_ADD 28 1 +auto_C_J auto_SUB 30 1 +auto_C_J auto_SLL 22 1 +auto_C_J auto_SLT 33 1 +auto_C_J auto_SLTU 27 1 +auto_C_J auto_XOR 26 1 +auto_C_J auto_SRL 26 1 +auto_C_J auto_SRA 22 1 +auto_C_J auto_OR 34 1 +auto_C_J auto_AND 23 1 +auto_C_J auto_FENCE 19 1 +auto_C_J auto_ECALL 1 1 +auto_C_J auto_MRET 80 1 +auto_C_J auto_WFI 5 1 +auto_C_J auto_MUL 28 1 +auto_C_J auto_MULH 37 1 +auto_C_J auto_MULHSU 31 1 +auto_C_J auto_MULHU 31 1 +auto_C_J auto_DIV 21 1 +auto_C_J auto_DIVU 35 1 +auto_C_J auto_REM 27 1 +auto_C_J auto_REMU 26 1 +auto_C_J auto_C_ADDI4SPN 17 1 +auto_C_J auto_C_SW 494 1 +auto_C_J auto_C_NOP 187 1 +auto_C_J auto_C_ADDI 17 1 +auto_C_J auto_C_JAL 18733 1 +auto_C_J auto_C_LI 22 1 +auto_C_J auto_C_ADDI16SP 17 1 +auto_C_J auto_C_LUI 26 1 +auto_C_J auto_C_SRLI 18 1 +auto_C_J auto_C_SRAI 16 1 +auto_C_J auto_C_ANDI 10 1 +auto_C_J auto_C_SUB 24 1 +auto_C_J auto_C_XOR 23 1 +auto_C_J auto_C_OR 18 1 +auto_C_J auto_C_AND 16 1 +auto_C_J auto_C_J 19055 1 +auto_C_J auto_C_BNEZ 35 1 +auto_C_J auto_C_SLLI 23 1 +auto_C_J auto_C_LWSP 1 1 +auto_C_J auto_C_JR 2 1 +auto_C_J auto_C_MV 12 1 +auto_C_J auto_C_ADD 23 1 +auto_C_J auto_SH1ADD 27 1 +auto_C_J auto_SH2ADD 27 1 +auto_C_J auto_SH3ADD 29 1 +auto_C_J auto_CLZ 30 1 +auto_C_J auto_CTZ 28 1 +auto_C_J auto_CPOP 23 1 +auto_C_J auto_MIN 21 1 +auto_C_J auto_MAX 17 1 +auto_C_J auto_MINU 24 1 +auto_C_J auto_MAXU 24 1 +auto_C_J auto_SEXT_B 25 1 +auto_C_J auto_SEXT_H 20 1 +auto_C_J auto_ZEXT_H 23 1 +auto_C_J auto_ANDN 19 1 +auto_C_J auto_ORN 29 1 +auto_C_J auto_XNOR 22 1 +auto_C_J auto_ROR 33 1 +auto_C_J auto_RORI 26 1 +auto_C_J auto_ROL 21 1 +auto_C_J auto_REV8 32 1 +auto_C_J auto_ORC_B 15 1 +auto_C_J auto_CLMUL 25 1 +auto_C_J auto_CLMULH 25 1 +auto_C_J auto_CLMULR 35 1 +auto_C_J auto_BSET 20 1 +auto_C_J auto_BSETI 24 1 +auto_C_J auto_BCLR 23 1 +auto_C_J auto_BCLRI 18 1 +auto_C_J auto_BINV 28 1 +auto_C_J auto_BINVI 24 1 +auto_C_J auto_BEXT 23 1 +auto_C_J auto_BEXTI 26 1 +auto_C_J auto_CSRRW 13 1 +auto_C_J auto_CSRRS 10 1 +auto_C_J auto_CSRRC 6 1 +auto_C_J auto_CSRRWI 15 1 +auto_C_J auto_CSRRSI 11 1 +auto_C_J auto_CSRRCI 16 1 +auto_C_J auto_C_ZEXT_B 16 1 +auto_C_J auto_C_SEXT_B 15 1 +auto_C_J auto_C_ZEXT_H 20 1 +auto_C_J auto_C_SEXT_H 20 1 +auto_C_J auto_C_NOT 14 1 +auto_C_J auto_C_MUL 12 1 +auto_C_BEQZ auto_LUI 51 1 +auto_C_BEQZ auto_AUIPC 38 1 +auto_C_BEQZ auto_BEQ 53 1 +auto_C_BEQZ auto_BNE 58 1 +auto_C_BEQZ auto_BLT 37 1 +auto_C_BEQZ auto_BGE 49 1 +auto_C_BEQZ auto_BLTU 53 1 +auto_C_BEQZ auto_BGEU 51 1 +auto_C_BEQZ auto_LB 9 1 +auto_C_BEQZ auto_LH 1 1 +auto_C_BEQZ auto_LW 17 1 +auto_C_BEQZ auto_LBU 2 1 +auto_C_BEQZ auto_SB 9 1 +auto_C_BEQZ auto_SH 1 1 +auto_C_BEQZ auto_ADDI 50 1 +auto_C_BEQZ auto_SLTI 36 1 +auto_C_BEQZ auto_SLTIU 55 1 +auto_C_BEQZ auto_XORI 45 1 +auto_C_BEQZ auto_ORI 45 1 +auto_C_BEQZ auto_ANDI 58 1 +auto_C_BEQZ auto_SLLI 53 1 +auto_C_BEQZ auto_SRLI 45 1 +auto_C_BEQZ auto_SRAI 36 1 +auto_C_BEQZ auto_ADD 52 1 +auto_C_BEQZ auto_SUB 43 1 +auto_C_BEQZ auto_SLL 35 1 +auto_C_BEQZ auto_SLT 43 1 +auto_C_BEQZ auto_SLTU 62 1 +auto_C_BEQZ auto_XOR 50 1 +auto_C_BEQZ auto_SRL 50 1 +auto_C_BEQZ auto_SRA 40 1 +auto_C_BEQZ auto_OR 40 1 +auto_C_BEQZ auto_AND 55 1 +auto_C_BEQZ auto_FENCE 36 1 +auto_C_BEQZ auto_MRET 96 1 +auto_C_BEQZ auto_MUL 55 1 +auto_C_BEQZ auto_MULH 41 1 +auto_C_BEQZ auto_MULHSU 45 1 +auto_C_BEQZ auto_MULHU 53 1 +auto_C_BEQZ auto_DIV 48 1 +auto_C_BEQZ auto_DIVU 53 1 +auto_C_BEQZ auto_REM 41 1 +auto_C_BEQZ auto_REMU 48 1 +auto_C_BEQZ auto_C_ADDI4SPN 51 1 +auto_C_BEQZ auto_C_NOP 142 1 +auto_C_BEQZ auto_C_ADDI 42 1 +auto_C_BEQZ auto_C_LI 49 1 +auto_C_BEQZ auto_C_ADDI16SP 36 1 +auto_C_BEQZ auto_C_LUI 60 1 +auto_C_BEQZ auto_C_SRLI 49 1 +auto_C_BEQZ auto_C_SRAI 43 1 +auto_C_BEQZ auto_C_ANDI 44 1 +auto_C_BEQZ auto_C_SUB 48 1 +auto_C_BEQZ auto_C_XOR 41 1 +auto_C_BEQZ auto_C_OR 36 1 +auto_C_BEQZ auto_C_AND 45 1 +auto_C_BEQZ auto_C_J 1 1 +auto_C_BEQZ auto_C_BEQZ 48 1 +auto_C_BEQZ auto_C_BNEZ 45 1 +auto_C_BEQZ auto_C_SLLI 53 1 +auto_C_BEQZ auto_C_MV 44 1 +auto_C_BEQZ auto_C_ADD 59 1 +auto_C_BEQZ auto_SH1ADD 41 1 +auto_C_BEQZ auto_SH2ADD 51 1 +auto_C_BEQZ auto_SH3ADD 49 1 +auto_C_BEQZ auto_CLZ 56 1 +auto_C_BEQZ auto_CTZ 50 1 +auto_C_BEQZ auto_CPOP 42 1 +auto_C_BEQZ auto_MIN 45 1 +auto_C_BEQZ auto_MAX 47 1 +auto_C_BEQZ auto_MINU 47 1 +auto_C_BEQZ auto_MAXU 44 1 +auto_C_BEQZ auto_SEXT_B 39 1 +auto_C_BEQZ auto_SEXT_H 47 1 +auto_C_BEQZ auto_ZEXT_H 47 1 +auto_C_BEQZ auto_ANDN 42 1 +auto_C_BEQZ auto_ORN 32 1 +auto_C_BEQZ auto_XNOR 45 1 +auto_C_BEQZ auto_ROR 47 1 +auto_C_BEQZ auto_RORI 51 1 +auto_C_BEQZ auto_ROL 46 1 +auto_C_BEQZ auto_REV8 50 1 +auto_C_BEQZ auto_ORC_B 46 1 +auto_C_BEQZ auto_CLMUL 52 1 +auto_C_BEQZ auto_CLMULH 40 1 +auto_C_BEQZ auto_CLMULR 50 1 +auto_C_BEQZ auto_BSET 44 1 +auto_C_BEQZ auto_BSETI 42 1 +auto_C_BEQZ auto_BCLR 42 1 +auto_C_BEQZ auto_BCLRI 62 1 +auto_C_BEQZ auto_BINV 57 1 +auto_C_BEQZ auto_BINVI 44 1 +auto_C_BEQZ auto_BEXT 43 1 +auto_C_BEQZ auto_BEXTI 44 1 +auto_C_BEQZ auto_CSRRW 40 1 +auto_C_BEQZ auto_CSRRS 23 1 +auto_C_BEQZ auto_CSRRC 50 1 +auto_C_BEQZ auto_CSRRWI 45 1 +auto_C_BEQZ auto_CSRRSI 53 1 +auto_C_BEQZ auto_CSRRCI 51 1 +auto_C_BEQZ auto_C_ZEXT_B 55 1 +auto_C_BEQZ auto_C_SEXT_B 50 1 +auto_C_BEQZ auto_C_ZEXT_H 42 1 +auto_C_BEQZ auto_C_SEXT_H 69 1 +auto_C_BEQZ auto_C_NOT 58 1 +auto_C_BEQZ auto_C_MUL 44 1 +auto_C_BNEZ auto_LUI 46 1 +auto_C_BNEZ auto_AUIPC 26 1 +auto_C_BNEZ auto_BEQ 49 1 +auto_C_BNEZ auto_BNE 40 1 +auto_C_BNEZ auto_BLT 47 1 +auto_C_BNEZ auto_BGE 55 1 +auto_C_BNEZ auto_BLTU 43 1 +auto_C_BNEZ auto_BGEU 32 1 +auto_C_BNEZ auto_LB 5 1 +auto_C_BNEZ auto_LH 2 1 +auto_C_BNEZ auto_LW 1 1 +auto_C_BNEZ auto_LBU 4 1 +auto_C_BNEZ auto_SB 3 1 +auto_C_BNEZ auto_ADDI 36 1 +auto_C_BNEZ auto_SLTI 47 1 +auto_C_BNEZ auto_SLTIU 35 1 +auto_C_BNEZ auto_XORI 56 1 +auto_C_BNEZ auto_ORI 55 1 +auto_C_BNEZ auto_ANDI 39 1 +auto_C_BNEZ auto_SLLI 35 1 +auto_C_BNEZ auto_SRLI 38 1 +auto_C_BNEZ auto_SRAI 45 1 +auto_C_BNEZ auto_ADD 47 1 +auto_C_BNEZ auto_SUB 41 1 +auto_C_BNEZ auto_SLL 61 1 +auto_C_BNEZ auto_SLT 44 1 +auto_C_BNEZ auto_SLTU 47 1 +auto_C_BNEZ auto_XOR 43 1 +auto_C_BNEZ auto_SRL 38 1 +auto_C_BNEZ auto_SRA 44 1 +auto_C_BNEZ auto_OR 49 1 +auto_C_BNEZ auto_AND 32 1 +auto_C_BNEZ auto_FENCE 41 1 +auto_C_BNEZ auto_MRET 92 1 +auto_C_BNEZ auto_MUL 48 1 +auto_C_BNEZ auto_MULH 38 1 +auto_C_BNEZ auto_MULHSU 42 1 +auto_C_BNEZ auto_MULHU 46 1 +auto_C_BNEZ auto_DIV 37 1 +auto_C_BNEZ auto_DIVU 46 1 +auto_C_BNEZ auto_REM 38 1 +auto_C_BNEZ auto_REMU 50 1 +auto_C_BNEZ auto_C_ADDI4SPN 52 1 +auto_C_BNEZ auto_C_NOP 149 1 +auto_C_BNEZ auto_C_ADDI 54 1 +auto_C_BNEZ auto_C_LI 54 1 +auto_C_BNEZ auto_C_ADDI16SP 37 1 +auto_C_BNEZ auto_C_LUI 55 1 +auto_C_BNEZ auto_C_SRLI 65136 1 +auto_C_BNEZ auto_C_SRAI 37 1 +auto_C_BNEZ auto_C_ANDI 74 1 +auto_C_BNEZ auto_C_SUB 42 1 +auto_C_BNEZ auto_C_XOR 42 1 +auto_C_BNEZ auto_C_OR 47 1 +auto_C_BNEZ auto_C_AND 46 1 +auto_C_BNEZ auto_C_BEQZ 47 1 +auto_C_BNEZ auto_C_BNEZ 38 1 +auto_C_BNEZ auto_C_SLLI 53 1 +auto_C_BNEZ auto_C_LWSP 1 1 +auto_C_BNEZ auto_C_MV 62 1 +auto_C_BNEZ auto_C_ADD 59 1 +auto_C_BNEZ auto_SH1ADD 55 1 +auto_C_BNEZ auto_SH2ADD 54 1 +auto_C_BNEZ auto_SH3ADD 40 1 +auto_C_BNEZ auto_CLZ 49 1 +auto_C_BNEZ auto_CTZ 58 1 +auto_C_BNEZ auto_CPOP 38 1 +auto_C_BNEZ auto_MIN 42 1 +auto_C_BNEZ auto_MAX 51 1 +auto_C_BNEZ auto_MINU 46 1 +auto_C_BNEZ auto_MAXU 56 1 +auto_C_BNEZ auto_SEXT_B 44 1 +auto_C_BNEZ auto_SEXT_H 57 1 +auto_C_BNEZ auto_ZEXT_H 101 1 +auto_C_BNEZ auto_ANDN 49 1 +auto_C_BNEZ auto_ORN 42 1 +auto_C_BNEZ auto_XNOR 43 1 +auto_C_BNEZ auto_ROR 61 1 +auto_C_BNEZ auto_RORI 46 1 +auto_C_BNEZ auto_ROL 44 1 +auto_C_BNEZ auto_REV8 51 1 +auto_C_BNEZ auto_ORC_B 36 1 +auto_C_BNEZ auto_CLMUL 47 1 +auto_C_BNEZ auto_CLMULH 55 1 +auto_C_BNEZ auto_CLMULR 46 1 +auto_C_BNEZ auto_BSET 41 1 +auto_C_BNEZ auto_BSETI 39 1 +auto_C_BNEZ auto_BCLR 43 1 +auto_C_BNEZ auto_BCLRI 49 1 +auto_C_BNEZ auto_BINV 51 1 +auto_C_BNEZ auto_BINVI 49 1 +auto_C_BNEZ auto_BEXT 44 1 +auto_C_BNEZ auto_BEXTI 56 1 +auto_C_BNEZ auto_CSRRW 229 1 +auto_C_BNEZ auto_CSRRS 40 1 +auto_C_BNEZ auto_CSRRC 40 1 +auto_C_BNEZ auto_CSRRWI 36 1 +auto_C_BNEZ auto_CSRRSI 40 1 +auto_C_BNEZ auto_CSRRCI 42 1 +auto_C_BNEZ auto_C_ZEXT_B 38 1 +auto_C_BNEZ auto_C_SEXT_B 40 1 +auto_C_BNEZ auto_C_ZEXT_H 39 1 +auto_C_BNEZ auto_C_SEXT_H 58 1 +auto_C_BNEZ auto_C_NOT 41 1 +auto_C_BNEZ auto_C_MUL 41 1 +auto_C_SLLI auto_LUI 98 1 +auto_C_SLLI auto_AUIPC 124 1 +auto_C_SLLI auto_JAL 28 1 +auto_C_SLLI auto_JALR 2 1 +auto_C_SLLI auto_BEQ 71 1 +auto_C_SLLI auto_BNE 43 1 +auto_C_SLLI auto_BLT 48 1 +auto_C_SLLI auto_BGE 35 1 +auto_C_SLLI auto_BLTU 47 1 +auto_C_SLLI auto_BGEU 47 1 +auto_C_SLLI auto_LB 280 1 +auto_C_SLLI auto_LH 85 1 +auto_C_SLLI auto_LW 31 1 +auto_C_SLLI auto_LBU 274 1 +auto_C_SLLI auto_LHU 89 1 +auto_C_SLLI auto_SB 249 1 +auto_C_SLLI auto_SH 96 1 +auto_C_SLLI auto_SW 47 1 +auto_C_SLLI auto_ADDI 227 1 +auto_C_SLLI auto_SLTI 104 1 +auto_C_SLLI auto_SLTIU 111 1 +auto_C_SLLI auto_XORI 125 1 +auto_C_SLLI auto_ORI 138 1 +auto_C_SLLI auto_ANDI 119 1 +auto_C_SLLI auto_SLLI 90 1 +auto_C_SLLI auto_SRLI 127 1 +auto_C_SLLI auto_SRAI 101 1 +auto_C_SLLI auto_ADD 120 1 +auto_C_SLLI auto_SUB 122 1 +auto_C_SLLI auto_SLL 108 1 +auto_C_SLLI auto_SLT 118 1 +auto_C_SLLI auto_SLTU 115 1 +auto_C_SLLI auto_XOR 123 1 +auto_C_SLLI auto_SRL 134 1 +auto_C_SLLI auto_SRA 124 1 +auto_C_SLLI auto_OR 143 1 +auto_C_SLLI auto_AND 128 1 +auto_C_SLLI auto_FENCE 70 1 +auto_C_SLLI auto_MRET 602 1 +auto_C_SLLI auto_WFI 1 1 +auto_C_SLLI auto_MUL 127 1 +auto_C_SLLI auto_MULH 128 1 +auto_C_SLLI auto_MULHSU 105 1 +auto_C_SLLI auto_MULHU 123 1 +auto_C_SLLI auto_DIV 140 1 +auto_C_SLLI auto_DIVU 134 1 +auto_C_SLLI auto_REM 120 1 +auto_C_SLLI auto_REMU 115 1 +auto_C_SLLI auto_C_ADDI4SPN 106 1 +auto_C_SLLI auto_C_SW 1 1 +auto_C_SLLI auto_C_NOP 437 1 +auto_C_SLLI auto_C_ADDI 165 1 +auto_C_SLLI auto_C_JAL 35 1 +auto_C_SLLI auto_C_LI 227 1 +auto_C_SLLI auto_C_ADDI16SP 108 1 +auto_C_SLLI auto_C_LUI 182 1 +auto_C_SLLI auto_C_SRLI 180 1 +auto_C_SLLI auto_C_SRAI 133 1 +auto_C_SLLI auto_C_ANDI 111 1 +auto_C_SLLI auto_C_SUB 124 1 +auto_C_SLLI auto_C_XOR 124 1 +auto_C_SLLI auto_C_OR 123 1 +auto_C_SLLI auto_C_AND 134 1 +auto_C_SLLI auto_C_J 32 1 +auto_C_SLLI auto_C_BEQZ 61 1 +auto_C_SLLI auto_C_BNEZ 66 1 +auto_C_SLLI auto_C_SLLI 261 1 +auto_C_SLLI auto_C_LWSP 3 1 +auto_C_SLLI auto_C_JR 5 1 +auto_C_SLLI auto_C_MV 181 1 +auto_C_SLLI auto_C_ADD 176 1 +auto_C_SLLI auto_C_SWSP 3 1 +auto_C_SLLI auto_SH1ADD 119 1 +auto_C_SLLI auto_SH2ADD 106 1 +auto_C_SLLI auto_SH3ADD 125 1 +auto_C_SLLI auto_CLZ 123 1 +auto_C_SLLI auto_CTZ 120 1 +auto_C_SLLI auto_CPOP 116 1 +auto_C_SLLI auto_MIN 109 1 +auto_C_SLLI auto_MAX 143 1 +auto_C_SLLI auto_MINU 131 1 +auto_C_SLLI auto_MAXU 128 1 +auto_C_SLLI auto_SEXT_B 128 1 +auto_C_SLLI auto_SEXT_H 135 1 +auto_C_SLLI auto_ZEXT_H 137 1 +auto_C_SLLI auto_ANDN 126 1 +auto_C_SLLI auto_ORN 128 1 +auto_C_SLLI auto_XNOR 115 1 +auto_C_SLLI auto_ROR 130 1 +auto_C_SLLI auto_RORI 126 1 +auto_C_SLLI auto_ROL 139 1 +auto_C_SLLI auto_REV8 125 1 +auto_C_SLLI auto_ORC_B 130 1 +auto_C_SLLI auto_CLMUL 136 1 +auto_C_SLLI auto_CLMULH 131 1 +auto_C_SLLI auto_CLMULR 135 1 +auto_C_SLLI auto_BSET 110 1 +auto_C_SLLI auto_BSETI 117 1 +auto_C_SLLI auto_BCLR 130 1 +auto_C_SLLI auto_BCLRI 126 1 +auto_C_SLLI auto_BINV 127 1 +auto_C_SLLI auto_BINVI 109 1 +auto_C_SLLI auto_BEXT 124 1 +auto_C_SLLI auto_BEXTI 123 1 +auto_C_SLLI auto_CSRRW 68 1 +auto_C_SLLI auto_CSRRS 60 1 +auto_C_SLLI auto_CSRRC 64 1 +auto_C_SLLI auto_CSRRWI 81 1 +auto_C_SLLI auto_CSRRSI 58 1 +auto_C_SLLI auto_CSRRCI 71 1 +auto_C_SLLI auto_C_LBU 1 1 +auto_C_SLLI auto_C_SB 3 1 +auto_C_SLLI auto_C_ZEXT_B 130 1 +auto_C_SLLI auto_C_SEXT_B 124 1 +auto_C_SLLI auto_C_ZEXT_H 137 1 +auto_C_SLLI auto_C_SEXT_H 139 1 +auto_C_SLLI auto_C_NOT 122 1 +auto_C_SLLI auto_C_MUL 123 1 +auto_C_LWSP auto_LUI 2 1 +auto_C_LWSP auto_AUIPC 5 1 +auto_C_LWSP auto_LB 132 1 +auto_C_LWSP auto_LH 27 1 +auto_C_LWSP auto_LW 40 1 +auto_C_LWSP auto_LBU 139 1 +auto_C_LWSP auto_LHU 39 1 +auto_C_LWSP auto_SB 127 1 +auto_C_LWSP auto_SH 35 1 +auto_C_LWSP auto_SW 7 1 +auto_C_LWSP auto_ADDI 59 1 +auto_C_LWSP auto_SLTI 2 1 +auto_C_LWSP auto_SLTIU 3 1 +auto_C_LWSP auto_XORI 6 1 +auto_C_LWSP auto_ORI 4 1 +auto_C_LWSP auto_ANDI 5 1 +auto_C_LWSP auto_SLLI 3 1 +auto_C_LWSP auto_SRLI 6 1 +auto_C_LWSP auto_SRAI 7 1 +auto_C_LWSP auto_ADD 7791 1 +auto_C_LWSP auto_SUB 4 1 +auto_C_LWSP auto_SLL 3 1 +auto_C_LWSP auto_SLT 4 1 +auto_C_LWSP auto_SLTU 9 1 +auto_C_LWSP auto_XOR 6 1 +auto_C_LWSP auto_SRL 6 1 +auto_C_LWSP auto_SRA 3 1 +auto_C_LWSP auto_OR 5 1 +auto_C_LWSP auto_AND 7 1 +auto_C_LWSP auto_FENCE 6 1 +auto_C_LWSP auto_MRET 29 1 +auto_C_LWSP auto_MUL 2 1 +auto_C_LWSP auto_MULH 5 1 +auto_C_LWSP auto_MULHSU 3 1 +auto_C_LWSP auto_MULHU 6 1 +auto_C_LWSP auto_DIV 7 1 +auto_C_LWSP auto_DIVU 5 1 +auto_C_LWSP auto_REM 3 1 +auto_C_LWSP auto_REMU 5 1 +auto_C_LWSP auto_C_NOP 23 1 +auto_C_LWSP auto_C_ADDI 6 1 +auto_C_LWSP auto_C_LI 3 1 +auto_C_LWSP auto_C_LUI 6 1 +auto_C_LWSP auto_C_SRLI 4 1 +auto_C_LWSP auto_C_SRAI 1 1 +auto_C_LWSP auto_C_ANDI 5 1 +auto_C_LWSP auto_C_SUB 7 1 +auto_C_LWSP auto_C_XOR 6 1 +auto_C_LWSP auto_C_OR 7 1 +auto_C_LWSP auto_C_AND 4 1 +auto_C_LWSP auto_C_BEQZ 17 1 +auto_C_LWSP auto_C_SLLI 2 1 +auto_C_LWSP auto_C_LWSP 6109 1 +auto_C_LWSP auto_C_JR 34 1 +auto_C_LWSP auto_C_MV 4 1 +auto_C_LWSP auto_C_ADD 1 1 +auto_C_LWSP auto_C_SWSP 150 1 +auto_C_LWSP auto_SH1ADD 4 1 +auto_C_LWSP auto_SH2ADD 8 1 +auto_C_LWSP auto_SH3ADD 5 1 +auto_C_LWSP auto_CLZ 3 1 +auto_C_LWSP auto_CTZ 7 1 +auto_C_LWSP auto_CPOP 5 1 +auto_C_LWSP auto_MIN 5 1 +auto_C_LWSP auto_MAX 1 1 +auto_C_LWSP auto_MINU 4 1 +auto_C_LWSP auto_MAXU 3 1 +auto_C_LWSP auto_SEXT_B 9 1 +auto_C_LWSP auto_SEXT_H 7 1 +auto_C_LWSP auto_ZEXT_H 4 1 +auto_C_LWSP auto_ANDN 3 1 +auto_C_LWSP auto_ORN 5 1 +auto_C_LWSP auto_XNOR 4 1 +auto_C_LWSP auto_ROR 3 1 +auto_C_LWSP auto_RORI 1 1 +auto_C_LWSP auto_ROL 4 1 +auto_C_LWSP auto_REV8 9 1 +auto_C_LWSP auto_ORC_B 7 1 +auto_C_LWSP auto_CLMUL 8 1 +auto_C_LWSP auto_CLMULH 5 1 +auto_C_LWSP auto_CLMULR 1 1 +auto_C_LWSP auto_BSET 7 1 +auto_C_LWSP auto_BSETI 4 1 +auto_C_LWSP auto_BCLR 6 1 +auto_C_LWSP auto_BCLRI 6 1 +auto_C_LWSP auto_BINV 4 1 +auto_C_LWSP auto_BINVI 4 1 +auto_C_LWSP auto_BEXT 5 1 +auto_C_LWSP auto_BEXTI 9 1 +auto_C_LWSP auto_CSRRW 1 1 +auto_C_LWSP auto_CSRRS 6 1 +auto_C_LWSP auto_CSRRC 2 1 +auto_C_LWSP auto_CSRRWI 8 1 +auto_C_LWSP auto_CSRRSI 6 1 +auto_C_LWSP auto_CSRRCI 5 1 +auto_C_LWSP auto_C_ZEXT_B 1 1 +auto_C_LWSP auto_C_SEXT_B 9 1 +auto_C_LWSP auto_C_ZEXT_H 5 1 +auto_C_LWSP auto_C_SEXT_H 4 1 +auto_C_LWSP auto_C_NOT 7 1 +auto_C_LWSP auto_C_MUL 6 1 +auto_C_JR auto_ADDI 159 1 +auto_C_JR auto_C_SW 1 1 +auto_C_JR auto_C_ADDI 22 1 +auto_C_JR auto_C_ADDI16SP 51 1 +auto_C_JR auto_C_MV 120 1 +auto_C_JR auto_CSRRS 6 1 +auto_C_JR auto_CSRRWI 1 1 +auto_C_JR auto_CSRRCI 1 1 +auto_C_MV auto_LUI 117 1 +auto_C_MV auto_AUIPC 138 1 +auto_C_MV auto_JAL 33 1 +auto_C_MV auto_JALR 2 1 +auto_C_MV auto_BEQ 63 1 +auto_C_MV auto_BNE 51 1 +auto_C_MV auto_BLT 56 1 +auto_C_MV auto_BGE 48 1 +auto_C_MV auto_BLTU 46 1 +auto_C_MV auto_BGEU 44 1 +auto_C_MV auto_LB 269 1 +auto_C_MV auto_LH 88 1 +auto_C_MV auto_LW 27 1 +auto_C_MV auto_LBU 287 1 +auto_C_MV auto_LHU 89 1 +auto_C_MV auto_SB 278 1 +auto_C_MV auto_SH 90 1 +auto_C_MV auto_SW 48 1 +auto_C_MV auto_ADDI 237 1 +auto_C_MV auto_SLTI 114 1 +auto_C_MV auto_SLTIU 117 1 +auto_C_MV auto_XORI 133 1 +auto_C_MV auto_ORI 105 1 +auto_C_MV auto_ANDI 117 1 +auto_C_MV auto_SLLI 103 1 +auto_C_MV auto_SRLI 148 1 +auto_C_MV auto_SRAI 124 1 +auto_C_MV auto_ADD 99 1 +auto_C_MV auto_SUB 135 1 +auto_C_MV auto_SLL 129 1 +auto_C_MV auto_SLT 121 1 +auto_C_MV auto_SLTU 103 1 +auto_C_MV auto_XOR 117 1 +auto_C_MV auto_SRL 128 1 +auto_C_MV auto_SRA 126 1 +auto_C_MV auto_OR 148 1 +auto_C_MV auto_AND 110 1 +auto_C_MV auto_FENCE 75 1 +auto_C_MV auto_MRET 513 1 +auto_C_MV auto_WFI 33 1 +auto_C_MV auto_MUL 119 1 +auto_C_MV auto_MULH 126 1 +auto_C_MV auto_MULHSU 102 1 +auto_C_MV auto_MULHU 123 1 +auto_C_MV auto_DIV 129 1 +auto_C_MV auto_DIVU 117 1 +auto_C_MV auto_REM 102 1 +auto_C_MV auto_REMU 136 1 +auto_C_MV auto_C_ADDI4SPN 98 1 +auto_C_MV auto_C_LW 2 1 +auto_C_MV auto_C_NOP 373 1 +auto_C_MV auto_C_ADDI 167 1 +auto_C_MV auto_C_JAL 23 1 +auto_C_MV auto_C_LI 153 1 +auto_C_MV auto_C_ADDI16SP 88 1 +auto_C_MV auto_C_LUI 131 1 +auto_C_MV auto_C_SRLI 134 1 +auto_C_MV auto_C_SRAI 132 1 +auto_C_MV auto_C_ANDI 118 1 +auto_C_MV auto_C_SUB 120 1 +auto_C_MV auto_C_XOR 120 1 +auto_C_MV auto_C_OR 117 1 +auto_C_MV auto_C_AND 97 1 +auto_C_MV auto_C_J 20 1 +auto_C_MV auto_C_BEQZ 68 1 +auto_C_MV auto_C_BNEZ 40 1 +auto_C_MV auto_C_SLLI 189 1 +auto_C_MV auto_C_LWSP 6 1 +auto_C_MV auto_C_MV 134 1 +auto_C_MV auto_C_JALR 8 1 +auto_C_MV auto_C_ADD 158 1 +auto_C_MV auto_C_SWSP 20 1 +auto_C_MV auto_SH1ADD 102 1 +auto_C_MV auto_SH2ADD 110 1 +auto_C_MV auto_SH3ADD 109 1 +auto_C_MV auto_CLZ 112 1 +auto_C_MV auto_CTZ 97 1 +auto_C_MV auto_CPOP 95 1 +auto_C_MV auto_MIN 126 1 +auto_C_MV auto_MAX 105 1 +auto_C_MV auto_MINU 99 1 +auto_C_MV auto_MAXU 93 1 +auto_C_MV auto_SEXT_B 115 1 +auto_C_MV auto_SEXT_H 101 1 +auto_C_MV auto_ZEXT_H 123 1 +auto_C_MV auto_ANDN 124 1 +auto_C_MV auto_ORN 117 1 +auto_C_MV auto_XNOR 104 1 +auto_C_MV auto_ROR 108 1 +auto_C_MV auto_RORI 109 1 +auto_C_MV auto_ROL 139 1 +auto_C_MV auto_REV8 108 1 +auto_C_MV auto_ORC_B 113 1 +auto_C_MV auto_CLMUL 147 1 +auto_C_MV auto_CLMULH 116 1 +auto_C_MV auto_CLMULR 122 1 +auto_C_MV auto_BSET 112 1 +auto_C_MV auto_BSETI 115 1 +auto_C_MV auto_BCLR 134 1 +auto_C_MV auto_BCLRI 122 1 +auto_C_MV auto_BINV 137 1 +auto_C_MV auto_BINVI 113 1 +auto_C_MV auto_BEXT 119 1 +auto_C_MV auto_BEXTI 133 1 +auto_C_MV auto_CSRRW 67 1 +auto_C_MV auto_CSRRS 57 1 +auto_C_MV auto_CSRRC 64 1 +auto_C_MV auto_CSRRWI 58 1 +auto_C_MV auto_CSRRSI 50 1 +auto_C_MV auto_CSRRCI 58 1 +auto_C_MV auto_C_LBU 2 1 +auto_C_MV auto_C_LHU 1 1 +auto_C_MV auto_C_LH 1 1 +auto_C_MV auto_C_SB 2 1 +auto_C_MV auto_C_ZEXT_B 129 1 +auto_C_MV auto_C_SEXT_B 106 1 +auto_C_MV auto_C_ZEXT_H 100 1 +auto_C_MV auto_C_SEXT_H 105 1 +auto_C_MV auto_C_NOT 113 1 +auto_C_MV auto_C_MUL 109 1 +auto_C_EBREAK auto_LUI 36 1 +auto_C_EBREAK auto_AUIPC 34 1 +auto_C_EBREAK auto_JAL 11 1 +auto_C_EBREAK auto_BEQ 23 1 +auto_C_EBREAK auto_BNE 43 1 +auto_C_EBREAK auto_BLT 34 1 +auto_C_EBREAK auto_BGE 27 1 +auto_C_EBREAK auto_BLTU 34 1 +auto_C_EBREAK auto_BGEU 35 1 +auto_C_EBREAK auto_LB 121 1 +auto_C_EBREAK auto_LH 61 1 +auto_C_EBREAK auto_LW 17 1 +auto_C_EBREAK auto_LBU 137 1 +auto_C_EBREAK auto_LHU 39 1 +auto_C_EBREAK auto_SB 152 1 +auto_C_EBREAK auto_SH 36 1 +auto_C_EBREAK auto_SW 17 1 +auto_C_EBREAK auto_ADDI 80 1 +auto_C_EBREAK auto_SLTI 43 1 +auto_C_EBREAK auto_SLTIU 36 1 +auto_C_EBREAK auto_XORI 44 1 +auto_C_EBREAK auto_ORI 42 1 +auto_C_EBREAK auto_ANDI 46 1 +auto_C_EBREAK auto_SLLI 44 1 +auto_C_EBREAK auto_SRLI 36 1 +auto_C_EBREAK auto_SRAI 35 1 +auto_C_EBREAK auto_ADD 36 1 +auto_C_EBREAK auto_SUB 33 1 +auto_C_EBREAK auto_SLL 40 1 +auto_C_EBREAK auto_SLT 45 1 +auto_C_EBREAK auto_SLTU 38 1 +auto_C_EBREAK auto_XOR 27 1 +auto_C_EBREAK auto_SRL 33 1 +auto_C_EBREAK auto_SRA 45 1 +auto_C_EBREAK auto_OR 38 1 +auto_C_EBREAK auto_AND 34 1 +auto_C_EBREAK auto_FENCE 36 1 +auto_C_EBREAK auto_MRET 99 1 +auto_C_EBREAK auto_MUL 41 1 +auto_C_EBREAK auto_MULH 42 1 +auto_C_EBREAK auto_MULHSU 30 1 +auto_C_EBREAK auto_MULHU 38 1 +auto_C_EBREAK auto_DIV 33 1 +auto_C_EBREAK auto_DIVU 34 1 +auto_C_EBREAK auto_REM 36 1 +auto_C_EBREAK auto_REMU 35 1 +auto_C_EBREAK auto_C_ADDI4SPN 42 1 +auto_C_EBREAK auto_C_NOP 158 1 +auto_C_EBREAK auto_C_ADDI 41 1 +auto_C_EBREAK auto_C_JAL 13 1 +auto_C_EBREAK auto_C_LI 31 1 +auto_C_EBREAK auto_C_ADDI16SP 34 1 +auto_C_EBREAK auto_C_LUI 40 1 +auto_C_EBREAK auto_C_SRLI 36 1 +auto_C_EBREAK auto_C_SRAI 46 1 +auto_C_EBREAK auto_C_ANDI 33 1 +auto_C_EBREAK auto_C_SUB 41 1 +auto_C_EBREAK auto_C_XOR 45 1 +auto_C_EBREAK auto_C_OR 44 1 +auto_C_EBREAK auto_C_AND 42 1 +auto_C_EBREAK auto_C_J 21 1 +auto_C_EBREAK auto_C_BEQZ 38 1 +auto_C_EBREAK auto_C_BNEZ 23 1 +auto_C_EBREAK auto_C_SLLI 49 1 +auto_C_EBREAK auto_C_LWSP 2 1 +auto_C_EBREAK auto_C_MV 29 1 +auto_C_EBREAK auto_C_ADD 43 1 +auto_C_EBREAK auto_C_SWSP 2 1 +auto_C_EBREAK auto_SH1ADD 36 1 +auto_C_EBREAK auto_SH2ADD 41 1 +auto_C_EBREAK auto_SH3ADD 42 1 +auto_C_EBREAK auto_CLZ 38 1 +auto_C_EBREAK auto_CTZ 40 1 +auto_C_EBREAK auto_CPOP 22 1 +auto_C_EBREAK auto_MIN 40 1 +auto_C_EBREAK auto_MAX 51 1 +auto_C_EBREAK auto_MINU 32 1 +auto_C_EBREAK auto_MAXU 46 1 +auto_C_EBREAK auto_SEXT_B 31 1 +auto_C_EBREAK auto_SEXT_H 31 1 +auto_C_EBREAK auto_ZEXT_H 60 1 +auto_C_EBREAK auto_ANDN 44 1 +auto_C_EBREAK auto_ORN 43 1 +auto_C_EBREAK auto_XNOR 36 1 +auto_C_EBREAK auto_ROR 44 1 +auto_C_EBREAK auto_RORI 42 1 +auto_C_EBREAK auto_ROL 30 1 +auto_C_EBREAK auto_REV8 42 1 +auto_C_EBREAK auto_ORC_B 40 1 +auto_C_EBREAK auto_CLMUL 41 1 +auto_C_EBREAK auto_CLMULH 45 1 +auto_C_EBREAK auto_CLMULR 46 1 +auto_C_EBREAK auto_BSET 45 1 +auto_C_EBREAK auto_BSETI 46 1 +auto_C_EBREAK auto_BCLR 36 1 +auto_C_EBREAK auto_BCLRI 43 1 +auto_C_EBREAK auto_BINV 41 1 +auto_C_EBREAK auto_BINVI 37 1 +auto_C_EBREAK auto_BEXT 37 1 +auto_C_EBREAK auto_BEXTI 46 1 +auto_C_EBREAK auto_CSRRW 52 1 +auto_C_EBREAK auto_CSRRS 38 1 +auto_C_EBREAK auto_CSRRC 40 1 +auto_C_EBREAK auto_CSRRWI 34 1 +auto_C_EBREAK auto_CSRRSI 36 1 +auto_C_EBREAK auto_CSRRCI 45 1 +auto_C_EBREAK auto_C_LBU 1 1 +auto_C_EBREAK auto_C_ZEXT_B 35 1 +auto_C_EBREAK auto_C_SEXT_B 41 1 +auto_C_EBREAK auto_C_ZEXT_H 36 1 +auto_C_EBREAK auto_C_SEXT_H 34 1 +auto_C_EBREAK auto_C_NOT 43 1 +auto_C_EBREAK auto_C_MUL 36 1 +auto_C_JALR auto_BLT 1 1 +auto_C_JALR auto_BGE 8 1 +auto_C_JALR auto_BLTU 1 1 +auto_C_JALR auto_BGEU 9 1 +auto_C_JALR auto_ADDI 133 1 +auto_C_JALR auto_SLTI 3 1 +auto_C_JALR auto_ORI 2 1 +auto_C_JALR auto_ANDI 1 1 +auto_C_JALR auto_SLLI 1 1 +auto_C_JALR auto_SUB 8 1 +auto_C_JALR auto_XOR 2 1 +auto_C_JALR auto_SRA 1 1 +auto_C_JALR auto_OR 2 1 +auto_C_JALR auto_AND 3 1 +auto_C_JALR auto_MULH 1 1 +auto_C_JALR auto_DIV 2 1 +auto_C_JALR auto_REMU 1 1 +auto_C_JALR auto_C_ADDI 1 1 +auto_C_JALR auto_C_LI 1 1 +auto_C_JALR auto_C_MV 103 1 +auto_C_JALR auto_SH2ADD 7 1 +auto_C_JALR auto_CTZ 1 1 +auto_C_JALR auto_MINU 2 1 +auto_C_JALR auto_ORC_B 2 1 +auto_C_JALR auto_BINVI 2 1 +auto_C_JALR auto_C_NOT 4 1 +auto_C_ADD auto_LUI 135 1 +auto_C_ADD auto_AUIPC 126 1 +auto_C_ADD auto_JAL 46 1 +auto_C_ADD auto_JALR 12 1 +auto_C_ADD auto_BEQ 41 1 +auto_C_ADD auto_BNE 52 1 +auto_C_ADD auto_BLT 48 1 +auto_C_ADD auto_BGE 46 1 +auto_C_ADD auto_BLTU 55 1 +auto_C_ADD auto_BGEU 45 1 +auto_C_ADD auto_LB 305 1 +auto_C_ADD auto_LH 92 1 +auto_C_ADD auto_LW 604 1 +auto_C_ADD auto_LBU 276 1 +auto_C_ADD auto_LHU 84 1 +auto_C_ADD auto_SB 286 1 +auto_C_ADD auto_SH 83 1 +auto_C_ADD auto_SW 29 1 +auto_C_ADD auto_ADDI 257 1 +auto_C_ADD auto_SLTI 152 1 +auto_C_ADD auto_SLTIU 158 1 +auto_C_ADD auto_XORI 158 1 +auto_C_ADD auto_ORI 143 1 +auto_C_ADD auto_ANDI 165 1 +auto_C_ADD auto_SLLI 171 1 +auto_C_ADD auto_SRLI 135 1 +auto_C_ADD auto_SRAI 156 1 +auto_C_ADD auto_ADD 111 1 +auto_C_ADD auto_SUB 128 1 +auto_C_ADD auto_SLL 141 1 +auto_C_ADD auto_SLT 125 1 +auto_C_ADD auto_SLTU 169 1 +auto_C_ADD auto_XOR 129 1 +auto_C_ADD auto_SRL 161 1 +auto_C_ADD auto_SRA 141 1 +auto_C_ADD auto_OR 111 1 +auto_C_ADD auto_AND 140 1 +auto_C_ADD auto_FENCE 74 1 +auto_C_ADD auto_MRET 474 1 +auto_C_ADD auto_WFI 1 1 +auto_C_ADD auto_MUL 137 1 +auto_C_ADD auto_MULH 132 1 +auto_C_ADD auto_MULHSU 145 1 +auto_C_ADD auto_MULHU 149 1 +auto_C_ADD auto_DIV 141 1 +auto_C_ADD auto_DIVU 134 1 +auto_C_ADD auto_REM 146 1 +auto_C_ADD auto_REMU 145 1 +auto_C_ADD auto_C_ADDI4SPN 104 1 +auto_C_ADD auto_C_SW 1 1 +auto_C_ADD auto_C_NOP 419 1 +auto_C_ADD auto_C_ADDI 158 1 +auto_C_ADD auto_C_JAL 29 1 +auto_C_ADD auto_C_LI 150 1 +auto_C_ADD auto_C_ADDI16SP 82 1 +auto_C_ADD auto_C_LUI 137 1 +auto_C_ADD auto_C_SRLI 111 1 +auto_C_ADD auto_C_SRAI 123 1 +auto_C_ADD auto_C_ANDI 97 1 +auto_C_ADD auto_C_SUB 120 1 +auto_C_ADD auto_C_XOR 129 1 +auto_C_ADD auto_C_OR 117 1 +auto_C_ADD auto_C_AND 124 1 +auto_C_ADD auto_C_J 43 1 +auto_C_ADD auto_C_BEQZ 56 1 +auto_C_ADD auto_C_BNEZ 53 1 +auto_C_ADD auto_C_SLLI 210 1 +auto_C_ADD auto_C_LWSP 9 1 +auto_C_ADD auto_C_MV 157 1 +auto_C_ADD auto_C_JALR 7 1 +auto_C_ADD auto_C_ADD 190 1 +auto_C_ADD auto_C_SWSP 3 1 +auto_C_ADD auto_SH1ADD 136 1 +auto_C_ADD auto_SH2ADD 118 1 +auto_C_ADD auto_SH3ADD 123 1 +auto_C_ADD auto_CLZ 144 1 +auto_C_ADD auto_CTZ 143 1 +auto_C_ADD auto_CPOP 118 1 +auto_C_ADD auto_MIN 137 1 +auto_C_ADD auto_MAX 145 1 +auto_C_ADD auto_MINU 129 1 +auto_C_ADD auto_MAXU 163 1 +auto_C_ADD auto_SEXT_B 124 1 +auto_C_ADD auto_SEXT_H 136 1 +auto_C_ADD auto_ZEXT_H 183 1 +auto_C_ADD auto_ANDN 148 1 +auto_C_ADD auto_ORN 137 1 +auto_C_ADD auto_XNOR 119 1 +auto_C_ADD auto_ROR 156 1 +auto_C_ADD auto_RORI 148 1 +auto_C_ADD auto_ROL 132 1 +auto_C_ADD auto_REV8 149 1 +auto_C_ADD auto_ORC_B 128 1 +auto_C_ADD auto_CLMUL 134 1 +auto_C_ADD auto_CLMULH 162 1 +auto_C_ADD auto_CLMULR 140 1 +auto_C_ADD auto_BSET 146 1 +auto_C_ADD auto_BSETI 144 1 +auto_C_ADD auto_BCLR 140 1 +auto_C_ADD auto_BCLRI 131 1 +auto_C_ADD auto_BINV 148 1 +auto_C_ADD auto_BINVI 123 1 +auto_C_ADD auto_BEXT 149 1 +auto_C_ADD auto_BEXTI 134 1 +auto_C_ADD auto_CSRRW 56 1 +auto_C_ADD auto_CSRRS 69 1 +auto_C_ADD auto_CSRRC 86 1 +auto_C_ADD auto_CSRRWI 74 1 +auto_C_ADD auto_CSRRSI 60 1 +auto_C_ADD auto_CSRRCI 62 1 +auto_C_ADD auto_C_LBU 2 1 +auto_C_ADD auto_C_SB 1 1 +auto_C_ADD auto_C_ZEXT_B 106 1 +auto_C_ADD auto_C_SEXT_B 109 1 +auto_C_ADD auto_C_ZEXT_H 107 1 +auto_C_ADD auto_C_SEXT_H 115 1 +auto_C_ADD auto_C_NOT 104 1 +auto_C_ADD auto_C_MUL 123 1 +auto_C_SWSP auto_LUI 3 1 +auto_C_SWSP auto_AUIPC 6 1 +auto_C_SWSP auto_LB 107 1 +auto_C_SWSP auto_LH 40 1 +auto_C_SWSP auto_LW 7 1 +auto_C_SWSP auto_LBU 117 1 +auto_C_SWSP auto_LHU 31 1 +auto_C_SWSP auto_SB 120 1 +auto_C_SWSP auto_SH 29 1 +auto_C_SWSP auto_SW 9 1 +auto_C_SWSP auto_ADDI 55 1 +auto_C_SWSP auto_SLTI 5 1 +auto_C_SWSP auto_SLTIU 5 1 +auto_C_SWSP auto_XORI 6 1 +auto_C_SWSP auto_ORI 2 1 +auto_C_SWSP auto_ANDI 26 1 +auto_C_SWSP auto_SLLI 7 1 +auto_C_SWSP auto_SRLI 7 1 +auto_C_SWSP auto_SRAI 7 1 +auto_C_SWSP auto_ADD 3 1 +auto_C_SWSP auto_SUB 9 1 +auto_C_SWSP auto_SLL 2 1 +auto_C_SWSP auto_SLT 4 1 +auto_C_SWSP auto_SLTU 5 1 +auto_C_SWSP auto_XOR 9 1 +auto_C_SWSP auto_SRL 2 1 +auto_C_SWSP auto_SRA 6 1 +auto_C_SWSP auto_OR 9 1 +auto_C_SWSP auto_AND 4 1 +auto_C_SWSP auto_FENCE 5 1 +auto_C_SWSP auto_MRET 28 1 +auto_C_SWSP auto_MUL 9 1 +auto_C_SWSP auto_MULH 9 1 +auto_C_SWSP auto_MULHSU 10 1 +auto_C_SWSP auto_MULHU 2 1 +auto_C_SWSP auto_DIV 7 1 +auto_C_SWSP auto_DIVU 5 1 +auto_C_SWSP auto_REM 6 1 +auto_C_SWSP auto_REMU 2 1 +auto_C_SWSP auto_C_NOP 11 1 +auto_C_SWSP auto_C_ADDI 4905 1 +auto_C_SWSP auto_C_LI 20 1 +auto_C_SWSP auto_C_ADDI16SP 2975 1 +auto_C_SWSP auto_C_LUI 3 1 +auto_C_SWSP auto_C_SRLI 6 1 +auto_C_SWSP auto_C_SRAI 8 1 +auto_C_SWSP auto_C_ANDI 4 1 +auto_C_SWSP auto_C_SUB 2 1 +auto_C_SWSP auto_C_XOR 2 1 +auto_C_SWSP auto_C_OR 8 1 +auto_C_SWSP auto_C_AND 3 1 +auto_C_SWSP auto_C_SLLI 4 1 +auto_C_SWSP auto_C_LWSP 126 1 +auto_C_SWSP auto_C_MV 5 1 +auto_C_SWSP auto_C_ADD 4 1 +auto_C_SWSP auto_C_SWSP 6125 1 +auto_C_SWSP auto_SH1ADD 5 1 +auto_C_SWSP auto_SH2ADD 9 1 +auto_C_SWSP auto_SH3ADD 8 1 +auto_C_SWSP auto_CLZ 6 1 +auto_C_SWSP auto_CTZ 2 1 +auto_C_SWSP auto_CPOP 5 1 +auto_C_SWSP auto_MIN 7 1 +auto_C_SWSP auto_MAX 7 1 +auto_C_SWSP auto_MINU 5 1 +auto_C_SWSP auto_MAXU 5 1 +auto_C_SWSP auto_SEXT_B 2 1 +auto_C_SWSP auto_SEXT_H 3 1 +auto_C_SWSP auto_ZEXT_H 8 1 +auto_C_SWSP auto_ANDN 3 1 +auto_C_SWSP auto_ORN 4 1 +auto_C_SWSP auto_XNOR 3 1 +auto_C_SWSP auto_ROR 5 1 +auto_C_SWSP auto_RORI 2 1 +auto_C_SWSP auto_ROL 6 1 +auto_C_SWSP auto_REV8 8 1 +auto_C_SWSP auto_ORC_B 4 1 +auto_C_SWSP auto_CLMUL 4 1 +auto_C_SWSP auto_CLMULH 2 1 +auto_C_SWSP auto_CLMULR 5 1 +auto_C_SWSP auto_BSET 12 1 +auto_C_SWSP auto_BSETI 4 1 +auto_C_SWSP auto_BCLR 6 1 +auto_C_SWSP auto_BCLRI 6 1 +auto_C_SWSP auto_BINV 6 1 +auto_C_SWSP auto_BINVI 6 1 +auto_C_SWSP auto_BEXT 7 1 +auto_C_SWSP auto_BEXTI 11 1 +auto_C_SWSP auto_CSRRW 4 1 +auto_C_SWSP auto_CSRRS 2 1 +auto_C_SWSP auto_CSRRC 6 1 +auto_C_SWSP auto_CSRRWI 4 1 +auto_C_SWSP auto_CSRRSI 3 1 +auto_C_SWSP auto_CSRRCI 4 1 +auto_C_SWSP auto_C_ZEXT_B 3 1 +auto_C_SWSP auto_C_SEXT_B 2 1 +auto_C_SWSP auto_C_ZEXT_H 4 1 +auto_C_SWSP auto_C_SEXT_H 4 1 +auto_C_SWSP auto_C_NOT 4 1 +auto_C_SWSP auto_C_MUL 7 1 +auto_SH1ADD auto_LUI 200 1 +auto_SH1ADD auto_AUIPC 204 1 +auto_SH1ADD auto_JAL 92 1 +auto_SH1ADD auto_JALR 4 1 +auto_SH1ADD auto_BEQ 55 1 +auto_SH1ADD auto_BNE 60 1 +auto_SH1ADD auto_BLT 61 1 +auto_SH1ADD auto_BGE 85 1 +auto_SH1ADD auto_BLTU 62 1 +auto_SH1ADD auto_BGEU 63 1 +auto_SH1ADD auto_LB 318 1 +auto_SH1ADD auto_LH 107 1 +auto_SH1ADD auto_LW 50 1 +auto_SH1ADD auto_LBU 319 1 +auto_SH1ADD auto_LHU 109 1 +auto_SH1ADD auto_SB 333 1 +auto_SH1ADD auto_SH 95 1 +auto_SH1ADD auto_SW 47 1 +auto_SH1ADD auto_ADDI 271 1 +auto_SH1ADD auto_SLTI 181 1 +auto_SH1ADD auto_SLTIU 204 1 +auto_SH1ADD auto_XORI 196 1 +auto_SH1ADD auto_ORI 196 1 +auto_SH1ADD auto_ANDI 207 1 +auto_SH1ADD auto_SLLI 180 1 +auto_SH1ADD auto_SRLI 197 1 +auto_SH1ADD auto_SRAI 182 1 +auto_SH1ADD auto_ADD 144 1 +auto_SH1ADD auto_SUB 189 1 +auto_SH1ADD auto_SLL 195 1 +auto_SH1ADD auto_SLT 195 1 +auto_SH1ADD auto_SLTU 220 1 +auto_SH1ADD auto_XOR 189 1 +auto_SH1ADD auto_SRL 191 1 +auto_SH1ADD auto_SRA 186 1 +auto_SH1ADD auto_OR 186 1 +auto_SH1ADD auto_AND 200 1 +auto_SH1ADD auto_FENCE 89 1 +auto_SH1ADD auto_MRET 373 1 +auto_SH1ADD auto_MUL 169 1 +auto_SH1ADD auto_MULH 183 1 +auto_SH1ADD auto_MULHSU 173 1 +auto_SH1ADD auto_MULHU 187 1 +auto_SH1ADD auto_DIV 193 1 +auto_SH1ADD auto_DIVU 198 1 +auto_SH1ADD auto_REM 222 1 +auto_SH1ADD auto_REMU 197 1 +auto_SH1ADD auto_C_ADDI4SPN 65 1 +auto_SH1ADD auto_C_LW 2 1 +auto_SH1ADD auto_C_NOP 505 1 +auto_SH1ADD auto_C_ADDI 95 1 +auto_SH1ADD auto_C_JAL 26 1 +auto_SH1ADD auto_C_LI 100 1 +auto_SH1ADD auto_C_ADDI16SP 72 1 +auto_SH1ADD auto_C_LUI 95 1 +auto_SH1ADD auto_C_SRLI 80 1 +auto_SH1ADD auto_C_SRAI 93 1 +auto_SH1ADD auto_C_ANDI 87 1 +auto_SH1ADD auto_C_SUB 94 1 +auto_SH1ADD auto_C_XOR 129 1 +auto_SH1ADD auto_C_OR 96 1 +auto_SH1ADD auto_C_AND 84 1 +auto_SH1ADD auto_C_J 40 1 +auto_SH1ADD auto_C_BEQZ 59 1 +auto_SH1ADD auto_C_BNEZ 49 1 +auto_SH1ADD auto_C_SLLI 109 1 +auto_SH1ADD auto_C_LWSP 2 1 +auto_SH1ADD auto_C_JR 2 1 +auto_SH1ADD auto_C_MV 117 1 +auto_SH1ADD auto_C_ADD 127 1 +auto_SH1ADD auto_C_SWSP 3 1 +auto_SH1ADD auto_SH1ADD 206 1 +auto_SH1ADD auto_SH2ADD 207 1 +auto_SH1ADD auto_SH3ADD 175 1 +auto_SH1ADD auto_CLZ 193 1 +auto_SH1ADD auto_CTZ 212 1 +auto_SH1ADD auto_CPOP 183 1 +auto_SH1ADD auto_MIN 215 1 +auto_SH1ADD auto_MAX 187 1 +auto_SH1ADD auto_MINU 175 1 +auto_SH1ADD auto_MAXU 199 1 +auto_SH1ADD auto_SEXT_B 199 1 +auto_SH1ADD auto_SEXT_H 214 1 +auto_SH1ADD auto_ZEXT_H 219 1 +auto_SH1ADD auto_ANDN 196 1 +auto_SH1ADD auto_ORN 198 1 +auto_SH1ADD auto_XNOR 205 1 +auto_SH1ADD auto_ROR 196 1 +auto_SH1ADD auto_RORI 186 1 +auto_SH1ADD auto_ROL 225 1 +auto_SH1ADD auto_REV8 233 1 +auto_SH1ADD auto_ORC_B 212 1 +auto_SH1ADD auto_CLMUL 224 1 +auto_SH1ADD auto_CLMULH 171 1 +auto_SH1ADD auto_CLMULR 221 1 +auto_SH1ADD auto_BSET 214 1 +auto_SH1ADD auto_BSETI 184 1 +auto_SH1ADD auto_BCLR 181 1 +auto_SH1ADD auto_BCLRI 183 1 +auto_SH1ADD auto_BINV 192 1 +auto_SH1ADD auto_BINVI 199 1 +auto_SH1ADD auto_BEXT 211 1 +auto_SH1ADD auto_BEXTI 230 1 +auto_SH1ADD auto_CSRRW 58 1 +auto_SH1ADD auto_CSRRS 68 1 +auto_SH1ADD auto_CSRRC 59 1 +auto_SH1ADD auto_CSRRWI 74 1 +auto_SH1ADD auto_CSRRSI 58 1 +auto_SH1ADD auto_CSRRCI 62 1 +auto_SH1ADD auto_C_LBU 4 1 +auto_SH1ADD auto_C_LHU 2 1 +auto_SH1ADD auto_C_SB 3 1 +auto_SH1ADD auto_C_SH 1 1 +auto_SH1ADD auto_C_ZEXT_B 76 1 +auto_SH1ADD auto_C_SEXT_B 93 1 +auto_SH1ADD auto_C_ZEXT_H 80 1 +auto_SH1ADD auto_C_SEXT_H 90 1 +auto_SH1ADD auto_C_NOT 93 1 +auto_SH1ADD auto_C_MUL 126 1 +auto_SH2ADD auto_LUI 220 1 +auto_SH2ADD auto_AUIPC 225 1 +auto_SH2ADD auto_JAL 67 1 +auto_SH2ADD auto_JALR 3 1 +auto_SH2ADD auto_BEQ 47 1 +auto_SH2ADD auto_BNE 59 1 +auto_SH2ADD auto_BLT 57 1 +auto_SH2ADD auto_BGE 55 1 +auto_SH2ADD auto_BLTU 58 1 +auto_SH2ADD auto_BGEU 67 1 +auto_SH2ADD auto_LB 334 1 +auto_SH2ADD auto_LH 99 1 +auto_SH2ADD auto_LW 43 1 +auto_SH2ADD auto_LBU 325 1 +auto_SH2ADD auto_LHU 96 1 +auto_SH2ADD auto_SB 313 1 +auto_SH2ADD auto_SH 115 1 +auto_SH2ADD auto_SW 48 1 +auto_SH2ADD auto_ADDI 303 1 +auto_SH2ADD auto_SLTI 175 1 +auto_SH2ADD auto_SLTIU 207 1 +auto_SH2ADD auto_XORI 213 1 +auto_SH2ADD auto_ORI 169 1 +auto_SH2ADD auto_ANDI 196 1 +auto_SH2ADD auto_SLLI 201 1 +auto_SH2ADD auto_SRLI 205 1 +auto_SH2ADD auto_SRAI 206 1 +auto_SH2ADD auto_ADD 159 1 +auto_SH2ADD auto_SUB 172 1 +auto_SH2ADD auto_SLL 216 1 +auto_SH2ADD auto_SLT 207 1 +auto_SH2ADD auto_SLTU 234 1 +auto_SH2ADD auto_XOR 185 1 +auto_SH2ADD auto_SRL 214 1 +auto_SH2ADD auto_SRA 198 1 +auto_SH2ADD auto_OR 199 1 +auto_SH2ADD auto_AND 184 1 +auto_SH2ADD auto_FENCE 69 1 +auto_SH2ADD auto_MRET 370 1 +auto_SH2ADD auto_MUL 187 1 +auto_SH2ADD auto_MULH 204 1 +auto_SH2ADD auto_MULHSU 175 1 +auto_SH2ADD auto_MULHU 203 1 +auto_SH2ADD auto_DIV 205 1 +auto_SH2ADD auto_DIVU 179 1 +auto_SH2ADD auto_REM 182 1 +auto_SH2ADD auto_REMU 194 1 +auto_SH2ADD auto_C_ADDI4SPN 81 1 +auto_SH2ADD auto_C_LW 3 1 +auto_SH2ADD auto_C_SW 3 1 +auto_SH2ADD auto_C_NOP 516 1 +auto_SH2ADD auto_C_ADDI 101 1 +auto_SH2ADD auto_C_JAL 30 1 +auto_SH2ADD auto_C_LI 118 1 +auto_SH2ADD auto_C_ADDI16SP 97 1 +auto_SH2ADD auto_C_LUI 110 1 +auto_SH2ADD auto_C_SRLI 78 1 +auto_SH2ADD auto_C_SRAI 95 1 +auto_SH2ADD auto_C_ANDI 84 1 +auto_SH2ADD auto_C_SUB 102 1 +auto_SH2ADD auto_C_XOR 93 1 +auto_SH2ADD auto_C_OR 91 1 +auto_SH2ADD auto_C_AND 92 1 +auto_SH2ADD auto_C_J 29 1 +auto_SH2ADD auto_C_BEQZ 45 1 +auto_SH2ADD auto_C_BNEZ 47 1 +auto_SH2ADD auto_C_SLLI 94 1 +auto_SH2ADD auto_C_LWSP 9 1 +auto_SH2ADD auto_C_JR 4 1 +auto_SH2ADD auto_C_MV 109 1 +auto_SH2ADD auto_C_JALR 2 1 +auto_SH2ADD auto_C_ADD 128 1 +auto_SH2ADD auto_C_SWSP 6 1 +auto_SH2ADD auto_SH1ADD 184 1 +auto_SH2ADD auto_SH2ADD 182 1 +auto_SH2ADD auto_SH3ADD 202 1 +auto_SH2ADD auto_CLZ 172 1 +auto_SH2ADD auto_CTZ 178 1 +auto_SH2ADD auto_CPOP 193 1 +auto_SH2ADD auto_MIN 197 1 +auto_SH2ADD auto_MAX 201 1 +auto_SH2ADD auto_MINU 177 1 +auto_SH2ADD auto_MAXU 206 1 +auto_SH2ADD auto_SEXT_B 209 1 +auto_SH2ADD auto_SEXT_H 205 1 +auto_SH2ADD auto_ZEXT_H 198 1 +auto_SH2ADD auto_ANDN 195 1 +auto_SH2ADD auto_ORN 206 1 +auto_SH2ADD auto_XNOR 208 1 +auto_SH2ADD auto_ROR 208 1 +auto_SH2ADD auto_RORI 234 1 +auto_SH2ADD auto_ROL 202 1 +auto_SH2ADD auto_REV8 182 1 +auto_SH2ADD auto_ORC_B 208 1 +auto_SH2ADD auto_CLMUL 190 1 +auto_SH2ADD auto_CLMULH 231 1 +auto_SH2ADD auto_CLMULR 215 1 +auto_SH2ADD auto_BSET 213 1 +auto_SH2ADD auto_BSETI 193 1 +auto_SH2ADD auto_BCLR 202 1 +auto_SH2ADD auto_BCLRI 207 1 +auto_SH2ADD auto_BINV 206 1 +auto_SH2ADD auto_BINVI 219 1 +auto_SH2ADD auto_BEXT 190 1 +auto_SH2ADD auto_BEXTI 204 1 +auto_SH2ADD auto_CSRRW 74 1 +auto_SH2ADD auto_CSRRS 85 1 +auto_SH2ADD auto_CSRRC 74 1 +auto_SH2ADD auto_CSRRWI 67 1 +auto_SH2ADD auto_CSRRSI 62 1 +auto_SH2ADD auto_CSRRCI 63 1 +auto_SH2ADD auto_C_LBU 2 1 +auto_SH2ADD auto_C_SB 1 1 +auto_SH2ADD auto_C_ZEXT_B 89 1 +auto_SH2ADD auto_C_SEXT_B 103 1 +auto_SH2ADD auto_C_ZEXT_H 82 1 +auto_SH2ADD auto_C_SEXT_H 100 1 +auto_SH2ADD auto_C_NOT 104 1 +auto_SH2ADD auto_C_MUL 94 1 +auto_SH3ADD auto_LUI 194 1 +auto_SH3ADD auto_AUIPC 201 1 +auto_SH3ADD auto_JAL 77 1 +auto_SH3ADD auto_JALR 2 1 +auto_SH3ADD auto_BEQ 74 1 +auto_SH3ADD auto_BNE 56 1 +auto_SH3ADD auto_BLT 51 1 +auto_SH3ADD auto_BGE 62 1 +auto_SH3ADD auto_BLTU 58 1 +auto_SH3ADD auto_BGEU 50 1 +auto_SH3ADD auto_LB 338 1 +auto_SH3ADD auto_LH 124 1 +auto_SH3ADD auto_LW 50 1 +auto_SH3ADD auto_LBU 334 1 +auto_SH3ADD auto_LHU 120 1 +auto_SH3ADD auto_SB 329 1 +auto_SH3ADD auto_SH 94 1 +auto_SH3ADD auto_SW 41 1 +auto_SH3ADD auto_ADDI 262 1 +auto_SH3ADD auto_SLTI 219 1 +auto_SH3ADD auto_SLTIU 190 1 +auto_SH3ADD auto_XORI 203 1 +auto_SH3ADD auto_ORI 190 1 +auto_SH3ADD auto_ANDI 213 1 +auto_SH3ADD auto_SLLI 189 1 +auto_SH3ADD auto_SRLI 193 1 +auto_SH3ADD auto_SRAI 194 1 +auto_SH3ADD auto_ADD 165 1 +auto_SH3ADD auto_SUB 176 1 +auto_SH3ADD auto_SLL 172 1 +auto_SH3ADD auto_SLT 207 1 +auto_SH3ADD auto_SLTU 211 1 +auto_SH3ADD auto_XOR 183 1 +auto_SH3ADD auto_SRL 197 1 +auto_SH3ADD auto_SRA 201 1 +auto_SH3ADD auto_OR 187 1 +auto_SH3ADD auto_AND 172 1 +auto_SH3ADD auto_FENCE 69 1 +auto_SH3ADD auto_MRET 322 1 +auto_SH3ADD auto_MUL 192 1 +auto_SH3ADD auto_MULH 177 1 +auto_SH3ADD auto_MULHSU 186 1 +auto_SH3ADD auto_MULHU 205 1 +auto_SH3ADD auto_DIV 180 1 +auto_SH3ADD auto_DIVU 183 1 +auto_SH3ADD auto_REM 197 1 +auto_SH3ADD auto_REMU 181 1 +auto_SH3ADD auto_C_ADDI4SPN 75 1 +auto_SH3ADD auto_C_SW 2 1 +auto_SH3ADD auto_C_NOP 526 1 +auto_SH3ADD auto_C_ADDI 107 1 +auto_SH3ADD auto_C_JAL 45 1 +auto_SH3ADD auto_C_LI 94 1 +auto_SH3ADD auto_C_ADDI16SP 66 1 +auto_SH3ADD auto_C_LUI 113 1 +auto_SH3ADD auto_C_SRLI 107 1 +auto_SH3ADD auto_C_SRAI 92 1 +auto_SH3ADD auto_C_ANDI 93 1 +auto_SH3ADD auto_C_SUB 100 1 +auto_SH3ADD auto_C_XOR 89 1 +auto_SH3ADD auto_C_OR 100 1 +auto_SH3ADD auto_C_AND 88 1 +auto_SH3ADD auto_C_J 30 1 +auto_SH3ADD auto_C_BEQZ 36 1 +auto_SH3ADD auto_C_BNEZ 45 1 +auto_SH3ADD auto_C_SLLI 119 1 +auto_SH3ADD auto_C_LWSP 5 1 +auto_SH3ADD auto_C_MV 147 1 +auto_SH3ADD auto_C_JALR 3 1 +auto_SH3ADD auto_C_ADD 143 1 +auto_SH3ADD auto_C_SWSP 3 1 +auto_SH3ADD auto_SH1ADD 182 1 +auto_SH3ADD auto_SH2ADD 200 1 +auto_SH3ADD auto_SH3ADD 206 1 +auto_SH3ADD auto_CLZ 219 1 +auto_SH3ADD auto_CTZ 213 1 +auto_SH3ADD auto_CPOP 193 1 +auto_SH3ADD auto_MIN 208 1 +auto_SH3ADD auto_MAX 204 1 +auto_SH3ADD auto_MINU 191 1 +auto_SH3ADD auto_MAXU 187 1 +auto_SH3ADD auto_SEXT_B 171 1 +auto_SH3ADD auto_SEXT_H 200 1 +auto_SH3ADD auto_ZEXT_H 183 1 +auto_SH3ADD auto_ANDN 193 1 +auto_SH3ADD auto_ORN 210 1 +auto_SH3ADD auto_XNOR 207 1 +auto_SH3ADD auto_ROR 196 1 +auto_SH3ADD auto_RORI 181 1 +auto_SH3ADD auto_ROL 215 1 +auto_SH3ADD auto_REV8 203 1 +auto_SH3ADD auto_ORC_B 188 1 +auto_SH3ADD auto_CLMUL 192 1 +auto_SH3ADD auto_CLMULH 192 1 +auto_SH3ADD auto_CLMULR 215 1 +auto_SH3ADD auto_BSET 209 1 +auto_SH3ADD auto_BSETI 210 1 +auto_SH3ADD auto_BCLR 176 1 +auto_SH3ADD auto_BCLRI 207 1 +auto_SH3ADD auto_BINV 200 1 +auto_SH3ADD auto_BINVI 206 1 +auto_SH3ADD auto_BEXT 204 1 +auto_SH3ADD auto_BEXTI 221 1 +auto_SH3ADD auto_CSRRW 67 1 +auto_SH3ADD auto_CSRRS 64 1 +auto_SH3ADD auto_CSRRC 76 1 +auto_SH3ADD auto_CSRRWI 72 1 +auto_SH3ADD auto_CSRRSI 60 1 +auto_SH3ADD auto_CSRRCI 69 1 +auto_SH3ADD auto_C_LBU 4 1 +auto_SH3ADD auto_C_SB 1 1 +auto_SH3ADD auto_C_SH 2 1 +auto_SH3ADD auto_C_ZEXT_B 97 1 +auto_SH3ADD auto_C_SEXT_B 102 1 +auto_SH3ADD auto_C_ZEXT_H 92 1 +auto_SH3ADD auto_C_SEXT_H 96 1 +auto_SH3ADD auto_C_NOT 76 1 +auto_SH3ADD auto_C_MUL 101 1 +auto_CLZ auto_LUI 209 1 +auto_CLZ auto_AUIPC 218 1 +auto_CLZ auto_JAL 69 1 +auto_CLZ auto_JALR 1 1 +auto_CLZ auto_BEQ 71 1 +auto_CLZ auto_BNE 60 1 +auto_CLZ auto_BLT 72 1 +auto_CLZ auto_BGE 72 1 +auto_CLZ auto_BLTU 46 1 +auto_CLZ auto_BGEU 70 1 +auto_CLZ auto_LB 307 1 +auto_CLZ auto_LH 110 1 +auto_CLZ auto_LW 56 1 +auto_CLZ auto_LBU 322 1 +auto_CLZ auto_LHU 114 1 +auto_CLZ auto_SB 325 1 +auto_CLZ auto_SH 106 1 +auto_CLZ auto_SW 43 1 +auto_CLZ auto_ADDI 309 1 +auto_CLZ auto_SLTI 209 1 +auto_CLZ auto_SLTIU 180 1 +auto_CLZ auto_XORI 165 1 +auto_CLZ auto_ORI 203 1 +auto_CLZ auto_ANDI 197 1 +auto_CLZ auto_SLLI 207 1 +auto_CLZ auto_SRLI 186 1 +auto_CLZ auto_SRAI 176 1 +auto_CLZ auto_ADD 164 1 +auto_CLZ auto_SUB 166 1 +auto_CLZ auto_SLL 187 1 +auto_CLZ auto_SLT 199 1 +auto_CLZ auto_SLTU 190 1 +auto_CLZ auto_XOR 200 1 +auto_CLZ auto_SRL 223 1 +auto_CLZ auto_SRA 205 1 +auto_CLZ auto_OR 200 1 +auto_CLZ auto_AND 211 1 +auto_CLZ auto_FENCE 68 1 +auto_CLZ auto_MRET 367 1 +auto_CLZ auto_MUL 219 1 +auto_CLZ auto_MULH 220 1 +auto_CLZ auto_MULHSU 211 1 +auto_CLZ auto_MULHU 184 1 +auto_CLZ auto_DIV 197 1 +auto_CLZ auto_DIVU 176 1 +auto_CLZ auto_REM 175 1 +auto_CLZ auto_REMU 199 1 +auto_CLZ auto_C_ADDI4SPN 89 1 +auto_CLZ auto_C_SW 1 1 +auto_CLZ auto_C_NOP 565 1 +auto_CLZ auto_C_ADDI 89 1 +auto_CLZ auto_C_JAL 34 1 +auto_CLZ auto_C_LI 97 1 +auto_CLZ auto_C_ADDI16SP 83 1 +auto_CLZ auto_C_LUI 105 1 +auto_CLZ auto_C_SRLI 102 1 +auto_CLZ auto_C_SRAI 99 1 +auto_CLZ auto_C_ANDI 87 1 +auto_CLZ auto_C_SUB 93 1 +auto_CLZ auto_C_XOR 101 1 +auto_CLZ auto_C_OR 106 1 +auto_CLZ auto_C_AND 100 1 +auto_CLZ auto_C_J 23 1 +auto_CLZ auto_C_BEQZ 38 1 +auto_CLZ auto_C_BNEZ 49 1 +auto_CLZ auto_C_SLLI 108 1 +auto_CLZ auto_C_LWSP 4 1 +auto_CLZ auto_C_JR 6 1 +auto_CLZ auto_C_MV 104 1 +auto_CLZ auto_C_JALR 4 1 +auto_CLZ auto_C_ADD 139 1 +auto_CLZ auto_C_SWSP 4 1 +auto_CLZ auto_SH1ADD 200 1 +auto_CLZ auto_SH2ADD 204 1 +auto_CLZ auto_SH3ADD 200 1 +auto_CLZ auto_CLZ 173 1 +auto_CLZ auto_CTZ 183 1 +auto_CLZ auto_CPOP 211 1 +auto_CLZ auto_MIN 189 1 +auto_CLZ auto_MAX 192 1 +auto_CLZ auto_MINU 184 1 +auto_CLZ auto_MAXU 215 1 +auto_CLZ auto_SEXT_B 206 1 +auto_CLZ auto_SEXT_H 220 1 +auto_CLZ auto_ZEXT_H 214 1 +auto_CLZ auto_ANDN 230 1 +auto_CLZ auto_ORN 214 1 +auto_CLZ auto_XNOR 221 1 +auto_CLZ auto_ROR 212 1 +auto_CLZ auto_RORI 175 1 +auto_CLZ auto_ROL 200 1 +auto_CLZ auto_REV8 176 1 +auto_CLZ auto_ORC_B 195 1 +auto_CLZ auto_CLMUL 185 1 +auto_CLZ auto_CLMULH 179 1 +auto_CLZ auto_CLMULR 207 1 +auto_CLZ auto_BSET 200 1 +auto_CLZ auto_BSETI 196 1 +auto_CLZ auto_BCLR 200 1 +auto_CLZ auto_BCLRI 207 1 +auto_CLZ auto_BINV 194 1 +auto_CLZ auto_BINVI 205 1 +auto_CLZ auto_BEXT 175 1 +auto_CLZ auto_BEXTI 222 1 +auto_CLZ auto_CSRRW 80 1 +auto_CLZ auto_CSRRS 66 1 +auto_CLZ auto_CSRRC 65 1 +auto_CLZ auto_CSRRWI 67 1 +auto_CLZ auto_CSRRSI 59 1 +auto_CLZ auto_CSRRCI 62 1 +auto_CLZ auto_C_LBU 3 1 +auto_CLZ auto_C_SB 2 1 +auto_CLZ auto_C_SH 2 1 +auto_CLZ auto_C_ZEXT_B 89 1 +auto_CLZ auto_C_SEXT_B 104 1 +auto_CLZ auto_C_ZEXT_H 101 1 +auto_CLZ auto_C_SEXT_H 84 1 +auto_CLZ auto_C_NOT 91 1 +auto_CLZ auto_C_MUL 75 1 +auto_CTZ auto_LUI 203 1 +auto_CTZ auto_AUIPC 206 1 +auto_CTZ auto_JAL 60 1 +auto_CTZ auto_JALR 9 1 +auto_CTZ auto_BEQ 49 1 +auto_CTZ auto_BNE 52 1 +auto_CTZ auto_BLT 54 1 +auto_CTZ auto_BGE 58 1 +auto_CTZ auto_BLTU 62 1 +auto_CTZ auto_BGEU 43 1 +auto_CTZ auto_LB 342 1 +auto_CTZ auto_LH 109 1 +auto_CTZ auto_LW 52 1 +auto_CTZ auto_LBU 291 1 +auto_CTZ auto_LHU 103 1 +auto_CTZ auto_SB 288 1 +auto_CTZ auto_SH 99 1 +auto_CTZ auto_SW 49 1 +auto_CTZ auto_ADDI 303 1 +auto_CTZ auto_SLTI 195 1 +auto_CTZ auto_SLTIU 190 1 +auto_CTZ auto_XORI 196 1 +auto_CTZ auto_ORI 174 1 +auto_CTZ auto_ANDI 208 1 +auto_CTZ auto_SLLI 183 1 +auto_CTZ auto_SRLI 194 1 +auto_CTZ auto_SRAI 174 1 +auto_CTZ auto_ADD 161 1 +auto_CTZ auto_SUB 191 1 +auto_CTZ auto_SLL 216 1 +auto_CTZ auto_SLT 198 1 +auto_CTZ auto_SLTU 182 1 +auto_CTZ auto_XOR 180 1 +auto_CTZ auto_SRL 195 1 +auto_CTZ auto_SRA 202 1 +auto_CTZ auto_OR 186 1 +auto_CTZ auto_AND 193 1 +auto_CTZ auto_FENCE 79 1 +auto_CTZ auto_MRET 371 1 +auto_CTZ auto_MUL 205 1 +auto_CTZ auto_MULH 237 1 +auto_CTZ auto_MULHSU 202 1 +auto_CTZ auto_MULHU 178 1 +auto_CTZ auto_DIV 220 1 +auto_CTZ auto_DIVU 214 1 +auto_CTZ auto_REM 192 1 +auto_CTZ auto_REMU 183 1 +auto_CTZ auto_C_ADDI4SPN 78 1 +auto_CTZ auto_C_SW 1 1 +auto_CTZ auto_C_NOP 525 1 +auto_CTZ auto_C_ADDI 124 1 +auto_CTZ auto_C_JAL 33 1 +auto_CTZ auto_C_LI 108 1 +auto_CTZ auto_C_ADDI16SP 74 1 +auto_CTZ auto_C_LUI 90 1 +auto_CTZ auto_C_SRLI 103 1 +auto_CTZ auto_C_SRAI 99 1 +auto_CTZ auto_C_ANDI 89 1 +auto_CTZ auto_C_SUB 95 1 +auto_CTZ auto_C_XOR 74 1 +auto_CTZ auto_C_OR 103 1 +auto_CTZ auto_C_AND 92 1 +auto_CTZ auto_C_J 44 1 +auto_CTZ auto_C_BEQZ 37 1 +auto_CTZ auto_C_BNEZ 52 1 +auto_CTZ auto_C_SLLI 108 1 +auto_CTZ auto_C_LWSP 10 1 +auto_CTZ auto_C_MV 105 1 +auto_CTZ auto_C_JALR 2 1 +auto_CTZ auto_C_ADD 158 1 +auto_CTZ auto_C_SWSP 4 1 +auto_CTZ auto_SH1ADD 195 1 +auto_CTZ auto_SH2ADD 197 1 +auto_CTZ auto_SH3ADD 181 1 +auto_CTZ auto_CLZ 211 1 +auto_CTZ auto_CTZ 193 1 +auto_CTZ auto_CPOP 224 1 +auto_CTZ auto_MIN 189 1 +auto_CTZ auto_MAX 197 1 +auto_CTZ auto_MINU 201 1 +auto_CTZ auto_MAXU 197 1 +auto_CTZ auto_SEXT_B 194 1 +auto_CTZ auto_SEXT_H 204 1 +auto_CTZ auto_ZEXT_H 223 1 +auto_CTZ auto_ANDN 199 1 +auto_CTZ auto_ORN 200 1 +auto_CTZ auto_XNOR 181 1 +auto_CTZ auto_ROR 181 1 +auto_CTZ auto_RORI 190 1 +auto_CTZ auto_ROL 186 1 +auto_CTZ auto_REV8 193 1 +auto_CTZ auto_ORC_B 184 1 +auto_CTZ auto_CLMUL 192 1 +auto_CTZ auto_CLMULH 185 1 +auto_CTZ auto_CLMULR 185 1 +auto_CTZ auto_BSET 204 1 +auto_CTZ auto_BSETI 185 1 +auto_CTZ auto_BCLR 225 1 +auto_CTZ auto_BCLRI 241 1 +auto_CTZ auto_BINV 191 1 +auto_CTZ auto_BINVI 191 1 +auto_CTZ auto_BEXT 211 1 +auto_CTZ auto_BEXTI 205 1 +auto_CTZ auto_CSRRW 80 1 +auto_CTZ auto_CSRRS 85 1 +auto_CTZ auto_CSRRC 67 1 +auto_CTZ auto_CSRRWI 75 1 +auto_CTZ auto_CSRRSI 68 1 +auto_CTZ auto_CSRRCI 72 1 +auto_CTZ auto_C_LBU 2 1 +auto_CTZ auto_C_LH 1 1 +auto_CTZ auto_C_SB 1 1 +auto_CTZ auto_C_SH 1 1 +auto_CTZ auto_C_ZEXT_B 91 1 +auto_CTZ auto_C_SEXT_B 85 1 +auto_CTZ auto_C_ZEXT_H 103 1 +auto_CTZ auto_C_SEXT_H 92 1 +auto_CTZ auto_C_NOT 106 1 +auto_CTZ auto_C_MUL 91 1 +auto_CPOP auto_LUI 211 1 +auto_CPOP auto_AUIPC 234 1 +auto_CPOP auto_JAL 80 1 +auto_CPOP auto_BEQ 57 1 +auto_CPOP auto_BNE 77 1 +auto_CPOP auto_BLT 47 1 +auto_CPOP auto_BGE 60 1 +auto_CPOP auto_BLTU 55 1 +auto_CPOP auto_BGEU 58 1 +auto_CPOP auto_LB 304 1 +auto_CPOP auto_LH 99 1 +auto_CPOP auto_LW 43 1 +auto_CPOP auto_LBU 332 1 +auto_CPOP auto_LHU 95 1 +auto_CPOP auto_SB 324 1 +auto_CPOP auto_SH 108 1 +auto_CPOP auto_SW 53 1 +auto_CPOP auto_ADDI 297 1 +auto_CPOP auto_SLTI 209 1 +auto_CPOP auto_SLTIU 200 1 +auto_CPOP auto_XORI 184 1 +auto_CPOP auto_ORI 220 1 +auto_CPOP auto_ANDI 192 1 +auto_CPOP auto_SLLI 204 1 +auto_CPOP auto_SRLI 190 1 +auto_CPOP auto_SRAI 210 1 +auto_CPOP auto_ADD 213 1 +auto_CPOP auto_SUB 194 1 +auto_CPOP auto_SLL 198 1 +auto_CPOP auto_SLT 188 1 +auto_CPOP auto_SLTU 196 1 +auto_CPOP auto_XOR 204 1 +auto_CPOP auto_SRL 209 1 +auto_CPOP auto_SRA 209 1 +auto_CPOP auto_OR 191 1 +auto_CPOP auto_AND 214 1 +auto_CPOP auto_FENCE 85 1 +auto_CPOP auto_MRET 319 1 +auto_CPOP auto_MUL 206 1 +auto_CPOP auto_MULH 184 1 +auto_CPOP auto_MULHSU 197 1 +auto_CPOP auto_MULHU 195 1 +auto_CPOP auto_DIV 192 1 +auto_CPOP auto_DIVU 195 1 +auto_CPOP auto_REM 200 1 +auto_CPOP auto_REMU 186 1 +auto_CPOP auto_C_ADDI4SPN 73 1 +auto_CPOP auto_C_LW 1 1 +auto_CPOP auto_C_SW 1 1 +auto_CPOP auto_C_NOP 532 1 +auto_CPOP auto_C_ADDI 110 1 +auto_CPOP auto_C_JAL 24 1 +auto_CPOP auto_C_LI 90 1 +auto_CPOP auto_C_ADDI16SP 87 1 +auto_CPOP auto_C_LUI 98 1 +auto_CPOP auto_C_SRLI 99 1 +auto_CPOP auto_C_SRAI 90 1 +auto_CPOP auto_C_ANDI 95 1 +auto_CPOP auto_C_SUB 107 1 +auto_CPOP auto_C_XOR 101 1 +auto_CPOP auto_C_OR 92 1 +auto_CPOP auto_C_AND 93 1 +auto_CPOP auto_C_J 32 1 +auto_CPOP auto_C_BEQZ 32 1 +auto_CPOP auto_C_BNEZ 52 1 +auto_CPOP auto_C_SLLI 132 1 +auto_CPOP auto_C_LWSP 5 1 +auto_CPOP auto_C_MV 103 1 +auto_CPOP auto_C_JALR 1 1 +auto_CPOP auto_C_ADD 139 1 +auto_CPOP auto_C_SWSP 5 1 +auto_CPOP auto_SH1ADD 205 1 +auto_CPOP auto_SH2ADD 194 1 +auto_CPOP auto_SH3ADD 212 1 +auto_CPOP auto_CLZ 178 1 +auto_CPOP auto_CTZ 200 1 +auto_CPOP auto_CPOP 206 1 +auto_CPOP auto_MIN 208 1 +auto_CPOP auto_MAX 197 1 +auto_CPOP auto_MINU 199 1 +auto_CPOP auto_MAXU 240 1 +auto_CPOP auto_SEXT_B 208 1 +auto_CPOP auto_SEXT_H 215 1 +auto_CPOP auto_ZEXT_H 201 1 +auto_CPOP auto_ANDN 220 1 +auto_CPOP auto_ORN 188 1 +auto_CPOP auto_XNOR 176 1 +auto_CPOP auto_ROR 198 1 +auto_CPOP auto_RORI 190 1 +auto_CPOP auto_ROL 199 1 +auto_CPOP auto_REV8 187 1 +auto_CPOP auto_ORC_B 229 1 +auto_CPOP auto_CLMUL 197 1 +auto_CPOP auto_CLMULH 188 1 +auto_CPOP auto_CLMULR 242 1 +auto_CPOP auto_BSET 181 1 +auto_CPOP auto_BSETI 197 1 +auto_CPOP auto_BCLR 200 1 +auto_CPOP auto_BCLRI 219 1 +auto_CPOP auto_BINV 182 1 +auto_CPOP auto_BINVI 225 1 +auto_CPOP auto_BEXT 187 1 +auto_CPOP auto_BEXTI 189 1 +auto_CPOP auto_CSRRW 70 1 +auto_CPOP auto_CSRRS 70 1 +auto_CPOP auto_CSRRC 72 1 +auto_CPOP auto_CSRRWI 62 1 +auto_CPOP auto_CSRRSI 71 1 +auto_CPOP auto_CSRRCI 79 1 +auto_CPOP auto_C_LBU 1 1 +auto_CPOP auto_C_SH 1 1 +auto_CPOP auto_C_ZEXT_B 96 1 +auto_CPOP auto_C_SEXT_B 100 1 +auto_CPOP auto_C_ZEXT_H 86 1 +auto_CPOP auto_C_SEXT_H 99 1 +auto_CPOP auto_C_NOT 90 1 +auto_CPOP auto_C_MUL 101 1 +auto_MIN auto_LUI 211 1 +auto_MIN auto_AUIPC 180 1 +auto_MIN auto_JAL 66 1 +auto_MIN auto_JALR 2 1 +auto_MIN auto_BEQ 62 1 +auto_MIN auto_BNE 38 1 +auto_MIN auto_BLT 64 1 +auto_MIN auto_BGE 52 1 +auto_MIN auto_BLTU 46 1 +auto_MIN auto_BGEU 63 1 +auto_MIN auto_LB 329 1 +auto_MIN auto_LH 100 1 +auto_MIN auto_LW 51 1 +auto_MIN auto_LBU 333 1 +auto_MIN auto_LHU 118 1 +auto_MIN auto_SB 319 1 +auto_MIN auto_SH 95 1 +auto_MIN auto_SW 44 1 +auto_MIN auto_ADDI 298 1 +auto_MIN auto_SLTI 189 1 +auto_MIN auto_SLTIU 196 1 +auto_MIN auto_XORI 200 1 +auto_MIN auto_ORI 189 1 +auto_MIN auto_ANDI 191 1 +auto_MIN auto_SLLI 186 1 +auto_MIN auto_SRLI 191 1 +auto_MIN auto_SRAI 168 1 +auto_MIN auto_ADD 167 1 +auto_MIN auto_SUB 184 1 +auto_MIN auto_SLL 201 1 +auto_MIN auto_SLT 218 1 +auto_MIN auto_SLTU 204 1 +auto_MIN auto_XOR 194 1 +auto_MIN auto_SRL 172 1 +auto_MIN auto_SRA 206 1 +auto_MIN auto_OR 169 1 +auto_MIN auto_AND 187 1 +auto_MIN auto_FENCE 80 1 +auto_MIN auto_MRET 363 1 +auto_MIN auto_MUL 187 1 +auto_MIN auto_MULH 199 1 +auto_MIN auto_MULHSU 181 1 +auto_MIN auto_MULHU 212 1 +auto_MIN auto_DIV 170 1 +auto_MIN auto_DIVU 208 1 +auto_MIN auto_REM 214 1 +auto_MIN auto_REMU 205 1 +auto_MIN auto_C_ADDI4SPN 67 1 +auto_MIN auto_C_LW 2 1 +auto_MIN auto_C_SW 1 1 +auto_MIN auto_C_NOP 534 1 +auto_MIN auto_C_ADDI 123 1 +auto_MIN auto_C_JAL 32 1 +auto_MIN auto_C_LI 116 1 +auto_MIN auto_C_ADDI16SP 89 1 +auto_MIN auto_C_LUI 91 1 +auto_MIN auto_C_SRLI 86 1 +auto_MIN auto_C_SRAI 109 1 +auto_MIN auto_C_ANDI 92 1 +auto_MIN auto_C_SUB 95 1 +auto_MIN auto_C_XOR 106 1 +auto_MIN auto_C_OR 105 1 +auto_MIN auto_C_AND 85 1 +auto_MIN auto_C_J 26 1 +auto_MIN auto_C_BEQZ 56 1 +auto_MIN auto_C_BNEZ 48 1 +auto_MIN auto_C_SLLI 128 1 +auto_MIN auto_C_LWSP 5 1 +auto_MIN auto_C_JR 3 1 +auto_MIN auto_C_MV 106 1 +auto_MIN auto_C_ADD 109 1 +auto_MIN auto_C_SWSP 4 1 +auto_MIN auto_SH1ADD 191 1 +auto_MIN auto_SH2ADD 208 1 +auto_MIN auto_SH3ADD 192 1 +auto_MIN auto_CLZ 198 1 +auto_MIN auto_CTZ 191 1 +auto_MIN auto_CPOP 193 1 +auto_MIN auto_MIN 197 1 +auto_MIN auto_MAX 212 1 +auto_MIN auto_MINU 205 1 +auto_MIN auto_MAXU 206 1 +auto_MIN auto_SEXT_B 193 1 +auto_MIN auto_SEXT_H 185 1 +auto_MIN auto_ZEXT_H 212 1 +auto_MIN auto_ANDN 199 1 +auto_MIN auto_ORN 203 1 +auto_MIN auto_XNOR 212 1 +auto_MIN auto_ROR 188 1 +auto_MIN auto_RORI 196 1 +auto_MIN auto_ROL 176 1 +auto_MIN auto_REV8 224 1 +auto_MIN auto_ORC_B 204 1 +auto_MIN auto_CLMUL 232 1 +auto_MIN auto_CLMULH 204 1 +auto_MIN auto_CLMULR 195 1 +auto_MIN auto_BSET 199 1 +auto_MIN auto_BSETI 203 1 +auto_MIN auto_BCLR 189 1 +auto_MIN auto_BCLRI 193 1 +auto_MIN auto_BINV 242 1 +auto_MIN auto_BINVI 208 1 +auto_MIN auto_BEXT 208 1 +auto_MIN auto_BEXTI 200 1 +auto_MIN auto_CSRRW 76 1 +auto_MIN auto_CSRRS 85 1 +auto_MIN auto_CSRRC 66 1 +auto_MIN auto_CSRRWI 88 1 +auto_MIN auto_CSRRSI 69 1 +auto_MIN auto_CSRRCI 62 1 +auto_MIN auto_C_LBU 4 1 +auto_MIN auto_C_LHU 2 1 +auto_MIN auto_C_SH 1 1 +auto_MIN auto_C_ZEXT_B 95 1 +auto_MIN auto_C_SEXT_B 91 1 +auto_MIN auto_C_ZEXT_H 111 1 +auto_MIN auto_C_SEXT_H 87 1 +auto_MIN auto_C_NOT 98 1 +auto_MIN auto_C_MUL 96 1 +auto_MAX auto_LUI 162 1 +auto_MAX auto_AUIPC 194 1 +auto_MAX auto_JAL 45 1 +auto_MAX auto_JALR 6 1 +auto_MAX auto_BEQ 55 1 +auto_MAX auto_BNE 55 1 +auto_MAX auto_BLT 53 1 +auto_MAX auto_BGE 52 1 +auto_MAX auto_BLTU 73 1 +auto_MAX auto_BGEU 56 1 +auto_MAX auto_LB 337 1 +auto_MAX auto_LH 108 1 +auto_MAX auto_LW 44 1 +auto_MAX auto_LBU 356 1 +auto_MAX auto_LHU 95 1 +auto_MAX auto_SB 319 1 +auto_MAX auto_SH 94 1 +auto_MAX auto_SW 53 1 +auto_MAX auto_ADDI 317 1 +auto_MAX auto_SLTI 208 1 +auto_MAX auto_SLTIU 187 1 +auto_MAX auto_XORI 212 1 +auto_MAX auto_ORI 221 1 +auto_MAX auto_ANDI 231 1 +auto_MAX auto_SLLI 196 1 +auto_MAX auto_SRLI 176 1 +auto_MAX auto_SRAI 203 1 +auto_MAX auto_ADD 151 1 +auto_MAX auto_SUB 200 1 +auto_MAX auto_SLL 200 1 +auto_MAX auto_SLT 202 1 +auto_MAX auto_SLTU 177 1 +auto_MAX auto_XOR 195 1 +auto_MAX auto_SRL 226 1 +auto_MAX auto_SRA 196 1 +auto_MAX auto_OR 193 1 +auto_MAX auto_AND 192 1 +auto_MAX auto_FENCE 74 1 +auto_MAX auto_MRET 360 1 +auto_MAX auto_MUL 219 1 +auto_MAX auto_MULH 192 1 +auto_MAX auto_MULHSU 199 1 +auto_MAX auto_MULHU 186 1 +auto_MAX auto_DIV 201 1 +auto_MAX auto_DIVU 199 1 +auto_MAX auto_REM 211 1 +auto_MAX auto_REMU 201 1 +auto_MAX auto_C_ADDI4SPN 73 1 +auto_MAX auto_C_SW 1 1 +auto_MAX auto_C_NOP 541 1 +auto_MAX auto_C_ADDI 91 1 +auto_MAX auto_C_JAL 31 1 +auto_MAX auto_C_LI 100 1 +auto_MAX auto_C_ADDI16SP 85 1 +auto_MAX auto_C_LUI 102 1 +auto_MAX auto_C_SRLI 93 1 +auto_MAX auto_C_SRAI 96 1 +auto_MAX auto_C_ANDI 94 1 +auto_MAX auto_C_SUB 104 1 +auto_MAX auto_C_XOR 96 1 +auto_MAX auto_C_OR 106 1 +auto_MAX auto_C_AND 87 1 +auto_MAX auto_C_J 28 1 +auto_MAX auto_C_BEQZ 38 1 +auto_MAX auto_C_BNEZ 55 1 +auto_MAX auto_C_SLLI 123 1 +auto_MAX auto_C_LWSP 12 1 +auto_MAX auto_C_JR 2 1 +auto_MAX auto_C_MV 84 1 +auto_MAX auto_C_JALR 6 1 +auto_MAX auto_C_ADD 160 1 +auto_MAX auto_C_SWSP 7 1 +auto_MAX auto_SH1ADD 188 1 +auto_MAX auto_SH2ADD 211 1 +auto_MAX auto_SH3ADD 202 1 +auto_MAX auto_CLZ 186 1 +auto_MAX auto_CTZ 204 1 +auto_MAX auto_CPOP 190 1 +auto_MAX auto_MIN 242 1 +auto_MAX auto_MAX 217 1 +auto_MAX auto_MINU 199 1 +auto_MAX auto_MAXU 187 1 +auto_MAX auto_SEXT_B 223 1 +auto_MAX auto_SEXT_H 186 1 +auto_MAX auto_ZEXT_H 183 1 +auto_MAX auto_ANDN 191 1 +auto_MAX auto_ORN 203 1 +auto_MAX auto_XNOR 202 1 +auto_MAX auto_ROR 195 1 +auto_MAX auto_RORI 190 1 +auto_MAX auto_ROL 198 1 +auto_MAX auto_REV8 205 1 +auto_MAX auto_ORC_B 188 1 +auto_MAX auto_CLMUL 243 1 +auto_MAX auto_CLMULH 203 1 +auto_MAX auto_CLMULR 218 1 +auto_MAX auto_BSET 178 1 +auto_MAX auto_BSETI 181 1 +auto_MAX auto_BCLR 183 1 +auto_MAX auto_BCLRI 190 1 +auto_MAX auto_BINV 169 1 +auto_MAX auto_BINVI 192 1 +auto_MAX auto_BEXT 179 1 +auto_MAX auto_BEXTI 230 1 +auto_MAX auto_CSRRW 69 1 +auto_MAX auto_CSRRS 67 1 +auto_MAX auto_CSRRC 82 1 +auto_MAX auto_CSRRWI 70 1 +auto_MAX auto_CSRRSI 78 1 +auto_MAX auto_CSRRCI 69 1 +auto_MAX auto_C_LBU 4 1 +auto_MAX auto_C_LH 1 1 +auto_MAX auto_C_SB 1 1 +auto_MAX auto_C_ZEXT_B 87 1 +auto_MAX auto_C_SEXT_B 92 1 +auto_MAX auto_C_ZEXT_H 86 1 +auto_MAX auto_C_SEXT_H 75 1 +auto_MAX auto_C_NOT 94 1 +auto_MAX auto_C_MUL 79 1 +auto_MINU auto_LUI 202 1 +auto_MINU auto_AUIPC 196 1 +auto_MINU auto_JAL 70 1 +auto_MINU auto_JALR 1 1 +auto_MINU auto_BEQ 75 1 +auto_MINU auto_BNE 55 1 +auto_MINU auto_BLT 63 1 +auto_MINU auto_BGE 55 1 +auto_MINU auto_BLTU 58 1 +auto_MINU auto_BGEU 76 1 +auto_MINU auto_LB 297 1 +auto_MINU auto_LH 94 1 +auto_MINU auto_LW 53 1 +auto_MINU auto_LBU 304 1 +auto_MINU auto_LHU 83 1 +auto_MINU auto_SB 361 1 +auto_MINU auto_SH 97 1 +auto_MINU auto_SW 54 1 +auto_MINU auto_ADDI 338 1 +auto_MINU auto_SLTI 209 1 +auto_MINU auto_SLTIU 189 1 +auto_MINU auto_XORI 199 1 +auto_MINU auto_ORI 183 1 +auto_MINU auto_ANDI 194 1 +auto_MINU auto_SLLI 199 1 +auto_MINU auto_SRLI 184 1 +auto_MINU auto_SRAI 192 1 +auto_MINU auto_ADD 156 1 +auto_MINU auto_SUB 184 1 +auto_MINU auto_SLL 179 1 +auto_MINU auto_SLT 211 1 +auto_MINU auto_SLTU 215 1 +auto_MINU auto_XOR 186 1 +auto_MINU auto_SRL 187 1 +auto_MINU auto_SRA 203 1 +auto_MINU auto_OR 207 1 +auto_MINU auto_AND 169 1 +auto_MINU auto_FENCE 68 1 +auto_MINU auto_MRET 345 1 +auto_MINU auto_MUL 219 1 +auto_MINU auto_MULH 193 1 +auto_MINU auto_MULHSU 179 1 +auto_MINU auto_MULHU 216 1 +auto_MINU auto_DIV 227 1 +auto_MINU auto_DIVU 229 1 +auto_MINU auto_REM 205 1 +auto_MINU auto_REMU 163 1 +auto_MINU auto_C_ADDI4SPN 73 1 +auto_MINU auto_C_NOP 488 1 +auto_MINU auto_C_ADDI 103 1 +auto_MINU auto_C_JAL 23 1 +auto_MINU auto_C_LI 130 1 +auto_MINU auto_C_ADDI16SP 78 1 +auto_MINU auto_C_LUI 89 1 +auto_MINU auto_C_SRLI 95 1 +auto_MINU auto_C_SRAI 88 1 +auto_MINU auto_C_ANDI 103 1 +auto_MINU auto_C_SUB 91 1 +auto_MINU auto_C_XOR 97 1 +auto_MINU auto_C_OR 81 1 +auto_MINU auto_C_AND 99 1 +auto_MINU auto_C_J 32 1 +auto_MINU auto_C_BEQZ 50 1 +auto_MINU auto_C_BNEZ 44 1 +auto_MINU auto_C_SLLI 123 1 +auto_MINU auto_C_LWSP 10 1 +auto_MINU auto_C_JR 9 1 +auto_MINU auto_C_MV 91 1 +auto_MINU auto_C_JALR 2 1 +auto_MINU auto_C_ADD 142 1 +auto_MINU auto_C_SWSP 4 1 +auto_MINU auto_SH1ADD 177 1 +auto_MINU auto_SH2ADD 197 1 +auto_MINU auto_SH3ADD 185 1 +auto_MINU auto_CLZ 194 1 +auto_MINU auto_CTZ 187 1 +auto_MINU auto_CPOP 200 1 +auto_MINU auto_MIN 199 1 +auto_MINU auto_MAX 211 1 +auto_MINU auto_MINU 192 1 +auto_MINU auto_MAXU 191 1 +auto_MINU auto_SEXT_B 175 1 +auto_MINU auto_SEXT_H 214 1 +auto_MINU auto_ZEXT_H 211 1 +auto_MINU auto_ANDN 184 1 +auto_MINU auto_ORN 204 1 +auto_MINU auto_XNOR 201 1 +auto_MINU auto_ROR 206 1 +auto_MINU auto_RORI 184 1 +auto_MINU auto_ROL 181 1 +auto_MINU auto_REV8 225 1 +auto_MINU auto_ORC_B 211 1 +auto_MINU auto_CLMUL 175 1 +auto_MINU auto_CLMULH 191 1 +auto_MINU auto_CLMULR 188 1 +auto_MINU auto_BSET 225 1 +auto_MINU auto_BSETI 179 1 +auto_MINU auto_BCLR 198 1 +auto_MINU auto_BCLRI 186 1 +auto_MINU auto_BINV 178 1 +auto_MINU auto_BINVI 187 1 +auto_MINU auto_BEXT 191 1 +auto_MINU auto_BEXTI 211 1 +auto_MINU auto_CSRRW 64 1 +auto_MINU auto_CSRRS 56 1 +auto_MINU auto_CSRRC 61 1 +auto_MINU auto_CSRRWI 63 1 +auto_MINU auto_CSRRSI 72 1 +auto_MINU auto_CSRRCI 61 1 +auto_MINU auto_C_LBU 1 1 +auto_MINU auto_C_SB 3 1 +auto_MINU auto_C_ZEXT_B 84 1 +auto_MINU auto_C_SEXT_B 85 1 +auto_MINU auto_C_ZEXT_H 106 1 +auto_MINU auto_C_SEXT_H 98 1 +auto_MINU auto_C_NOT 95 1 +auto_MINU auto_C_MUL 94 1 +auto_MAXU auto_LUI 200 1 +auto_MAXU auto_AUIPC 185 1 +auto_MAXU auto_JAL 70 1 +auto_MAXU auto_JALR 4 1 +auto_MAXU auto_BEQ 62 1 +auto_MAXU auto_BNE 52 1 +auto_MAXU auto_BLT 58 1 +auto_MAXU auto_BGE 66 1 +auto_MAXU auto_BLTU 69 1 +auto_MAXU auto_BGEU 42 1 +auto_MAXU auto_LB 315 1 +auto_MAXU auto_LH 97 1 +auto_MAXU auto_LW 63 1 +auto_MAXU auto_LBU 328 1 +auto_MAXU auto_LHU 119 1 +auto_MAXU auto_SB 300 1 +auto_MAXU auto_SH 105 1 +auto_MAXU auto_SW 50 1 +auto_MAXU auto_ADDI 313 1 +auto_MAXU auto_SLTI 192 1 +auto_MAXU auto_SLTIU 223 1 +auto_MAXU auto_XORI 227 1 +auto_MAXU auto_ORI 194 1 +auto_MAXU auto_ANDI 184 1 +auto_MAXU auto_SLLI 195 1 +auto_MAXU auto_SRLI 202 1 +auto_MAXU auto_SRAI 211 1 +auto_MAXU auto_ADD 149 1 +auto_MAXU auto_SUB 180 1 +auto_MAXU auto_SLL 188 1 +auto_MAXU auto_SLT 204 1 +auto_MAXU auto_SLTU 185 1 +auto_MAXU auto_XOR 181 1 +auto_MAXU auto_SRL 197 1 +auto_MAXU auto_SRA 194 1 +auto_MAXU auto_OR 228 1 +auto_MAXU auto_AND 189 1 +auto_MAXU auto_FENCE 91 1 +auto_MAXU auto_MRET 349 1 +auto_MAXU auto_MUL 196 1 +auto_MAXU auto_MULH 174 1 +auto_MAXU auto_MULHSU 201 1 +auto_MAXU auto_MULHU 194 1 +auto_MAXU auto_DIV 191 1 +auto_MAXU auto_DIVU 231 1 +auto_MAXU auto_REM 188 1 +auto_MAXU auto_REMU 198 1 +auto_MAXU auto_C_ADDI4SPN 77 1 +auto_MAXU auto_C_LW 1 1 +auto_MAXU auto_C_NOP 508 1 +auto_MAXU auto_C_ADDI 93 1 +auto_MAXU auto_C_JAL 30 1 +auto_MAXU auto_C_LI 110 1 +auto_MAXU auto_C_ADDI16SP 88 1 +auto_MAXU auto_C_LUI 106 1 +auto_MAXU auto_C_SRLI 110 1 +auto_MAXU auto_C_SRAI 86 1 +auto_MAXU auto_C_ANDI 64 1 +auto_MAXU auto_C_SUB 95 1 +auto_MAXU auto_C_XOR 108 1 +auto_MAXU auto_C_OR 85 1 +auto_MAXU auto_C_AND 93 1 +auto_MAXU auto_C_J 32 1 +auto_MAXU auto_C_BEQZ 58 1 +auto_MAXU auto_C_BNEZ 39 1 +auto_MAXU auto_C_SLLI 104 1 +auto_MAXU auto_C_LWSP 4 1 +auto_MAXU auto_C_MV 106 1 +auto_MAXU auto_C_JALR 2 1 +auto_MAXU auto_C_ADD 136 1 +auto_MAXU auto_C_SWSP 3 1 +auto_MAXU auto_SH1ADD 196 1 +auto_MAXU auto_SH2ADD 167 1 +auto_MAXU auto_SH3ADD 196 1 +auto_MAXU auto_CLZ 192 1 +auto_MAXU auto_CTZ 212 1 +auto_MAXU auto_CPOP 200 1 +auto_MAXU auto_MIN 192 1 +auto_MAXU auto_MAX 190 1 +auto_MAXU auto_MINU 186 1 +auto_MAXU auto_MAXU 182 1 +auto_MAXU auto_SEXT_B 226 1 +auto_MAXU auto_SEXT_H 194 1 +auto_MAXU auto_ZEXT_H 208 1 +auto_MAXU auto_ANDN 268 1 +auto_MAXU auto_ORN 191 1 +auto_MAXU auto_XNOR 205 1 +auto_MAXU auto_ROR 246 1 +auto_MAXU auto_RORI 209 1 +auto_MAXU auto_ROL 193 1 +auto_MAXU auto_REV8 225 1 +auto_MAXU auto_ORC_B 185 1 +auto_MAXU auto_CLMUL 202 1 +auto_MAXU auto_CLMULH 208 1 +auto_MAXU auto_CLMULR 187 1 +auto_MAXU auto_BSET 223 1 +auto_MAXU auto_BSETI 212 1 +auto_MAXU auto_BCLR 209 1 +auto_MAXU auto_BCLRI 208 1 +auto_MAXU auto_BINV 223 1 +auto_MAXU auto_BINVI 190 1 +auto_MAXU auto_BEXT 199 1 +auto_MAXU auto_BEXTI 198 1 +auto_MAXU auto_CSRRW 90 1 +auto_MAXU auto_CSRRS 68 1 +auto_MAXU auto_CSRRC 82 1 +auto_MAXU auto_CSRRWI 65 1 +auto_MAXU auto_CSRRSI 68 1 +auto_MAXU auto_CSRRCI 76 1 +auto_MAXU auto_C_LBU 1 1 +auto_MAXU auto_C_LH 1 1 +auto_MAXU auto_C_SB 1 1 +auto_MAXU auto_C_SH 1 1 +auto_MAXU auto_C_ZEXT_B 88 1 +auto_MAXU auto_C_SEXT_B 91 1 +auto_MAXU auto_C_ZEXT_H 78 1 +auto_MAXU auto_C_SEXT_H 100 1 +auto_MAXU auto_C_NOT 82 1 +auto_MAXU auto_C_MUL 104 1 +auto_SEXT_B auto_LUI 196 1 +auto_SEXT_B auto_AUIPC 169 1 +auto_SEXT_B auto_JAL 84 1 +auto_SEXT_B auto_BEQ 57 1 +auto_SEXT_B auto_BNE 65 1 +auto_SEXT_B auto_BLT 59 1 +auto_SEXT_B auto_BGE 62 1 +auto_SEXT_B auto_BLTU 59 1 +auto_SEXT_B auto_BGEU 50 1 +auto_SEXT_B auto_LB 310 1 +auto_SEXT_B auto_LH 104 1 +auto_SEXT_B auto_LW 42 1 +auto_SEXT_B auto_LBU 346 1 +auto_SEXT_B auto_LHU 112 1 +auto_SEXT_B auto_SB 334 1 +auto_SEXT_B auto_SH 106 1 +auto_SEXT_B auto_SW 45 1 +auto_SEXT_B auto_ADDI 293 1 +auto_SEXT_B auto_SLTI 194 1 +auto_SEXT_B auto_SLTIU 201 1 +auto_SEXT_B auto_XORI 215 1 +auto_SEXT_B auto_ORI 177 1 +auto_SEXT_B auto_ANDI 220 1 +auto_SEXT_B auto_SLLI 177 1 +auto_SEXT_B auto_SRLI 177 1 +auto_SEXT_B auto_SRAI 200 1 +auto_SEXT_B auto_ADD 175 1 +auto_SEXT_B auto_SUB 201 1 +auto_SEXT_B auto_SLL 178 1 +auto_SEXT_B auto_SLT 216 1 +auto_SEXT_B auto_SLTU 190 1 +auto_SEXT_B auto_XOR 194 1 +auto_SEXT_B auto_SRL 203 1 +auto_SEXT_B auto_SRA 209 1 +auto_SEXT_B auto_OR 202 1 +auto_SEXT_B auto_AND 192 1 +auto_SEXT_B auto_FENCE 101 1 +auto_SEXT_B auto_MRET 366 1 +auto_SEXT_B auto_MUL 186 1 +auto_SEXT_B auto_MULH 215 1 +auto_SEXT_B auto_MULHSU 187 1 +auto_SEXT_B auto_MULHU 191 1 +auto_SEXT_B auto_DIV 218 1 +auto_SEXT_B auto_DIVU 176 1 +auto_SEXT_B auto_REM 188 1 +auto_SEXT_B auto_REMU 184 1 +auto_SEXT_B auto_C_ADDI4SPN 68 1 +auto_SEXT_B auto_C_NOP 519 1 +auto_SEXT_B auto_C_ADDI 118 1 +auto_SEXT_B auto_C_JAL 33 1 +auto_SEXT_B auto_C_LI 104 1 +auto_SEXT_B auto_C_ADDI16SP 71 1 +auto_SEXT_B auto_C_LUI 119 1 +auto_SEXT_B auto_C_SRLI 101 1 +auto_SEXT_B auto_C_SRAI 91 1 +auto_SEXT_B auto_C_ANDI 84 1 +auto_SEXT_B auto_C_SUB 93 1 +auto_SEXT_B auto_C_XOR 98 1 +auto_SEXT_B auto_C_OR 95 1 +auto_SEXT_B auto_C_AND 92 1 +auto_SEXT_B auto_C_J 29 1 +auto_SEXT_B auto_C_BEQZ 35 1 +auto_SEXT_B auto_C_BNEZ 43 1 +auto_SEXT_B auto_C_SLLI 101 1 +auto_SEXT_B auto_C_LWSP 9 1 +auto_SEXT_B auto_C_MV 111 1 +auto_SEXT_B auto_C_JALR 4 1 +auto_SEXT_B auto_C_ADD 112 1 +auto_SEXT_B auto_C_SWSP 5 1 +auto_SEXT_B auto_SH1ADD 188 1 +auto_SEXT_B auto_SH2ADD 210 1 +auto_SEXT_B auto_SH3ADD 199 1 +auto_SEXT_B auto_CLZ 184 1 +auto_SEXT_B auto_CTZ 200 1 +auto_SEXT_B auto_CPOP 199 1 +auto_SEXT_B auto_MIN 202 1 +auto_SEXT_B auto_MAX 189 1 +auto_SEXT_B auto_MINU 192 1 +auto_SEXT_B auto_MAXU 205 1 +auto_SEXT_B auto_SEXT_B 183 1 +auto_SEXT_B auto_SEXT_H 217 1 +auto_SEXT_B auto_ZEXT_H 194 1 +auto_SEXT_B auto_ANDN 213 1 +auto_SEXT_B auto_ORN 202 1 +auto_SEXT_B auto_XNOR 208 1 +auto_SEXT_B auto_ROR 203 1 +auto_SEXT_B auto_RORI 172 1 +auto_SEXT_B auto_ROL 209 1 +auto_SEXT_B auto_REV8 217 1 +auto_SEXT_B auto_ORC_B 195 1 +auto_SEXT_B auto_CLMUL 197 1 +auto_SEXT_B auto_CLMULH 206 1 +auto_SEXT_B auto_CLMULR 197 1 +auto_SEXT_B auto_BSET 184 1 +auto_SEXT_B auto_BSETI 172 1 +auto_SEXT_B auto_BCLR 196 1 +auto_SEXT_B auto_BCLRI 210 1 +auto_SEXT_B auto_BINV 207 1 +auto_SEXT_B auto_BINVI 181 1 +auto_SEXT_B auto_BEXT 194 1 +auto_SEXT_B auto_BEXTI 192 1 +auto_SEXT_B auto_CSRRW 75 1 +auto_SEXT_B auto_CSRRS 68 1 +auto_SEXT_B auto_CSRRC 69 1 +auto_SEXT_B auto_CSRRWI 74 1 +auto_SEXT_B auto_CSRRSI 74 1 +auto_SEXT_B auto_CSRRCI 69 1 +auto_SEXT_B auto_C_LBU 1 1 +auto_SEXT_B auto_C_LHU 1 1 +auto_SEXT_B auto_C_SB 3 1 +auto_SEXT_B auto_C_SH 1 1 +auto_SEXT_B auto_C_ZEXT_B 103 1 +auto_SEXT_B auto_C_SEXT_B 94 1 +auto_SEXT_B auto_C_ZEXT_H 79 1 +auto_SEXT_B auto_C_SEXT_H 101 1 +auto_SEXT_B auto_C_NOT 88 1 +auto_SEXT_B auto_C_MUL 123 1 +auto_SEXT_H auto_LUI 200 1 +auto_SEXT_H auto_AUIPC 217 1 +auto_SEXT_H auto_JAL 62 1 +auto_SEXT_H auto_JALR 4 1 +auto_SEXT_H auto_BEQ 61 1 +auto_SEXT_H auto_BNE 51 1 +auto_SEXT_H auto_BLT 50 1 +auto_SEXT_H auto_BGE 48 1 +auto_SEXT_H auto_BLTU 75 1 +auto_SEXT_H auto_BGEU 51 1 +auto_SEXT_H auto_LB 349 1 +auto_SEXT_H auto_LH 96 1 +auto_SEXT_H auto_LW 47 1 +auto_SEXT_H auto_LBU 298 1 +auto_SEXT_H auto_LHU 82 1 +auto_SEXT_H auto_SB 329 1 +auto_SEXT_H auto_SH 91 1 +auto_SEXT_H auto_SW 44 1 +auto_SEXT_H auto_ADDI 292 1 +auto_SEXT_H auto_SLTI 211 1 +auto_SEXT_H auto_SLTIU 192 1 +auto_SEXT_H auto_XORI 208 1 +auto_SEXT_H auto_ORI 186 1 +auto_SEXT_H auto_ANDI 181 1 +auto_SEXT_H auto_SLLI 190 1 +auto_SEXT_H auto_SRLI 198 1 +auto_SEXT_H auto_SRAI 171 1 +auto_SEXT_H auto_ADD 173 1 +auto_SEXT_H auto_SUB 183 1 +auto_SEXT_H auto_SLL 191 1 +auto_SEXT_H auto_SLT 194 1 +auto_SEXT_H auto_SLTU 195 1 +auto_SEXT_H auto_XOR 176 1 +auto_SEXT_H auto_SRL 184 1 +auto_SEXT_H auto_SRA 229 1 +auto_SEXT_H auto_OR 203 1 +auto_SEXT_H auto_AND 198 1 +auto_SEXT_H auto_FENCE 71 1 +auto_SEXT_H auto_MRET 320 1 +auto_SEXT_H auto_MUL 183 1 +auto_SEXT_H auto_MULH 192 1 +auto_SEXT_H auto_MULHSU 208 1 +auto_SEXT_H auto_MULHU 213 1 +auto_SEXT_H auto_DIV 189 1 +auto_SEXT_H auto_DIVU 179 1 +auto_SEXT_H auto_REM 188 1 +auto_SEXT_H auto_REMU 205 1 +auto_SEXT_H auto_C_ADDI4SPN 100 1 +auto_SEXT_H auto_C_LW 2 1 +auto_SEXT_H auto_C_SW 2 1 +auto_SEXT_H auto_C_NOP 550 1 +auto_SEXT_H auto_C_ADDI 90 1 +auto_SEXT_H auto_C_JAL 31 1 +auto_SEXT_H auto_C_LI 84 1 +auto_SEXT_H auto_C_ADDI16SP 80 1 +auto_SEXT_H auto_C_LUI 95 1 +auto_SEXT_H auto_C_SRLI 108 1 +auto_SEXT_H auto_C_SRAI 101 1 +auto_SEXT_H auto_C_ANDI 68 1 +auto_SEXT_H auto_C_SUB 107 1 +auto_SEXT_H auto_C_XOR 108 1 +auto_SEXT_H auto_C_OR 96 1 +auto_SEXT_H auto_C_AND 87 1 +auto_SEXT_H auto_C_J 29 1 +auto_SEXT_H auto_C_BEQZ 59 1 +auto_SEXT_H auto_C_BNEZ 47 1 +auto_SEXT_H auto_C_SLLI 120 1 +auto_SEXT_H auto_C_LWSP 3 1 +auto_SEXT_H auto_C_JR 7 1 +auto_SEXT_H auto_C_MV 85 1 +auto_SEXT_H auto_C_JALR 2 1 +auto_SEXT_H auto_C_ADD 161 1 +auto_SEXT_H auto_C_SWSP 3 1 +auto_SEXT_H auto_SH1ADD 175 1 +auto_SEXT_H auto_SH2ADD 195 1 +auto_SEXT_H auto_SH3ADD 209 1 +auto_SEXT_H auto_CLZ 207 1 +auto_SEXT_H auto_CTZ 194 1 +auto_SEXT_H auto_CPOP 216 1 +auto_SEXT_H auto_MIN 187 1 +auto_SEXT_H auto_MAX 184 1 +auto_SEXT_H auto_MINU 182 1 +auto_SEXT_H auto_MAXU 190 1 +auto_SEXT_H auto_SEXT_B 181 1 +auto_SEXT_H auto_SEXT_H 185 1 +auto_SEXT_H auto_ZEXT_H 197 1 +auto_SEXT_H auto_ANDN 179 1 +auto_SEXT_H auto_ORN 184 1 +auto_SEXT_H auto_XNOR 177 1 +auto_SEXT_H auto_ROR 203 1 +auto_SEXT_H auto_RORI 213 1 +auto_SEXT_H auto_ROL 215 1 +auto_SEXT_H auto_REV8 207 1 +auto_SEXT_H auto_ORC_B 205 1 +auto_SEXT_H auto_CLMUL 180 1 +auto_SEXT_H auto_CLMULH 207 1 +auto_SEXT_H auto_CLMULR 198 1 +auto_SEXT_H auto_BSET 211 1 +auto_SEXT_H auto_BSETI 181 1 +auto_SEXT_H auto_BCLR 196 1 +auto_SEXT_H auto_BCLRI 226 1 +auto_SEXT_H auto_BINV 195 1 +auto_SEXT_H auto_BINVI 178 1 +auto_SEXT_H auto_BEXT 191 1 +auto_SEXT_H auto_BEXTI 210 1 +auto_SEXT_H auto_CSRRW 74 1 +auto_SEXT_H auto_CSRRS 75 1 +auto_SEXT_H auto_CSRRC 81 1 +auto_SEXT_H auto_CSRRWI 86 1 +auto_SEXT_H auto_CSRRSI 62 1 +auto_SEXT_H auto_CSRRCI 61 1 +auto_SEXT_H auto_C_LH 2 1 +auto_SEXT_H auto_C_SB 1 1 +auto_SEXT_H auto_C_SH 1 1 +auto_SEXT_H auto_C_ZEXT_B 77 1 +auto_SEXT_H auto_C_SEXT_B 92 1 +auto_SEXT_H auto_C_ZEXT_H 76 1 +auto_SEXT_H auto_C_SEXT_H 89 1 +auto_SEXT_H auto_C_NOT 103 1 +auto_SEXT_H auto_C_MUL 114 1 +auto_ZEXT_H auto_LUI 210 1 +auto_ZEXT_H auto_AUIPC 211 1 +auto_ZEXT_H auto_JAL 64 1 +auto_ZEXT_H auto_JALR 10 1 +auto_ZEXT_H auto_BEQ 62 1 +auto_ZEXT_H auto_BNE 62 1 +auto_ZEXT_H auto_BLT 62 1 +auto_ZEXT_H auto_BGE 53 1 +auto_ZEXT_H auto_BLTU 46 1 +auto_ZEXT_H auto_BGEU 69 1 +auto_ZEXT_H auto_LB 349 1 +auto_ZEXT_H auto_LH 97 1 +auto_ZEXT_H auto_LW 52 1 +auto_ZEXT_H auto_LBU 288 1 +auto_ZEXT_H auto_LHU 109 1 +auto_ZEXT_H auto_SB 338 1 +auto_ZEXT_H auto_SH 119 1 +auto_ZEXT_H auto_SW 42 1 +auto_ZEXT_H auto_ADDI 296 1 +auto_ZEXT_H auto_SLTI 214 1 +auto_ZEXT_H auto_SLTIU 202 1 +auto_ZEXT_H auto_XORI 206 1 +auto_ZEXT_H auto_ORI 218 1 +auto_ZEXT_H auto_ANDI 213 1 +auto_ZEXT_H auto_SLLI 180 1 +auto_ZEXT_H auto_SRLI 168 1 +auto_ZEXT_H auto_SRAI 214 1 +auto_ZEXT_H auto_ADD 159 1 +auto_ZEXT_H auto_SUB 205 1 +auto_ZEXT_H auto_SLL 190 1 +auto_ZEXT_H auto_SLT 201 1 +auto_ZEXT_H auto_SLTU 174 1 +auto_ZEXT_H auto_XOR 190 1 +auto_ZEXT_H auto_SRL 210 1 +auto_ZEXT_H auto_SRA 197 1 +auto_ZEXT_H auto_OR 222 1 +auto_ZEXT_H auto_AND 201 1 +auto_ZEXT_H auto_FENCE 95 1 +auto_ZEXT_H auto_MRET 342 1 +auto_ZEXT_H auto_MUL 195 1 +auto_ZEXT_H auto_MULH 209 1 +auto_ZEXT_H auto_MULHSU 206 1 +auto_ZEXT_H auto_MULHU 222 1 +auto_ZEXT_H auto_DIV 224 1 +auto_ZEXT_H auto_DIVU 188 1 +auto_ZEXT_H auto_REM 220 1 +auto_ZEXT_H auto_REMU 189 1 +auto_ZEXT_H auto_C_ADDI4SPN 80 1 +auto_ZEXT_H auto_C_LW 1 1 +auto_ZEXT_H auto_C_SW 1 1 +auto_ZEXT_H auto_C_NOP 526 1 +auto_ZEXT_H auto_C_ADDI 118 1 +auto_ZEXT_H auto_C_JAL 42 1 +auto_ZEXT_H auto_C_LI 106 1 +auto_ZEXT_H auto_C_ADDI16SP 72 1 +auto_ZEXT_H auto_C_LUI 82 1 +auto_ZEXT_H auto_C_SRLI 89 1 +auto_ZEXT_H auto_C_SRAI 83 1 +auto_ZEXT_H auto_C_ANDI 78 1 +auto_ZEXT_H auto_C_SUB 104 1 +auto_ZEXT_H auto_C_XOR 104 1 +auto_ZEXT_H auto_C_OR 87 1 +auto_ZEXT_H auto_C_AND 107 1 +auto_ZEXT_H auto_C_J 49 1 +auto_ZEXT_H auto_C_BEQZ 53 1 +auto_ZEXT_H auto_C_BNEZ 43 1 +auto_ZEXT_H auto_C_SLLI 120 1 +auto_ZEXT_H auto_C_LWSP 3 1 +auto_ZEXT_H auto_C_MV 116 1 +auto_ZEXT_H auto_C_ADD 154 1 +auto_ZEXT_H auto_C_SWSP 4 1 +auto_ZEXT_H auto_SH1ADD 195 1 +auto_ZEXT_H auto_SH2ADD 187 1 +auto_ZEXT_H auto_SH3ADD 197 1 +auto_ZEXT_H auto_CLZ 229 1 +auto_ZEXT_H auto_CTZ 212 1 +auto_ZEXT_H auto_CPOP 180 1 +auto_ZEXT_H auto_MIN 205 1 +auto_ZEXT_H auto_MAX 217 1 +auto_ZEXT_H auto_MINU 221 1 +auto_ZEXT_H auto_MAXU 191 1 +auto_ZEXT_H auto_SEXT_B 228 1 +auto_ZEXT_H auto_SEXT_H 191 1 +auto_ZEXT_H auto_ZEXT_H 198 1 +auto_ZEXT_H auto_ANDN 179 1 +auto_ZEXT_H auto_ORN 212 1 +auto_ZEXT_H auto_XNOR 179 1 +auto_ZEXT_H auto_ROR 198 1 +auto_ZEXT_H auto_RORI 209 1 +auto_ZEXT_H auto_ROL 163 1 +auto_ZEXT_H auto_REV8 169 1 +auto_ZEXT_H auto_ORC_B 214 1 +auto_ZEXT_H auto_CLMUL 211 1 +auto_ZEXT_H auto_CLMULH 251 1 +auto_ZEXT_H auto_CLMULR 173 1 +auto_ZEXT_H auto_BSET 201 1 +auto_ZEXT_H auto_BSETI 193 1 +auto_ZEXT_H auto_BCLR 208 1 +auto_ZEXT_H auto_BCLRI 193 1 +auto_ZEXT_H auto_BINV 168 1 +auto_ZEXT_H auto_BINVI 191 1 +auto_ZEXT_H auto_BEXT 187 1 +auto_ZEXT_H auto_BEXTI 178 1 +auto_ZEXT_H auto_CSRRW 66 1 +auto_ZEXT_H auto_CSRRS 62 1 +auto_ZEXT_H auto_CSRRC 77 1 +auto_ZEXT_H auto_CSRRWI 57 1 +auto_ZEXT_H auto_CSRRSI 58 1 +auto_ZEXT_H auto_CSRRCI 83 1 +auto_ZEXT_H auto_C_LHU 2 1 +auto_ZEXT_H auto_C_SB 4 1 +auto_ZEXT_H auto_C_SH 1 1 +auto_ZEXT_H auto_C_ZEXT_B 86 1 +auto_ZEXT_H auto_C_SEXT_B 92 1 +auto_ZEXT_H auto_C_ZEXT_H 123 1 +auto_ZEXT_H auto_C_SEXT_H 93 1 +auto_ZEXT_H auto_C_NOT 112 1 +auto_ZEXT_H auto_C_MUL 88 1 +auto_ANDN auto_LUI 191 1 +auto_ANDN auto_AUIPC 199 1 +auto_ANDN auto_JAL 58 1 +auto_ANDN auto_JALR 2 1 +auto_ANDN auto_BEQ 52 1 +auto_ANDN auto_BNE 71 1 +auto_ANDN auto_BLT 60 1 +auto_ANDN auto_BGE 59 1 +auto_ANDN auto_BLTU 45 1 +auto_ANDN auto_BGEU 60 1 +auto_ANDN auto_LB 305 1 +auto_ANDN auto_LH 106 1 +auto_ANDN auto_LW 87 1 +auto_ANDN auto_LBU 322 1 +auto_ANDN auto_LHU 85 1 +auto_ANDN auto_SB 333 1 +auto_ANDN auto_SH 105 1 +auto_ANDN auto_SW 43 1 +auto_ANDN auto_ADDI 260 1 +auto_ANDN auto_SLTI 183 1 +auto_ANDN auto_SLTIU 214 1 +auto_ANDN auto_XORI 210 1 +auto_ANDN auto_ORI 198 1 +auto_ANDN auto_ANDI 213 1 +auto_ANDN auto_SLLI 202 1 +auto_ANDN auto_SRLI 214 1 +auto_ANDN auto_SRAI 187 1 +auto_ANDN auto_ADD 170 1 +auto_ANDN auto_SUB 206 1 +auto_ANDN auto_SLL 184 1 +auto_ANDN auto_SLT 191 1 +auto_ANDN auto_SLTU 171 1 +auto_ANDN auto_XOR 214 1 +auto_ANDN auto_SRL 183 1 +auto_ANDN auto_SRA 183 1 +auto_ANDN auto_OR 195 1 +auto_ANDN auto_AND 209 1 +auto_ANDN auto_FENCE 93 1 +auto_ANDN auto_MRET 327 1 +auto_ANDN auto_MUL 170 1 +auto_ANDN auto_MULH 228 1 +auto_ANDN auto_MULHSU 178 1 +auto_ANDN auto_MULHU 189 1 +auto_ANDN auto_DIV 210 1 +auto_ANDN auto_DIVU 207 1 +auto_ANDN auto_REM 182 1 +auto_ANDN auto_REMU 201 1 +auto_ANDN auto_C_ADDI4SPN 78 1 +auto_ANDN auto_C_NOP 558 1 +auto_ANDN auto_C_ADDI 111 1 +auto_ANDN auto_C_JAL 25 1 +auto_ANDN auto_C_LI 93 1 +auto_ANDN auto_C_ADDI16SP 64 1 +auto_ANDN auto_C_LUI 102 1 +auto_ANDN auto_C_SRLI 91 1 +auto_ANDN auto_C_SRAI 95 1 +auto_ANDN auto_C_ANDI 79 1 +auto_ANDN auto_C_SUB 111 1 +auto_ANDN auto_C_XOR 101 1 +auto_ANDN auto_C_OR 83 1 +auto_ANDN auto_C_AND 100 1 +auto_ANDN auto_C_J 24 1 +auto_ANDN auto_C_BEQZ 57 1 +auto_ANDN auto_C_BNEZ 52 1 +auto_ANDN auto_C_SLLI 125 1 +auto_ANDN auto_C_LWSP 10 1 +auto_ANDN auto_C_MV 128 1 +auto_ANDN auto_C_ADD 156 1 +auto_ANDN auto_C_SWSP 1 1 +auto_ANDN auto_SH1ADD 209 1 +auto_ANDN auto_SH2ADD 189 1 +auto_ANDN auto_SH3ADD 198 1 +auto_ANDN auto_CLZ 191 1 +auto_ANDN auto_CTZ 214 1 +auto_ANDN auto_CPOP 204 1 +auto_ANDN auto_MIN 207 1 +auto_ANDN auto_MAX 210 1 +auto_ANDN auto_MINU 192 1 +auto_ANDN auto_MAXU 180 1 +auto_ANDN auto_SEXT_B 187 1 +auto_ANDN auto_SEXT_H 187 1 +auto_ANDN auto_ZEXT_H 220 1 +auto_ANDN auto_ANDN 177 1 +auto_ANDN auto_ORN 254 1 +auto_ANDN auto_XNOR 222 1 +auto_ANDN auto_ROR 190 1 +auto_ANDN auto_RORI 197 1 +auto_ANDN auto_ROL 215 1 +auto_ANDN auto_REV8 204 1 +auto_ANDN auto_ORC_B 191 1 +auto_ANDN auto_CLMUL 185 1 +auto_ANDN auto_CLMULH 187 1 +auto_ANDN auto_CLMULR 189 1 +auto_ANDN auto_BSET 208 1 +auto_ANDN auto_BSETI 205 1 +auto_ANDN auto_BCLR 193 1 +auto_ANDN auto_BCLRI 203 1 +auto_ANDN auto_BINV 200 1 +auto_ANDN auto_BINVI 196 1 +auto_ANDN auto_BEXT 186 1 +auto_ANDN auto_BEXTI 197 1 +auto_ANDN auto_CSRRW 66 1 +auto_ANDN auto_CSRRS 62 1 +auto_ANDN auto_CSRRC 67 1 +auto_ANDN auto_CSRRWI 65 1 +auto_ANDN auto_CSRRSI 62 1 +auto_ANDN auto_CSRRCI 66 1 +auto_ANDN auto_C_LBU 2 1 +auto_ANDN auto_C_LHU 1 1 +auto_ANDN auto_C_LH 1 1 +auto_ANDN auto_C_ZEXT_B 95 1 +auto_ANDN auto_C_SEXT_B 96 1 +auto_ANDN auto_C_ZEXT_H 97 1 +auto_ANDN auto_C_SEXT_H 102 1 +auto_ANDN auto_C_NOT 104 1 +auto_ANDN auto_C_MUL 94 1 +auto_ORN auto_LUI 196 1 +auto_ORN auto_AUIPC 215 1 +auto_ORN auto_JAL 57 1 +auto_ORN auto_JALR 4 1 +auto_ORN auto_BEQ 53 1 +auto_ORN auto_BNE 57 1 +auto_ORN auto_BLT 65 1 +auto_ORN auto_BGE 63 1 +auto_ORN auto_BLTU 57 1 +auto_ORN auto_BGEU 63 1 +auto_ORN auto_LB 305 1 +auto_ORN auto_LH 108 1 +auto_ORN auto_LW 54 1 +auto_ORN auto_LBU 337 1 +auto_ORN auto_LHU 103 1 +auto_ORN auto_SB 329 1 +auto_ORN auto_SH 83 1 +auto_ORN auto_SW 44 1 +auto_ORN auto_ADDI 310 1 +auto_ORN auto_SLTI 190 1 +auto_ORN auto_SLTIU 182 1 +auto_ORN auto_XORI 222 1 +auto_ORN auto_ORI 212 1 +auto_ORN auto_ANDI 207 1 +auto_ORN auto_SLLI 223 1 +auto_ORN auto_SRLI 212 1 +auto_ORN auto_SRAI 225 1 +auto_ORN auto_ADD 164 1 +auto_ORN auto_SUB 205 1 +auto_ORN auto_SLL 205 1 +auto_ORN auto_SLT 173 1 +auto_ORN auto_SLTU 209 1 +auto_ORN auto_XOR 220 1 +auto_ORN auto_SRL 188 1 +auto_ORN auto_SRA 204 1 +auto_ORN auto_OR 203 1 +auto_ORN auto_AND 209 1 +auto_ORN auto_FENCE 84 1 +auto_ORN auto_MRET 331 1 +auto_ORN auto_MUL 182 1 +auto_ORN auto_MULH 203 1 +auto_ORN auto_MULHSU 185 1 +auto_ORN auto_MULHU 207 1 +auto_ORN auto_DIV 201 1 +auto_ORN auto_DIVU 188 1 +auto_ORN auto_REM 193 1 +auto_ORN auto_REMU 206 1 +auto_ORN auto_C_ADDI4SPN 63 1 +auto_ORN auto_C_SW 1 1 +auto_ORN auto_C_NOP 543 1 +auto_ORN auto_C_ADDI 101 1 +auto_ORN auto_C_JAL 36 1 +auto_ORN auto_C_LI 106 1 +auto_ORN auto_C_ADDI16SP 91 1 +auto_ORN auto_C_LUI 89 1 +auto_ORN auto_C_SRLI 98 1 +auto_ORN auto_C_SRAI 93 1 +auto_ORN auto_C_ANDI 113 1 +auto_ORN auto_C_SUB 86 1 +auto_ORN auto_C_XOR 133 1 +auto_ORN auto_C_OR 109 1 +auto_ORN auto_C_AND 90 1 +auto_ORN auto_C_J 23 1 +auto_ORN auto_C_BEQZ 46 1 +auto_ORN auto_C_BNEZ 84 1 +auto_ORN auto_C_SLLI 118 1 +auto_ORN auto_C_LWSP 4 1 +auto_ORN auto_C_JR 2 1 +auto_ORN auto_C_MV 92 1 +auto_ORN auto_C_JALR 9 1 +auto_ORN auto_C_ADD 160 1 +auto_ORN auto_C_SWSP 4 1 +auto_ORN auto_SH1ADD 194 1 +auto_ORN auto_SH2ADD 211 1 +auto_ORN auto_SH3ADD 227 1 +auto_ORN auto_CLZ 200 1 +auto_ORN auto_CTZ 214 1 +auto_ORN auto_CPOP 191 1 +auto_ORN auto_MIN 198 1 +auto_ORN auto_MAX 217 1 +auto_ORN auto_MINU 205 1 +auto_ORN auto_MAXU 248 1 +auto_ORN auto_SEXT_B 174 1 +auto_ORN auto_SEXT_H 194 1 +auto_ORN auto_ZEXT_H 220 1 +auto_ORN auto_ANDN 189 1 +auto_ORN auto_ORN 234 1 +auto_ORN auto_XNOR 176 1 +auto_ORN auto_ROR 175 1 +auto_ORN auto_RORI 182 1 +auto_ORN auto_ROL 199 1 +auto_ORN auto_REV8 200 1 +auto_ORN auto_ORC_B 200 1 +auto_ORN auto_CLMUL 198 1 +auto_ORN auto_CLMULH 216 1 +auto_ORN auto_CLMULR 182 1 +auto_ORN auto_BSET 218 1 +auto_ORN auto_BSETI 193 1 +auto_ORN auto_BCLR 197 1 +auto_ORN auto_BCLRI 210 1 +auto_ORN auto_BINV 209 1 +auto_ORN auto_BINVI 190 1 +auto_ORN auto_BEXT 185 1 +auto_ORN auto_BEXTI 194 1 +auto_ORN auto_CSRRW 77 1 +auto_ORN auto_CSRRS 68 1 +auto_ORN auto_CSRRC 67 1 +auto_ORN auto_CSRRWI 80 1 +auto_ORN auto_CSRRSI 85 1 +auto_ORN auto_CSRRCI 63 1 +auto_ORN auto_C_LBU 1 1 +auto_ORN auto_C_ZEXT_B 96 1 +auto_ORN auto_C_SEXT_B 93 1 +auto_ORN auto_C_ZEXT_H 85 1 +auto_ORN auto_C_SEXT_H 103 1 +auto_ORN auto_C_NOT 94 1 +auto_ORN auto_C_MUL 115 1 +auto_XNOR auto_LUI 192 1 +auto_XNOR auto_AUIPC 204 1 +auto_XNOR auto_JAL 73 1 +auto_XNOR auto_JALR 4 1 +auto_XNOR auto_BEQ 73 1 +auto_XNOR auto_BNE 71 1 +auto_XNOR auto_BLT 61 1 +auto_XNOR auto_BGE 54 1 +auto_XNOR auto_BLTU 58 1 +auto_XNOR auto_BGEU 67 1 +auto_XNOR auto_LB 323 1 +auto_XNOR auto_LH 104 1 +auto_XNOR auto_LW 29 1 +auto_XNOR auto_LBU 337 1 +auto_XNOR auto_LHU 110 1 +auto_XNOR auto_SB 297 1 +auto_XNOR auto_SH 114 1 +auto_XNOR auto_SW 50 1 +auto_XNOR auto_ADDI 291 1 +auto_XNOR auto_SLTI 223 1 +auto_XNOR auto_SLTIU 190 1 +auto_XNOR auto_XORI 203 1 +auto_XNOR auto_ORI 187 1 +auto_XNOR auto_ANDI 187 1 +auto_XNOR auto_SLLI 157 1 +auto_XNOR auto_SRLI 211 1 +auto_XNOR auto_SRAI 199 1 +auto_XNOR auto_ADD 159 1 +auto_XNOR auto_SUB 204 1 +auto_XNOR auto_SLL 191 1 +auto_XNOR auto_SLT 218 1 +auto_XNOR auto_SLTU 186 1 +auto_XNOR auto_XOR 184 1 +auto_XNOR auto_SRL 172 1 +auto_XNOR auto_SRA 200 1 +auto_XNOR auto_OR 179 1 +auto_XNOR auto_AND 194 1 +auto_XNOR auto_FENCE 68 1 +auto_XNOR auto_MRET 355 1 +auto_XNOR auto_MUL 213 1 +auto_XNOR auto_MULH 197 1 +auto_XNOR auto_MULHSU 203 1 +auto_XNOR auto_MULHU 236 1 +auto_XNOR auto_DIV 200 1 +auto_XNOR auto_DIVU 180 1 +auto_XNOR auto_REM 213 1 +auto_XNOR auto_REMU 190 1 +auto_XNOR auto_C_ADDI4SPN 66 1 +auto_XNOR auto_C_NOP 560 1 +auto_XNOR auto_C_ADDI 101 1 +auto_XNOR auto_C_JAL 34 1 +auto_XNOR auto_C_LI 115 1 +auto_XNOR auto_C_ADDI16SP 78 1 +auto_XNOR auto_C_LUI 100 1 +auto_XNOR auto_C_SRLI 83 1 +auto_XNOR auto_C_SRAI 101 1 +auto_XNOR auto_C_ANDI 88 1 +auto_XNOR auto_C_SUB 113 1 +auto_XNOR auto_C_XOR 93 1 +auto_XNOR auto_C_OR 83 1 +auto_XNOR auto_C_AND 97 1 +auto_XNOR auto_C_J 21 1 +auto_XNOR auto_C_BEQZ 45 1 +auto_XNOR auto_C_BNEZ 42 1 +auto_XNOR auto_C_SLLI 130 1 +auto_XNOR auto_C_LWSP 8 1 +auto_XNOR auto_C_JR 2 1 +auto_XNOR auto_C_MV 102 1 +auto_XNOR auto_C_JALR 8 1 +auto_XNOR auto_C_ADD 139 1 +auto_XNOR auto_C_SWSP 5 1 +auto_XNOR auto_SH1ADD 212 1 +auto_XNOR auto_SH2ADD 212 1 +auto_XNOR auto_SH3ADD 168 1 +auto_XNOR auto_CLZ 186 1 +auto_XNOR auto_CTZ 205 1 +auto_XNOR auto_CPOP 238 1 +auto_XNOR auto_MIN 185 1 +auto_XNOR auto_MAX 187 1 +auto_XNOR auto_MINU 183 1 +auto_XNOR auto_MAXU 230 1 +auto_XNOR auto_SEXT_B 173 1 +auto_XNOR auto_SEXT_H 215 1 +auto_XNOR auto_ZEXT_H 218 1 +auto_XNOR auto_ANDN 210 1 +auto_XNOR auto_ORN 213 1 +auto_XNOR auto_XNOR 213 1 +auto_XNOR auto_ROR 180 1 +auto_XNOR auto_RORI 188 1 +auto_XNOR auto_ROL 229 1 +auto_XNOR auto_REV8 188 1 +auto_XNOR auto_ORC_B 229 1 +auto_XNOR auto_CLMUL 175 1 +auto_XNOR auto_CLMULH 195 1 +auto_XNOR auto_CLMULR 217 1 +auto_XNOR auto_BSET 183 1 +auto_XNOR auto_BSETI 191 1 +auto_XNOR auto_BCLR 204 1 +auto_XNOR auto_BCLRI 205 1 +auto_XNOR auto_BINV 211 1 +auto_XNOR auto_BINVI 180 1 +auto_XNOR auto_BEXT 201 1 +auto_XNOR auto_BEXTI 192 1 +auto_XNOR auto_CSRRW 74 1 +auto_XNOR auto_CSRRS 75 1 +auto_XNOR auto_CSRRC 66 1 +auto_XNOR auto_CSRRWI 72 1 +auto_XNOR auto_CSRRSI 73 1 +auto_XNOR auto_CSRRCI 76 1 +auto_XNOR auto_C_LHU 1 1 +auto_XNOR auto_C_SB 1 1 +auto_XNOR auto_C_ZEXT_B 78 1 +auto_XNOR auto_C_SEXT_B 80 1 +auto_XNOR auto_C_ZEXT_H 77 1 +auto_XNOR auto_C_SEXT_H 91 1 +auto_XNOR auto_C_NOT 82 1 +auto_XNOR auto_C_MUL 113 1 +auto_ROR auto_LUI 199 1 +auto_ROR auto_AUIPC 208 1 +auto_ROR auto_JAL 76 1 +auto_ROR auto_JALR 7 1 +auto_ROR auto_BEQ 51 1 +auto_ROR auto_BNE 67 1 +auto_ROR auto_BLT 48 1 +auto_ROR auto_BGE 48 1 +auto_ROR auto_BLTU 59 1 +auto_ROR auto_BGEU 52 1 +auto_ROR auto_LB 341 1 +auto_ROR auto_LH 98 1 +auto_ROR auto_LW 70 1 +auto_ROR auto_LBU 330 1 +auto_ROR auto_LHU 104 1 +auto_ROR auto_SB 335 1 +auto_ROR auto_SH 105 1 +auto_ROR auto_SW 51 1 +auto_ROR auto_ADDI 344 1 +auto_ROR auto_SLTI 189 1 +auto_ROR auto_SLTIU 188 1 +auto_ROR auto_XORI 194 1 +auto_ROR auto_ORI 186 1 +auto_ROR auto_ANDI 214 1 +auto_ROR auto_SLLI 185 1 +auto_ROR auto_SRLI 199 1 +auto_ROR auto_SRAI 202 1 +auto_ROR auto_ADD 170 1 +auto_ROR auto_SUB 188 1 +auto_ROR auto_SLL 234 1 +auto_ROR auto_SLT 200 1 +auto_ROR auto_SLTU 217 1 +auto_ROR auto_XOR 187 1 +auto_ROR auto_SRL 204 1 +auto_ROR auto_SRA 172 1 +auto_ROR auto_OR 198 1 +auto_ROR auto_AND 212 1 +auto_ROR auto_FENCE 78 1 +auto_ROR auto_MRET 393 1 +auto_ROR auto_MUL 203 1 +auto_ROR auto_MULH 185 1 +auto_ROR auto_MULHSU 187 1 +auto_ROR auto_MULHU 210 1 +auto_ROR auto_DIV 214 1 +auto_ROR auto_DIVU 196 1 +auto_ROR auto_REM 219 1 +auto_ROR auto_REMU 218 1 +auto_ROR auto_C_ADDI4SPN 65 1 +auto_ROR auto_C_NOP 563 1 +auto_ROR auto_C_ADDI 91 1 +auto_ROR auto_C_JAL 42 1 +auto_ROR auto_C_LI 82 1 +auto_ROR auto_C_ADDI16SP 80 1 +auto_ROR auto_C_LUI 120 1 +auto_ROR auto_C_SRLI 102 1 +auto_ROR auto_C_SRAI 98 1 +auto_ROR auto_C_ANDI 100 1 +auto_ROR auto_C_SUB 98 1 +auto_ROR auto_C_XOR 109 1 +auto_ROR auto_C_OR 88 1 +auto_ROR auto_C_AND 114 1 +auto_ROR auto_C_J 27 1 +auto_ROR auto_C_BEQZ 44 1 +auto_ROR auto_C_BNEZ 45 1 +auto_ROR auto_C_SLLI 117 1 +auto_ROR auto_C_LWSP 1 1 +auto_ROR auto_C_JR 1 1 +auto_ROR auto_C_MV 108 1 +auto_ROR auto_C_JALR 4 1 +auto_ROR auto_C_ADD 129 1 +auto_ROR auto_C_SWSP 6 1 +auto_ROR auto_SH1ADD 191 1 +auto_ROR auto_SH2ADD 209 1 +auto_ROR auto_SH3ADD 179 1 +auto_ROR auto_CLZ 209 1 +auto_ROR auto_CTZ 206 1 +auto_ROR auto_CPOP 193 1 +auto_ROR auto_MIN 197 1 +auto_ROR auto_MAX 210 1 +auto_ROR auto_MINU 188 1 +auto_ROR auto_MAXU 199 1 +auto_ROR auto_SEXT_B 220 1 +auto_ROR auto_SEXT_H 197 1 +auto_ROR auto_ZEXT_H 182 1 +auto_ROR auto_ANDN 202 1 +auto_ROR auto_ORN 191 1 +auto_ROR auto_XNOR 185 1 +auto_ROR auto_ROR 232 1 +auto_ROR auto_RORI 179 1 +auto_ROR auto_ROL 180 1 +auto_ROR auto_REV8 208 1 +auto_ROR auto_ORC_B 188 1 +auto_ROR auto_CLMUL 197 1 +auto_ROR auto_CLMULH 203 1 +auto_ROR auto_CLMULR 212 1 +auto_ROR auto_BSET 180 1 +auto_ROR auto_BSETI 194 1 +auto_ROR auto_BCLR 219 1 +auto_ROR auto_BCLRI 199 1 +auto_ROR auto_BINV 211 1 +auto_ROR auto_BINVI 181 1 +auto_ROR auto_BEXT 177 1 +auto_ROR auto_BEXTI 179 1 +auto_ROR auto_CSRRW 84 1 +auto_ROR auto_CSRRS 67 1 +auto_ROR auto_CSRRC 59 1 +auto_ROR auto_CSRRWI 71 1 +auto_ROR auto_CSRRSI 62 1 +auto_ROR auto_CSRRCI 78 1 +auto_ROR auto_C_LBU 2 1 +auto_ROR auto_C_LHU 1 1 +auto_ROR auto_C_SB 4 1 +auto_ROR auto_C_ZEXT_B 99 1 +auto_ROR auto_C_SEXT_B 88 1 +auto_ROR auto_C_ZEXT_H 76 1 +auto_ROR auto_C_SEXT_H 72 1 +auto_ROR auto_C_NOT 71 1 +auto_ROR auto_C_MUL 117 1 +auto_RORI auto_LUI 197 1 +auto_RORI auto_AUIPC 210 1 +auto_RORI auto_JAL 55 1 +auto_RORI auto_JALR 3 1 +auto_RORI auto_BEQ 61 1 +auto_RORI auto_BNE 61 1 +auto_RORI auto_BLT 43 1 +auto_RORI auto_BGE 63 1 +auto_RORI auto_BLTU 50 1 +auto_RORI auto_BGEU 64 1 +auto_RORI auto_LB 316 1 +auto_RORI auto_LH 80 1 +auto_RORI auto_LW 54 1 +auto_RORI auto_LBU 331 1 +auto_RORI auto_LHU 93 1 +auto_RORI auto_SB 341 1 +auto_RORI auto_SH 89 1 +auto_RORI auto_SW 66 1 +auto_RORI auto_ADDI 293 1 +auto_RORI auto_SLTI 212 1 +auto_RORI auto_SLTIU 197 1 +auto_RORI auto_XORI 170 1 +auto_RORI auto_ORI 177 1 +auto_RORI auto_ANDI 175 1 +auto_RORI auto_SLLI 221 1 +auto_RORI auto_SRLI 193 1 +auto_RORI auto_SRAI 202 1 +auto_RORI auto_ADD 170 1 +auto_RORI auto_SUB 191 1 +auto_RORI auto_SLL 191 1 +auto_RORI auto_SLT 200 1 +auto_RORI auto_SLTU 198 1 +auto_RORI auto_XOR 183 1 +auto_RORI auto_SRL 190 1 +auto_RORI auto_SRA 194 1 +auto_RORI auto_OR 190 1 +auto_RORI auto_AND 179 1 +auto_RORI auto_FENCE 76 1 +auto_RORI auto_MRET 333 1 +auto_RORI auto_MUL 184 1 +auto_RORI auto_MULH 182 1 +auto_RORI auto_MULHSU 198 1 +auto_RORI auto_MULHU 166 1 +auto_RORI auto_DIV 209 1 +auto_RORI auto_DIVU 205 1 +auto_RORI auto_REM 213 1 +auto_RORI auto_REMU 182 1 +auto_RORI auto_C_ADDI4SPN 89 1 +auto_RORI auto_C_LW 2 1 +auto_RORI auto_C_SW 1 1 +auto_RORI auto_C_NOP 549 1 +auto_RORI auto_C_ADDI 122 1 +auto_RORI auto_C_JAL 19 1 +auto_RORI auto_C_LI 102 1 +auto_RORI auto_C_ADDI16SP 76 1 +auto_RORI auto_C_LUI 100 1 +auto_RORI auto_C_SRLI 96 1 +auto_RORI auto_C_SRAI 82 1 +auto_RORI auto_C_ANDI 103 1 +auto_RORI auto_C_SUB 86 1 +auto_RORI auto_C_XOR 110 1 +auto_RORI auto_C_OR 110 1 +auto_RORI auto_C_AND 90 1 +auto_RORI auto_C_J 31 1 +auto_RORI auto_C_BEQZ 39 1 +auto_RORI auto_C_BNEZ 33 1 +auto_RORI auto_C_SLLI 134 1 +auto_RORI auto_C_LWSP 6 1 +auto_RORI auto_C_JR 4 1 +auto_RORI auto_C_MV 101 1 +auto_RORI auto_C_JALR 1 1 +auto_RORI auto_C_ADD 139 1 +auto_RORI auto_C_SWSP 3 1 +auto_RORI auto_SH1ADD 210 1 +auto_RORI auto_SH2ADD 207 1 +auto_RORI auto_SH3ADD 225 1 +auto_RORI auto_CLZ 229 1 +auto_RORI auto_CTZ 212 1 +auto_RORI auto_CPOP 199 1 +auto_RORI auto_MIN 183 1 +auto_RORI auto_MAX 198 1 +auto_RORI auto_MINU 192 1 +auto_RORI auto_MAXU 210 1 +auto_RORI auto_SEXT_B 195 1 +auto_RORI auto_SEXT_H 194 1 +auto_RORI auto_ZEXT_H 206 1 +auto_RORI auto_ANDN 180 1 +auto_RORI auto_ORN 186 1 +auto_RORI auto_XNOR 189 1 +auto_RORI auto_ROR 208 1 +auto_RORI auto_RORI 187 1 +auto_RORI auto_ROL 196 1 +auto_RORI auto_REV8 192 1 +auto_RORI auto_ORC_B 192 1 +auto_RORI auto_CLMUL 205 1 +auto_RORI auto_CLMULH 250 1 +auto_RORI auto_CLMULR 196 1 +auto_RORI auto_BSET 205 1 +auto_RORI auto_BSETI 205 1 +auto_RORI auto_BCLR 185 1 +auto_RORI auto_BCLRI 187 1 +auto_RORI auto_BINV 198 1 +auto_RORI auto_BINVI 225 1 +auto_RORI auto_BEXT 197 1 +auto_RORI auto_BEXTI 181 1 +auto_RORI auto_CSRRW 76 1 +auto_RORI auto_CSRRS 71 1 +auto_RORI auto_CSRRC 67 1 +auto_RORI auto_CSRRWI 77 1 +auto_RORI auto_CSRRSI 64 1 +auto_RORI auto_CSRRCI 79 1 +auto_RORI auto_C_LBU 2 1 +auto_RORI auto_C_LH 1 1 +auto_RORI auto_C_SB 4 1 +auto_RORI auto_C_ZEXT_B 84 1 +auto_RORI auto_C_SEXT_B 121 1 +auto_RORI auto_C_ZEXT_H 94 1 +auto_RORI auto_C_SEXT_H 113 1 +auto_RORI auto_C_NOT 90 1 +auto_RORI auto_C_MUL 96 1 +auto_ROL auto_LUI 204 1 +auto_ROL auto_AUIPC 199 1 +auto_ROL auto_JAL 60 1 +auto_ROL auto_JALR 6 1 +auto_ROL auto_BEQ 61 1 +auto_ROL auto_BNE 67 1 +auto_ROL auto_BLT 58 1 +auto_ROL auto_BGE 73 1 +auto_ROL auto_BLTU 63 1 +auto_ROL auto_BGEU 61 1 +auto_ROL auto_LB 323 1 +auto_ROL auto_LH 111 1 +auto_ROL auto_LW 44 1 +auto_ROL auto_LBU 339 1 +auto_ROL auto_LHU 103 1 +auto_ROL auto_SB 310 1 +auto_ROL auto_SH 112 1 +auto_ROL auto_SW 53 1 +auto_ROL auto_ADDI 305 1 +auto_ROL auto_SLTI 184 1 +auto_ROL auto_SLTIU 194 1 +auto_ROL auto_XORI 183 1 +auto_ROL auto_ORI 187 1 +auto_ROL auto_ANDI 209 1 +auto_ROL auto_SLLI 192 1 +auto_ROL auto_SRLI 206 1 +auto_ROL auto_SRAI 186 1 +auto_ROL auto_ADD 178 1 +auto_ROL auto_SUB 209 1 +auto_ROL auto_SLL 194 1 +auto_ROL auto_SLT 197 1 +auto_ROL auto_SLTU 206 1 +auto_ROL auto_XOR 194 1 +auto_ROL auto_SRL 202 1 +auto_ROL auto_SRA 203 1 +auto_ROL auto_OR 189 1 +auto_ROL auto_AND 183 1 +auto_ROL auto_FENCE 72 1 +auto_ROL auto_MRET 354 1 +auto_ROL auto_MUL 194 1 +auto_ROL auto_MULH 210 1 +auto_ROL auto_MULHSU 192 1 +auto_ROL auto_MULHU 204 1 +auto_ROL auto_DIV 202 1 +auto_ROL auto_DIVU 194 1 +auto_ROL auto_REM 206 1 +auto_ROL auto_REMU 194 1 +auto_ROL auto_C_ADDI4SPN 58 1 +auto_ROL auto_C_LW 1 1 +auto_ROL auto_C_NOP 494 1 +auto_ROL auto_C_ADDI 120 1 +auto_ROL auto_C_JAL 26 1 +auto_ROL auto_C_LI 111 1 +auto_ROL auto_C_ADDI16SP 76 1 +auto_ROL auto_C_LUI 119 1 +auto_ROL auto_C_SRLI 101 1 +auto_ROL auto_C_SRAI 118 1 +auto_ROL auto_C_ANDI 85 1 +auto_ROL auto_C_SUB 82 1 +auto_ROL auto_C_XOR 79 1 +auto_ROL auto_C_OR 113 1 +auto_ROL auto_C_AND 101 1 +auto_ROL auto_C_J 29 1 +auto_ROL auto_C_BEQZ 45 1 +auto_ROL auto_C_BNEZ 35 1 +auto_ROL auto_C_SLLI 117 1 +auto_ROL auto_C_LWSP 1 1 +auto_ROL auto_C_MV 101 1 +auto_ROL auto_C_ADD 159 1 +auto_ROL auto_C_SWSP 4 1 +auto_ROL auto_SH1ADD 203 1 +auto_ROL auto_SH2ADD 183 1 +auto_ROL auto_SH3ADD 186 1 +auto_ROL auto_CLZ 213 1 +auto_ROL auto_CTZ 191 1 +auto_ROL auto_CPOP 205 1 +auto_ROL auto_MIN 187 1 +auto_ROL auto_MAX 165 1 +auto_ROL auto_MINU 212 1 +auto_ROL auto_MAXU 212 1 +auto_ROL auto_SEXT_B 203 1 +auto_ROL auto_SEXT_H 190 1 +auto_ROL auto_ZEXT_H 196 1 +auto_ROL auto_ANDN 215 1 +auto_ROL auto_ORN 203 1 +auto_ROL auto_XNOR 199 1 +auto_ROL auto_ROR 179 1 +auto_ROL auto_RORI 195 1 +auto_ROL auto_ROL 200 1 +auto_ROL auto_REV8 180 1 +auto_ROL auto_ORC_B 211 1 +auto_ROL auto_CLMUL 210 1 +auto_ROL auto_CLMULH 218 1 +auto_ROL auto_CLMULR 195 1 +auto_ROL auto_BSET 188 1 +auto_ROL auto_BSETI 215 1 +auto_ROL auto_BCLR 209 1 +auto_ROL auto_BCLRI 215 1 +auto_ROL auto_BINV 203 1 +auto_ROL auto_BINVI 211 1 +auto_ROL auto_BEXT 189 1 +auto_ROL auto_BEXTI 209 1 +auto_ROL auto_CSRRW 81 1 +auto_ROL auto_CSRRS 72 1 +auto_ROL auto_CSRRC 69 1 +auto_ROL auto_CSRRWI 70 1 +auto_ROL auto_CSRRSI 64 1 +auto_ROL auto_CSRRCI 77 1 +auto_ROL auto_C_LBU 3 1 +auto_ROL auto_C_LHU 2 1 +auto_ROL auto_C_SB 1 1 +auto_ROL auto_C_ZEXT_B 98 1 +auto_ROL auto_C_SEXT_B 86 1 +auto_ROL auto_C_ZEXT_H 88 1 +auto_ROL auto_C_SEXT_H 118 1 +auto_ROL auto_C_NOT 90 1 +auto_ROL auto_C_MUL 85 1 +auto_REV8 auto_LUI 196 1 +auto_REV8 auto_AUIPC 217 1 +auto_REV8 auto_JAL 70 1 +auto_REV8 auto_JALR 12 1 +auto_REV8 auto_BEQ 62 1 +auto_REV8 auto_BNE 55 1 +auto_REV8 auto_BLT 58 1 +auto_REV8 auto_BGE 58 1 +auto_REV8 auto_BLTU 52 1 +auto_REV8 auto_BGEU 52 1 +auto_REV8 auto_LB 314 1 +auto_REV8 auto_LH 113 1 +auto_REV8 auto_LW 50 1 +auto_REV8 auto_LBU 299 1 +auto_REV8 auto_LHU 98 1 +auto_REV8 auto_SB 317 1 +auto_REV8 auto_SH 111 1 +auto_REV8 auto_SW 69 1 +auto_REV8 auto_ADDI 301 1 +auto_REV8 auto_SLTI 188 1 +auto_REV8 auto_SLTIU 189 1 +auto_REV8 auto_XORI 209 1 +auto_REV8 auto_ORI 172 1 +auto_REV8 auto_ANDI 201 1 +auto_REV8 auto_SLLI 204 1 +auto_REV8 auto_SRLI 200 1 +auto_REV8 auto_SRAI 196 1 +auto_REV8 auto_ADD 151 1 +auto_REV8 auto_SUB 190 1 +auto_REV8 auto_SLL 197 1 +auto_REV8 auto_SLT 186 1 +auto_REV8 auto_SLTU 192 1 +auto_REV8 auto_XOR 179 1 +auto_REV8 auto_SRL 182 1 +auto_REV8 auto_SRA 171 1 +auto_REV8 auto_OR 179 1 +auto_REV8 auto_AND 201 1 +auto_REV8 auto_FENCE 82 1 +auto_REV8 auto_MRET 322 1 +auto_REV8 auto_MUL 179 1 +auto_REV8 auto_MULH 222 1 +auto_REV8 auto_MULHSU 177 1 +auto_REV8 auto_MULHU 191 1 +auto_REV8 auto_DIV 193 1 +auto_REV8 auto_DIVU 204 1 +auto_REV8 auto_REM 183 1 +auto_REV8 auto_REMU 197 1 +auto_REV8 auto_C_ADDI4SPN 75 1 +auto_REV8 auto_C_NOP 512 1 +auto_REV8 auto_C_ADDI 110 1 +auto_REV8 auto_C_JAL 27 1 +auto_REV8 auto_C_LI 107 1 +auto_REV8 auto_C_ADDI16SP 85 1 +auto_REV8 auto_C_LUI 104 1 +auto_REV8 auto_C_SRLI 79 1 +auto_REV8 auto_C_SRAI 99 1 +auto_REV8 auto_C_ANDI 93 1 +auto_REV8 auto_C_SUB 98 1 +auto_REV8 auto_C_XOR 88 1 +auto_REV8 auto_C_OR 101 1 +auto_REV8 auto_C_AND 92 1 +auto_REV8 auto_C_J 33 1 +auto_REV8 auto_C_BEQZ 47 1 +auto_REV8 auto_C_BNEZ 41 1 +auto_REV8 auto_C_SLLI 122 1 +auto_REV8 auto_C_LWSP 5 1 +auto_REV8 auto_C_JR 1 1 +auto_REV8 auto_C_MV 96 1 +auto_REV8 auto_C_JALR 3 1 +auto_REV8 auto_C_ADD 145 1 +auto_REV8 auto_C_SWSP 2 1 +auto_REV8 auto_SH1ADD 209 1 +auto_REV8 auto_SH2ADD 213 1 +auto_REV8 auto_SH3ADD 181 1 +auto_REV8 auto_CLZ 186 1 +auto_REV8 auto_CTZ 198 1 +auto_REV8 auto_CPOP 205 1 +auto_REV8 auto_MIN 200 1 +auto_REV8 auto_MAX 210 1 +auto_REV8 auto_MINU 212 1 +auto_REV8 auto_MAXU 181 1 +auto_REV8 auto_SEXT_B 202 1 +auto_REV8 auto_SEXT_H 177 1 +auto_REV8 auto_ZEXT_H 202 1 +auto_REV8 auto_ANDN 192 1 +auto_REV8 auto_ORN 198 1 +auto_REV8 auto_XNOR 193 1 +auto_REV8 auto_ROR 204 1 +auto_REV8 auto_RORI 206 1 +auto_REV8 auto_ROL 183 1 +auto_REV8 auto_REV8 181 1 +auto_REV8 auto_ORC_B 170 1 +auto_REV8 auto_CLMUL 191 1 +auto_REV8 auto_CLMULH 191 1 +auto_REV8 auto_CLMULR 199 1 +auto_REV8 auto_BSET 212 1 +auto_REV8 auto_BSETI 220 1 +auto_REV8 auto_BCLR 195 1 +auto_REV8 auto_BCLRI 240 1 +auto_REV8 auto_BINV 201 1 +auto_REV8 auto_BINVI 198 1 +auto_REV8 auto_BEXT 216 1 +auto_REV8 auto_BEXTI 192 1 +auto_REV8 auto_CSRRW 86 1 +auto_REV8 auto_CSRRS 80 1 +auto_REV8 auto_CSRRC 69 1 +auto_REV8 auto_CSRRWI 67 1 +auto_REV8 auto_CSRRSI 68 1 +auto_REV8 auto_CSRRCI 76 1 +auto_REV8 auto_C_LBU 4 1 +auto_REV8 auto_C_LHU 1 1 +auto_REV8 auto_C_LH 2 1 +auto_REV8 auto_C_SB 1 1 +auto_REV8 auto_C_SH 2 1 +auto_REV8 auto_C_ZEXT_B 97 1 +auto_REV8 auto_C_SEXT_B 83 1 +auto_REV8 auto_C_ZEXT_H 94 1 +auto_REV8 auto_C_SEXT_H 86 1 +auto_REV8 auto_C_NOT 98 1 +auto_REV8 auto_C_MUL 109 1 +auto_ORC_B auto_LUI 193 1 +auto_ORC_B auto_AUIPC 190 1 +auto_ORC_B auto_JAL 65 1 +auto_ORC_B auto_JALR 7 1 +auto_ORC_B auto_BEQ 65 1 +auto_ORC_B auto_BNE 58 1 +auto_ORC_B auto_BLT 59 1 +auto_ORC_B auto_BGE 45 1 +auto_ORC_B auto_BLTU 47 1 +auto_ORC_B auto_BGEU 65 1 +auto_ORC_B auto_LB 321 1 +auto_ORC_B auto_LH 118 1 +auto_ORC_B auto_LW 43 1 +auto_ORC_B auto_LBU 331 1 +auto_ORC_B auto_LHU 97 1 +auto_ORC_B auto_SB 329 1 +auto_ORC_B auto_SH 110 1 +auto_ORC_B auto_SW 70 1 +auto_ORC_B auto_ADDI 334 1 +auto_ORC_B auto_SLTI 200 1 +auto_ORC_B auto_SLTIU 198 1 +auto_ORC_B auto_XORI 197 1 +auto_ORC_B auto_ORI 190 1 +auto_ORC_B auto_ANDI 153 1 +auto_ORC_B auto_SLLI 204 1 +auto_ORC_B auto_SRLI 211 1 +auto_ORC_B auto_SRAI 190 1 +auto_ORC_B auto_ADD 154 1 +auto_ORC_B auto_SUB 206 1 +auto_ORC_B auto_SLL 214 1 +auto_ORC_B auto_SLT 190 1 +auto_ORC_B auto_SLTU 202 1 +auto_ORC_B auto_XOR 206 1 +auto_ORC_B auto_SRL 212 1 +auto_ORC_B auto_SRA 201 1 +auto_ORC_B auto_OR 199 1 +auto_ORC_B auto_AND 205 1 +auto_ORC_B auto_FENCE 71 1 +auto_ORC_B auto_MRET 340 1 +auto_ORC_B auto_MUL 196 1 +auto_ORC_B auto_MULH 193 1 +auto_ORC_B auto_MULHSU 225 1 +auto_ORC_B auto_MULHU 202 1 +auto_ORC_B auto_DIV 210 1 +auto_ORC_B auto_DIVU 170 1 +auto_ORC_B auto_REM 218 1 +auto_ORC_B auto_REMU 198 1 +auto_ORC_B auto_C_ADDI4SPN 79 1 +auto_ORC_B auto_C_LW 1 1 +auto_ORC_B auto_C_NOP 560 1 +auto_ORC_B auto_C_ADDI 101 1 +auto_ORC_B auto_C_JAL 25 1 +auto_ORC_B auto_C_LI 114 1 +auto_ORC_B auto_C_ADDI16SP 78 1 +auto_ORC_B auto_C_LUI 102 1 +auto_ORC_B auto_C_SRLI 85 1 +auto_ORC_B auto_C_SRAI 112 1 +auto_ORC_B auto_C_ANDI 98 1 +auto_ORC_B auto_C_SUB 105 1 +auto_ORC_B auto_C_XOR 101 1 +auto_ORC_B auto_C_OR 99 1 +auto_ORC_B auto_C_AND 94 1 +auto_ORC_B auto_C_J 31 1 +auto_ORC_B auto_C_BEQZ 53 1 +auto_ORC_B auto_C_BNEZ 50 1 +auto_ORC_B auto_C_SLLI 122 1 +auto_ORC_B auto_C_LWSP 4 1 +auto_ORC_B auto_C_JR 1 1 +auto_ORC_B auto_C_MV 104 1 +auto_ORC_B auto_C_JALR 2 1 +auto_ORC_B auto_C_ADD 139 1 +auto_ORC_B auto_C_SWSP 5 1 +auto_ORC_B auto_SH1ADD 198 1 +auto_ORC_B auto_SH2ADD 189 1 +auto_ORC_B auto_SH3ADD 175 1 +auto_ORC_B auto_CLZ 204 1 +auto_ORC_B auto_CTZ 207 1 +auto_ORC_B auto_CPOP 191 1 +auto_ORC_B auto_MIN 203 1 +auto_ORC_B auto_MAX 205 1 +auto_ORC_B auto_MINU 195 1 +auto_ORC_B auto_MAXU 177 1 +auto_ORC_B auto_SEXT_B 224 1 +auto_ORC_B auto_SEXT_H 205 1 +auto_ORC_B auto_ZEXT_H 204 1 +auto_ORC_B auto_ANDN 207 1 +auto_ORC_B auto_ORN 209 1 +auto_ORC_B auto_XNOR 204 1 +auto_ORC_B auto_ROR 221 1 +auto_ORC_B auto_RORI 185 1 +auto_ORC_B auto_ROL 206 1 +auto_ORC_B auto_REV8 223 1 +auto_ORC_B auto_ORC_B 158 1 +auto_ORC_B auto_CLMUL 214 1 +auto_ORC_B auto_CLMULH 201 1 +auto_ORC_B auto_CLMULR 198 1 +auto_ORC_B auto_BSET 202 1 +auto_ORC_B auto_BSETI 205 1 +auto_ORC_B auto_BCLR 202 1 +auto_ORC_B auto_BCLRI 201 1 +auto_ORC_B auto_BINV 193 1 +auto_ORC_B auto_BINVI 191 1 +auto_ORC_B auto_BEXT 205 1 +auto_ORC_B auto_BEXTI 190 1 +auto_ORC_B auto_CSRRW 70 1 +auto_ORC_B auto_CSRRS 69 1 +auto_ORC_B auto_CSRRC 57 1 +auto_ORC_B auto_CSRRWI 77 1 +auto_ORC_B auto_CSRRSI 64 1 +auto_ORC_B auto_CSRRCI 58 1 +auto_ORC_B auto_C_LBU 2 1 +auto_ORC_B auto_C_LHU 1 1 +auto_ORC_B auto_C_SB 1 1 +auto_ORC_B auto_C_ZEXT_B 90 1 +auto_ORC_B auto_C_SEXT_B 115 1 +auto_ORC_B auto_C_ZEXT_H 107 1 +auto_ORC_B auto_C_SEXT_H 109 1 +auto_ORC_B auto_C_NOT 76 1 +auto_ORC_B auto_C_MUL 110 1 +auto_CLMUL auto_LUI 186 1 +auto_CLMUL auto_AUIPC 198 1 +auto_CLMUL auto_JAL 79 1 +auto_CLMUL auto_JALR 4 1 +auto_CLMUL auto_BEQ 53 1 +auto_CLMUL auto_BNE 45 1 +auto_CLMUL auto_BLT 46 1 +auto_CLMUL auto_BGE 58 1 +auto_CLMUL auto_BLTU 68 1 +auto_CLMUL auto_BGEU 57 1 +auto_CLMUL auto_LB 336 1 +auto_CLMUL auto_LH 105 1 +auto_CLMUL auto_LW 59 1 +auto_CLMUL auto_LBU 339 1 +auto_CLMUL auto_LHU 103 1 +auto_CLMUL auto_SB 303 1 +auto_CLMUL auto_SH 101 1 +auto_CLMUL auto_SW 47 1 +auto_CLMUL auto_ADDI 305 1 +auto_CLMUL auto_SLTI 195 1 +auto_CLMUL auto_SLTIU 223 1 +auto_CLMUL auto_XORI 205 1 +auto_CLMUL auto_ORI 207 1 +auto_CLMUL auto_ANDI 196 1 +auto_CLMUL auto_SLLI 182 1 +auto_CLMUL auto_SRLI 198 1 +auto_CLMUL auto_SRAI 182 1 +auto_CLMUL auto_ADD 165 1 +auto_CLMUL auto_SUB 180 1 +auto_CLMUL auto_SLL 214 1 +auto_CLMUL auto_SLT 196 1 +auto_CLMUL auto_SLTU 195 1 +auto_CLMUL auto_XOR 177 1 +auto_CLMUL auto_SRL 192 1 +auto_CLMUL auto_SRA 186 1 +auto_CLMUL auto_OR 191 1 +auto_CLMUL auto_AND 169 1 +auto_CLMUL auto_FENCE 82 1 +auto_CLMUL auto_MRET 320 1 +auto_CLMUL auto_MUL 213 1 +auto_CLMUL auto_MULH 194 1 +auto_CLMUL auto_MULHSU 217 1 +auto_CLMUL auto_MULHU 195 1 +auto_CLMUL auto_DIV 205 1 +auto_CLMUL auto_DIVU 203 1 +auto_CLMUL auto_REM 201 1 +auto_CLMUL auto_REMU 197 1 +auto_CLMUL auto_C_ADDI4SPN 84 1 +auto_CLMUL auto_C_LW 1 1 +auto_CLMUL auto_C_NOP 520 1 +auto_CLMUL auto_C_ADDI 112 1 +auto_CLMUL auto_C_JAL 26 1 +auto_CLMUL auto_C_LI 119 1 +auto_CLMUL auto_C_ADDI16SP 83 1 +auto_CLMUL auto_C_LUI 108 1 +auto_CLMUL auto_C_SRLI 101 1 +auto_CLMUL auto_C_SRAI 92 1 +auto_CLMUL auto_C_ANDI 93 1 +auto_CLMUL auto_C_SUB 96 1 +auto_CLMUL auto_C_XOR 84 1 +auto_CLMUL auto_C_OR 103 1 +auto_CLMUL auto_C_AND 100 1 +auto_CLMUL auto_C_J 27 1 +auto_CLMUL auto_C_BEQZ 46 1 +auto_CLMUL auto_C_BNEZ 44 1 +auto_CLMUL auto_C_SLLI 109 1 +auto_CLMUL auto_C_LWSP 4 1 +auto_CLMUL auto_C_JR 3 1 +auto_CLMUL auto_C_MV 105 1 +auto_CLMUL auto_C_ADD 144 1 +auto_CLMUL auto_C_SWSP 3 1 +auto_CLMUL auto_SH1ADD 218 1 +auto_CLMUL auto_SH2ADD 207 1 +auto_CLMUL auto_SH3ADD 202 1 +auto_CLMUL auto_CLZ 193 1 +auto_CLMUL auto_CTZ 206 1 +auto_CLMUL auto_CPOP 207 1 +auto_CLMUL auto_MIN 221 1 +auto_CLMUL auto_MAX 206 1 +auto_CLMUL auto_MINU 186 1 +auto_CLMUL auto_MAXU 222 1 +auto_CLMUL auto_SEXT_B 158 1 +auto_CLMUL auto_SEXT_H 170 1 +auto_CLMUL auto_ZEXT_H 198 1 +auto_CLMUL auto_ANDN 240 1 +auto_CLMUL auto_ORN 200 1 +auto_CLMUL auto_XNOR 185 1 +auto_CLMUL auto_ROR 190 1 +auto_CLMUL auto_RORI 192 1 +auto_CLMUL auto_ROL 190 1 +auto_CLMUL auto_REV8 192 1 +auto_CLMUL auto_ORC_B 231 1 +auto_CLMUL auto_CLMUL 186 1 +auto_CLMUL auto_CLMULH 190 1 +auto_CLMUL auto_CLMULR 186 1 +auto_CLMUL auto_BSET 200 1 +auto_CLMUL auto_BSETI 218 1 +auto_CLMUL auto_BCLR 191 1 +auto_CLMUL auto_BCLRI 199 1 +auto_CLMUL auto_BINV 201 1 +auto_CLMUL auto_BINVI 202 1 +auto_CLMUL auto_BEXT 196 1 +auto_CLMUL auto_BEXTI 195 1 +auto_CLMUL auto_CSRRW 74 1 +auto_CLMUL auto_CSRRS 68 1 +auto_CLMUL auto_CSRRC 74 1 +auto_CLMUL auto_CSRRWI 72 1 +auto_CLMUL auto_CSRRSI 60 1 +auto_CLMUL auto_CSRRCI 70 1 +auto_CLMUL auto_C_LBU 3 1 +auto_CLMUL auto_C_LHU 1 1 +auto_CLMUL auto_C_SB 3 1 +auto_CLMUL auto_C_ZEXT_B 87 1 +auto_CLMUL auto_C_SEXT_B 74 1 +auto_CLMUL auto_C_ZEXT_H 95 1 +auto_CLMUL auto_C_SEXT_H 93 1 +auto_CLMUL auto_C_NOT 89 1 +auto_CLMUL auto_C_MUL 97 1 +auto_CLMULH auto_LUI 201 1 +auto_CLMULH auto_AUIPC 173 1 +auto_CLMULH auto_JAL 68 1 +auto_CLMULH auto_JALR 4 1 +auto_CLMULH auto_BEQ 65 1 +auto_CLMULH auto_BNE 58 1 +auto_CLMULH auto_BLT 64 1 +auto_CLMULH auto_BGE 65 1 +auto_CLMULH auto_BLTU 54 1 +auto_CLMULH auto_BGEU 52 1 +auto_CLMULH auto_LB 295 1 +auto_CLMULH auto_LH 102 1 +auto_CLMULH auto_LW 59 1 +auto_CLMULH auto_LBU 307 1 +auto_CLMULH auto_LHU 106 1 +auto_CLMULH auto_SB 340 1 +auto_CLMULH auto_SH 107 1 +auto_CLMULH auto_SW 49 1 +auto_CLMULH auto_ADDI 323 1 +auto_CLMULH auto_SLTI 187 1 +auto_CLMULH auto_SLTIU 191 1 +auto_CLMULH auto_XORI 175 1 +auto_CLMULH auto_ORI 196 1 +auto_CLMULH auto_ANDI 179 1 +auto_CLMULH auto_SLLI 169 1 +auto_CLMULH auto_SRLI 195 1 +auto_CLMULH auto_SRAI 199 1 +auto_CLMULH auto_ADD 154 1 +auto_CLMULH auto_SUB 177 1 +auto_CLMULH auto_SLL 205 1 +auto_CLMULH auto_SLT 204 1 +auto_CLMULH auto_SLTU 173 1 +auto_CLMULH auto_XOR 216 1 +auto_CLMULH auto_SRL 180 1 +auto_CLMULH auto_SRA 206 1 +auto_CLMULH auto_OR 235 1 +auto_CLMULH auto_AND 174 1 +auto_CLMULH auto_FENCE 85 1 +auto_CLMULH auto_MRET 355 1 +auto_CLMULH auto_MUL 219 1 +auto_CLMULH auto_MULH 184 1 +auto_CLMULH auto_MULHSU 221 1 +auto_CLMULH auto_MULHU 163 1 +auto_CLMULH auto_DIV 194 1 +auto_CLMULH auto_DIVU 226 1 +auto_CLMULH auto_REM 225 1 +auto_CLMULH auto_REMU 190 1 +auto_CLMULH auto_C_ADDI4SPN 86 1 +auto_CLMULH auto_C_SW 1 1 +auto_CLMULH auto_C_NOP 549 1 +auto_CLMULH auto_C_ADDI 112 1 +auto_CLMULH auto_C_JAL 35 1 +auto_CLMULH auto_C_LI 141 1 +auto_CLMULH auto_C_ADDI16SP 65 1 +auto_CLMULH auto_C_LUI 104 1 +auto_CLMULH auto_C_SRLI 92 1 +auto_CLMULH auto_C_SRAI 90 1 +auto_CLMULH auto_C_ANDI 99 1 +auto_CLMULH auto_C_SUB 106 1 +auto_CLMULH auto_C_XOR 110 1 +auto_CLMULH auto_C_OR 117 1 +auto_CLMULH auto_C_AND 132 1 +auto_CLMULH auto_C_J 38 1 +auto_CLMULH auto_C_BEQZ 42 1 +auto_CLMULH auto_C_BNEZ 49 1 +auto_CLMULH auto_C_SLLI 129 1 +auto_CLMULH auto_C_LWSP 6 1 +auto_CLMULH auto_C_MV 114 1 +auto_CLMULH auto_C_JALR 11 1 +auto_CLMULH auto_C_ADD 144 1 +auto_CLMULH auto_C_SWSP 4 1 +auto_CLMULH auto_SH1ADD 205 1 +auto_CLMULH auto_SH2ADD 193 1 +auto_CLMULH auto_SH3ADD 214 1 +auto_CLMULH auto_CLZ 228 1 +auto_CLMULH auto_CTZ 209 1 +auto_CLMULH auto_CPOP 197 1 +auto_CLMULH auto_MIN 193 1 +auto_CLMULH auto_MAX 203 1 +auto_CLMULH auto_MINU 210 1 +auto_CLMULH auto_MAXU 201 1 +auto_CLMULH auto_SEXT_B 191 1 +auto_CLMULH auto_SEXT_H 176 1 +auto_CLMULH auto_ZEXT_H 197 1 +auto_CLMULH auto_ANDN 206 1 +auto_CLMULH auto_ORN 194 1 +auto_CLMULH auto_XNOR 183 1 +auto_CLMULH auto_ROR 211 1 +auto_CLMULH auto_RORI 212 1 +auto_CLMULH auto_ROL 193 1 +auto_CLMULH auto_REV8 212 1 +auto_CLMULH auto_ORC_B 183 1 +auto_CLMULH auto_CLMUL 182 1 +auto_CLMULH auto_CLMULH 219 1 +auto_CLMULH auto_CLMULR 173 1 +auto_CLMULH auto_BSET 206 1 +auto_CLMULH auto_BSETI 184 1 +auto_CLMULH auto_BCLR 185 1 +auto_CLMULH auto_BCLRI 178 1 +auto_CLMULH auto_BINV 187 1 +auto_CLMULH auto_BINVI 182 1 +auto_CLMULH auto_BEXT 212 1 +auto_CLMULH auto_BEXTI 154 1 +auto_CLMULH auto_CSRRW 67 1 +auto_CLMULH auto_CSRRS 76 1 +auto_CLMULH auto_CSRRC 65 1 +auto_CLMULH auto_CSRRWI 83 1 +auto_CLMULH auto_CSRRSI 69 1 +auto_CLMULH auto_CSRRCI 73 1 +auto_CLMULH auto_C_LBU 1 1 +auto_CLMULH auto_C_LH 1 1 +auto_CLMULH auto_C_SB 1 1 +auto_CLMULH auto_C_ZEXT_B 78 1 +auto_CLMULH auto_C_SEXT_B 99 1 +auto_CLMULH auto_C_ZEXT_H 98 1 +auto_CLMULH auto_C_SEXT_H 88 1 +auto_CLMULH auto_C_NOT 89 1 +auto_CLMULH auto_C_MUL 104 1 +auto_CLMULR auto_LUI 198 1 +auto_CLMULR auto_AUIPC 195 1 +auto_CLMULR auto_JAL 59 1 +auto_CLMULR auto_JALR 2 1 +auto_CLMULR auto_BEQ 60 1 +auto_CLMULR auto_BNE 66 1 +auto_CLMULR auto_BLT 59 1 +auto_CLMULR auto_BGE 50 1 +auto_CLMULR auto_BLTU 52 1 +auto_CLMULR auto_BGEU 58 1 +auto_CLMULR auto_LB 321 1 +auto_CLMULR auto_LH 97 1 +auto_CLMULR auto_LW 49 1 +auto_CLMULR auto_LBU 317 1 +auto_CLMULR auto_LHU 106 1 +auto_CLMULR auto_SB 326 1 +auto_CLMULR auto_SH 114 1 +auto_CLMULR auto_SW 45 1 +auto_CLMULR auto_ADDI 317 1 +auto_CLMULR auto_SLTI 181 1 +auto_CLMULR auto_SLTIU 210 1 +auto_CLMULR auto_XORI 194 1 +auto_CLMULR auto_ORI 201 1 +auto_CLMULR auto_ANDI 210 1 +auto_CLMULR auto_SLLI 186 1 +auto_CLMULR auto_SRLI 189 1 +auto_CLMULR auto_SRAI 220 1 +auto_CLMULR auto_ADD 157 1 +auto_CLMULR auto_SUB 210 1 +auto_CLMULR auto_SLL 207 1 +auto_CLMULR auto_SLT 188 1 +auto_CLMULR auto_SLTU 191 1 +auto_CLMULR auto_XOR 172 1 +auto_CLMULR auto_SRL 203 1 +auto_CLMULR auto_SRA 206 1 +auto_CLMULR auto_OR 205 1 +auto_CLMULR auto_AND 196 1 +auto_CLMULR auto_FENCE 81 1 +auto_CLMULR auto_MRET 332 1 +auto_CLMULR auto_MUL 193 1 +auto_CLMULR auto_MULH 204 1 +auto_CLMULR auto_MULHSU 179 1 +auto_CLMULR auto_MULHU 197 1 +auto_CLMULR auto_DIV 225 1 +auto_CLMULR auto_DIVU 215 1 +auto_CLMULR auto_REM 210 1 +auto_CLMULR auto_REMU 196 1 +auto_CLMULR auto_C_ADDI4SPN 94 1 +auto_CLMULR auto_C_NOP 526 1 +auto_CLMULR auto_C_ADDI 112 1 +auto_CLMULR auto_C_JAL 27 1 +auto_CLMULR auto_C_LI 116 1 +auto_CLMULR auto_C_ADDI16SP 74 1 +auto_CLMULR auto_C_LUI 115 1 +auto_CLMULR auto_C_SRLI 96 1 +auto_CLMULR auto_C_SRAI 93 1 +auto_CLMULR auto_C_ANDI 81 1 +auto_CLMULR auto_C_SUB 114 1 +auto_CLMULR auto_C_XOR 82 1 +auto_CLMULR auto_C_OR 80 1 +auto_CLMULR auto_C_AND 105 1 +auto_CLMULR auto_C_J 36 1 +auto_CLMULR auto_C_BEQZ 42 1 +auto_CLMULR auto_C_BNEZ 36 1 +auto_CLMULR auto_C_SLLI 109 1 +auto_CLMULR auto_C_LWSP 6 1 +auto_CLMULR auto_C_JR 1 1 +auto_CLMULR auto_C_MV 112 1 +auto_CLMULR auto_C_JALR 4 1 +auto_CLMULR auto_C_ADD 148 1 +auto_CLMULR auto_C_SWSP 10 1 +auto_CLMULR auto_SH1ADD 207 1 +auto_CLMULR auto_SH2ADD 220 1 +auto_CLMULR auto_SH3ADD 156 1 +auto_CLMULR auto_CLZ 181 1 +auto_CLMULR auto_CTZ 177 1 +auto_CLMULR auto_CPOP 211 1 +auto_CLMULR auto_MIN 196 1 +auto_CLMULR auto_MAX 187 1 +auto_CLMULR auto_MINU 193 1 +auto_CLMULR auto_MAXU 219 1 +auto_CLMULR auto_SEXT_B 199 1 +auto_CLMULR auto_SEXT_H 178 1 +auto_CLMULR auto_ZEXT_H 191 1 +auto_CLMULR auto_ANDN 189 1 +auto_CLMULR auto_ORN 216 1 +auto_CLMULR auto_XNOR 210 1 +auto_CLMULR auto_ROR 198 1 +auto_CLMULR auto_RORI 219 1 +auto_CLMULR auto_ROL 214 1 +auto_CLMULR auto_REV8 191 1 +auto_CLMULR auto_ORC_B 223 1 +auto_CLMULR auto_CLMUL 189 1 +auto_CLMULR auto_CLMULH 203 1 +auto_CLMULR auto_CLMULR 210 1 +auto_CLMULR auto_BSET 183 1 +auto_CLMULR auto_BSETI 174 1 +auto_CLMULR auto_BCLR 202 1 +auto_CLMULR auto_BCLRI 185 1 +auto_CLMULR auto_BINV 179 1 +auto_CLMULR auto_BINVI 203 1 +auto_CLMULR auto_BEXT 192 1 +auto_CLMULR auto_BEXTI 198 1 +auto_CLMULR auto_CSRRW 76 1 +auto_CLMULR auto_CSRRS 67 1 +auto_CLMULR auto_CSRRC 69 1 +auto_CLMULR auto_CSRRWI 64 1 +auto_CLMULR auto_CSRRSI 70 1 +auto_CLMULR auto_CSRRCI 95 1 +auto_CLMULR auto_C_LBU 3 1 +auto_CLMULR auto_C_SB 3 1 +auto_CLMULR auto_C_ZEXT_B 99 1 +auto_CLMULR auto_C_SEXT_B 95 1 +auto_CLMULR auto_C_ZEXT_H 90 1 +auto_CLMULR auto_C_SEXT_H 110 1 +auto_CLMULR auto_C_NOT 99 1 +auto_CLMULR auto_C_MUL 93 1 +auto_BSET auto_LUI 198 1 +auto_BSET auto_AUIPC 198 1 +auto_BSET auto_JAL 77 1 +auto_BSET auto_JALR 11 1 +auto_BSET auto_BEQ 41 1 +auto_BSET auto_BNE 54 1 +auto_BSET auto_BLT 55 1 +auto_BSET auto_BGE 53 1 +auto_BSET auto_BLTU 68 1 +auto_BSET auto_BGEU 67 1 +auto_BSET auto_LB 330 1 +auto_BSET auto_LH 112 1 +auto_BSET auto_LW 48 1 +auto_BSET auto_LBU 326 1 +auto_BSET auto_LHU 99 1 +auto_BSET auto_SB 337 1 +auto_BSET auto_SH 96 1 +auto_BSET auto_SW 37 1 +auto_BSET auto_ADDI 297 1 +auto_BSET auto_SLTI 195 1 +auto_BSET auto_SLTIU 219 1 +auto_BSET auto_XORI 199 1 +auto_BSET auto_ORI 186 1 +auto_BSET auto_ANDI 197 1 +auto_BSET auto_SLLI 195 1 +auto_BSET auto_SRLI 194 1 +auto_BSET auto_SRAI 194 1 +auto_BSET auto_ADD 150 1 +auto_BSET auto_SUB 205 1 +auto_BSET auto_SLL 211 1 +auto_BSET auto_SLT 220 1 +auto_BSET auto_SLTU 195 1 +auto_BSET auto_XOR 188 1 +auto_BSET auto_SRL 209 1 +auto_BSET auto_SRA 212 1 +auto_BSET auto_OR 190 1 +auto_BSET auto_AND 176 1 +auto_BSET auto_FENCE 76 1 +auto_BSET auto_MRET 342 1 +auto_BSET auto_MUL 197 1 +auto_BSET auto_MULH 182 1 +auto_BSET auto_MULHSU 209 1 +auto_BSET auto_MULHU 197 1 +auto_BSET auto_DIV 220 1 +auto_BSET auto_DIVU 199 1 +auto_BSET auto_REM 202 1 +auto_BSET auto_REMU 201 1 +auto_BSET auto_C_ADDI4SPN 70 1 +auto_BSET auto_C_LW 1 1 +auto_BSET auto_C_NOP 527 1 +auto_BSET auto_C_ADDI 86 1 +auto_BSET auto_C_JAL 30 1 +auto_BSET auto_C_LI 102 1 +auto_BSET auto_C_ADDI16SP 88 1 +auto_BSET auto_C_LUI 112 1 +auto_BSET auto_C_SRLI 95 1 +auto_BSET auto_C_SRAI 101 1 +auto_BSET auto_C_ANDI 93 1 +auto_BSET auto_C_SUB 89 1 +auto_BSET auto_C_XOR 95 1 +auto_BSET auto_C_OR 101 1 +auto_BSET auto_C_AND 109 1 +auto_BSET auto_C_J 33 1 +auto_BSET auto_C_BEQZ 56 1 +auto_BSET auto_C_BNEZ 50 1 +auto_BSET auto_C_SLLI 121 1 +auto_BSET auto_C_LWSP 4 1 +auto_BSET auto_C_JR 4 1 +auto_BSET auto_C_MV 111 1 +auto_BSET auto_C_JALR 2 1 +auto_BSET auto_C_ADD 141 1 +auto_BSET auto_C_SWSP 10 1 +auto_BSET auto_SH1ADD 178 1 +auto_BSET auto_SH2ADD 198 1 +auto_BSET auto_SH3ADD 188 1 +auto_BSET auto_CLZ 206 1 +auto_BSET auto_CTZ 210 1 +auto_BSET auto_CPOP 217 1 +auto_BSET auto_MIN 218 1 +auto_BSET auto_MAX 187 1 +auto_BSET auto_MINU 201 1 +auto_BSET auto_MAXU 198 1 +auto_BSET auto_SEXT_B 210 1 +auto_BSET auto_SEXT_H 191 1 +auto_BSET auto_ZEXT_H 190 1 +auto_BSET auto_ANDN 206 1 +auto_BSET auto_ORN 223 1 +auto_BSET auto_XNOR 198 1 +auto_BSET auto_ROR 224 1 +auto_BSET auto_RORI 223 1 +auto_BSET auto_ROL 198 1 +auto_BSET auto_REV8 187 1 +auto_BSET auto_ORC_B 238 1 +auto_BSET auto_CLMUL 172 1 +auto_BSET auto_CLMULH 186 1 +auto_BSET auto_CLMULR 205 1 +auto_BSET auto_BSET 213 1 +auto_BSET auto_BSETI 202 1 +auto_BSET auto_BCLR 192 1 +auto_BSET auto_BCLRI 202 1 +auto_BSET auto_BINV 195 1 +auto_BSET auto_BINVI 194 1 +auto_BSET auto_BEXT 191 1 +auto_BSET auto_BEXTI 203 1 +auto_BSET auto_CSRRW 64 1 +auto_BSET auto_CSRRS 84 1 +auto_BSET auto_CSRRC 63 1 +auto_BSET auto_CSRRWI 72 1 +auto_BSET auto_CSRRSI 82 1 +auto_BSET auto_CSRRCI 64 1 +auto_BSET auto_C_LBU 2 1 +auto_BSET auto_C_LHU 1 1 +auto_BSET auto_C_SB 3 1 +auto_BSET auto_C_ZEXT_B 102 1 +auto_BSET auto_C_SEXT_B 89 1 +auto_BSET auto_C_ZEXT_H 108 1 +auto_BSET auto_C_SEXT_H 89 1 +auto_BSET auto_C_NOT 91 1 +auto_BSET auto_C_MUL 125 1 +auto_BSETI auto_LUI 190 1 +auto_BSETI auto_AUIPC 180 1 +auto_BSETI auto_JAL 75 1 +auto_BSETI auto_JALR 9 1 +auto_BSETI auto_BEQ 48 1 +auto_BSETI auto_BNE 65 1 +auto_BSETI auto_BLT 62 1 +auto_BSETI auto_BGE 63 1 +auto_BSETI auto_BLTU 58 1 +auto_BSETI auto_BGEU 63 1 +auto_BSETI auto_LB 351 1 +auto_BSETI auto_LH 95 1 +auto_BSETI auto_LW 56 1 +auto_BSETI auto_LBU 309 1 +auto_BSETI auto_LHU 105 1 +auto_BSETI auto_SB 297 1 +auto_BSETI auto_SH 104 1 +auto_BSETI auto_SW 54 1 +auto_BSETI auto_ADDI 308 1 +auto_BSETI auto_SLTI 205 1 +auto_BSETI auto_SLTIU 180 1 +auto_BSETI auto_XORI 191 1 +auto_BSETI auto_ORI 206 1 +auto_BSETI auto_ANDI 200 1 +auto_BSETI auto_SLLI 171 1 +auto_BSETI auto_SRLI 237 1 +auto_BSETI auto_SRAI 209 1 +auto_BSETI auto_ADD 157 1 +auto_BSETI auto_SUB 193 1 +auto_BSETI auto_SLL 204 1 +auto_BSETI auto_SLT 193 1 +auto_BSETI auto_SLTU 185 1 +auto_BSETI auto_XOR 216 1 +auto_BSETI auto_SRL 189 1 +auto_BSETI auto_SRA 185 1 +auto_BSETI auto_OR 190 1 +auto_BSETI auto_AND 196 1 +auto_BSETI auto_FENCE 70 1 +auto_BSETI auto_MRET 326 1 +auto_BSETI auto_MUL 191 1 +auto_BSETI auto_MULH 192 1 +auto_BSETI auto_MULHSU 185 1 +auto_BSETI auto_MULHU 201 1 +auto_BSETI auto_DIV 181 1 +auto_BSETI auto_DIVU 184 1 +auto_BSETI auto_REM 207 1 +auto_BSETI auto_REMU 197 1 +auto_BSETI auto_C_ADDI4SPN 85 1 +auto_BSETI auto_C_LW 1 1 +auto_BSETI auto_C_SW 1 1 +auto_BSETI auto_C_NOP 513 1 +auto_BSETI auto_C_ADDI 89 1 +auto_BSETI auto_C_JAL 33 1 +auto_BSETI auto_C_LI 122 1 +auto_BSETI auto_C_ADDI16SP 93 1 +auto_BSETI auto_C_LUI 106 1 +auto_BSETI auto_C_SRLI 85 1 +auto_BSETI auto_C_SRAI 81 1 +auto_BSETI auto_C_ANDI 70 1 +auto_BSETI auto_C_SUB 116 1 +auto_BSETI auto_C_XOR 117 1 +auto_BSETI auto_C_OR 95 1 +auto_BSETI auto_C_AND 119 1 +auto_BSETI auto_C_J 26 1 +auto_BSETI auto_C_BEQZ 52 1 +auto_BSETI auto_C_BNEZ 58 1 +auto_BSETI auto_C_SLLI 134 1 +auto_BSETI auto_C_LWSP 7 1 +auto_BSETI auto_C_JR 2 1 +auto_BSETI auto_C_MV 119 1 +auto_BSETI auto_C_ADD 132 1 +auto_BSETI auto_C_SWSP 2 1 +auto_BSETI auto_SH1ADD 217 1 +auto_BSETI auto_SH2ADD 204 1 +auto_BSETI auto_SH3ADD 196 1 +auto_BSETI auto_CLZ 199 1 +auto_BSETI auto_CTZ 186 1 +auto_BSETI auto_CPOP 251 1 +auto_BSETI auto_MIN 215 1 +auto_BSETI auto_MAX 194 1 +auto_BSETI auto_MINU 186 1 +auto_BSETI auto_MAXU 201 1 +auto_BSETI auto_SEXT_B 231 1 +auto_BSETI auto_SEXT_H 198 1 +auto_BSETI auto_ZEXT_H 235 1 +auto_BSETI auto_ANDN 201 1 +auto_BSETI auto_ORN 188 1 +auto_BSETI auto_XNOR 205 1 +auto_BSETI auto_ROR 219 1 +auto_BSETI auto_RORI 193 1 +auto_BSETI auto_ROL 201 1 +auto_BSETI auto_REV8 208 1 +auto_BSETI auto_ORC_B 195 1 +auto_BSETI auto_CLMUL 195 1 +auto_BSETI auto_CLMULH 190 1 +auto_BSETI auto_CLMULR 197 1 +auto_BSETI auto_BSET 206 1 +auto_BSETI auto_BSETI 195 1 +auto_BSETI auto_BCLR 176 1 +auto_BSETI auto_BCLRI 210 1 +auto_BSETI auto_BINV 173 1 +auto_BSETI auto_BINVI 194 1 +auto_BSETI auto_BEXT 194 1 +auto_BSETI auto_BEXTI 173 1 +auto_BSETI auto_CSRRW 68 1 +auto_BSETI auto_CSRRS 64 1 +auto_BSETI auto_CSRRC 76 1 +auto_BSETI auto_CSRRWI 79 1 +auto_BSETI auto_CSRRSI 76 1 +auto_BSETI auto_CSRRCI 65 1 +auto_BSETI auto_C_LBU 3 1 +auto_BSETI auto_C_SB 2 1 +auto_BSETI auto_C_ZEXT_B 88 1 +auto_BSETI auto_C_SEXT_B 94 1 +auto_BSETI auto_C_ZEXT_H 102 1 +auto_BSETI auto_C_SEXT_H 79 1 +auto_BSETI auto_C_NOT 96 1 +auto_BSETI auto_C_MUL 96 1 +auto_BCLR auto_LUI 179 1 +auto_BCLR auto_AUIPC 233 1 +auto_BCLR auto_JAL 85 1 +auto_BCLR auto_JALR 1 1 +auto_BCLR auto_BEQ 67 1 +auto_BCLR auto_BNE 46 1 +auto_BCLR auto_BLT 61 1 +auto_BCLR auto_BGE 47 1 +auto_BCLR auto_BLTU 54 1 +auto_BCLR auto_BGEU 60 1 +auto_BCLR auto_LB 317 1 +auto_BCLR auto_LH 111 1 +auto_BCLR auto_LW 42 1 +auto_BCLR auto_LBU 296 1 +auto_BCLR auto_LHU 95 1 +auto_BCLR auto_SB 308 1 +auto_BCLR auto_SH 85 1 +auto_BCLR auto_SW 45 1 +auto_BCLR auto_ADDI 321 1 +auto_BCLR auto_SLTI 186 1 +auto_BCLR auto_SLTIU 207 1 +auto_BCLR auto_XORI 199 1 +auto_BCLR auto_ORI 184 1 +auto_BCLR auto_ANDI 195 1 +auto_BCLR auto_SLLI 194 1 +auto_BCLR auto_SRLI 173 1 +auto_BCLR auto_SRAI 209 1 +auto_BCLR auto_ADD 179 1 +auto_BCLR auto_SUB 207 1 +auto_BCLR auto_SLL 186 1 +auto_BCLR auto_SLT 196 1 +auto_BCLR auto_SLTU 204 1 +auto_BCLR auto_XOR 184 1 +auto_BCLR auto_SRL 203 1 +auto_BCLR auto_SRA 199 1 +auto_BCLR auto_OR 191 1 +auto_BCLR auto_AND 171 1 +auto_BCLR auto_FENCE 82 1 +auto_BCLR auto_MRET 339 1 +auto_BCLR auto_MUL 170 1 +auto_BCLR auto_MULH 218 1 +auto_BCLR auto_MULHSU 181 1 +auto_BCLR auto_MULHU 210 1 +auto_BCLR auto_DIV 186 1 +auto_BCLR auto_DIVU 207 1 +auto_BCLR auto_REM 236 1 +auto_BCLR auto_REMU 172 1 +auto_BCLR auto_C_ADDI4SPN 84 1 +auto_BCLR auto_C_SW 1 1 +auto_BCLR auto_C_NOP 523 1 +auto_BCLR auto_C_ADDI 125 1 +auto_BCLR auto_C_JAL 27 1 +auto_BCLR auto_C_LI 103 1 +auto_BCLR auto_C_ADDI16SP 69 1 +auto_BCLR auto_C_LUI 96 1 +auto_BCLR auto_C_SRLI 94 1 +auto_BCLR auto_C_SRAI 100 1 +auto_BCLR auto_C_ANDI 84 1 +auto_BCLR auto_C_SUB 80 1 +auto_BCLR auto_C_XOR 103 1 +auto_BCLR auto_C_OR 85 1 +auto_BCLR auto_C_AND 87 1 +auto_BCLR auto_C_J 35 1 +auto_BCLR auto_C_BEQZ 53 1 +auto_BCLR auto_C_BNEZ 49 1 +auto_BCLR auto_C_SLLI 78 1 +auto_BCLR auto_C_LWSP 9 1 +auto_BCLR auto_C_JR 1 1 +auto_BCLR auto_C_MV 118 1 +auto_BCLR auto_C_ADD 151 1 +auto_BCLR auto_C_SWSP 3 1 +auto_BCLR auto_SH1ADD 219 1 +auto_BCLR auto_SH2ADD 199 1 +auto_BCLR auto_SH3ADD 170 1 +auto_BCLR auto_CLZ 230 1 +auto_BCLR auto_CTZ 184 1 +auto_BCLR auto_CPOP 185 1 +auto_BCLR auto_MIN 211 1 +auto_BCLR auto_MAX 215 1 +auto_BCLR auto_MINU 169 1 +auto_BCLR auto_MAXU 182 1 +auto_BCLR auto_SEXT_B 187 1 +auto_BCLR auto_SEXT_H 198 1 +auto_BCLR auto_ZEXT_H 196 1 +auto_BCLR auto_ANDN 204 1 +auto_BCLR auto_ORN 219 1 +auto_BCLR auto_XNOR 191 1 +auto_BCLR auto_ROR 198 1 +auto_BCLR auto_RORI 184 1 +auto_BCLR auto_ROL 191 1 +auto_BCLR auto_REV8 197 1 +auto_BCLR auto_ORC_B 216 1 +auto_BCLR auto_CLMUL 199 1 +auto_BCLR auto_CLMULH 225 1 +auto_BCLR auto_CLMULR 187 1 +auto_BCLR auto_BSET 219 1 +auto_BCLR auto_BSETI 215 1 +auto_BCLR auto_BCLR 197 1 +auto_BCLR auto_BCLRI 186 1 +auto_BCLR auto_BINV 205 1 +auto_BCLR auto_BINVI 198 1 +auto_BCLR auto_BEXT 233 1 +auto_BCLR auto_BEXTI 205 1 +auto_BCLR auto_CSRRW 80 1 +auto_BCLR auto_CSRRS 73 1 +auto_BCLR auto_CSRRC 72 1 +auto_BCLR auto_CSRRWI 64 1 +auto_BCLR auto_CSRRSI 61 1 +auto_BCLR auto_CSRRCI 63 1 +auto_BCLR auto_C_LBU 4 1 +auto_BCLR auto_C_SB 1 1 +auto_BCLR auto_C_SH 1 1 +auto_BCLR auto_C_ZEXT_B 90 1 +auto_BCLR auto_C_SEXT_B 123 1 +auto_BCLR auto_C_ZEXT_H 85 1 +auto_BCLR auto_C_SEXT_H 70 1 +auto_BCLR auto_C_NOT 104 1 +auto_BCLR auto_C_MUL 118 1 +auto_BCLRI auto_LUI 202 1 +auto_BCLRI auto_AUIPC 204 1 +auto_BCLRI auto_JAL 73 1 +auto_BCLRI auto_BEQ 61 1 +auto_BCLRI auto_BNE 43 1 +auto_BCLRI auto_BLT 53 1 +auto_BCLRI auto_BGE 57 1 +auto_BCLRI auto_BLTU 64 1 +auto_BCLRI auto_BGEU 65 1 +auto_BCLRI auto_LB 319 1 +auto_BCLRI auto_LH 111 1 +auto_BCLRI auto_LW 51 1 +auto_BCLRI auto_LBU 298 1 +auto_BCLRI auto_LHU 117 1 +auto_BCLRI auto_SB 325 1 +auto_BCLRI auto_SH 99 1 +auto_BCLRI auto_SW 52 1 +auto_BCLRI auto_ADDI 304 1 +auto_BCLRI auto_SLTI 208 1 +auto_BCLRI auto_SLTIU 208 1 +auto_BCLRI auto_XORI 194 1 +auto_BCLRI auto_ORI 189 1 +auto_BCLRI auto_ANDI 167 1 +auto_BCLRI auto_SLLI 179 1 +auto_BCLRI auto_SRLI 182 1 +auto_BCLRI auto_SRAI 207 1 +auto_BCLRI auto_ADD 147 1 +auto_BCLRI auto_SUB 177 1 +auto_BCLRI auto_SLL 207 1 +auto_BCLRI auto_SLT 192 1 +auto_BCLRI auto_SLTU 209 1 +auto_BCLRI auto_XOR 212 1 +auto_BCLRI auto_SRL 207 1 +auto_BCLRI auto_SRA 214 1 +auto_BCLRI auto_OR 196 1 +auto_BCLRI auto_AND 189 1 +auto_BCLRI auto_FENCE 62 1 +auto_BCLRI auto_MRET 317 1 +auto_BCLRI auto_MUL 209 1 +auto_BCLRI auto_MULH 182 1 +auto_BCLRI auto_MULHSU 213 1 +auto_BCLRI auto_MULHU 202 1 +auto_BCLRI auto_DIV 225 1 +auto_BCLRI auto_DIVU 231 1 +auto_BCLRI auto_REM 213 1 +auto_BCLRI auto_REMU 203 1 +auto_BCLRI auto_C_ADDI4SPN 78 1 +auto_BCLRI auto_C_SW 3 1 +auto_BCLRI auto_C_NOP 523 1 +auto_BCLRI auto_C_ADDI 130 1 +auto_BCLRI auto_C_JAL 33 1 +auto_BCLRI auto_C_LI 111 1 +auto_BCLRI auto_C_ADDI16SP 73 1 +auto_BCLRI auto_C_LUI 102 1 +auto_BCLRI auto_C_SRLI 106 1 +auto_BCLRI auto_C_SRAI 109 1 +auto_BCLRI auto_C_ANDI 110 1 +auto_BCLRI auto_C_SUB 110 1 +auto_BCLRI auto_C_XOR 89 1 +auto_BCLRI auto_C_OR 107 1 +auto_BCLRI auto_C_AND 89 1 +auto_BCLRI auto_C_J 30 1 +auto_BCLRI auto_C_BEQZ 56 1 +auto_BCLRI auto_C_BNEZ 39 1 +auto_BCLRI auto_C_SLLI 123 1 +auto_BCLRI auto_C_LWSP 5 1 +auto_BCLRI auto_C_MV 79 1 +auto_BCLRI auto_C_ADD 133 1 +auto_BCLRI auto_C_SWSP 5 1 +auto_BCLRI auto_SH1ADD 206 1 +auto_BCLRI auto_SH2ADD 180 1 +auto_BCLRI auto_SH3ADD 213 1 +auto_BCLRI auto_CLZ 202 1 +auto_BCLRI auto_CTZ 199 1 +auto_BCLRI auto_CPOP 211 1 +auto_BCLRI auto_MIN 179 1 +auto_BCLRI auto_MAX 208 1 +auto_BCLRI auto_MINU 209 1 +auto_BCLRI auto_MAXU 211 1 +auto_BCLRI auto_SEXT_B 191 1 +auto_BCLRI auto_SEXT_H 203 1 +auto_BCLRI auto_ZEXT_H 202 1 +auto_BCLRI auto_ANDN 190 1 +auto_BCLRI auto_ORN 213 1 +auto_BCLRI auto_XNOR 199 1 +auto_BCLRI auto_ROR 187 1 +auto_BCLRI auto_RORI 191 1 +auto_BCLRI auto_ROL 223 1 +auto_BCLRI auto_REV8 205 1 +auto_BCLRI auto_ORC_B 218 1 +auto_BCLRI auto_CLMUL 200 1 +auto_BCLRI auto_CLMULH 200 1 +auto_BCLRI auto_CLMULR 207 1 +auto_BCLRI auto_BSET 212 1 +auto_BCLRI auto_BSETI 198 1 +auto_BCLRI auto_BCLR 184 1 +auto_BCLRI auto_BCLRI 219 1 +auto_BCLRI auto_BINV 197 1 +auto_BCLRI auto_BINVI 193 1 +auto_BCLRI auto_BEXT 172 1 +auto_BCLRI auto_BEXTI 197 1 +auto_BCLRI auto_CSRRW 69 1 +auto_BCLRI auto_CSRRS 69 1 +auto_BCLRI auto_CSRRC 68 1 +auto_BCLRI auto_CSRRWI 67 1 +auto_BCLRI auto_CSRRSI 85 1 +auto_BCLRI auto_CSRRCI 54 1 +auto_BCLRI auto_C_LBU 1 1 +auto_BCLRI auto_C_LHU 1 1 +auto_BCLRI auto_C_SB 4 1 +auto_BCLRI auto_C_SH 1 1 +auto_BCLRI auto_C_ZEXT_B 79 1 +auto_BCLRI auto_C_SEXT_B 77 1 +auto_BCLRI auto_C_ZEXT_H 91 1 +auto_BCLRI auto_C_SEXT_H 92 1 +auto_BCLRI auto_C_NOT 93 1 +auto_BCLRI auto_C_MUL 82 1 +auto_BINV auto_LUI 199 1 +auto_BINV auto_AUIPC 182 1 +auto_BINV auto_JAL 66 1 +auto_BINV auto_JALR 2 1 +auto_BINV auto_BEQ 64 1 +auto_BINV auto_BNE 68 1 +auto_BINV auto_BLT 55 1 +auto_BINV auto_BGE 58 1 +auto_BINV auto_BLTU 54 1 +auto_BINV auto_BGEU 62 1 +auto_BINV auto_LB 329 1 +auto_BINV auto_LH 94 1 +auto_BINV auto_LW 37 1 +auto_BINV auto_LBU 310 1 +auto_BINV auto_LHU 104 1 +auto_BINV auto_SB 317 1 +auto_BINV auto_SH 92 1 +auto_BINV auto_SW 30 1 +auto_BINV auto_ADDI 327 1 +auto_BINV auto_SLTI 199 1 +auto_BINV auto_SLTIU 206 1 +auto_BINV auto_XORI 184 1 +auto_BINV auto_ORI 202 1 +auto_BINV auto_ANDI 196 1 +auto_BINV auto_SLLI 201 1 +auto_BINV auto_SRLI 200 1 +auto_BINV auto_SRAI 192 1 +auto_BINV auto_ADD 149 1 +auto_BINV auto_SUB 183 1 +auto_BINV auto_SLL 186 1 +auto_BINV auto_SLT 195 1 +auto_BINV auto_SLTU 205 1 +auto_BINV auto_XOR 192 1 +auto_BINV auto_SRL 186 1 +auto_BINV auto_SRA 168 1 +auto_BINV auto_OR 194 1 +auto_BINV auto_AND 171 1 +auto_BINV auto_FENCE 70 1 +auto_BINV auto_MRET 343 1 +auto_BINV auto_MUL 208 1 +auto_BINV auto_MULH 188 1 +auto_BINV auto_MULHSU 209 1 +auto_BINV auto_MULHU 204 1 +auto_BINV auto_DIV 187 1 +auto_BINV auto_DIVU 191 1 +auto_BINV auto_REM 174 1 +auto_BINV auto_REMU 202 1 +auto_BINV auto_C_ADDI4SPN 86 1 +auto_BINV auto_C_LW 1 1 +auto_BINV auto_C_NOP 535 1 +auto_BINV auto_C_ADDI 120 1 +auto_BINV auto_C_JAL 35 1 +auto_BINV auto_C_LI 107 1 +auto_BINV auto_C_ADDI16SP 79 1 +auto_BINV auto_C_LUI 97 1 +auto_BINV auto_C_SRLI 96 1 +auto_BINV auto_C_SRAI 113 1 +auto_BINV auto_C_ANDI 90 1 +auto_BINV auto_C_SUB 95 1 +auto_BINV auto_C_XOR 85 1 +auto_BINV auto_C_OR 116 1 +auto_BINV auto_C_AND 84 1 +auto_BINV auto_C_J 35 1 +auto_BINV auto_C_BEQZ 48 1 +auto_BINV auto_C_BNEZ 42 1 +auto_BINV auto_C_SLLI 123 1 +auto_BINV auto_C_LWSP 11 1 +auto_BINV auto_C_JR 2 1 +auto_BINV auto_C_MV 98 1 +auto_BINV auto_C_JALR 4 1 +auto_BINV auto_C_ADD 135 1 +auto_BINV auto_C_SWSP 5 1 +auto_BINV auto_SH1ADD 193 1 +auto_BINV auto_SH2ADD 202 1 +auto_BINV auto_SH3ADD 178 1 +auto_BINV auto_CLZ 216 1 +auto_BINV auto_CTZ 195 1 +auto_BINV auto_CPOP 220 1 +auto_BINV auto_MIN 189 1 +auto_BINV auto_MAX 219 1 +auto_BINV auto_MINU 218 1 +auto_BINV auto_MAXU 201 1 +auto_BINV auto_SEXT_B 205 1 +auto_BINV auto_SEXT_H 182 1 +auto_BINV auto_ZEXT_H 217 1 +auto_BINV auto_ANDN 202 1 +auto_BINV auto_ORN 207 1 +auto_BINV auto_XNOR 191 1 +auto_BINV auto_ROR 187 1 +auto_BINV auto_RORI 178 1 +auto_BINV auto_ROL 211 1 +auto_BINV auto_REV8 179 1 +auto_BINV auto_ORC_B 221 1 +auto_BINV auto_CLMUL 213 1 +auto_BINV auto_CLMULH 179 1 +auto_BINV auto_CLMULR 182 1 +auto_BINV auto_BSET 185 1 +auto_BINV auto_BSETI 218 1 +auto_BINV auto_BCLR 214 1 +auto_BINV auto_BCLRI 222 1 +auto_BINV auto_BINV 190 1 +auto_BINV auto_BINVI 193 1 +auto_BINV auto_BEXT 194 1 +auto_BINV auto_BEXTI 221 1 +auto_BINV auto_CSRRW 65 1 +auto_BINV auto_CSRRS 52 1 +auto_BINV auto_CSRRC 50 1 +auto_BINV auto_CSRRWI 69 1 +auto_BINV auto_CSRRSI 70 1 +auto_BINV auto_CSRRCI 64 1 +auto_BINV auto_C_LBU 3 1 +auto_BINV auto_C_LHU 1 1 +auto_BINV auto_C_SB 3 1 +auto_BINV auto_C_ZEXT_B 92 1 +auto_BINV auto_C_SEXT_B 83 1 +auto_BINV auto_C_ZEXT_H 95 1 +auto_BINV auto_C_SEXT_H 102 1 +auto_BINV auto_C_NOT 93 1 +auto_BINV auto_C_MUL 119 1 +auto_BINVI auto_LUI 215 1 +auto_BINVI auto_AUIPC 179 1 +auto_BINVI auto_JAL 75 1 +auto_BINVI auto_JALR 3 1 +auto_BINVI auto_BEQ 51 1 +auto_BINVI auto_BNE 53 1 +auto_BINVI auto_BLT 62 1 +auto_BINVI auto_BGE 62 1 +auto_BINVI auto_BLTU 66 1 +auto_BINVI auto_BGEU 60 1 +auto_BINVI auto_LB 331 1 +auto_BINVI auto_LH 90 1 +auto_BINVI auto_LW 41 1 +auto_BINVI auto_LBU 322 1 +auto_BINVI auto_LHU 122 1 +auto_BINVI auto_SB 320 1 +auto_BINVI auto_SH 112 1 +auto_BINVI auto_SW 50 1 +auto_BINVI auto_ADDI 312 1 +auto_BINVI auto_SLTI 217 1 +auto_BINVI auto_SLTIU 209 1 +auto_BINVI auto_XORI 172 1 +auto_BINVI auto_ORI 217 1 +auto_BINVI auto_ANDI 195 1 +auto_BINVI auto_SLLI 224 1 +auto_BINVI auto_SRLI 197 1 +auto_BINVI auto_SRAI 188 1 +auto_BINVI auto_ADD 145 1 +auto_BINVI auto_SUB 152 1 +auto_BINVI auto_SLL 200 1 +auto_BINVI auto_SLT 153 1 +auto_BINVI auto_SLTU 212 1 +auto_BINVI auto_XOR 191 1 +auto_BINVI auto_SRL 209 1 +auto_BINVI auto_SRA 211 1 +auto_BINVI auto_OR 202 1 +auto_BINVI auto_AND 217 1 +auto_BINVI auto_FENCE 83 1 +auto_BINVI auto_MRET 351 1 +auto_BINVI auto_MUL 194 1 +auto_BINVI auto_MULH 206 1 +auto_BINVI auto_MULHSU 178 1 +auto_BINVI auto_MULHU 194 1 +auto_BINVI auto_DIV 226 1 +auto_BINVI auto_DIVU 198 1 +auto_BINVI auto_REM 186 1 +auto_BINVI auto_REMU 213 1 +auto_BINVI auto_C_ADDI4SPN 83 1 +auto_BINVI auto_C_LW 1 1 +auto_BINVI auto_C_SW 1 1 +auto_BINVI auto_C_NOP 475 1 +auto_BINVI auto_C_ADDI 105 1 +auto_BINVI auto_C_JAL 36 1 +auto_BINVI auto_C_LI 124 1 +auto_BINVI auto_C_ADDI16SP 80 1 +auto_BINVI auto_C_LUI 113 1 +auto_BINVI auto_C_SRLI 80 1 +auto_BINVI auto_C_SRAI 93 1 +auto_BINVI auto_C_ANDI 89 1 +auto_BINVI auto_C_SUB 70 1 +auto_BINVI auto_C_XOR 91 1 +auto_BINVI auto_C_OR 106 1 +auto_BINVI auto_C_AND 106 1 +auto_BINVI auto_C_J 36 1 +auto_BINVI auto_C_BEQZ 50 1 +auto_BINVI auto_C_BNEZ 41 1 +auto_BINVI auto_C_SLLI 129 1 +auto_BINVI auto_C_LWSP 1 1 +auto_BINVI auto_C_MV 97 1 +auto_BINVI auto_C_JALR 12 1 +auto_BINVI auto_C_ADD 151 1 +auto_BINVI auto_C_SWSP 7 1 +auto_BINVI auto_SH1ADD 213 1 +auto_BINVI auto_SH2ADD 231 1 +auto_BINVI auto_SH3ADD 202 1 +auto_BINVI auto_CLZ 205 1 +auto_BINVI auto_CTZ 197 1 +auto_BINVI auto_CPOP 198 1 +auto_BINVI auto_MIN 208 1 +auto_BINVI auto_MAX 182 1 +auto_BINVI auto_MINU 192 1 +auto_BINVI auto_MAXU 185 1 +auto_BINVI auto_SEXT_B 188 1 +auto_BINVI auto_SEXT_H 204 1 +auto_BINVI auto_ZEXT_H 157 1 +auto_BINVI auto_ANDN 185 1 +auto_BINVI auto_ORN 210 1 +auto_BINVI auto_XNOR 226 1 +auto_BINVI auto_ROR 218 1 +auto_BINVI auto_RORI 185 1 +auto_BINVI auto_ROL 177 1 +auto_BINVI auto_REV8 215 1 +auto_BINVI auto_ORC_B 216 1 +auto_BINVI auto_CLMUL 164 1 +auto_BINVI auto_CLMULH 165 1 +auto_BINVI auto_CLMULR 193 1 +auto_BINVI auto_BSET 201 1 +auto_BINVI auto_BSETI 188 1 +auto_BINVI auto_BCLR 200 1 +auto_BINVI auto_BCLRI 185 1 +auto_BINVI auto_BINV 161 1 +auto_BINVI auto_BINVI 195 1 +auto_BINVI auto_BEXT 199 1 +auto_BINVI auto_BEXTI 208 1 +auto_BINVI auto_CSRRW 80 1 +auto_BINVI auto_CSRRS 63 1 +auto_BINVI auto_CSRRC 68 1 +auto_BINVI auto_CSRRWI 70 1 +auto_BINVI auto_CSRRSI 65 1 +auto_BINVI auto_CSRRCI 74 1 +auto_BINVI auto_C_LBU 5 1 +auto_BINVI auto_C_LH 1 1 +auto_BINVI auto_C_SB 5 1 +auto_BINVI auto_C_ZEXT_B 82 1 +auto_BINVI auto_C_SEXT_B 92 1 +auto_BINVI auto_C_ZEXT_H 104 1 +auto_BINVI auto_C_SEXT_H 101 1 +auto_BINVI auto_C_NOT 92 1 +auto_BINVI auto_C_MUL 81 1 +auto_BEXT auto_LUI 184 1 +auto_BEXT auto_AUIPC 196 1 +auto_BEXT auto_JAL 59 1 +auto_BEXT auto_JALR 1 1 +auto_BEXT auto_BEQ 62 1 +auto_BEXT auto_BNE 67 1 +auto_BEXT auto_BLT 47 1 +auto_BEXT auto_BGE 59 1 +auto_BEXT auto_BLTU 55 1 +auto_BEXT auto_BGEU 59 1 +auto_BEXT auto_LB 334 1 +auto_BEXT auto_LH 107 1 +auto_BEXT auto_LW 47 1 +auto_BEXT auto_LBU 337 1 +auto_BEXT auto_LHU 109 1 +auto_BEXT auto_SB 325 1 +auto_BEXT auto_SH 94 1 +auto_BEXT auto_SW 41 1 +auto_BEXT auto_ADDI 337 1 +auto_BEXT auto_SLTI 193 1 +auto_BEXT auto_SLTIU 207 1 +auto_BEXT auto_XORI 192 1 +auto_BEXT auto_ORI 184 1 +auto_BEXT auto_ANDI 195 1 +auto_BEXT auto_SLLI 234 1 +auto_BEXT auto_SRLI 207 1 +auto_BEXT auto_SRAI 221 1 +auto_BEXT auto_ADD 154 1 +auto_BEXT auto_SUB 174 1 +auto_BEXT auto_SLL 179 1 +auto_BEXT auto_SLT 198 1 +auto_BEXT auto_SLTU 217 1 +auto_BEXT auto_XOR 203 1 +auto_BEXT auto_SRL 216 1 +auto_BEXT auto_SRA 223 1 +auto_BEXT auto_OR 175 1 +auto_BEXT auto_AND 193 1 +auto_BEXT auto_FENCE 89 1 +auto_BEXT auto_MRET 338 1 +auto_BEXT auto_MUL 189 1 +auto_BEXT auto_MULH 169 1 +auto_BEXT auto_MULHSU 185 1 +auto_BEXT auto_MULHU 189 1 +auto_BEXT auto_DIV 195 1 +auto_BEXT auto_DIVU 191 1 +auto_BEXT auto_REM 184 1 +auto_BEXT auto_REMU 227 1 +auto_BEXT auto_C_ADDI4SPN 74 1 +auto_BEXT auto_C_LW 1 1 +auto_BEXT auto_C_NOP 546 1 +auto_BEXT auto_C_ADDI 102 1 +auto_BEXT auto_C_JAL 16 1 +auto_BEXT auto_C_LI 92 1 +auto_BEXT auto_C_ADDI16SP 79 1 +auto_BEXT auto_C_LUI 103 1 +auto_BEXT auto_C_SRLI 108 1 +auto_BEXT auto_C_SRAI 104 1 +auto_BEXT auto_C_ANDI 79 1 +auto_BEXT auto_C_SUB 100 1 +auto_BEXT auto_C_XOR 100 1 +auto_BEXT auto_C_OR 88 1 +auto_BEXT auto_C_AND 104 1 +auto_BEXT auto_C_J 31 1 +auto_BEXT auto_C_BEQZ 48 1 +auto_BEXT auto_C_BNEZ 53 1 +auto_BEXT auto_C_SLLI 108 1 +auto_BEXT auto_C_LWSP 4 1 +auto_BEXT auto_C_MV 103 1 +auto_BEXT auto_C_ADD 127 1 +auto_BEXT auto_C_SWSP 4 1 +auto_BEXT auto_SH1ADD 214 1 +auto_BEXT auto_SH2ADD 175 1 +auto_BEXT auto_SH3ADD 197 1 +auto_BEXT auto_CLZ 227 1 +auto_BEXT auto_CTZ 193 1 +auto_BEXT auto_CPOP 190 1 +auto_BEXT auto_MIN 190 1 +auto_BEXT auto_MAX 204 1 +auto_BEXT auto_MINU 194 1 +auto_BEXT auto_MAXU 191 1 +auto_BEXT auto_SEXT_B 234 1 +auto_BEXT auto_SEXT_H 197 1 +auto_BEXT auto_ZEXT_H 219 1 +auto_BEXT auto_ANDN 179 1 +auto_BEXT auto_ORN 179 1 +auto_BEXT auto_XNOR 230 1 +auto_BEXT auto_ROR 205 1 +auto_BEXT auto_RORI 197 1 +auto_BEXT auto_ROL 200 1 +auto_BEXT auto_REV8 189 1 +auto_BEXT auto_ORC_B 206 1 +auto_BEXT auto_CLMUL 211 1 +auto_BEXT auto_CLMULH 174 1 +auto_BEXT auto_CLMULR 216 1 +auto_BEXT auto_BSET 187 1 +auto_BEXT auto_BSETI 191 1 +auto_BEXT auto_BCLR 193 1 +auto_BEXT auto_BCLRI 197 1 +auto_BEXT auto_BINV 201 1 +auto_BEXT auto_BINVI 202 1 +auto_BEXT auto_BEXT 205 1 +auto_BEXT auto_BEXTI 178 1 +auto_BEXT auto_CSRRW 85 1 +auto_BEXT auto_CSRRS 85 1 +auto_BEXT auto_CSRRC 60 1 +auto_BEXT auto_CSRRWI 58 1 +auto_BEXT auto_CSRRSI 83 1 +auto_BEXT auto_CSRRCI 73 1 +auto_BEXT auto_C_LH 1 1 +auto_BEXT auto_C_SB 2 1 +auto_BEXT auto_C_SH 1 1 +auto_BEXT auto_C_ZEXT_B 80 1 +auto_BEXT auto_C_SEXT_B 80 1 +auto_BEXT auto_C_ZEXT_H 89 1 +auto_BEXT auto_C_SEXT_H 89 1 +auto_BEXT auto_C_NOT 82 1 +auto_BEXT auto_C_MUL 96 1 +auto_BEXTI auto_LUI 209 1 +auto_BEXTI auto_AUIPC 212 1 +auto_BEXTI auto_JAL 66 1 +auto_BEXTI auto_JALR 4 1 +auto_BEXTI auto_BEQ 71 1 +auto_BEXTI auto_BNE 60 1 +auto_BEXTI auto_BLT 48 1 +auto_BEXTI auto_BGE 57 1 +auto_BEXTI auto_BLTU 47 1 +auto_BEXTI auto_BGEU 69 1 +auto_BEXTI auto_LB 322 1 +auto_BEXTI auto_LH 119 1 +auto_BEXTI auto_LW 38 1 +auto_BEXTI auto_LBU 294 1 +auto_BEXTI auto_LHU 87 1 +auto_BEXTI auto_SB 332 1 +auto_BEXTI auto_SH 100 1 +auto_BEXTI auto_SW 61 1 +auto_BEXTI auto_ADDI 340 1 +auto_BEXTI auto_SLTI 179 1 +auto_BEXTI auto_SLTIU 215 1 +auto_BEXTI auto_XORI 188 1 +auto_BEXTI auto_ORI 202 1 +auto_BEXTI auto_ANDI 192 1 +auto_BEXTI auto_SLLI 208 1 +auto_BEXTI auto_SRLI 196 1 +auto_BEXTI auto_SRAI 222 1 +auto_BEXTI auto_ADD 168 1 +auto_BEXTI auto_SUB 194 1 +auto_BEXTI auto_SLL 204 1 +auto_BEXTI auto_SLT 198 1 +auto_BEXTI auto_SLTU 177 1 +auto_BEXTI auto_XOR 203 1 +auto_BEXTI auto_SRL 170 1 +auto_BEXTI auto_SRA 187 1 +auto_BEXTI auto_OR 192 1 +auto_BEXTI auto_AND 182 1 +auto_BEXTI auto_FENCE 64 1 +auto_BEXTI auto_MRET 326 1 +auto_BEXTI auto_MUL 191 1 +auto_BEXTI auto_MULH 218 1 +auto_BEXTI auto_MULHSU 203 1 +auto_BEXTI auto_MULHU 192 1 +auto_BEXTI auto_DIV 193 1 +auto_BEXTI auto_DIVU 235 1 +auto_BEXTI auto_REM 201 1 +auto_BEXTI auto_REMU 215 1 +auto_BEXTI auto_C_ADDI4SPN 75 1 +auto_BEXTI auto_C_SW 1 1 +auto_BEXTI auto_C_NOP 534 1 +auto_BEXTI auto_C_ADDI 107 1 +auto_BEXTI auto_C_JAL 23 1 +auto_BEXTI auto_C_LI 99 1 +auto_BEXTI auto_C_ADDI16SP 85 1 +auto_BEXTI auto_C_LUI 110 1 +auto_BEXTI auto_C_SRLI 102 1 +auto_BEXTI auto_C_SRAI 96 1 +auto_BEXTI auto_C_ANDI 106 1 +auto_BEXTI auto_C_SUB 89 1 +auto_BEXTI auto_C_XOR 89 1 +auto_BEXTI auto_C_OR 87 1 +auto_BEXTI auto_C_AND 104 1 +auto_BEXTI auto_C_J 24 1 +auto_BEXTI auto_C_BEQZ 57 1 +auto_BEXTI auto_C_BNEZ 42 1 +auto_BEXTI auto_C_SLLI 99 1 +auto_BEXTI auto_C_LWSP 6 1 +auto_BEXTI auto_C_MV 114 1 +auto_BEXTI auto_C_JALR 1 1 +auto_BEXTI auto_C_ADD 129 1 +auto_BEXTI auto_C_SWSP 4 1 +auto_BEXTI auto_SH1ADD 214 1 +auto_BEXTI auto_SH2ADD 199 1 +auto_BEXTI auto_SH3ADD 221 1 +auto_BEXTI auto_CLZ 189 1 +auto_BEXTI auto_CTZ 181 1 +auto_BEXTI auto_CPOP 210 1 +auto_BEXTI auto_MIN 191 1 +auto_BEXTI auto_MAX 196 1 +auto_BEXTI auto_MINU 206 1 +auto_BEXTI auto_MAXU 203 1 +auto_BEXTI auto_SEXT_B 217 1 +auto_BEXTI auto_SEXT_H 210 1 +auto_BEXTI auto_ZEXT_H 171 1 +auto_BEXTI auto_ANDN 206 1 +auto_BEXTI auto_ORN 203 1 +auto_BEXTI auto_XNOR 205 1 +auto_BEXTI auto_ROR 209 1 +auto_BEXTI auto_RORI 185 1 +auto_BEXTI auto_ROL 191 1 +auto_BEXTI auto_REV8 208 1 +auto_BEXTI auto_ORC_B 199 1 +auto_BEXTI auto_CLMUL 204 1 +auto_BEXTI auto_CLMULH 190 1 +auto_BEXTI auto_CLMULR 217 1 +auto_BEXTI auto_BSET 185 1 +auto_BEXTI auto_BSETI 210 1 +auto_BEXTI auto_BCLR 178 1 +auto_BEXTI auto_BCLRI 209 1 +auto_BEXTI auto_BINV 214 1 +auto_BEXTI auto_BINVI 193 1 +auto_BEXTI auto_BEXT 196 1 +auto_BEXTI auto_BEXTI 185 1 +auto_BEXTI auto_CSRRW 73 1 +auto_BEXTI auto_CSRRS 67 1 +auto_BEXTI auto_CSRRC 57 1 +auto_BEXTI auto_CSRRWI 80 1 +auto_BEXTI auto_CSRRSI 61 1 +auto_BEXTI auto_CSRRCI 68 1 +auto_BEXTI auto_C_LBU 2 1 +auto_BEXTI auto_C_SB 2 1 +auto_BEXTI auto_C_ZEXT_B 127 1 +auto_BEXTI auto_C_SEXT_B 97 1 +auto_BEXTI auto_C_ZEXT_H 91 1 +auto_BEXTI auto_C_SEXT_H 91 1 +auto_BEXTI auto_C_NOT 99 1 +auto_BEXTI auto_C_MUL 116 1 +auto_CSRRW auto_LUI 224 1 +auto_CSRRW auto_AUIPC 71 1 +auto_CSRRW auto_JAL 260 1 +auto_CSRRW auto_BEQ 40 1 +auto_CSRRW auto_BNE 57 1 +auto_CSRRW auto_BLT 69 1 +auto_CSRRW auto_BGE 56 1 +auto_CSRRW auto_BLTU 61 1 +auto_CSRRW auto_BGEU 68 1 +auto_CSRRW auto_LB 497 1 +auto_CSRRW auto_LH 113 1 +auto_CSRRW auto_LW 39 1 +auto_CSRRW auto_LBU 337 1 +auto_CSRRW auto_LHU 111 1 +auto_CSRRW auto_SB 320 1 +auto_CSRRW auto_SH 75 1 +auto_CSRRW auto_SW 46 1 +auto_CSRRW auto_ADDI 8469 1 +auto_CSRRW auto_SLTI 71 1 +auto_CSRRW auto_SLTIU 71 1 +auto_CSRRW auto_XORI 49 1 +auto_CSRRW auto_ORI 2409 1 +auto_CSRRW auto_ANDI 69 1 +auto_CSRRW auto_SLLI 72 1 +auto_CSRRW auto_SRLI 72 1 +auto_CSRRW auto_SRAI 63 1 +auto_CSRRW auto_ADD 257 1 +auto_CSRRW auto_SUB 77 1 +auto_CSRRW auto_SLL 58 1 +auto_CSRRW auto_SLT 84 1 +auto_CSRRW auto_SLTU 75 1 +auto_CSRRW auto_XOR 62 1 +auto_CSRRW auto_SRL 57 1 +auto_CSRRW auto_SRA 73 1 +auto_CSRRW auto_OR 57 1 +auto_CSRRW auto_AND 76 1 +auto_CSRRW auto_FENCE 249 1 +auto_CSRRW auto_ECALL 182 1 +auto_CSRRW auto_MRET 706 1 +auto_CSRRW auto_WFI 182 1 +auto_CSRRW auto_MUL 263 1 +auto_CSRRW auto_MULH 254 1 +auto_CSRRW auto_MULHSU 70 1 +auto_CSRRW auto_MULHU 65 1 +auto_CSRRW auto_DIV 235 1 +auto_CSRRW auto_DIVU 65 1 +auto_CSRRW auto_REM 68 1 +auto_CSRRW auto_REMU 79 1 +auto_CSRRW auto_C_ADDI4SPN 48 1 +auto_CSRRW auto_C_NOP 223 1 +auto_CSRRW auto_C_ADDI 72185 1 +auto_CSRRW auto_C_JAL 25 1 +auto_CSRRW auto_C_LI 3113 1 +auto_CSRRW auto_C_ADDI16SP 52 1 +auto_CSRRW auto_C_LUI 64 1 +auto_CSRRW auto_C_SRLI 58 1 +auto_CSRRW auto_C_SRAI 57 1 +auto_CSRRW auto_C_ANDI 77 1 +auto_CSRRW auto_C_SUB 65 1 +auto_CSRRW auto_C_XOR 66 1 +auto_CSRRW auto_C_OR 51 1 +auto_CSRRW auto_C_AND 56 1 +auto_CSRRW auto_C_J 36 1 +auto_CSRRW auto_C_BEQZ 58 1 +auto_CSRRW auto_C_BNEZ 233 1 +auto_CSRRW auto_C_SLLI 71 1 +auto_CSRRW auto_C_LWSP 1 1 +auto_CSRRW auto_C_MV 69 1 +auto_CSRRW auto_C_ADD 66 1 +auto_CSRRW auto_C_SWSP 8 1 +auto_CSRRW auto_SH1ADD 72 1 +auto_CSRRW auto_SH2ADD 63 1 +auto_CSRRW auto_SH3ADD 67 1 +auto_CSRRW auto_CLZ 65 1 +auto_CSRRW auto_CTZ 84 1 +auto_CSRRW auto_CPOP 67 1 +auto_CSRRW auto_MIN 69 1 +auto_CSRRW auto_MAX 71 1 +auto_CSRRW auto_MINU 70 1 +auto_CSRRW auto_MAXU 75 1 +auto_CSRRW auto_SEXT_B 65 1 +auto_CSRRW auto_SEXT_H 78 1 +auto_CSRRW auto_ZEXT_H 68 1 +auto_CSRRW auto_ANDN 88 1 +auto_CSRRW auto_ORN 73 1 +auto_CSRRW auto_XNOR 62 1 +auto_CSRRW auto_ROR 75 1 +auto_CSRRW auto_RORI 63 1 +auto_CSRRW auto_ROL 71 1 +auto_CSRRW auto_REV8 72 1 +auto_CSRRW auto_ORC_B 72 1 +auto_CSRRW auto_CLMUL 61 1 +auto_CSRRW auto_CLMULH 61 1 +auto_CSRRW auto_CLMULR 69 1 +auto_CSRRW auto_BSET 76 1 +auto_CSRRW auto_BSETI 82 1 +auto_CSRRW auto_BCLR 58 1 +auto_CSRRW auto_BCLRI 64 1 +auto_CSRRW auto_BINV 60 1 +auto_CSRRW auto_BINVI 82 1 +auto_CSRRW auto_BEXT 69 1 +auto_CSRRW auto_BEXTI 87 1 +auto_CSRRW auto_CSRRW 471 1 +auto_CSRRW auto_CSRRS 84 1 +auto_CSRRW auto_CSRRC 83 1 +auto_CSRRW auto_CSRRWI 78 1 +auto_CSRRW auto_CSRRSI 67 1 +auto_CSRRW auto_CSRRCI 69 1 +auto_CSRRW auto_C_LBU 3 1 +auto_CSRRW auto_C_LHU 1 1 +auto_CSRRW auto_C_LH 1 1 +auto_CSRRW auto_C_SB 182 1 +auto_CSRRW auto_C_ZEXT_B 58 1 +auto_CSRRW auto_C_SEXT_B 46 1 +auto_CSRRW auto_C_ZEXT_H 47 1 +auto_CSRRW auto_C_SEXT_H 60 1 +auto_CSRRW auto_C_NOT 56 1 +auto_CSRRW auto_C_MUL 59 1 +auto_CSRRS auto_LUI 79 1 +auto_CSRRS auto_AUIPC 80 1 +auto_CSRRS auto_JAL 57 1 +auto_CSRRS auto_JALR 8 1 +auto_CSRRS auto_BEQ 205288 1 +auto_CSRRS auto_BNE 200192 1 +auto_CSRRS auto_BLT 55 1 +auto_CSRRS auto_BGE 49 1 +auto_CSRRS auto_BLTU 43 1 +auto_CSRRS auto_BGEU 45 1 +auto_CSRRS auto_LB 335 1 +auto_CSRRS auto_LH 102 1 +auto_CSRRS auto_LW 39 1 +auto_CSRRS auto_LBU 325 1 +auto_CSRRS auto_LHU 105 1 +auto_CSRRS auto_SB 282 1 +auto_CSRRS auto_SH 90 1 +auto_CSRRS auto_SW 34 1 +auto_CSRRS auto_ADDI 4038 1 +auto_CSRRS auto_SLTI 62 1 +auto_CSRRS auto_SLTIU 57 1 +auto_CSRRS auto_XORI 67 1 +auto_CSRRS auto_ORI 57 1 +auto_CSRRS auto_ANDI 93 1 +auto_CSRRS auto_SLLI 64 1 +auto_CSRRS auto_SRLI 65 1 +auto_CSRRS auto_SRAI 74 1 +auto_CSRRS auto_ADD 265272 1 +auto_CSRRS auto_SUB 75 1 +auto_CSRRS auto_SLL 55 1 +auto_CSRRS auto_SLT 67 1 +auto_CSRRS auto_SLTU 57 1 +auto_CSRRS auto_XOR 68 1 +auto_CSRRS auto_SRL 77 1 +auto_CSRRS auto_SRA 71 1 +auto_CSRRS auto_OR 82 1 +auto_CSRRS auto_AND 64 1 +auto_CSRRS auto_FENCE 63 1 +auto_CSRRS auto_ECALL 1 1 +auto_CSRRS auto_MRET 8024 1 +auto_CSRRS auto_WFI 1 1 +auto_CSRRS auto_MUL 68 1 +auto_CSRRS auto_MULH 68 1 +auto_CSRRS auto_MULHSU 64 1 +auto_CSRRS auto_MULHU 85 1 +auto_CSRRS auto_DIV 75 1 +auto_CSRRS auto_DIVU 72 1 +auto_CSRRS auto_REM 66 1 +auto_CSRRS auto_REMU 67 1 +auto_CSRRS auto_C_ADDI4SPN 49 1 +auto_CSRRS auto_C_LW 1 1 +auto_CSRRS auto_C_NOP 243 1 +auto_CSRRS auto_C_ADDI 148 1 +auto_CSRRS auto_C_JAL 40 1 +auto_CSRRS auto_C_LI 696 1 +auto_CSRRS auto_C_ADDI16SP 57 1 +auto_CSRRS auto_C_LUI 74 1 +auto_CSRRS auto_C_SRLI 54 1 +auto_CSRRS auto_C_SRAI 65 1 +auto_CSRRS auto_C_ANDI 39 1 +auto_CSRRS auto_C_SUB 50 1 +auto_CSRRS auto_C_XOR 46 1 +auto_CSRRS auto_C_OR 46 1 +auto_CSRRS auto_C_AND 49 1 +auto_CSRRS auto_C_J 24 1 +auto_CSRRS auto_C_BEQZ 46 1 +auto_CSRRS auto_C_BNEZ 65122 1 +auto_CSRRS auto_C_SLLI 72 1 +auto_CSRRS auto_C_LWSP 3 1 +auto_CSRRS auto_C_JR 2 1 +auto_CSRRS auto_C_MV 77 1 +auto_CSRRS auto_C_JALR 2 1 +auto_CSRRS auto_C_ADD 71 1 +auto_CSRRS auto_C_SWSP 5 1 +auto_CSRRS auto_SH1ADD 65 1 +auto_CSRRS auto_SH2ADD 72 1 +auto_CSRRS auto_SH3ADD 71 1 +auto_CSRRS auto_CLZ 56 1 +auto_CSRRS auto_CTZ 69 1 +auto_CSRRS auto_CPOP 79 1 +auto_CSRRS auto_MIN 63 1 +auto_CSRRS auto_MAX 62 1 +auto_CSRRS auto_MINU 78 1 +auto_CSRRS auto_MAXU 66 1 +auto_CSRRS auto_SEXT_B 63 1 +auto_CSRRS auto_SEXT_H 61 1 +auto_CSRRS auto_ZEXT_H 65 1 +auto_CSRRS auto_ANDN 80 1 +auto_CSRRS auto_ORN 71 1 +auto_CSRRS auto_XNOR 68 1 +auto_CSRRS auto_ROR 69 1 +auto_CSRRS auto_RORI 58 1 +auto_CSRRS auto_ROL 82 1 +auto_CSRRS auto_REV8 68 1 +auto_CSRRS auto_ORC_B 75 1 +auto_CSRRS auto_CLMUL 81 1 +auto_CSRRS auto_CLMULH 83 1 +auto_CSRRS auto_CLMULR 65 1 +auto_CSRRS auto_BSET 63 1 +auto_CSRRS auto_BSETI 61 1 +auto_CSRRS auto_BCLR 65 1 +auto_CSRRS auto_BCLRI 81 1 +auto_CSRRS auto_BINV 69 1 +auto_CSRRS auto_BINVI 79 1 +auto_CSRRS auto_BEXT 75 1 +auto_CSRRS auto_BEXTI 70 1 +auto_CSRRS auto_CSRRW 2564 1 +auto_CSRRS auto_CSRRS 676677 1 +auto_CSRRS auto_CSRRC 73 1 +auto_CSRRS auto_CSRRWI 739 1 +auto_CSRRS auto_CSRRSI 680 1 +auto_CSRRS auto_CSRRCI 112 1 +auto_CSRRS auto_C_LBU 2 1 +auto_CSRRS auto_C_LHU 1 1 +auto_CSRRS auto_C_LH 1 1 +auto_CSRRS auto_C_SB 2 1 +auto_CSRRS auto_C_ZEXT_B 65 1 +auto_CSRRS auto_C_SEXT_B 48 1 +auto_CSRRS auto_C_ZEXT_H 55 1 +auto_CSRRS auto_C_SEXT_H 74 1 +auto_CSRRS auto_C_NOT 51 1 +auto_CSRRS auto_C_MUL 49 1 +auto_CSRRC auto_LUI 74 1 +auto_CSRRC auto_AUIPC 69 1 +auto_CSRRC auto_JAL 70 1 +auto_CSRRC auto_BEQ 53 1 +auto_CSRRC auto_BNE 43 1 +auto_CSRRC auto_BLT 51 1 +auto_CSRRC auto_BGE 44 1 +auto_CSRRC auto_BLTU 56 1 +auto_CSRRC auto_BGEU 45 1 +auto_CSRRC auto_LB 301 1 +auto_CSRRC auto_LH 103 1 +auto_CSRRC auto_LW 37 1 +auto_CSRRC auto_LBU 304 1 +auto_CSRRC auto_LHU 105 1 +auto_CSRRC auto_SB 345 1 +auto_CSRRC auto_SH 102 1 +auto_CSRRC auto_SW 47 1 +auto_CSRRC auto_ADDI 148 1 +auto_CSRRC auto_SLTI 73 1 +auto_CSRRC auto_SLTIU 89 1 +auto_CSRRC auto_XORI 80 1 +auto_CSRRC auto_ORI 70 1 +auto_CSRRC auto_ANDI 75 1 +auto_CSRRC auto_SLLI 76 1 +auto_CSRRC auto_SRLI 83 1 +auto_CSRRC auto_SRAI 70 1 +auto_CSRRC auto_ADD 61 1 +auto_CSRRC auto_SUB 77 1 +auto_CSRRC auto_SLL 69 1 +auto_CSRRC auto_SLT 60 1 +auto_CSRRC auto_SLTU 77 1 +auto_CSRRC auto_XOR 74 1 +auto_CSRRC auto_SRL 63 1 +auto_CSRRC auto_SRA 61 1 +auto_CSRRC auto_OR 73 1 +auto_CSRRC auto_AND 74 1 +auto_CSRRC auto_FENCE 80 1 +auto_CSRRC auto_MRET 143 1 +auto_CSRRC auto_MUL 94 1 +auto_CSRRC auto_MULH 64 1 +auto_CSRRC auto_MULHSU 54 1 +auto_CSRRC auto_MULHU 70 1 +auto_CSRRC auto_DIV 74 1 +auto_CSRRC auto_DIVU 81 1 +auto_CSRRC auto_REM 72 1 +auto_CSRRC auto_REMU 78 1 +auto_CSRRC auto_C_ADDI4SPN 39 1 +auto_CSRRC auto_C_LW 1 1 +auto_CSRRC auto_C_SW 2 1 +auto_CSRRC auto_C_NOP 199 1 +auto_CSRRC auto_C_ADDI 52 1 +auto_CSRRC auto_C_JAL 30 1 +auto_CSRRC auto_C_LI 666 1 +auto_CSRRC auto_C_ADDI16SP 45 1 +auto_CSRRC auto_C_LUI 50 1 +auto_CSRRC auto_C_SRLI 61 1 +auto_CSRRC auto_C_SRAI 65 1 +auto_CSRRC auto_C_ANDI 57 1 +auto_CSRRC auto_C_SUB 71 1 +auto_CSRRC auto_C_XOR 45 1 +auto_CSRRC auto_C_OR 56 1 +auto_CSRRC auto_C_AND 49 1 +auto_CSRRC auto_C_J 31 1 +auto_CSRRC auto_C_BEQZ 44 1 +auto_CSRRC auto_C_BNEZ 36 1 +auto_CSRRC auto_C_SLLI 62 1 +auto_CSRRC auto_C_LWSP 1 1 +auto_CSRRC auto_C_MV 54 1 +auto_CSRRC auto_C_ADD 60 1 +auto_CSRRC auto_C_SWSP 4 1 +auto_CSRRC auto_SH1ADD 65 1 +auto_CSRRC auto_SH2ADD 64 1 +auto_CSRRC auto_SH3ADD 78 1 +auto_CSRRC auto_CLZ 70 1 +auto_CSRRC auto_CTZ 60 1 +auto_CSRRC auto_CPOP 70 1 +auto_CSRRC auto_MIN 65 1 +auto_CSRRC auto_MAX 60 1 +auto_CSRRC auto_MINU 63 1 +auto_CSRRC auto_MAXU 51 1 +auto_CSRRC auto_SEXT_B 55 1 +auto_CSRRC auto_SEXT_H 66 1 +auto_CSRRC auto_ZEXT_H 67 1 +auto_CSRRC auto_ANDN 68 1 +auto_CSRRC auto_ORN 59 1 +auto_CSRRC auto_XNOR 85 1 +auto_CSRRC auto_ROR 65 1 +auto_CSRRC auto_RORI 92 1 +auto_CSRRC auto_ROL 67 1 +auto_CSRRC auto_REV8 68 1 +auto_CSRRC auto_ORC_B 74 1 +auto_CSRRC auto_CLMUL 69 1 +auto_CSRRC auto_CLMULH 66 1 +auto_CSRRC auto_CLMULR 79 1 +auto_CSRRC auto_BSET 67 1 +auto_CSRRC auto_BSETI 63 1 +auto_CSRRC auto_BCLR 72 1 +auto_CSRRC auto_BCLRI 68 1 +auto_CSRRC auto_BINV 66 1 +auto_CSRRC auto_BINVI 59 1 +auto_CSRRC auto_BEXT 59 1 +auto_CSRRC auto_BEXTI 77 1 +auto_CSRRC auto_CSRRW 73 1 +auto_CSRRC auto_CSRRS 67 1 +auto_CSRRC auto_CSRRC 148 1 +auto_CSRRC auto_CSRRWI 54 1 +auto_CSRRC auto_CSRRSI 84 1 +auto_CSRRC auto_CSRRCI 65 1 +auto_CSRRC auto_C_LBU 1 1 +auto_CSRRC auto_C_LH 1 1 +auto_CSRRC auto_C_SB 1 1 +auto_CSRRC auto_C_ZEXT_B 67 1 +auto_CSRRC auto_C_SEXT_B 53 1 +auto_CSRRC auto_C_ZEXT_H 57 1 +auto_CSRRC auto_C_SEXT_H 54 1 +auto_CSRRC auto_C_NOT 46 1 +auto_CSRRC auto_C_MUL 55 1 +auto_CSRRWI auto_LUI 64 1 +auto_CSRRWI auto_AUIPC 71 1 +auto_CSRRWI auto_JAL 81 1 +auto_CSRRWI auto_BEQ 60 1 +auto_CSRRWI auto_BNE 46 1 +auto_CSRRWI auto_BLT 50 1 +auto_CSRRWI auto_BGE 54 1 +auto_CSRRWI auto_BLTU 49 1 +auto_CSRRWI auto_BGEU 56 1 +auto_CSRRWI auto_LB 335 1 +auto_CSRRWI auto_LH 97 1 +auto_CSRRWI auto_LW 40 1 +auto_CSRRWI auto_LBU 366 1 +auto_CSRRWI auto_LHU 85 1 +auto_CSRRWI auto_SB 315 1 +auto_CSRRWI auto_SH 103 1 +auto_CSRRWI auto_SW 31 1 +auto_CSRRWI auto_ADDI 151 1 +auto_CSRRWI auto_SLTI 56 1 +auto_CSRRWI auto_SLTIU 81 1 +auto_CSRRWI auto_XORI 80 1 +auto_CSRRWI auto_ORI 85 1 +auto_CSRRWI auto_ANDI 84 1 +auto_CSRRWI auto_SLLI 75 1 +auto_CSRRWI auto_SRLI 88 1 +auto_CSRRWI auto_SRAI 63 1 +auto_CSRRWI auto_ADD 51 1 +auto_CSRRWI auto_SUB 79 1 +auto_CSRRWI auto_SLL 68 1 +auto_CSRRWI auto_SLT 68 1 +auto_CSRRWI auto_SLTU 71 1 +auto_CSRRWI auto_XOR 77 1 +auto_CSRRWI auto_SRL 59 1 +auto_CSRRWI auto_SRA 62 1 +auto_CSRRWI auto_OR 61 1 +auto_CSRRWI auto_AND 68 1 +auto_CSRRWI auto_FENCE 69 1 +auto_CSRRWI auto_MRET 186 1 +auto_CSRRWI auto_MUL 75 1 +auto_CSRRWI auto_MULH 71 1 +auto_CSRRWI auto_MULHSU 60 1 +auto_CSRRWI auto_MULHU 60 1 +auto_CSRRWI auto_DIV 68 1 +auto_CSRRWI auto_DIVU 68 1 +auto_CSRRWI auto_REM 65 1 +auto_CSRRWI auto_REMU 80 1 +auto_CSRRWI auto_C_ADDI4SPN 45 1 +auto_CSRRWI auto_C_NOP 253 1 +auto_CSRRWI auto_C_ADDI 66 1 +auto_CSRRWI auto_C_JAL 33 1 +auto_CSRRWI auto_C_LI 53 1 +auto_CSRRWI auto_C_ADDI16SP 44 1 +auto_CSRRWI auto_C_LUI 63 1 +auto_CSRRWI auto_C_SRLI 58 1 +auto_CSRRWI auto_C_SRAI 56 1 +auto_CSRRWI auto_C_ANDI 72 1 +auto_CSRRWI auto_C_SUB 69 1 +auto_CSRRWI auto_C_XOR 65 1 +auto_CSRRWI auto_C_OR 55 1 +auto_CSRRWI auto_C_AND 51 1 +auto_CSRRWI auto_C_J 38 1 +auto_CSRRWI auto_C_BEQZ 45 1 +auto_CSRRWI auto_C_BNEZ 39 1 +auto_CSRRWI auto_C_SLLI 71 1 +auto_CSRRWI auto_C_LWSP 6 1 +auto_CSRRWI auto_C_MV 83 1 +auto_CSRRWI auto_C_ADD 61 1 +auto_CSRRWI auto_C_SWSP 2 1 +auto_CSRRWI auto_SH1ADD 67 1 +auto_CSRRWI auto_SH2ADD 72 1 +auto_CSRRWI auto_SH3ADD 69 1 +auto_CSRRWI auto_CLZ 63 1 +auto_CSRRWI auto_CTZ 62 1 +auto_CSRRWI auto_CPOP 64 1 +auto_CSRRWI auto_MIN 56 1 +auto_CSRRWI auto_MAX 71 1 +auto_CSRRWI auto_MINU 64 1 +auto_CSRRWI auto_MAXU 79 1 +auto_CSRRWI auto_SEXT_B 63 1 +auto_CSRRWI auto_SEXT_H 73 1 +auto_CSRRWI auto_ZEXT_H 67 1 +auto_CSRRWI auto_ANDN 58 1 +auto_CSRRWI auto_ORN 80 1 +auto_CSRRWI auto_XNOR 81 1 +auto_CSRRWI auto_ROR 65 1 +auto_CSRRWI auto_RORI 73 1 +auto_CSRRWI auto_ROL 69 1 +auto_CSRRWI auto_REV8 79 1 +auto_CSRRWI auto_ORC_B 66 1 +auto_CSRRWI auto_CLMUL 59 1 +auto_CSRRWI auto_CLMULH 77 1 +auto_CSRRWI auto_CLMULR 71 1 +auto_CSRRWI auto_BSET 74 1 +auto_CSRRWI auto_BSETI 80 1 +auto_CSRRWI auto_BCLR 63 1 +auto_CSRRWI auto_BCLRI 67 1 +auto_CSRRWI auto_BINV 58 1 +auto_CSRRWI auto_BINVI 84 1 +auto_CSRRWI auto_BEXT 94 1 +auto_CSRRWI auto_BEXTI 60 1 +auto_CSRRWI auto_CSRRW 61 1 +auto_CSRRWI auto_CSRRS 748 1 +auto_CSRRWI auto_CSRRC 66 1 +auto_CSRRWI auto_CSRRWI 107 1 +auto_CSRRWI auto_CSRRSI 58 1 +auto_CSRRWI auto_CSRRCI 84 1 +auto_CSRRWI auto_C_LBU 1 1 +auto_CSRRWI auto_C_LHU 2 1 +auto_CSRRWI auto_C_SB 3 1 +auto_CSRRWI auto_C_ZEXT_B 55 1 +auto_CSRRWI auto_C_SEXT_B 47 1 +auto_CSRRWI auto_C_ZEXT_H 61 1 +auto_CSRRWI auto_C_SEXT_H 52 1 +auto_CSRRWI auto_C_NOT 66 1 +auto_CSRRWI auto_C_MUL 44 1 +auto_CSRRSI auto_LUI 65 1 +auto_CSRRSI auto_AUIPC 74 1 +auto_CSRRSI auto_JAL 74 1 +auto_CSRRSI auto_BEQ 57 1 +auto_CSRRSI auto_BNE 40 1 +auto_CSRRSI auto_BLT 60 1 +auto_CSRRSI auto_BGE 50 1 +auto_CSRRSI auto_BLTU 45 1 +auto_CSRRSI auto_BGEU 45 1 +auto_CSRRSI auto_LB 343 1 +auto_CSRRSI auto_LH 107 1 +auto_CSRRSI auto_LW 32 1 +auto_CSRRSI auto_LBU 312 1 +auto_CSRRSI auto_LHU 98 1 +auto_CSRRSI auto_SB 304 1 +auto_CSRRSI auto_SH 97 1 +auto_CSRRSI auto_SW 33 1 +auto_CSRRSI auto_ADDI 155 1 +auto_CSRRSI auto_SLTI 69 1 +auto_CSRRSI auto_SLTIU 66 1 +auto_CSRRSI auto_XORI 61 1 +auto_CSRRSI auto_ORI 77 1 +auto_CSRRSI auto_ANDI 58 1 +auto_CSRRSI auto_SLLI 48 1 +auto_CSRRSI auto_SRLI 71 1 +auto_CSRRSI auto_SRAI 55 1 +auto_CSRRSI auto_ADD 71 1 +auto_CSRRSI auto_SUB 64 1 +auto_CSRRSI auto_SLL 75 1 +auto_CSRRSI auto_SLT 62 1 +auto_CSRRSI auto_SLTU 69 1 +auto_CSRRSI auto_XOR 78 1 +auto_CSRRSI auto_SRL 64 1 +auto_CSRRSI auto_SRA 64 1 +auto_CSRRSI auto_OR 70 1 +auto_CSRRSI auto_AND 69 1 +auto_CSRRSI auto_FENCE 81 1 +auto_CSRRSI auto_MRET 156 1 +auto_CSRRSI auto_MUL 61 1 +auto_CSRRSI auto_MULH 75 1 +auto_CSRRSI auto_MULHSU 75 1 +auto_CSRRSI auto_MULHU 81 1 +auto_CSRRSI auto_DIV 50 1 +auto_CSRRSI auto_DIVU 68 1 +auto_CSRRSI auto_REM 72 1 +auto_CSRRSI auto_REMU 60 1 +auto_CSRRSI auto_C_ADDI4SPN 43 1 +auto_CSRRSI auto_C_LW 1 1 +auto_CSRRSI auto_C_SW 2 1 +auto_CSRRSI auto_C_NOP 208 1 +auto_CSRRSI auto_C_ADDI 52 1 +auto_CSRRSI auto_C_JAL 30 1 +auto_CSRRSI auto_C_LI 58 1 +auto_CSRRSI auto_C_ADDI16SP 47 1 +auto_CSRRSI auto_C_LUI 59 1 +auto_CSRRSI auto_C_SRLI 60 1 +auto_CSRRSI auto_C_SRAI 54 1 +auto_CSRRSI auto_C_ANDI 53 1 +auto_CSRRSI auto_C_SUB 61 1 +auto_CSRRSI auto_C_XOR 48 1 +auto_CSRRSI auto_C_OR 54 1 +auto_CSRRSI auto_C_AND 50 1 +auto_CSRRSI auto_C_J 26 1 +auto_CSRRSI auto_C_BEQZ 36 1 +auto_CSRRSI auto_C_BNEZ 43 1 +auto_CSRRSI auto_C_SLLI 65 1 +auto_CSRRSI auto_C_LWSP 14 1 +auto_CSRRSI auto_C_MV 72 1 +auto_CSRRSI auto_C_ADD 64 1 +auto_CSRRSI auto_C_SWSP 2 1 +auto_CSRRSI auto_SH1ADD 87 1 +auto_CSRRSI auto_SH2ADD 64 1 +auto_CSRRSI auto_SH3ADD 88 1 +auto_CSRRSI auto_CLZ 65 1 +auto_CSRRSI auto_CTZ 57 1 +auto_CSRRSI auto_CPOP 76 1 +auto_CSRRSI auto_MIN 74 1 +auto_CSRRSI auto_MAX 77 1 +auto_CSRRSI auto_MINU 71 1 +auto_CSRRSI auto_MAXU 85 1 +auto_CSRRSI auto_SEXT_B 70 1 +auto_CSRRSI auto_SEXT_H 69 1 +auto_CSRRSI auto_ZEXT_H 67 1 +auto_CSRRSI auto_ANDN 81 1 +auto_CSRRSI auto_ORN 70 1 +auto_CSRRSI auto_XNOR 58 1 +auto_CSRRSI auto_ROR 71 1 +auto_CSRRSI auto_RORI 73 1 +auto_CSRRSI auto_ROL 63 1 +auto_CSRRSI auto_REV8 59 1 +auto_CSRRSI auto_ORC_B 69 1 +auto_CSRRSI auto_CLMUL 65 1 +auto_CSRRSI auto_CLMULH 58 1 +auto_CSRRSI auto_CLMULR 73 1 +auto_CSRRSI auto_BSET 72 1 +auto_CSRRSI auto_BSETI 74 1 +auto_CSRRSI auto_BCLR 73 1 +auto_CSRRSI auto_BCLRI 64 1 +auto_CSRRSI auto_BINV 74 1 +auto_CSRRSI auto_BINVI 71 1 +auto_CSRRSI auto_BEXT 88 1 +auto_CSRRSI auto_BEXTI 80 1 +auto_CSRRSI auto_CSRRW 73 1 +auto_CSRRSI auto_CSRRS 134 1 +auto_CSRRSI auto_CSRRC 93 1 +auto_CSRRSI auto_CSRRWI 66 1 +auto_CSRRSI auto_CSRRSI 122 1 +auto_CSRRSI auto_CSRRCI 686 1 +auto_CSRRSI auto_C_LBU 1 1 +auto_CSRRSI auto_C_SB 2 1 +auto_CSRRSI auto_C_ZEXT_B 54 1 +auto_CSRRSI auto_C_SEXT_B 51 1 +auto_CSRRSI auto_C_ZEXT_H 62 1 +auto_CSRRSI auto_C_SEXT_H 48 1 +auto_CSRRSI auto_C_NOT 42 1 +auto_CSRRSI auto_C_MUL 58 1 +auto_CSRRCI auto_LUI 49 1 +auto_CSRRCI auto_AUIPC 67 1 +auto_CSRRCI auto_JAL 63 1 +auto_CSRRCI auto_BEQ 48 1 +auto_CSRRCI auto_BNE 46 1 +auto_CSRRCI auto_BLT 51 1 +auto_CSRRCI auto_BGE 50 1 +auto_CSRRCI auto_BLTU 74 1 +auto_CSRRCI auto_BGEU 58 1 +auto_CSRRCI auto_LB 345 1 +auto_CSRRCI auto_LH 111 1 +auto_CSRRCI auto_LW 38 1 +auto_CSRRCI auto_LBU 334 1 +auto_CSRRCI auto_LHU 101 1 +auto_CSRRCI auto_SB 305 1 +auto_CSRRCI auto_SH 101 1 +auto_CSRRCI auto_SW 40 1 +auto_CSRRCI auto_ADDI 171 1 +auto_CSRRCI auto_SLTI 80 1 +auto_CSRRCI auto_SLTIU 83 1 +auto_CSRRCI auto_XORI 77 1 +auto_CSRRCI auto_ORI 82 1 +auto_CSRRCI auto_ANDI 76 1 +auto_CSRRCI auto_SLLI 68 1 +auto_CSRRCI auto_SRLI 69 1 +auto_CSRRCI auto_SRAI 66 1 +auto_CSRRCI auto_ADD 59 1 +auto_CSRRCI auto_SUB 56 1 +auto_CSRRCI auto_SLL 61 1 +auto_CSRRCI auto_SLT 82 1 +auto_CSRRCI auto_SLTU 65 1 +auto_CSRRCI auto_XOR 59 1 +auto_CSRRCI auto_SRL 65 1 +auto_CSRRCI auto_SRA 81 1 +auto_CSRRCI auto_OR 67 1 +auto_CSRRCI auto_AND 60 1 +auto_CSRRCI auto_FENCE 82 1 +auto_CSRRCI auto_MRET 148 1 +auto_CSRRCI auto_MUL 81 1 +auto_CSRRCI auto_MULH 65 1 +auto_CSRRCI auto_MULHSU 80 1 +auto_CSRRCI auto_MULHU 62 1 +auto_CSRRCI auto_DIV 64 1 +auto_CSRRCI auto_DIVU 65 1 +auto_CSRRCI auto_REM 86 1 +auto_CSRRCI auto_REMU 69 1 +auto_CSRRCI auto_C_ADDI4SPN 46 1 +auto_CSRRCI auto_C_NOP 246 1 +auto_CSRRCI auto_C_ADDI 58 1 +auto_CSRRCI auto_C_JAL 41 1 +auto_CSRRCI auto_C_LI 53 1 +auto_CSRRCI auto_C_ADDI16SP 47 1 +auto_CSRRCI auto_C_LUI 56 1 +auto_CSRRCI auto_C_SRLI 58 1 +auto_CSRRCI auto_C_SRAI 68 1 +auto_CSRRCI auto_C_ANDI 62 1 +auto_CSRRCI auto_C_SUB 55 1 +auto_CSRRCI auto_C_XOR 47 1 +auto_CSRRCI auto_C_OR 57 1 +auto_CSRRCI auto_C_AND 54 1 +auto_CSRRCI auto_C_J 32 1 +auto_CSRRCI auto_C_BEQZ 40 1 +auto_CSRRCI auto_C_BNEZ 54 1 +auto_CSRRCI auto_C_SLLI 68 1 +auto_CSRRCI auto_C_LWSP 5 1 +auto_CSRRCI auto_C_MV 73 1 +auto_CSRRCI auto_C_ADD 68 1 +auto_CSRRCI auto_C_SWSP 8 1 +auto_CSRRCI auto_SH1ADD 51 1 +auto_CSRRCI auto_SH2ADD 69 1 +auto_CSRRCI auto_SH3ADD 79 1 +auto_CSRRCI auto_CLZ 76 1 +auto_CSRRCI auto_CTZ 59 1 +auto_CSRRCI auto_CPOP 77 1 +auto_CSRRCI auto_MIN 86 1 +auto_CSRRCI auto_MAX 76 1 +auto_CSRRCI auto_MINU 66 1 +auto_CSRRCI auto_MAXU 68 1 +auto_CSRRCI auto_SEXT_B 66 1 +auto_CSRRCI auto_SEXT_H 76 1 +auto_CSRRCI auto_ZEXT_H 70 1 +auto_CSRRCI auto_ANDN 66 1 +auto_CSRRCI auto_ORN 71 1 +auto_CSRRCI auto_XNOR 54 1 +auto_CSRRCI auto_ROR 76 1 +auto_CSRRCI auto_RORI 81 1 +auto_CSRRCI auto_ROL 87 1 +auto_CSRRCI auto_REV8 74 1 +auto_CSRRCI auto_ORC_B 73 1 +auto_CSRRCI auto_CLMUL 70 1 +auto_CSRRCI auto_CLMULH 67 1 +auto_CSRRCI auto_CLMULR 72 1 +auto_CSRRCI auto_BSET 61 1 +auto_CSRRCI auto_BSETI 63 1 +auto_CSRRCI auto_BCLR 74 1 +auto_CSRRCI auto_BCLRI 49 1 +auto_CSRRCI auto_BINV 70 1 +auto_CSRRCI auto_BINVI 68 1 +auto_CSRRCI auto_BEXT 74 1 +auto_CSRRCI auto_BEXTI 77 1 +auto_CSRRCI auto_CSRRW 64 1 +auto_CSRRCI auto_CSRRS 685 1 +auto_CSRRCI auto_CSRRC 84 1 +auto_CSRRCI auto_CSRRWI 57 1 +auto_CSRRCI auto_CSRRSI 133 1 +auto_CSRRCI auto_CSRRCI 124 1 +auto_CSRRCI auto_C_LBU 1 1 +auto_CSRRCI auto_C_LHU 1 1 +auto_CSRRCI auto_C_LH 1 1 +auto_CSRRCI auto_C_SB 3 1 +auto_CSRRCI auto_C_SH 1 1 +auto_CSRRCI auto_C_ZEXT_B 61 1 +auto_CSRRCI auto_C_SEXT_B 69 1 +auto_CSRRCI auto_C_ZEXT_H 62 1 +auto_CSRRCI auto_C_SEXT_H 51 1 +auto_CSRRCI auto_C_NOT 54 1 +auto_CSRRCI auto_C_MUL 52 1 +auto_C_LBU auto_LUI 1 1 +auto_C_LBU auto_AUIPC 1 1 +auto_C_LBU auto_LB 49 1 +auto_C_LBU auto_LH 19 1 +auto_C_LBU auto_LW 10 1 +auto_C_LBU auto_LBU 71 1 +auto_C_LBU auto_LHU 21 1 +auto_C_LBU auto_SB 56 1 +auto_C_LBU auto_SH 22 1 +auto_C_LBU auto_SW 10 1 +auto_C_LBU auto_ADDI 22 1 +auto_C_LBU auto_SLTI 2 1 +auto_C_LBU auto_SLTIU 4 1 +auto_C_LBU auto_XORI 1 1 +auto_C_LBU auto_ORI 1 1 +auto_C_LBU auto_ANDI 2 1 +auto_C_LBU auto_SLLI 2 1 +auto_C_LBU auto_SRLI 1 1 +auto_C_LBU auto_ADD 1 1 +auto_C_LBU auto_SUB 1 1 +auto_C_LBU auto_SLL 2 1 +auto_C_LBU auto_SLT 1 1 +auto_C_LBU auto_SLTU 1 1 +auto_C_LBU auto_XOR 2 1 +auto_C_LBU auto_SRL 3 1 +auto_C_LBU auto_SRA 1 1 +auto_C_LBU auto_OR 5 1 +auto_C_LBU auto_AND 1 1 +auto_C_LBU auto_FENCE 1 1 +auto_C_LBU auto_MRET 17 1 +auto_C_LBU auto_MUL 2 1 +auto_C_LBU auto_MULH 4 1 +auto_C_LBU auto_MULHSU 3 1 +auto_C_LBU auto_MULHU 1 1 +auto_C_LBU auto_DIV 1 1 +auto_C_LBU auto_DIVU 3 1 +auto_C_LBU auto_REM 3 1 +auto_C_LBU auto_REMU 1 1 +auto_C_LBU auto_C_LW 2 1 +auto_C_LBU auto_C_SW 3 1 +auto_C_LBU auto_C_NOP 8 1 +auto_C_LBU auto_C_ADDI 1 1 +auto_C_LBU auto_C_LI 2 1 +auto_C_LBU auto_C_ADDI16SP 3 1 +auto_C_LBU auto_C_LUI 3 1 +auto_C_LBU auto_C_SRLI 1 1 +auto_C_LBU auto_C_SRAI 2 1 +auto_C_LBU auto_C_ANDI 1 1 +auto_C_LBU auto_C_SUB 2 1 +auto_C_LBU auto_C_XOR 1 1 +auto_C_LBU auto_C_OR 3 1 +auto_C_LBU auto_C_AND 4 1 +auto_C_LBU auto_C_SLLI 4 1 +auto_C_LBU auto_C_MV 4 1 +auto_C_LBU auto_C_ADD 1 1 +auto_C_LBU auto_C_SWSP 1 1 +auto_C_LBU auto_SH1ADD 2 1 +auto_C_LBU auto_SH2ADD 2 1 +auto_C_LBU auto_SH3ADD 2 1 +auto_C_LBU auto_CLZ 1 1 +auto_C_LBU auto_CPOP 1 1 +auto_C_LBU auto_MIN 2 1 +auto_C_LBU auto_MAX 3 1 +auto_C_LBU auto_MINU 4 1 +auto_C_LBU auto_MAXU 1 1 +auto_C_LBU auto_SEXT_B 1 1 +auto_C_LBU auto_SEXT_H 1 1 +auto_C_LBU auto_ZEXT_H 2 1 +auto_C_LBU auto_ANDN 1 1 +auto_C_LBU auto_ORN 2 1 +auto_C_LBU auto_XNOR 2 1 +auto_C_LBU auto_ROR 3 1 +auto_C_LBU auto_RORI 1 1 +auto_C_LBU auto_REV8 2 1 +auto_C_LBU auto_ORC_B 2 1 +auto_C_LBU auto_CLMUL 4 1 +auto_C_LBU auto_CLMULH 4 1 +auto_C_LBU auto_CLMULR 4 1 +auto_C_LBU auto_BSET 2 1 +auto_C_LBU auto_BSETI 3 1 +auto_C_LBU auto_BCLRI 3 1 +auto_C_LBU auto_BINV 3 1 +auto_C_LBU auto_BINVI 5 1 +auto_C_LBU auto_BEXT 6 1 +auto_C_LBU auto_BEXTI 1 1 +auto_C_LBU auto_CSRRW 2 1 +auto_C_LBU auto_CSRRS 4240 1 +auto_C_LBU auto_CSRRC 2 1 +auto_C_LBU auto_CSRRWI 4 1 +auto_C_LBU auto_CSRRSI 2 1 +auto_C_LBU auto_CSRRCI 1 1 +auto_C_LBU auto_C_LBU 51 1 +auto_C_LBU auto_C_LH 1 1 +auto_C_LBU auto_C_SB 36 1 +auto_C_LBU auto_C_MUL 5 1 +auto_C_LHU auto_LUI 1 1 +auto_C_LHU auto_LB 7 1 +auto_C_LHU auto_LH 1 1 +auto_C_LHU auto_LW 2 1 +auto_C_LHU auto_LBU 8 1 +auto_C_LHU auto_LHU 7 1 +auto_C_LHU auto_SB 15 1 +auto_C_LHU auto_SH 5 1 +auto_C_LHU auto_SW 3 1 +auto_C_LHU auto_ADDI 4 1 +auto_C_LHU auto_SLTI 2 1 +auto_C_LHU auto_SLTIU 1 1 +auto_C_LHU auto_ANDI 1 1 +auto_C_LHU auto_SLLI 1 1 +auto_C_LHU auto_SUB 1 1 +auto_C_LHU auto_SLT 1 1 +auto_C_LHU auto_XOR 1 1 +auto_C_LHU auto_OR 1 1 +auto_C_LHU auto_FENCE 1 1 +auto_C_LHU auto_MRET 2 1 +auto_C_LHU auto_MULHU 1 1 +auto_C_LHU auto_DIVU 6 1 +auto_C_LHU auto_C_LW 3 1 +auto_C_LHU auto_C_SW 1 1 +auto_C_LHU auto_C_NOP 3 1 +auto_C_LHU auto_C_SRLI 2 1 +auto_C_LHU auto_C_AND 1 1 +auto_C_LHU auto_C_SLLI 2 1 +auto_C_LHU auto_C_MV 2 1 +auto_C_LHU auto_C_ADD 3 1 +auto_C_LHU auto_CTZ 1 1 +auto_C_LHU auto_MIN 1 1 +auto_C_LHU auto_MAX 2 1 +auto_C_LHU auto_MINU 2 1 +auto_C_LHU auto_MAXU 2 1 +auto_C_LHU auto_SEXT_H 2 1 +auto_C_LHU auto_ORN 1 1 +auto_C_LHU auto_XNOR 1 1 +auto_C_LHU auto_RORI 2 1 +auto_C_LHU auto_CLMULR 1 1 +auto_C_LHU auto_BSET 1 1 +auto_C_LHU auto_BSETI 1 1 +auto_C_LHU auto_BCLR 2 1 +auto_C_LHU auto_BCLRI 2 1 +auto_C_LHU auto_CSRRC 1 1 +auto_C_LHU auto_CSRRWI 1 1 +auto_C_LHU auto_CSRRSI 1 1 +auto_C_LHU auto_C_LBU 3 1 +auto_C_LHU auto_C_LHU 15 1 +auto_C_LHU auto_C_LH 11 1 +auto_C_LHU auto_C_SB 1 1 +auto_C_LHU auto_C_SH 12 1 +auto_C_LHU auto_C_SEXT_B 2 1 +auto_C_LHU auto_C_NOT 1 1 +auto_C_LHU auto_C_MUL 2 1 +auto_C_LH auto_LUI 4 1 +auto_C_LH auto_LB 11 1 +auto_C_LH auto_LH 3 1 +auto_C_LH auto_LW 3 1 +auto_C_LH auto_LBU 12 1 +auto_C_LH auto_LHU 3 1 +auto_C_LH auto_SB 9 1 +auto_C_LH auto_SH 5 1 +auto_C_LH auto_SW 3 1 +auto_C_LH auto_ADDI 6 1 +auto_C_LH auto_SLTIU 1 1 +auto_C_LH auto_XORI 1 1 +auto_C_LH auto_SRLI 1 1 +auto_C_LH auto_SLT 1 1 +auto_C_LH auto_SRA 1 1 +auto_C_LH auto_OR 2 1 +auto_C_LH auto_MRET 1 1 +auto_C_LH auto_MUL 1 1 +auto_C_LH auto_MULH 1 1 +auto_C_LH auto_MULHU 1 1 +auto_C_LH auto_DIVU 1 1 +auto_C_LH auto_C_ADDI4SPN 1 1 +auto_C_LH auto_C_LW 1 1 +auto_C_LH auto_C_NOP 2 1 +auto_C_LH auto_C_LI 1 1 +auto_C_LH auto_C_SRLI 2 1 +auto_C_LH auto_C_ANDI 1 1 +auto_C_LH auto_C_OR 1 1 +auto_C_LH auto_C_AND 1 1 +auto_C_LH auto_C_MV 1 1 +auto_C_LH auto_SH1ADD 1 1 +auto_C_LH auto_SH3ADD 2 1 +auto_C_LH auto_CTZ 2 1 +auto_C_LH auto_CPOP 1 1 +auto_C_LH auto_MAXU 1 1 +auto_C_LH auto_SEXT_B 1 1 +auto_C_LH auto_ROL 1 1 +auto_C_LH auto_REV8 2 1 +auto_C_LH auto_BSETI 2 1 +auto_C_LH auto_BCLRI 1 1 +auto_C_LH auto_BINVI 1 1 +auto_C_LH auto_BEXT 3 1 +auto_C_LH auto_C_LBU 1 1 +auto_C_LH auto_C_LHU 10 1 +auto_C_LH auto_C_LH 11 1 +auto_C_LH auto_C_SH 5 1 +auto_C_LH auto_C_ZEXT_H 1 1 +auto_C_LH auto_C_SEXT_H 1 1 +auto_C_LH auto_C_NOT 1 1 +auto_C_LH auto_C_MUL 1 1 +auto_C_SB auto_LUI 3 1 +auto_C_SB auto_JALR 1 1 +auto_C_SB auto_LB 54 1 +auto_C_SB auto_LH 23 1 +auto_C_SB auto_LW 14 1 +auto_C_SB auto_LBU 60 1 +auto_C_SB auto_LHU 26 1 +auto_C_SB auto_SB 41 1 +auto_C_SB auto_SH 20 1 +auto_C_SB auto_SW 7 1 +auto_C_SB auto_ADDI 17 1 +auto_C_SB auto_SLTI 2 1 +auto_C_SB auto_SLTIU 2 1 +auto_C_SB auto_XORI 2 1 +auto_C_SB auto_ORI 4 1 +auto_C_SB auto_ANDI 2 1 +auto_C_SB auto_SLLI 3 1 +auto_C_SB auto_SRLI 2 1 +auto_C_SB auto_ADD 2 1 +auto_C_SB auto_SUB 2 1 +auto_C_SB auto_SLL 2 1 +auto_C_SB auto_SLT 1 1 +auto_C_SB auto_SLTU 3 1 +auto_C_SB auto_XOR 2 1 +auto_C_SB auto_SRL 1 1 +auto_C_SB auto_SRA 1 1 +auto_C_SB auto_OR 4 1 +auto_C_SB auto_AND 3 1 +auto_C_SB auto_MRET 9 1 +auto_C_SB auto_MUL 2 1 +auto_C_SB auto_MULH 2 1 +auto_C_SB auto_DIV 4 1 +auto_C_SB auto_DIVU 3 1 +auto_C_SB auto_REM 3 1 +auto_C_SB auto_REMU 1 1 +auto_C_SB auto_C_NOP 6 1 +auto_C_SB auto_C_ADDI 1 1 +auto_C_SB auto_C_LI 1 1 +auto_C_SB auto_C_ADDI16SP 2 1 +auto_C_SB auto_C_LUI 3 1 +auto_C_SB auto_C_SRLI 3 1 +auto_C_SB auto_C_SRAI 1 1 +auto_C_SB auto_C_ANDI 4 1 +auto_C_SB auto_C_SUB 4 1 +auto_C_SB auto_C_XOR 4 1 +auto_C_SB auto_C_OR 1 1 +auto_C_SB auto_C_SLLI 3 1 +auto_C_SB auto_C_MV 2 1 +auto_C_SB auto_SH1ADD 4 1 +auto_C_SB auto_SH2ADD 4 1 +auto_C_SB auto_SH3ADD 2 1 +auto_C_SB auto_CLZ 1 1 +auto_C_SB auto_CTZ 5 1 +auto_C_SB auto_MIN 1 1 +auto_C_SB auto_MAX 2 1 +auto_C_SB auto_MINU 3 1 +auto_C_SB auto_SEXT_B 1 1 +auto_C_SB auto_SEXT_H 3 1 +auto_C_SB auto_ZEXT_H 1 1 +auto_C_SB auto_ANDN 3 1 +auto_C_SB auto_ORN 3 1 +auto_C_SB auto_XNOR 1 1 +auto_C_SB auto_RORI 1 1 +auto_C_SB auto_ROL 1 1 +auto_C_SB auto_REV8 5 1 +auto_C_SB auto_ORC_B 1 1 +auto_C_SB auto_CLMUL 4 1 +auto_C_SB auto_CLMULR 3 1 +auto_C_SB auto_BSET 2 1 +auto_C_SB auto_BSETI 2 1 +auto_C_SB auto_BCLR 2 1 +auto_C_SB auto_BCLRI 1 1 +auto_C_SB auto_BINV 1 1 +auto_C_SB auto_BINVI 1 1 +auto_C_SB auto_BEXT 6 1 +auto_C_SB auto_BEXTI 1 1 +auto_C_SB auto_CSRRW 184 1 +auto_C_SB auto_CSRRS 3 1 +auto_C_SB auto_CSRRC 2 1 +auto_C_SB auto_CSRRWI 2 1 +auto_C_SB auto_CSRRSI 2 1 +auto_C_SB auto_CSRRCI 1 1 +auto_C_SB auto_C_LBU 36 1 +auto_C_SB auto_C_SB 44 1 +auto_C_SB auto_C_SH 1 1 +auto_C_SB auto_C_ZEXT_B 3 1 +auto_C_SB auto_C_SEXT_B 2 1 +auto_C_SB auto_C_ZEXT_H 3 1 +auto_C_SB auto_C_SEXT_H 3 1 +auto_C_SB auto_C_NOT 1 1 +auto_C_SB auto_C_MUL 2 1 +auto_C_SH auto_LB 12 1 +auto_C_SH auto_LH 3 1 +auto_C_SH auto_LW 4 1 +auto_C_SH auto_LBU 9 1 +auto_C_SH auto_LHU 7 1 +auto_C_SH auto_SB 11 1 +auto_C_SH auto_SH 4 1 +auto_C_SH auto_SW 7 1 +auto_C_SH auto_ADDI 4 1 +auto_C_SH auto_SLTIU 3 1 +auto_C_SH auto_ORI 1 1 +auto_C_SH auto_SLLI 1 1 +auto_C_SH auto_ADD 1 1 +auto_C_SH auto_SLTU 1 1 +auto_C_SH auto_XOR 1 1 +auto_C_SH auto_SRL 1 1 +auto_C_SH auto_SRA 1 1 +auto_C_SH auto_OR 1 1 +auto_C_SH auto_AND 1 1 +auto_C_SH auto_MULHSU 1 1 +auto_C_SH auto_DIV 1 1 +auto_C_SH auto_DIVU 2 1 +auto_C_SH auto_REMU 1 1 +auto_C_SH auto_C_NOP 3 1 +auto_C_SH auto_C_ADDI16SP 1 1 +auto_C_SH auto_C_SRLI 3 1 +auto_C_SH auto_C_ANDI 1 1 +auto_C_SH auto_C_OR 2 1 +auto_C_SH auto_C_AND 1 1 +auto_C_SH auto_C_SLLI 1 1 +auto_C_SH auto_SH1ADD 2 1 +auto_C_SH auto_SH2ADD 2 1 +auto_C_SH auto_CTZ 1 1 +auto_C_SH auto_MIN 1 1 +auto_C_SH auto_MINU 1 1 +auto_C_SH auto_MAXU 1 1 +auto_C_SH auto_ZEXT_H 1 1 +auto_C_SH auto_ANDN 1 1 +auto_C_SH auto_ROR 1 1 +auto_C_SH auto_CLMULH 1 1 +auto_C_SH auto_CLMULR 1 1 +auto_C_SH auto_BSET 1 1 +auto_C_SH auto_BINV 1 1 +auto_C_SH auto_CSRRS 2 1 +auto_C_SH auto_CSRRC 1 1 +auto_C_SH auto_CSRRWI 1 1 +auto_C_SH auto_CSRRSI 1 1 +auto_C_SH auto_C_LBU 2 1 +auto_C_SH auto_C_LHU 10 1 +auto_C_SH auto_C_LH 7 1 +auto_C_SH auto_C_SB 4 1 +auto_C_SH auto_C_SH 7 1 +auto_C_SH auto_C_SEXT_B 1 1 +auto_C_SH auto_C_ZEXT_H 2 1 +auto_C_SH auto_C_SEXT_H 1 1 +auto_C_SH auto_C_MUL 1 1 +auto_C_ZEXT_B auto_LUI 106 1 +auto_C_ZEXT_B auto_AUIPC 120 1 +auto_C_ZEXT_B auto_JAL 28 1 +auto_C_ZEXT_B auto_JALR 2 1 +auto_C_ZEXT_B auto_BEQ 53 1 +auto_C_ZEXT_B auto_BNE 55 1 +auto_C_ZEXT_B auto_BLT 57 1 +auto_C_ZEXT_B auto_BGE 52 1 +auto_C_ZEXT_B auto_BLTU 47 1 +auto_C_ZEXT_B auto_BGEU 46 1 +auto_C_ZEXT_B auto_LB 342 1 +auto_C_ZEXT_B auto_LH 82 1 +auto_C_ZEXT_B auto_LW 33 1 +auto_C_ZEXT_B auto_LBU 248 1 +auto_C_ZEXT_B auto_LHU 80 1 +auto_C_ZEXT_B auto_SB 250 1 +auto_C_ZEXT_B auto_SH 95 1 +auto_C_ZEXT_B auto_SW 26 1 +auto_C_ZEXT_B auto_ADDI 174 1 +auto_C_ZEXT_B auto_SLTI 93 1 +auto_C_ZEXT_B auto_SLTIU 74 1 +auto_C_ZEXT_B auto_XORI 92 1 +auto_C_ZEXT_B auto_ORI 87 1 +auto_C_ZEXT_B auto_ANDI 79 1 +auto_C_ZEXT_B auto_SLLI 82 1 +auto_C_ZEXT_B auto_SRLI 96 1 +auto_C_ZEXT_B auto_SRAI 76 1 +auto_C_ZEXT_B auto_ADD 77 1 +auto_C_ZEXT_B auto_SUB 103 1 +auto_C_ZEXT_B auto_SLL 86 1 +auto_C_ZEXT_B auto_SLT 88 1 +auto_C_ZEXT_B auto_SLTU 106 1 +auto_C_ZEXT_B auto_XOR 85 1 +auto_C_ZEXT_B auto_SRL 96 1 +auto_C_ZEXT_B auto_SRA 101 1 +auto_C_ZEXT_B auto_OR 85 1 +auto_C_ZEXT_B auto_AND 106 1 +auto_C_ZEXT_B auto_FENCE 59 1 +auto_C_ZEXT_B auto_MRET 313 1 +auto_C_ZEXT_B auto_MUL 74 1 +auto_C_ZEXT_B auto_MULH 105 1 +auto_C_ZEXT_B auto_MULHSU 91 1 +auto_C_ZEXT_B auto_MULHU 94 1 +auto_C_ZEXT_B auto_DIV 79 1 +auto_C_ZEXT_B auto_DIVU 88 1 +auto_C_ZEXT_B auto_REM 104 1 +auto_C_ZEXT_B auto_REMU 89 1 +auto_C_ZEXT_B auto_C_ADDI4SPN 77 1 +auto_C_ZEXT_B auto_C_NOP 279 1 +auto_C_ZEXT_B auto_C_ADDI 134 1 +auto_C_ZEXT_B auto_C_JAL 23 1 +auto_C_ZEXT_B auto_C_LI 91 1 +auto_C_ZEXT_B auto_C_ADDI16SP 70 1 +auto_C_ZEXT_B auto_C_LUI 98 1 +auto_C_ZEXT_B auto_C_SRLI 79 1 +auto_C_ZEXT_B auto_C_SRAI 102 1 +auto_C_ZEXT_B auto_C_ANDI 78 1 +auto_C_ZEXT_B auto_C_SUB 88 1 +auto_C_ZEXT_B auto_C_XOR 96 1 +auto_C_ZEXT_B auto_C_OR 126 1 +auto_C_ZEXT_B auto_C_AND 120 1 +auto_C_ZEXT_B auto_C_J 26 1 +auto_C_ZEXT_B auto_C_BEQZ 51 1 +auto_C_ZEXT_B auto_C_BNEZ 55 1 +auto_C_ZEXT_B auto_C_SLLI 107 1 +auto_C_ZEXT_B auto_C_LWSP 4 1 +auto_C_ZEXT_B auto_C_JR 13 1 +auto_C_ZEXT_B auto_C_MV 137 1 +auto_C_ZEXT_B auto_C_ADD 117 1 +auto_C_ZEXT_B auto_C_SWSP 7 1 +auto_C_ZEXT_B auto_SH1ADD 99 1 +auto_C_ZEXT_B auto_SH2ADD 98 1 +auto_C_ZEXT_B auto_SH3ADD 91 1 +auto_C_ZEXT_B auto_CLZ 85 1 +auto_C_ZEXT_B auto_CTZ 98 1 +auto_C_ZEXT_B auto_CPOP 95 1 +auto_C_ZEXT_B auto_MIN 78 1 +auto_C_ZEXT_B auto_MAX 86 1 +auto_C_ZEXT_B auto_MINU 108 1 +auto_C_ZEXT_B auto_MAXU 95 1 +auto_C_ZEXT_B auto_SEXT_B 91 1 +auto_C_ZEXT_B auto_SEXT_H 103 1 +auto_C_ZEXT_B auto_ZEXT_H 81 1 +auto_C_ZEXT_B auto_ANDN 79 1 +auto_C_ZEXT_B auto_ORN 82 1 +auto_C_ZEXT_B auto_XNOR 82 1 +auto_C_ZEXT_B auto_ROR 96 1 +auto_C_ZEXT_B auto_RORI 86 1 +auto_C_ZEXT_B auto_ROL 123 1 +auto_C_ZEXT_B auto_REV8 79 1 +auto_C_ZEXT_B auto_ORC_B 111 1 +auto_C_ZEXT_B auto_CLMUL 97 1 +auto_C_ZEXT_B auto_CLMULH 97 1 +auto_C_ZEXT_B auto_CLMULR 95 1 +auto_C_ZEXT_B auto_BSET 90 1 +auto_C_ZEXT_B auto_BSETI 96 1 +auto_C_ZEXT_B auto_BCLR 108 1 +auto_C_ZEXT_B auto_BCLRI 84 1 +auto_C_ZEXT_B auto_BINV 93 1 +auto_C_ZEXT_B auto_BINVI 96 1 +auto_C_ZEXT_B auto_BEXT 116 1 +auto_C_ZEXT_B auto_BEXTI 73 1 +auto_C_ZEXT_B auto_CSRRW 52 1 +auto_C_ZEXT_B auto_CSRRS 70 1 +auto_C_ZEXT_B auto_CSRRC 42 1 +auto_C_ZEXT_B auto_CSRRWI 56 1 +auto_C_ZEXT_B auto_CSRRSI 65 1 +auto_C_ZEXT_B auto_CSRRCI 52 1 +auto_C_ZEXT_B auto_C_LBU 2 1 +auto_C_ZEXT_B auto_C_SB 2 1 +auto_C_ZEXT_B auto_C_ZEXT_B 79 1 +auto_C_ZEXT_B auto_C_SEXT_B 96 1 +auto_C_ZEXT_B auto_C_ZEXT_H 92 1 +auto_C_ZEXT_B auto_C_SEXT_H 94 1 +auto_C_ZEXT_B auto_C_NOT 104 1 +auto_C_ZEXT_B auto_C_MUL 99 1 +auto_C_SEXT_B auto_LUI 104 1 +auto_C_SEXT_B auto_AUIPC 94 1 +auto_C_SEXT_B auto_JAL 50 1 +auto_C_SEXT_B auto_JALR 2 1 +auto_C_SEXT_B auto_BEQ 45 1 +auto_C_SEXT_B auto_BNE 45 1 +auto_C_SEXT_B auto_BLT 38 1 +auto_C_SEXT_B auto_BGE 53 1 +auto_C_SEXT_B auto_BLTU 36 1 +auto_C_SEXT_B auto_BGEU 44 1 +auto_C_SEXT_B auto_LB 295 1 +auto_C_SEXT_B auto_LH 76 1 +auto_C_SEXT_B auto_LW 35 1 +auto_C_SEXT_B auto_LBU 270 1 +auto_C_SEXT_B auto_LHU 89 1 +auto_C_SEXT_B auto_SB 288 1 +auto_C_SEXT_B auto_SH 85 1 +auto_C_SEXT_B auto_SW 56 1 +auto_C_SEXT_B auto_ADDI 224 1 +auto_C_SEXT_B auto_SLTI 98 1 +auto_C_SEXT_B auto_SLTIU 85 1 +auto_C_SEXT_B auto_XORI 96 1 +auto_C_SEXT_B auto_ORI 85 1 +auto_C_SEXT_B auto_ANDI 98 1 +auto_C_SEXT_B auto_SLLI 101 1 +auto_C_SEXT_B auto_SRLI 91 1 +auto_C_SEXT_B auto_SRAI 88 1 +auto_C_SEXT_B auto_ADD 90 1 +auto_C_SEXT_B auto_SUB 96 1 +auto_C_SEXT_B auto_SLL 104 1 +auto_C_SEXT_B auto_SLT 93 1 +auto_C_SEXT_B auto_SLTU 82 1 +auto_C_SEXT_B auto_XOR 111 1 +auto_C_SEXT_B auto_SRL 109 1 +auto_C_SEXT_B auto_SRA 92 1 +auto_C_SEXT_B auto_OR 107 1 +auto_C_SEXT_B auto_AND 91 1 +auto_C_SEXT_B auto_FENCE 81 1 +auto_C_SEXT_B auto_MRET 347 1 +auto_C_SEXT_B auto_MUL 99 1 +auto_C_SEXT_B auto_MULH 92 1 +auto_C_SEXT_B auto_MULHSU 100 1 +auto_C_SEXT_B auto_MULHU 108 1 +auto_C_SEXT_B auto_DIV 77 1 +auto_C_SEXT_B auto_DIVU 94 1 +auto_C_SEXT_B auto_REM 82 1 +auto_C_SEXT_B auto_REMU 92 1 +auto_C_SEXT_B auto_C_ADDI4SPN 70 1 +auto_C_SEXT_B auto_C_SW 3 1 +auto_C_SEXT_B auto_C_NOP 329 1 +auto_C_SEXT_B auto_C_ADDI 107 1 +auto_C_SEXT_B auto_C_JAL 22 1 +auto_C_SEXT_B auto_C_LI 113 1 +auto_C_SEXT_B auto_C_ADDI16SP 71 1 +auto_C_SEXT_B auto_C_LUI 90 1 +auto_C_SEXT_B auto_C_SRLI 109 1 +auto_C_SEXT_B auto_C_SRAI 109 1 +auto_C_SEXT_B auto_C_ANDI 96 1 +auto_C_SEXT_B auto_C_SUB 86 1 +auto_C_SEXT_B auto_C_XOR 84 1 +auto_C_SEXT_B auto_C_OR 94 1 +auto_C_SEXT_B auto_C_AND 83 1 +auto_C_SEXT_B auto_C_J 24 1 +auto_C_SEXT_B auto_C_BEQZ 50 1 +auto_C_SEXT_B auto_C_BNEZ 42 1 +auto_C_SEXT_B auto_C_SLLI 137 1 +auto_C_SEXT_B auto_C_LWSP 4 1 +auto_C_SEXT_B auto_C_JR 6 1 +auto_C_SEXT_B auto_C_MV 96 1 +auto_C_SEXT_B auto_C_JALR 1 1 +auto_C_SEXT_B auto_C_ADD 101 1 +auto_C_SEXT_B auto_C_SWSP 6 1 +auto_C_SEXT_B auto_SH1ADD 77 1 +auto_C_SEXT_B auto_SH2ADD 93 1 +auto_C_SEXT_B auto_SH3ADD 93 1 +auto_C_SEXT_B auto_CLZ 84 1 +auto_C_SEXT_B auto_CTZ 71 1 +auto_C_SEXT_B auto_CPOP 84 1 +auto_C_SEXT_B auto_MIN 87 1 +auto_C_SEXT_B auto_MAX 102 1 +auto_C_SEXT_B auto_MINU 100 1 +auto_C_SEXT_B auto_MAXU 87 1 +auto_C_SEXT_B auto_SEXT_B 112 1 +auto_C_SEXT_B auto_SEXT_H 83 1 +auto_C_SEXT_B auto_ZEXT_H 70 1 +auto_C_SEXT_B auto_ANDN 95 1 +auto_C_SEXT_B auto_ORN 111 1 +auto_C_SEXT_B auto_XNOR 97 1 +auto_C_SEXT_B auto_ROR 77 1 +auto_C_SEXT_B auto_RORI 91 1 +auto_C_SEXT_B auto_ROL 91 1 +auto_C_SEXT_B auto_REV8 81 1 +auto_C_SEXT_B auto_ORC_B 106 1 +auto_C_SEXT_B auto_CLMUL 89 1 +auto_C_SEXT_B auto_CLMULH 95 1 +auto_C_SEXT_B auto_CLMULR 85 1 +auto_C_SEXT_B auto_BSET 101 1 +auto_C_SEXT_B auto_BSETI 79 1 +auto_C_SEXT_B auto_BCLR 105 1 +auto_C_SEXT_B auto_BCLRI 98 1 +auto_C_SEXT_B auto_BINV 79 1 +auto_C_SEXT_B auto_BINVI 91 1 +auto_C_SEXT_B auto_BEXT 93 1 +auto_C_SEXT_B auto_BEXTI 96 1 +auto_C_SEXT_B auto_CSRRW 59 1 +auto_C_SEXT_B auto_CSRRS 67 1 +auto_C_SEXT_B auto_CSRRC 59 1 +auto_C_SEXT_B auto_CSRRWI 57 1 +auto_C_SEXT_B auto_CSRRSI 61 1 +auto_C_SEXT_B auto_CSRRCI 66 1 +auto_C_SEXT_B auto_C_LBU 2 1 +auto_C_SEXT_B auto_C_LHU 4 1 +auto_C_SEXT_B auto_C_LH 1 1 +auto_C_SEXT_B auto_C_SB 4 1 +auto_C_SEXT_B auto_C_SH 1 1 +auto_C_SEXT_B auto_C_ZEXT_B 101 1 +auto_C_SEXT_B auto_C_SEXT_B 97 1 +auto_C_SEXT_B auto_C_ZEXT_H 107 1 +auto_C_SEXT_B auto_C_SEXT_H 100 1 +auto_C_SEXT_B auto_C_NOT 112 1 +auto_C_SEXT_B auto_C_MUL 85 1 +auto_C_ZEXT_H auto_LUI 103 1 +auto_C_ZEXT_H auto_AUIPC 91 1 +auto_C_ZEXT_H auto_JAL 42 1 +auto_C_ZEXT_H auto_JALR 13 1 +auto_C_ZEXT_H auto_BEQ 45 1 +auto_C_ZEXT_H auto_BNE 51 1 +auto_C_ZEXT_H auto_BLT 48 1 +auto_C_ZEXT_H auto_BGE 32 1 +auto_C_ZEXT_H auto_BLTU 52 1 +auto_C_ZEXT_H auto_BGEU 44 1 +auto_C_ZEXT_H auto_LB 274 1 +auto_C_ZEXT_H auto_LH 67 1 +auto_C_ZEXT_H auto_LW 35 1 +auto_C_ZEXT_H auto_LBU 243 1 +auto_C_ZEXT_H auto_LHU 88 1 +auto_C_ZEXT_H auto_SB 252 1 +auto_C_ZEXT_H auto_SH 80 1 +auto_C_ZEXT_H auto_SW 43 1 +auto_C_ZEXT_H auto_ADDI 206 1 +auto_C_ZEXT_H auto_SLTI 106 1 +auto_C_ZEXT_H auto_SLTIU 83 1 +auto_C_ZEXT_H auto_XORI 82 1 +auto_C_ZEXT_H auto_ORI 91 1 +auto_C_ZEXT_H auto_ANDI 92 1 +auto_C_ZEXT_H auto_SLLI 91 1 +auto_C_ZEXT_H auto_SRLI 83 1 +auto_C_ZEXT_H auto_SRAI 88 1 +auto_C_ZEXT_H auto_ADD 75 1 +auto_C_ZEXT_H auto_SUB 110 1 +auto_C_ZEXT_H auto_SLL 118 1 +auto_C_ZEXT_H auto_SLT 99 1 +auto_C_ZEXT_H auto_SLTU 96 1 +auto_C_ZEXT_H auto_XOR 129 1 +auto_C_ZEXT_H auto_SRL 105 1 +auto_C_ZEXT_H auto_SRA 80 1 +auto_C_ZEXT_H auto_OR 92 1 +auto_C_ZEXT_H auto_AND 87 1 +auto_C_ZEXT_H auto_FENCE 82 1 +auto_C_ZEXT_H auto_MRET 360 1 +auto_C_ZEXT_H auto_MUL 115 1 +auto_C_ZEXT_H auto_MULH 99 1 +auto_C_ZEXT_H auto_MULHSU 101 1 +auto_C_ZEXT_H auto_MULHU 104 1 +auto_C_ZEXT_H auto_DIV 86 1 +auto_C_ZEXT_H auto_DIVU 114 1 +auto_C_ZEXT_H auto_REM 86 1 +auto_C_ZEXT_H auto_REMU 99 1 +auto_C_ZEXT_H auto_C_ADDI4SPN 79 1 +auto_C_ZEXT_H auto_C_NOP 312 1 +auto_C_ZEXT_H auto_C_ADDI 93 1 +auto_C_ZEXT_H auto_C_JAL 37 1 +auto_C_ZEXT_H auto_C_LI 114 1 +auto_C_ZEXT_H auto_C_ADDI16SP 65 1 +auto_C_ZEXT_H auto_C_LUI 103 1 +auto_C_ZEXT_H auto_C_SRLI 97 1 +auto_C_ZEXT_H auto_C_SRAI 109 1 +auto_C_ZEXT_H auto_C_ANDI 76 1 +auto_C_ZEXT_H auto_C_SUB 93 1 +auto_C_ZEXT_H auto_C_XOR 87 1 +auto_C_ZEXT_H auto_C_OR 107 1 +auto_C_ZEXT_H auto_C_AND 89 1 +auto_C_ZEXT_H auto_C_J 31 1 +auto_C_ZEXT_H auto_C_BEQZ 51 1 +auto_C_ZEXT_H auto_C_BNEZ 47 1 +auto_C_ZEXT_H auto_C_SLLI 121 1 +auto_C_ZEXT_H auto_C_LWSP 10 1 +auto_C_ZEXT_H auto_C_JR 4 1 +auto_C_ZEXT_H auto_C_MV 112 1 +auto_C_ZEXT_H auto_C_JALR 4 1 +auto_C_ZEXT_H auto_C_ADD 105 1 +auto_C_ZEXT_H auto_C_SWSP 4 1 +auto_C_ZEXT_H auto_SH1ADD 101 1 +auto_C_ZEXT_H auto_SH2ADD 91 1 +auto_C_ZEXT_H auto_SH3ADD 93 1 +auto_C_ZEXT_H auto_CLZ 84 1 +auto_C_ZEXT_H auto_CTZ 100 1 +auto_C_ZEXT_H auto_CPOP 87 1 +auto_C_ZEXT_H auto_MIN 88 1 +auto_C_ZEXT_H auto_MAX 98 1 +auto_C_ZEXT_H auto_MINU 84 1 +auto_C_ZEXT_H auto_MAXU 95 1 +auto_C_ZEXT_H auto_SEXT_B 75 1 +auto_C_ZEXT_H auto_SEXT_H 103 1 +auto_C_ZEXT_H auto_ZEXT_H 109 1 +auto_C_ZEXT_H auto_ANDN 88 1 +auto_C_ZEXT_H auto_ORN 94 1 +auto_C_ZEXT_H auto_XNOR 99 1 +auto_C_ZEXT_H auto_ROR 96 1 +auto_C_ZEXT_H auto_RORI 98 1 +auto_C_ZEXT_H auto_ROL 97 1 +auto_C_ZEXT_H auto_REV8 86 1 +auto_C_ZEXT_H auto_ORC_B 110 1 +auto_C_ZEXT_H auto_CLMUL 91 1 +auto_C_ZEXT_H auto_CLMULH 94 1 +auto_C_ZEXT_H auto_CLMULR 108 1 +auto_C_ZEXT_H auto_BSET 96 1 +auto_C_ZEXT_H auto_BSETI 89 1 +auto_C_ZEXT_H auto_BCLR 78 1 +auto_C_ZEXT_H auto_BCLRI 91 1 +auto_C_ZEXT_H auto_BINV 86 1 +auto_C_ZEXT_H auto_BINVI 98 1 +auto_C_ZEXT_H auto_BEXT 83 1 +auto_C_ZEXT_H auto_BEXTI 92 1 +auto_C_ZEXT_H auto_CSRRW 55 1 +auto_C_ZEXT_H auto_CSRRS 53 1 +auto_C_ZEXT_H auto_CSRRC 56 1 +auto_C_ZEXT_H auto_CSRRWI 58 1 +auto_C_ZEXT_H auto_CSRRSI 69 1 +auto_C_ZEXT_H auto_CSRRCI 71 1 +auto_C_ZEXT_H auto_C_LBU 1 1 +auto_C_ZEXT_H auto_C_SB 1 1 +auto_C_ZEXT_H auto_C_ZEXT_B 87 1 +auto_C_ZEXT_H auto_C_SEXT_B 94 1 +auto_C_ZEXT_H auto_C_ZEXT_H 91 1 +auto_C_ZEXT_H auto_C_SEXT_H 80 1 +auto_C_ZEXT_H auto_C_NOT 82 1 +auto_C_ZEXT_H auto_C_MUL 73 1 +auto_C_SEXT_H auto_LUI 110 1 +auto_C_SEXT_H auto_AUIPC 112 1 +auto_C_SEXT_H auto_JAL 32 1 +auto_C_SEXT_H auto_JALR 12 1 +auto_C_SEXT_H auto_BEQ 54 1 +auto_C_SEXT_H auto_BNE 43 1 +auto_C_SEXT_H auto_BLT 45 1 +auto_C_SEXT_H auto_BGE 50 1 +auto_C_SEXT_H auto_BLTU 58 1 +auto_C_SEXT_H auto_BGEU 42 1 +auto_C_SEXT_H auto_LB 298 1 +auto_C_SEXT_H auto_LH 85 1 +auto_C_SEXT_H auto_LW 39 1 +auto_C_SEXT_H auto_LBU 265 1 +auto_C_SEXT_H auto_LHU 96 1 +auto_C_SEXT_H auto_SB 290 1 +auto_C_SEXT_H auto_SH 83 1 +auto_C_SEXT_H auto_SW 35 1 +auto_C_SEXT_H auto_ADDI 203 1 +auto_C_SEXT_H auto_SLTI 97 1 +auto_C_SEXT_H auto_SLTIU 109 1 +auto_C_SEXT_H auto_XORI 98 1 +auto_C_SEXT_H auto_ORI 83 1 +auto_C_SEXT_H auto_ANDI 98 1 +auto_C_SEXT_H auto_SLLI 96 1 +auto_C_SEXT_H auto_SRLI 76 1 +auto_C_SEXT_H auto_SRAI 76 1 +auto_C_SEXT_H auto_ADD 74 1 +auto_C_SEXT_H auto_SUB 97 1 +auto_C_SEXT_H auto_SLL 104 1 +auto_C_SEXT_H auto_SLT 94 1 +auto_C_SEXT_H auto_SLTU 94 1 +auto_C_SEXT_H auto_XOR 110 1 +auto_C_SEXT_H auto_SRL 87 1 +auto_C_SEXT_H auto_SRA 96 1 +auto_C_SEXT_H auto_OR 83 1 +auto_C_SEXT_H auto_AND 97 1 +auto_C_SEXT_H auto_FENCE 76 1 +auto_C_SEXT_H auto_MRET 351 1 +auto_C_SEXT_H auto_MUL 100 1 +auto_C_SEXT_H auto_MULH 70 1 +auto_C_SEXT_H auto_MULHSU 96 1 +auto_C_SEXT_H auto_MULHU 101 1 +auto_C_SEXT_H auto_DIV 93 1 +auto_C_SEXT_H auto_DIVU 95 1 +auto_C_SEXT_H auto_REM 82 1 +auto_C_SEXT_H auto_REMU 111 1 +auto_C_SEXT_H auto_C_ADDI4SPN 79 1 +auto_C_SEXT_H auto_C_NOP 290 1 +auto_C_SEXT_H auto_C_ADDI 110 1 +auto_C_SEXT_H auto_C_JAL 31 1 +auto_C_SEXT_H auto_C_LI 117 1 +auto_C_SEXT_H auto_C_ADDI16SP 72 1 +auto_C_SEXT_H auto_C_LUI 90 1 +auto_C_SEXT_H auto_C_SRLI 86 1 +auto_C_SEXT_H auto_C_SRAI 117 1 +auto_C_SEXT_H auto_C_ANDI 72 1 +auto_C_SEXT_H auto_C_SUB 96 1 +auto_C_SEXT_H auto_C_XOR 108 1 +auto_C_SEXT_H auto_C_OR 92 1 +auto_C_SEXT_H auto_C_AND 78 1 +auto_C_SEXT_H auto_C_J 29 1 +auto_C_SEXT_H auto_C_BEQZ 47 1 +auto_C_SEXT_H auto_C_BNEZ 56 1 +auto_C_SEXT_H auto_C_SLLI 120 1 +auto_C_SEXT_H auto_C_LWSP 6 1 +auto_C_SEXT_H auto_C_JR 11 1 +auto_C_SEXT_H auto_C_MV 145 1 +auto_C_SEXT_H auto_C_ADD 110 1 +auto_C_SEXT_H auto_C_SWSP 4 1 +auto_C_SEXT_H auto_SH1ADD 82 1 +auto_C_SEXT_H auto_SH2ADD 88 1 +auto_C_SEXT_H auto_SH3ADD 108 1 +auto_C_SEXT_H auto_CLZ 86 1 +auto_C_SEXT_H auto_CTZ 91 1 +auto_C_SEXT_H auto_CPOP 114 1 +auto_C_SEXT_H auto_MIN 69 1 +auto_C_SEXT_H auto_MAX 92 1 +auto_C_SEXT_H auto_MINU 75 1 +auto_C_SEXT_H auto_MAXU 92 1 +auto_C_SEXT_H auto_SEXT_B 98 1 +auto_C_SEXT_H auto_SEXT_H 90 1 +auto_C_SEXT_H auto_ZEXT_H 108 1 +auto_C_SEXT_H auto_ANDN 85 1 +auto_C_SEXT_H auto_ORN 85 1 +auto_C_SEXT_H auto_XNOR 102 1 +auto_C_SEXT_H auto_ROR 101 1 +auto_C_SEXT_H auto_RORI 91 1 +auto_C_SEXT_H auto_ROL 87 1 +auto_C_SEXT_H auto_REV8 90 1 +auto_C_SEXT_H auto_ORC_B 103 1 +auto_C_SEXT_H auto_CLMUL 109 1 +auto_C_SEXT_H auto_CLMULH 78 1 +auto_C_SEXT_H auto_CLMULR 84 1 +auto_C_SEXT_H auto_BSET 98 1 +auto_C_SEXT_H auto_BSETI 90 1 +auto_C_SEXT_H auto_BCLR 107 1 +auto_C_SEXT_H auto_BCLRI 107 1 +auto_C_SEXT_H auto_BINV 90 1 +auto_C_SEXT_H auto_BINVI 79 1 +auto_C_SEXT_H auto_BEXT 85 1 +auto_C_SEXT_H auto_BEXTI 97 1 +auto_C_SEXT_H auto_CSRRW 56 1 +auto_C_SEXT_H auto_CSRRS 51 1 +auto_C_SEXT_H auto_CSRRC 49 1 +auto_C_SEXT_H auto_CSRRWI 57 1 +auto_C_SEXT_H auto_CSRRSI 64 1 +auto_C_SEXT_H auto_CSRRCI 65 1 +auto_C_SEXT_H auto_C_LBU 2 1 +auto_C_SEXT_H auto_C_SB 5 1 +auto_C_SEXT_H auto_C_SH 2 1 +auto_C_SEXT_H auto_C_ZEXT_B 102 1 +auto_C_SEXT_H auto_C_SEXT_B 84 1 +auto_C_SEXT_H auto_C_ZEXT_H 94 1 +auto_C_SEXT_H auto_C_SEXT_H 90 1 +auto_C_SEXT_H auto_C_NOT 100 1 +auto_C_SEXT_H auto_C_MUL 86 1 +auto_C_NOT auto_LUI 89 1 +auto_C_NOT auto_AUIPC 96 1 +auto_C_NOT auto_JAL 36 1 +auto_C_NOT auto_JALR 1 1 +auto_C_NOT auto_BEQ 59 1 +auto_C_NOT auto_BNE 43 1 +auto_C_NOT auto_BLT 57 1 +auto_C_NOT auto_BGE 54 1 +auto_C_NOT auto_BLTU 59 1 +auto_C_NOT auto_BGEU 43 1 +auto_C_NOT auto_LB 270 1 +auto_C_NOT auto_LH 75 1 +auto_C_NOT auto_LW 54 1 +auto_C_NOT auto_LBU 253 1 +auto_C_NOT auto_LHU 80 1 +auto_C_NOT auto_SB 260 1 +auto_C_NOT auto_SH 80 1 +auto_C_NOT auto_SW 32 1 +auto_C_NOT auto_ADDI 194 1 +auto_C_NOT auto_SLTI 86 1 +auto_C_NOT auto_SLTIU 87 1 +auto_C_NOT auto_XORI 111 1 +auto_C_NOT auto_ORI 92 1 +auto_C_NOT auto_ANDI 99 1 +auto_C_NOT auto_SLLI 102 1 +auto_C_NOT auto_SRLI 96 1 +auto_C_NOT auto_SRAI 87 1 +auto_C_NOT auto_ADD 78 1 +auto_C_NOT auto_SUB 103 1 +auto_C_NOT auto_SLL 80 1 +auto_C_NOT auto_SLT 87 1 +auto_C_NOT auto_SLTU 101 1 +auto_C_NOT auto_XOR 82 1 +auto_C_NOT auto_SRL 98 1 +auto_C_NOT auto_SRA 88 1 +auto_C_NOT auto_OR 95 1 +auto_C_NOT auto_AND 107 1 +auto_C_NOT auto_FENCE 63 1 +auto_C_NOT auto_MRET 365 1 +auto_C_NOT auto_MUL 92 1 +auto_C_NOT auto_MULH 88 1 +auto_C_NOT auto_MULHSU 90 1 +auto_C_NOT auto_MULHU 85 1 +auto_C_NOT auto_DIV 82 1 +auto_C_NOT auto_DIVU 99 1 +auto_C_NOT auto_REM 87 1 +auto_C_NOT auto_REMU 93 1 +auto_C_NOT auto_C_ADDI4SPN 71 1 +auto_C_NOT auto_C_LW 2 1 +auto_C_NOT auto_C_NOP 348 1 +auto_C_NOT auto_C_ADDI 94 1 +auto_C_NOT auto_C_JAL 28 1 +auto_C_NOT auto_C_LI 113 1 +auto_C_NOT auto_C_ADDI16SP 67 1 +auto_C_NOT auto_C_LUI 90 1 +auto_C_NOT auto_C_SRLI 89 1 +auto_C_NOT auto_C_SRAI 100 1 +auto_C_NOT auto_C_ANDI 117 1 +auto_C_NOT auto_C_SUB 105 1 +auto_C_NOT auto_C_XOR 75 1 +auto_C_NOT auto_C_OR 90 1 +auto_C_NOT auto_C_AND 90 1 +auto_C_NOT auto_C_J 37 1 +auto_C_NOT auto_C_BEQZ 41 1 +auto_C_NOT auto_C_BNEZ 57 1 +auto_C_NOT auto_C_SLLI 121 1 +auto_C_NOT auto_C_LWSP 6 1 +auto_C_NOT auto_C_MV 117 1 +auto_C_NOT auto_C_JALR 1 1 +auto_C_NOT auto_C_ADD 131 1 +auto_C_NOT auto_C_SWSP 5 1 +auto_C_NOT auto_SH1ADD 77 1 +auto_C_NOT auto_SH2ADD 96 1 +auto_C_NOT auto_SH3ADD 84 1 +auto_C_NOT auto_CLZ 92 1 +auto_C_NOT auto_CTZ 102 1 +auto_C_NOT auto_CPOP 88 1 +auto_C_NOT auto_MIN 96 1 +auto_C_NOT auto_MAX 87 1 +auto_C_NOT auto_MINU 108 1 +auto_C_NOT auto_MAXU 105 1 +auto_C_NOT auto_SEXT_B 82 1 +auto_C_NOT auto_SEXT_H 96 1 +auto_C_NOT auto_ZEXT_H 88 1 +auto_C_NOT auto_ANDN 93 1 +auto_C_NOT auto_ORN 89 1 +auto_C_NOT auto_XNOR 83 1 +auto_C_NOT auto_ROR 83 1 +auto_C_NOT auto_RORI 90 1 +auto_C_NOT auto_ROL 87 1 +auto_C_NOT auto_REV8 79 1 +auto_C_NOT auto_ORC_B 95 1 +auto_C_NOT auto_CLMUL 82 1 +auto_C_NOT auto_CLMULH 90 1 +auto_C_NOT auto_CLMULR 90 1 +auto_C_NOT auto_BSET 82 1 +auto_C_NOT auto_BSETI 100 1 +auto_C_NOT auto_BCLR 99 1 +auto_C_NOT auto_BCLRI 76 1 +auto_C_NOT auto_BINV 90 1 +auto_C_NOT auto_BINVI 89 1 +auto_C_NOT auto_BEXT 78 1 +auto_C_NOT auto_BEXTI 95 1 +auto_C_NOT auto_CSRRW 52 1 +auto_C_NOT auto_CSRRS 58 1 +auto_C_NOT auto_CSRRC 60 1 +auto_C_NOT auto_CSRRWI 60 1 +auto_C_NOT auto_CSRRSI 60 1 +auto_C_NOT auto_CSRRCI 61 1 +auto_C_NOT auto_C_LBU 1 1 +auto_C_NOT auto_C_LH 1 1 +auto_C_NOT auto_C_SB 3 1 +auto_C_NOT auto_C_ZEXT_B 102 1 +auto_C_NOT auto_C_SEXT_B 99 1 +auto_C_NOT auto_C_ZEXT_H 95 1 +auto_C_NOT auto_C_SEXT_H 96 1 +auto_C_NOT auto_C_NOT 95 1 +auto_C_NOT auto_C_MUL 82 1 +auto_C_MUL auto_LUI 82 1 +auto_C_MUL auto_AUIPC 91 1 +auto_C_MUL auto_JAL 37 1 +auto_C_MUL auto_JALR 16 1 +auto_C_MUL auto_BEQ 34 1 +auto_C_MUL auto_BNE 40 1 +auto_C_MUL auto_BLT 46 1 +auto_C_MUL auto_BGE 52 1 +auto_C_MUL auto_BLTU 43 1 +auto_C_MUL auto_BGEU 51 1 +auto_C_MUL auto_LB 252 1 +auto_C_MUL auto_LH 96 1 +auto_C_MUL auto_LW 45 1 +auto_C_MUL auto_LBU 270 1 +auto_C_MUL auto_LHU 89 1 +auto_C_MUL auto_SB 282 1 +auto_C_MUL auto_SH 83 1 +auto_C_MUL auto_SW 58 1 +auto_C_MUL auto_ADDI 187 1 +auto_C_MUL auto_SLTI 80 1 +auto_C_MUL auto_SLTIU 101 1 +auto_C_MUL auto_XORI 92 1 +auto_C_MUL auto_ORI 96 1 +auto_C_MUL auto_ANDI 106 1 +auto_C_MUL auto_SLLI 98 1 +auto_C_MUL auto_SRLI 105 1 +auto_C_MUL auto_SRAI 102 1 +auto_C_MUL auto_ADD 70 1 +auto_C_MUL auto_SUB 108 1 +auto_C_MUL auto_SLL 103 1 +auto_C_MUL auto_SLT 93 1 +auto_C_MUL auto_SLTU 107 1 +auto_C_MUL auto_XOR 109 1 +auto_C_MUL auto_SRL 86 1 +auto_C_MUL auto_SRA 112 1 +auto_C_MUL auto_OR 102 1 +auto_C_MUL auto_AND 113 1 +auto_C_MUL auto_FENCE 61 1 +auto_C_MUL auto_ECALL 1 1 +auto_C_MUL auto_MRET 353 1 +auto_C_MUL auto_MUL 94 1 +auto_C_MUL auto_MULH 98 1 +auto_C_MUL auto_MULHSU 106 1 +auto_C_MUL auto_MULHU 116 1 +auto_C_MUL auto_DIV 101 1 +auto_C_MUL auto_DIVU 97 1 +auto_C_MUL auto_REM 105 1 +auto_C_MUL auto_REMU 107 1 +auto_C_MUL auto_C_ADDI4SPN 72 1 +auto_C_MUL auto_C_SW 2 1 +auto_C_MUL auto_C_NOP 376 1 +auto_C_MUL auto_C_ADDI 107 1 +auto_C_MUL auto_C_JAL 33 1 +auto_C_MUL auto_C_LI 104 1 +auto_C_MUL auto_C_ADDI16SP 64 1 +auto_C_MUL auto_C_LUI 110 1 +auto_C_MUL auto_C_SRLI 96 1 +auto_C_MUL auto_C_SRAI 124 1 +auto_C_MUL auto_C_ANDI 87 1 +auto_C_MUL auto_C_SUB 90 1 +auto_C_MUL auto_C_XOR 92 1 +auto_C_MUL auto_C_OR 81 1 +auto_C_MUL auto_C_AND 100 1 +auto_C_MUL auto_C_J 31 1 +auto_C_MUL auto_C_BEQZ 57 1 +auto_C_MUL auto_C_BNEZ 49 1 +auto_C_MUL auto_C_SLLI 90 1 +auto_C_MUL auto_C_LWSP 4 1 +auto_C_MUL auto_C_MV 122 1 +auto_C_MUL auto_C_JALR 5 1 +auto_C_MUL auto_C_ADD 79 1 +auto_C_MUL auto_C_SWSP 6 1 +auto_C_MUL auto_SH1ADD 91 1 +auto_C_MUL auto_SH2ADD 107 1 +auto_C_MUL auto_SH3ADD 87 1 +auto_C_MUL auto_CLZ 93 1 +auto_C_MUL auto_CTZ 103 1 +auto_C_MUL auto_CPOP 103 1 +auto_C_MUL auto_MIN 96 1 +auto_C_MUL auto_MAX 84 1 +auto_C_MUL auto_MINU 84 1 +auto_C_MUL auto_MAXU 99 1 +auto_C_MUL auto_SEXT_B 119 1 +auto_C_MUL auto_SEXT_H 110 1 +auto_C_MUL auto_ZEXT_H 80 1 +auto_C_MUL auto_ANDN 111 1 +auto_C_MUL auto_ORN 108 1 +auto_C_MUL auto_XNOR 111 1 +auto_C_MUL auto_ROR 87 1 +auto_C_MUL auto_RORI 88 1 +auto_C_MUL auto_ROL 97 1 +auto_C_MUL auto_REV8 100 1 +auto_C_MUL auto_ORC_B 99 1 +auto_C_MUL auto_CLMUL 96 1 +auto_C_MUL auto_CLMULH 101 1 +auto_C_MUL auto_CLMULR 102 1 +auto_C_MUL auto_BSET 106 1 +auto_C_MUL auto_BSETI 98 1 +auto_C_MUL auto_BCLR 112 1 +auto_C_MUL auto_BCLRI 96 1 +auto_C_MUL auto_BINV 80 1 +auto_C_MUL auto_BINVI 90 1 +auto_C_MUL auto_BEXT 95 1 +auto_C_MUL auto_BEXTI 91 1 +auto_C_MUL auto_CSRRW 57 1 +auto_C_MUL auto_CSRRS 49 1 +auto_C_MUL auto_CSRRC 52 1 +auto_C_MUL auto_CSRRWI 63 1 +auto_C_MUL auto_CSRRSI 58 1 +auto_C_MUL auto_CSRRCI 37 1 +auto_C_MUL auto_C_LBU 4 1 +auto_C_MUL auto_C_LH 1 1 +auto_C_MUL auto_C_SB 3 1 +auto_C_MUL auto_C_SH 1 1 +auto_C_MUL auto_C_ZEXT_B 105 1 +auto_C_MUL auto_C_SEXT_B 90 1 +auto_C_MUL auto_C_ZEXT_H 90 1 +auto_C_MUL auto_C_SEXT_H 104 1 +auto_C_MUL auto_C_NOT 81 1 +auto_C_MUL auto_C_MUL 84 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_group_x2 + + +Samples crossed: cp_group cp_group_pipe_x2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 169 2 167 98.82 2 + + +Automatically Generated Cross Bins for cross_seq_group_x2 + + +Uncovered bins + +cp_group cp_group_pipe_x2 COUNT AT LEAST NUMBER +[auto_RET_GROUP] [auto_ENV_GROUP] 0 1 1 +[auto_ENV_GROUP] [auto_ENV_GROUP] 0 1 1 + + +Excluded/Illegal bins + +cp_group cp_group_pipe_x2 COUNT STATUS +[auto_UNKNOWN_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (20 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_UNKNOWN_GROUP] -- Illegal (2 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] -- Illegal (4 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_FENCE_I_GROUP] -- Illegal (2 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (6 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (40 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_UNKNOWN_GROUP] -- Illegal (4 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] -- Illegal (8 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_FENCE_I_GROUP] -- Illegal (4 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (12 bins) +[auto_FENCE_I_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (20 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_UNKNOWN_GROUP] -- Illegal (7 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] -- Illegal (14 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_FENCE_I_GROUP] -- Illegal (7 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (21 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] -- Illegal (60 bins) + + +Covered bins + +cp_group cp_group_pipe_x2 COUNT AT LEAST +auto_LOAD_GROUP auto_LOAD_GROUP 635092 1 +auto_LOAD_GROUP auto_STORE_GROUP 54349 1 +auto_LOAD_GROUP auto_ALU_GROUP 611292 1 +auto_LOAD_GROUP auto_BRANCH_GROUP 17 1 +auto_LOAD_GROUP auto_JUMP_GROUP 1157 1 +auto_LOAD_GROUP auto_FENCE_GROUP 968 1 +auto_LOAD_GROUP auto_RET_GROUP 5907 1 +auto_LOAD_GROUP auto_WFI_GROUP 68 1 +auto_LOAD_GROUP auto_CSR_GROUP 65698 1 +auto_LOAD_GROUP auto_ENV_GROUP 1 1 +auto_LOAD_GROUP auto_MUL_GROUP 1852 1 +auto_LOAD_GROUP auto_MULTI_MUL_GROUP 3155 1 +auto_LOAD_GROUP auto_DIV_GROUP 4120 1 +auto_STORE_GROUP auto_LOAD_GROUP 54266 1 +auto_STORE_GROUP auto_STORE_GROUP 559808 1 +auto_STORE_GROUP auto_ALU_GROUP 781830 1 +auto_STORE_GROUP auto_BRANCH_GROUP 1 1 +auto_STORE_GROUP auto_JUMP_GROUP 2 1 +auto_STORE_GROUP auto_FENCE_GROUP 517 1 +auto_STORE_GROUP auto_RET_GROUP 3084 1 +auto_STORE_GROUP auto_WFI_GROUP 35 1 +auto_STORE_GROUP auto_CSR_GROUP 3083 1 +auto_STORE_GROUP auto_ENV_GROUP 1 1 +auto_STORE_GROUP auto_MUL_GROUP 924 1 +auto_STORE_GROUP auto_MULTI_MUL_GROUP 1634 1 +auto_STORE_GROUP auto_DIV_GROUP 2192 1 +auto_ALU_GROUP auto_LOAD_GROUP 675822 1 +auto_ALU_GROUP auto_STORE_GROUP 780556 1 +auto_ALU_GROUP auto_ALU_GROUP 2784556 1 +auto_ALU_GROUP auto_BRANCH_GROUP 96414 1 +auto_ALU_GROUP auto_JUMP_GROUP 16451 1 +auto_ALU_GROUP auto_FENCE_GROUP 7540 1 +auto_ALU_GROUP auto_RET_GROUP 251489 1 +auto_ALU_GROUP auto_WFI_GROUP 2331 1 +auto_ALU_GROUP auto_CSR_GROUP 794275 1 +auto_ALU_GROUP auto_ENV_GROUP 11022 1 +auto_ALU_GROUP auto_MUL_GROUP 23325 1 +auto_ALU_GROUP auto_MULTI_MUL_GROUP 46129 1 +auto_ALU_GROUP auto_DIV_GROUP 61789 1 +auto_BRANCH_GROUP auto_LOAD_GROUP 131 1 +auto_BRANCH_GROUP auto_STORE_GROUP 48 1 +auto_BRANCH_GROUP auto_ALU_GROUP 568996 1 +auto_BRANCH_GROUP auto_BRANCH_GROUP 77717 1 +auto_BRANCH_GROUP auto_JUMP_GROUP 259 1 +auto_BRANCH_GROUP auto_FENCE_GROUP 393 1 +auto_BRANCH_GROUP auto_RET_GROUP 1370 1 +auto_BRANCH_GROUP auto_WFI_GROUP 41 1 +auto_BRANCH_GROUP auto_CSR_GROUP 2660 1 +auto_BRANCH_GROUP auto_ENV_GROUP 1 1 +auto_BRANCH_GROUP auto_MUL_GROUP 875 1 +auto_BRANCH_GROUP auto_MULTI_MUL_GROUP 1596 1 +auto_BRANCH_GROUP auto_DIV_GROUP 2222 1 +auto_JUMP_GROUP auto_LOAD_GROUP 1810 1 +auto_JUMP_GROUP auto_STORE_GROUP 3813 1 +auto_JUMP_GROUP auto_ALU_GROUP 11337 1 +auto_JUMP_GROUP auto_BRANCH_GROUP 3228 1 +auto_JUMP_GROUP auto_JUMP_GROUP 229292 1 +auto_JUMP_GROUP auto_FENCE_GROUP 112 1 +auto_JUMP_GROUP auto_RET_GROUP 415 1 +auto_JUMP_GROUP auto_WFI_GROUP 7 1 +auto_JUMP_GROUP auto_CSR_GROUP 849 1 +auto_JUMP_GROUP auto_ENV_GROUP 1 1 +auto_JUMP_GROUP auto_MUL_GROUP 250 1 +auto_JUMP_GROUP auto_MULTI_MUL_GROUP 442 1 +auto_JUMP_GROUP auto_DIV_GROUP 620 1 +auto_FENCE_GROUP auto_LOAD_GROUP 1014 1 +auto_FENCE_GROUP auto_STORE_GROUP 494 1 +auto_FENCE_GROUP auto_ALU_GROUP 6830 1 +auto_FENCE_GROUP auto_BRANCH_GROUP 481 1 +auto_FENCE_GROUP auto_JUMP_GROUP 130 1 +auto_FENCE_GROUP auto_FENCE_GROUP 101 1 +auto_FENCE_GROUP auto_RET_GROUP 545 1 +auto_FENCE_GROUP auto_WFI_GROUP 44 1 +auto_FENCE_GROUP auto_CSR_GROUP 576 1 +auto_FENCE_GROUP auto_ENV_GROUP 1 1 +auto_FENCE_GROUP auto_MUL_GROUP 172 1 +auto_FENCE_GROUP auto_MULTI_MUL_GROUP 354 1 +auto_FENCE_GROUP auto_DIV_GROUP 467 1 +auto_RET_GROUP auto_LOAD_GROUP 1 1 +auto_RET_GROUP auto_STORE_GROUP 1 1 +auto_RET_GROUP auto_ALU_GROUP 265206 1 +auto_RET_GROUP auto_BRANCH_GROUP 1 1 +auto_RET_GROUP auto_JUMP_GROUP 1 1 +auto_RET_GROUP auto_FENCE_GROUP 1 1 +auto_RET_GROUP auto_RET_GROUP 1 1 +auto_RET_GROUP auto_WFI_GROUP 1 1 +auto_RET_GROUP auto_CSR_GROUP 14679 1 +auto_RET_GROUP auto_MUL_GROUP 1 1 +auto_RET_GROUP auto_MULTI_MUL_GROUP 1 1 +auto_RET_GROUP auto_DIV_GROUP 1 1 +auto_WFI_GROUP auto_LOAD_GROUP 57 1 +auto_WFI_GROUP auto_STORE_GROUP 74 1 +auto_WFI_GROUP auto_ALU_GROUP 1653 1 +auto_WFI_GROUP auto_BRANCH_GROUP 177 1 +auto_WFI_GROUP auto_JUMP_GROUP 14 1 +auto_WFI_GROUP auto_FENCE_GROUP 26 1 +auto_WFI_GROUP auto_RET_GROUP 474 1 +auto_WFI_GROUP auto_WFI_GROUP 55 1 +auto_WFI_GROUP auto_CSR_GROUP 183 1 +auto_WFI_GROUP auto_ENV_GROUP 1 1 +auto_WFI_GROUP auto_MUL_GROUP 60 1 +auto_WFI_GROUP auto_MULTI_MUL_GROUP 258 1 +auto_WFI_GROUP auto_DIV_GROUP 260 1 +auto_CSR_GROUP auto_LOAD_GROUP 5648 1 +auto_CSR_GROUP auto_STORE_GROUP 2897 1 +auto_CSR_GROUP auto_ALU_GROUP 387524 1 +auto_CSR_GROUP auto_BRANCH_GROUP 473050 1 +auto_CSR_GROUP auto_JUMP_GROUP 1003 1 +auto_CSR_GROUP auto_FENCE_GROUP 624 1 +auto_CSR_GROUP auto_RET_GROUP 9363 1 +auto_CSR_GROUP auto_WFI_GROUP 183 1 +auto_CSR_GROUP auto_CSR_GROUP 685633 1 +auto_CSR_GROUP auto_ENV_GROUP 183 1 +auto_CSR_GROUP auto_MUL_GROUP 959 1 +auto_CSR_GROUP auto_MULTI_MUL_GROUP 1423 1 +auto_CSR_GROUP auto_DIV_GROUP 1847 1 +auto_ENV_GROUP auto_LOAD_GROUP 1164 1 +auto_ENV_GROUP auto_STORE_GROUP 605 1 +auto_ENV_GROUP auto_ALU_GROUP 6911 1 +auto_ENV_GROUP auto_BRANCH_GROUP 500 1 +auto_ENV_GROUP auto_JUMP_GROUP 101 1 +auto_ENV_GROUP auto_FENCE_GROUP 76 1 +auto_ENV_GROUP auto_RET_GROUP 335 1 +auto_ENV_GROUP auto_WFI_GROUP 12 1 +auto_ENV_GROUP auto_CSR_GROUP 694 1 +auto_ENV_GROUP auto_MUL_GROUP 192 1 +auto_ENV_GROUP auto_MULTI_MUL_GROUP 270 1 +auto_ENV_GROUP auto_DIV_GROUP 354 1 +auto_MUL_GROUP auto_LOAD_GROUP 1726 1 +auto_MUL_GROUP auto_STORE_GROUP 1067 1 +auto_MUL_GROUP auto_ALU_GROUP 22277 1 +auto_MUL_GROUP auto_BRANCH_GROUP 914 1 +auto_MUL_GROUP auto_JUMP_GROUP 267 1 +auto_MUL_GROUP auto_FENCE_GROUP 185 1 +auto_MUL_GROUP auto_RET_GROUP 1218 1 +auto_MUL_GROUP auto_WFI_GROUP 48 1 +auto_MUL_GROUP auto_CSR_GROUP 974 1 +auto_MUL_GROUP auto_ENV_GROUP 1 1 +auto_MUL_GROUP auto_MUL_GROUP 551 1 +auto_MUL_GROUP auto_MULTI_MUL_GROUP 1046 1 +auto_MUL_GROUP auto_DIV_GROUP 1637 1 +auto_MULTI_MUL_GROUP auto_LOAD_GROUP 2901 1 +auto_MULTI_MUL_GROUP auto_STORE_GROUP 1578 1 +auto_MULTI_MUL_GROUP auto_ALU_GROUP 44188 1 +auto_MULTI_MUL_GROUP auto_BRANCH_GROUP 1701 1 +auto_MULTI_MUL_GROUP auto_JUMP_GROUP 436 1 +auto_MULTI_MUL_GROUP auto_FENCE_GROUP 285 1 +auto_MULTI_MUL_GROUP auto_RET_GROUP 2396 1 +auto_MULTI_MUL_GROUP auto_WFI_GROUP 170 1 +auto_MULTI_MUL_GROUP auto_CSR_GROUP 1516 1 +auto_MULTI_MUL_GROUP auto_ENV_GROUP 1 1 +auto_MULTI_MUL_GROUP auto_MUL_GROUP 1136 1 +auto_MULTI_MUL_GROUP auto_MULTI_MUL_GROUP 2201 1 +auto_MULTI_MUL_GROUP auto_DIV_GROUP 3280 1 +auto_DIV_GROUP auto_LOAD_GROUP 4044 1 +auto_DIV_GROUP auto_STORE_GROUP 2086 1 +auto_DIV_GROUP auto_ALU_GROUP 59094 1 +auto_DIV_GROUP auto_BRANCH_GROUP 2108 1 +auto_DIV_GROUP auto_JUMP_GROUP 729 1 +auto_DIV_GROUP auto_FENCE_GROUP 381 1 +auto_DIV_GROUP auto_RET_GROUP 3298 1 +auto_DIV_GROUP auto_WFI_GROUP 297 1 +auto_DIV_GROUP auto_CSR_GROUP 1857 1 +auto_DIV_GROUP auto_ENV_GROUP 1 1 +auto_DIV_GROUP auto_MUL_GROUP 1614 1 +auto_DIV_GROUP auto_MULTI_MUL_GROUP 3280 1 +auto_DIV_GROUP auto_DIV_GROUP 4203 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_group_x3 + + +Samples crossed: cp_group cp_group_pipe_x2 cp_group_pipe_x3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_group_x4 + + +Samples crossed: cp_group cp_group_pipe_x2 cp_group_pipe_x3 cp_group_pipe_x4 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_gpr_raw_hazard + + +Samples crossed: cp_group cp_group_pipe_x2 cp_gpr_raw_hazard +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 63 0 63 100.00 +Automatically Generated Cross Bins 63 0 63 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_seq_gpr_raw_hazard + + +Excluded/Illegal bins + +cp_group cp_group_pipe_x2 cp_gpr_raw_hazard COUNT STATUS +[auto_UNKNOWN_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (40 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_UNKNOWN_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (4 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (8 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_FENCE_I_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (4 bins) +[auto_LOAD_GROUP , auto_STORE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (12 bins) +[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (80 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_UNKNOWN_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (8 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (16 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_FENCE_I_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (8 bins) +[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (24 bins) +[auto_FENCE_I_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (40 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_UNKNOWN_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (14 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (28 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_FENCE_I_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (14 bins) +[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (42 bins) +[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [RAW_HAZARD , NO_RAW_HAZARD] -- Illegal (120 bins) + + +Covered bins + +cp_group cp_group_pipe_x2 cp_gpr_raw_hazard COUNT AT LEAST +auto_LOAD_GROUP auto_LOAD_GROUP RAW_HAZARD 12494 1 +auto_LOAD_GROUP auto_ALU_GROUP RAW_HAZARD 494582 1 +auto_LOAD_GROUP auto_JUMP_GROUP RAW_HAZARD 18 1 +auto_LOAD_GROUP auto_CSR_GROUP RAW_HAZARD 55728 1 +auto_LOAD_GROUP auto_MUL_GROUP RAW_HAZARD 2 1 +auto_LOAD_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 1 1 +auto_LOAD_GROUP auto_DIV_GROUP RAW_HAZARD 2 1 +auto_STORE_GROUP auto_LOAD_GROUP RAW_HAZARD 1796 1 +auto_STORE_GROUP auto_ALU_GROUP RAW_HAZARD 699223 1 +auto_STORE_GROUP auto_JUMP_GROUP RAW_HAZARD 1 1 +auto_STORE_GROUP auto_CSR_GROUP RAW_HAZARD 85 1 +auto_STORE_GROUP auto_MUL_GROUP RAW_HAZARD 16 1 +auto_STORE_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 58 1 +auto_STORE_GROUP auto_DIV_GROUP RAW_HAZARD 68 1 +auto_ALU_GROUP auto_LOAD_GROUP RAW_HAZARD 17156 1 +auto_ALU_GROUP auto_ALU_GROUP RAW_HAZARD 1455330 1 +auto_ALU_GROUP auto_JUMP_GROUP RAW_HAZARD 307 1 +auto_ALU_GROUP auto_CSR_GROUP RAW_HAZARD 418663 1 +auto_ALU_GROUP auto_MUL_GROUP RAW_HAZARD 2475 1 +auto_ALU_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 7229 1 +auto_ALU_GROUP auto_DIV_GROUP RAW_HAZARD 9832 1 +auto_BRANCH_GROUP auto_LOAD_GROUP RAW_HAZARD 8 1 +auto_BRANCH_GROUP auto_ALU_GROUP RAW_HAZARD 260942 1 +auto_BRANCH_GROUP auto_JUMP_GROUP RAW_HAZARD 6 1 +auto_BRANCH_GROUP auto_CSR_GROUP RAW_HAZARD 122 1 +auto_BRANCH_GROUP auto_MUL_GROUP RAW_HAZARD 48 1 +auto_BRANCH_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 60 1 +auto_BRANCH_GROUP auto_DIV_GROUP RAW_HAZARD 150 1 +auto_JUMP_GROUP auto_LOAD_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_ALU_GROUP RAW_HAZARD 1472 1 +auto_JUMP_GROUP auto_JUMP_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_CSR_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_MUL_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 1 1 +auto_JUMP_GROUP auto_DIV_GROUP RAW_HAZARD 1 1 +auto_CSR_GROUP auto_LOAD_GROUP RAW_HAZARD 31 1 +auto_CSR_GROUP auto_ALU_GROUP RAW_HAZARD 87451 1 +auto_CSR_GROUP auto_JUMP_GROUP RAW_HAZARD 2 1 +auto_CSR_GROUP auto_CSR_GROUP RAW_HAZARD 19 1 +auto_CSR_GROUP auto_MUL_GROUP RAW_HAZARD 4 1 +auto_CSR_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 8 1 +auto_CSR_GROUP auto_DIV_GROUP RAW_HAZARD 12 1 +auto_MUL_GROUP auto_LOAD_GROUP RAW_HAZARD 120 1 +auto_MUL_GROUP auto_ALU_GROUP RAW_HAZARD 3597 1 +auto_MUL_GROUP auto_JUMP_GROUP RAW_HAZARD 9 1 +auto_MUL_GROUP auto_CSR_GROUP RAW_HAZARD 60 1 +auto_MUL_GROUP auto_MUL_GROUP RAW_HAZARD 64 1 +auto_MUL_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 202 1 +auto_MUL_GROUP auto_DIV_GROUP RAW_HAZARD 288 1 +auto_MULTI_MUL_GROUP auto_LOAD_GROUP RAW_HAZARD 173 1 +auto_MULTI_MUL_GROUP auto_ALU_GROUP RAW_HAZARD 9545 1 +auto_MULTI_MUL_GROUP auto_JUMP_GROUP RAW_HAZARD 16 1 +auto_MULTI_MUL_GROUP auto_CSR_GROUP RAW_HAZARD 81 1 +auto_MULTI_MUL_GROUP auto_MUL_GROUP RAW_HAZARD 175 1 +auto_MULTI_MUL_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 545 1 +auto_MULTI_MUL_GROUP auto_DIV_GROUP RAW_HAZARD 711 1 +auto_DIV_GROUP auto_LOAD_GROUP RAW_HAZARD 240 1 +auto_DIV_GROUP auto_ALU_GROUP RAW_HAZARD 12563 1 +auto_DIV_GROUP auto_JUMP_GROUP RAW_HAZARD 22 1 +auto_DIV_GROUP auto_CSR_GROUP RAW_HAZARD 95 1 +auto_DIV_GROUP auto_MUL_GROUP RAW_HAZARD 287 1 +auto_DIV_GROUP auto_MULTI_MUL_GROUP RAW_HAZARD 716 1 +auto_DIV_GROUP auto_DIV_GROUP RAW_HAZARD 935 1 + + +User Defined Cross Bins for cross_seq_gpr_raw_hazard + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_HAZ 0 Excluded +IGN_GROUP 0 Excluded +IGN_PREV_GROUP 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_csr_hazard_x2 + + +Samples crossed: cp_csr cp_group cp_csr_hazard +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 2366 0 2366 100.00 +Automatically Generated Cross Bins 2366 0 2366 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_seq_csr_hazard_x2 + + +Excluded/Illegal bins + +cp_csr cp_group cp_csr_hazard COUNT STATUS +[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_LOAD_GROUP , auto_STORE_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Excluded (20 bins) +[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Excluded (40 bins) +[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Excluded (70 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_UNKNOWN_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (374 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (748 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_FENCE_I_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (374 bins) +[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR] [auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP] [CSR_HAZARD , NO_CSR_HAZARD] -- Illegal (1122 bins) + + +Covered bins + +cp_csr cp_group cp_csr_hazard COUNT AT LEAST +RW_CSR_MSTATUS auto_LOAD_GROUP CSR_HAZARD 20 1 +RW_CSR_MSTATUS auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MSTATUS auto_ALU_GROUP CSR_HAZARD 2668 1 +RW_CSR_MSTATUS auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MSTATUS auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUS auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUS auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUS auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUS auto_CSR_GROUP CSR_HAZARD 309 1 +RW_CSR_MSTATUS auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUS auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MSTATUS auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MSTATUS auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MISA auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MISA auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MISA auto_ALU_GROUP CSR_HAZARD 2455 1 +RW_CSR_MISA auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MISA auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MISA auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MISA auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MISA auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MISA auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_MISA auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MISA auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MISA auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MISA auto_DIV_GROUP CSR_HAZARD 11 1 +RW_CSR_MIE auto_LOAD_GROUP CSR_HAZARD 19 1 +RW_CSR_MIE auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MIE auto_ALU_GROUP CSR_HAZARD 119 1 +RW_CSR_MIE auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MIE auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MIE auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MIE auto_RET_GROUP CSR_HAZARD 2341 1 +RW_CSR_MIE auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MIE auto_CSR_GROUP CSR_HAZARD 78 1 +RW_CSR_MIE auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MIE auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MIE auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MIE auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MTVEC auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MTVEC auto_ALU_GROUP CSR_HAZARD 2386 1 +RW_CSR_MTVEC auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_RET_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_WFI_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MTVEC auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MTVEC auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MTVEC auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MTVEC auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MSTATUSH auto_LOAD_GROUP CSR_HAZARD 17 1 +RW_CSR_MSTATUSH auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MSTATUSH auto_ALU_GROUP CSR_HAZARD 106 1 +RW_CSR_MSTATUSH auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MSTATUSH auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MSTATUSH auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MSTATUSH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUSH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MSTATUSH auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_MSTATUSH auto_ENV_GROUP CSR_HAZARD 8 1 +RW_CSR_MSTATUSH auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MSTATUSH auto_MULTI_MUL_GROUP CSR_HAZARD 10 1 +RW_CSR_MSTATUSH auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MCOUNTINHIBIT auto_LOAD_GROUP CSR_HAZARD 24 1 +RW_CSR_MCOUNTINHIBIT auto_STORE_GROUP CSR_HAZARD 11 1 +RW_CSR_MCOUNTINHIBIT auto_ALU_GROUP CSR_HAZARD 115 1 +RW_CSR_MCOUNTINHIBIT auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_MCOUNTINHIBIT auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MCOUNTINHIBIT auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MCOUNTINHIBIT auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCOUNTINHIBIT auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCOUNTINHIBIT auto_CSR_GROUP CSR_HAZARD 17 1 +RW_CSR_MCOUNTINHIBIT auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MCOUNTINHIBIT auto_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MCOUNTINHIBIT auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCOUNTINHIBIT auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT3 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT3 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_MHPMEVENT3 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT3 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT3 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT3 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT3 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT3 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT3 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT4 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMEVENT4 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT4 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_MHPMEVENT4 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT4 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT4 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT4 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMEVENT4 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT4 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT4 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT4 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT5 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMEVENT5 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT5 auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMEVENT5 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT5 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT5 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT5 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT5 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT5 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT5 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT5 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT6 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT6 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT6 auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMEVENT6 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT6 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT6 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT6 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT6 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT7 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMEVENT7 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT7 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT7 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT7 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT7 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT7 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT7 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT7 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT7 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT7 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT8 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT8 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMEVENT8 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT8 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT8 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT8 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT8 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT8 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT8 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT9 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT9 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT9 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT9 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT9 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT9 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT9 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT9 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT9 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT9 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT9 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT10 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT10 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT10 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT10 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT10 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT10 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMEVENT10 auto_ENV_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT10 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT10 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT10 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT11 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMEVENT11 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT11 auto_ALU_GROUP CSR_HAZARD 72 1 +RW_CSR_MHPMEVENT11 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT11 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT11 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT11 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMEVENT11 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT11 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT11 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT11 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT12 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT12 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT12 auto_ALU_GROUP CSR_HAZARD 72 1 +RW_CSR_MHPMEVENT12 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT12 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT12 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT12 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT12 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT13 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT13 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT13 auto_ALU_GROUP CSR_HAZARD 75 1 +RW_CSR_MHPMEVENT13 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT13 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT13 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT13 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT13 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT13 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT13 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT14 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT14 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_MHPMEVENT14 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT14 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT14 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMEVENT14 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT14 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT15 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_MHPMEVENT15 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT15 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMEVENT15 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT15 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT15 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT15 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT15 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT15 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT16 auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMEVENT16 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT16 auto_ALU_GROUP CSR_HAZARD 62 1 +RW_CSR_MHPMEVENT16 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT16 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT16 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT16 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT16 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT16 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT16 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT17 auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMEVENT17 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT17 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMEVENT17 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT17 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT17 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT17 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT17 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT17 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMEVENT17 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT17 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT17 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT17 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT18 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT18 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT18 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMEVENT18 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT18 auto_JUMP_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT18 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT18 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT18 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT18 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMEVENT18 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT18 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT18 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT18 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT19 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT19 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT19 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMEVENT19 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT19 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT19 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT19 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT19 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT19 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMEVENT19 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT19 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT19 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT19 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT20 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT20 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT20 auto_ALU_GROUP CSR_HAZARD 60 1 +RW_CSR_MHPMEVENT20 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT20 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT20 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMEVENT20 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT20 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT20 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT20 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT21 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMEVENT21 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT21 auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMEVENT21 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT21 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT21 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT21 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT21 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT21 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT21 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT21 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT21 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT21 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT22 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT22 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT22 auto_ALU_GROUP CSR_HAZARD 83 1 +RW_CSR_MHPMEVENT22 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMEVENT22 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT22 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT22 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT22 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT22 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT23 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT23 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT23 auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMEVENT23 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT23 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT23 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT23 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT23 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT23 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT23 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT23 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT23 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT23 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT24 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT24 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT24 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMEVENT24 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT24 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT24 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT24 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT24 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT24 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT24 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT24 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT24 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT24 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT25 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT25 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT25 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT25 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT25 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT25 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMEVENT25 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT25 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT25 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT25 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT26 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT26 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT26 auto_ALU_GROUP CSR_HAZARD 75 1 +RW_CSR_MHPMEVENT26 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT26 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT26 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT26 auto_ENV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT26 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT26 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT26 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT27 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT27 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT27 auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_MHPMEVENT27 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT27 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT27 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT27 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT27 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT27 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT27 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT27 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT27 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT27 auto_DIV_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT28 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMEVENT28 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT28 auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMEVENT28 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT28 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT28 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT28 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT28 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT28 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT28 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMEVENT29 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMEVENT29 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_MHPMEVENT29 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT29 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT29 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT29 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMEVENT29 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT29 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT29 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT30 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT30 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT30 auto_ALU_GROUP CSR_HAZARD 63 1 +RW_CSR_MHPMEVENT30 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMEVENT30 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT30 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT30 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT30 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT30 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMEVENT30 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT30 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT30 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT30 auto_DIV_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMEVENT31 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMEVENT31 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMEVENT31 auto_ALU_GROUP CSR_HAZARD 97 1 +RW_CSR_MHPMEVENT31 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMEVENT31 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT31 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT31 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT31 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMEVENT31 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMEVENT31 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMEVENT31 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMEVENT31 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMEVENT31 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MSCRATCH auto_LOAD_GROUP CSR_HAZARD 2579 1 +RW_CSR_MSCRATCH auto_STORE_GROUP CSR_HAZARD 1374 1 +RW_CSR_MSCRATCH auto_ALU_GROUP CSR_HAZARD 11963 1 +RW_CSR_MSCRATCH auto_BRANCH_GROUP CSR_HAZARD 846 1 +RW_CSR_MSCRATCH auto_JUMP_GROUP CSR_HAZARD 445 1 +RW_CSR_MSCRATCH auto_FENCE_GROUP CSR_HAZARD 154 1 +RW_CSR_MSCRATCH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MSCRATCH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MSCRATCH auto_CSR_GROUP CSR_HAZARD 1104 1 +RW_CSR_MSCRATCH auto_ENV_GROUP CSR_HAZARD 22 1 +RW_CSR_MSCRATCH auto_MUL_GROUP CSR_HAZARD 267 1 +RW_CSR_MSCRATCH auto_MULTI_MUL_GROUP CSR_HAZARD 522 1 +RW_CSR_MSCRATCH auto_DIV_GROUP CSR_HAZARD 697 1 +RW_CSR_MEPC auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MEPC auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MEPC auto_ALU_GROUP CSR_HAZARD 62420 1 +RW_CSR_MEPC auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MEPC auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MEPC auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MEPC auto_RET_GROUP CSR_HAZARD 11975 1 +RW_CSR_MEPC auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MEPC auto_CSR_GROUP CSR_HAZARD 230 1 +RW_CSR_MEPC auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MEPC auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MEPC auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MEPC auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MCAUSE auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MCAUSE auto_STORE_GROUP CSR_HAZARD 10 1 +RW_CSR_MCAUSE auto_ALU_GROUP CSR_HAZARD 111 1 +RW_CSR_MCAUSE auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MCAUSE auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MCAUSE auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MCAUSE auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCAUSE auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCAUSE auto_CSR_GROUP CSR_HAZARD 48 1 +RW_CSR_MCAUSE auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MCAUSE auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MCAUSE auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCAUSE auto_DIV_GROUP CSR_HAZARD 10 1 +RW_CSR_MTVAL auto_LOAD_GROUP CSR_HAZARD 21 1 +RW_CSR_MTVAL auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MTVAL auto_ALU_GROUP CSR_HAZARD 125 1 +RW_CSR_MTVAL auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MTVAL auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MTVAL auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_MTVAL auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MTVAL auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MTVAL auto_DIV_GROUP CSR_HAZARD 9 1 +RW_CSR_MIP auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MIP auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MIP auto_ALU_GROUP CSR_HAZARD 124 1 +RW_CSR_MIP auto_BRANCH_GROUP CSR_HAZARD 15 1 +RW_CSR_MIP auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MIP auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MIP auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MIP auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MIP auto_CSR_GROUP CSR_HAZARD 89 1 +RW_CSR_MIP auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MIP auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MIP auto_MULTI_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MIP auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG0 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPCFG0 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG0 auto_ALU_GROUP CSR_HAZARD 94 1 +RW_CSR_PMPCFG0 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG0 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG0 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG0 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG0 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG0 auto_CSR_GROUP CSR_HAZARD 72 1 +RW_CSR_PMPCFG0 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG0 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG0 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG0 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG1 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPCFG1 auto_STORE_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG1 auto_ALU_GROUP CSR_HAZARD 103 1 +RW_CSR_PMPCFG1 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG1 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG1 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG1 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG1 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG1 auto_CSR_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPCFG1 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG1 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG1 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG1 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPCFG2 auto_STORE_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPCFG2 auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_PMPCFG2 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG2 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG2 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG2 auto_CSR_GROUP CSR_HAZARD 62 1 +RW_CSR_PMPCFG2 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG2 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG2 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG2 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG3 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG3 auto_STORE_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG3 auto_ALU_GROUP CSR_HAZARD 101 1 +RW_CSR_PMPCFG3 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG3 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG3 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG3 auto_CSR_GROUP CSR_HAZARD 62 1 +RW_CSR_PMPCFG3 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG3 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG3 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG3 auto_DIV_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG4 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG4 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG4 auto_ALU_GROUP CSR_HAZARD 85 1 +RW_CSR_PMPCFG4 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG4 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG4 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG4 auto_CSR_GROUP CSR_HAZARD 33 1 +RW_CSR_PMPCFG4 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG4 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG4 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG4 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG5 auto_LOAD_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPCFG5 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG5 auto_ALU_GROUP CSR_HAZARD 80 1 +RW_CSR_PMPCFG5 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG5 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG5 auto_CSR_GROUP CSR_HAZARD 28 1 +RW_CSR_PMPCFG5 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG5 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG5 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG5 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG6 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPCFG6 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG6 auto_ALU_GROUP CSR_HAZARD 91 1 +RW_CSR_PMPCFG6 auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG6 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG6 auto_CSR_GROUP CSR_HAZARD 29 1 +RW_CSR_PMPCFG6 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG6 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG6 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG6 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG7 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG7 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG7 auto_ALU_GROUP CSR_HAZARD 85 1 +RW_CSR_PMPCFG7 auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG7 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG7 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG7 auto_CSR_GROUP CSR_HAZARD 27 1 +RW_CSR_PMPCFG7 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG7 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG7 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG7 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG8 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPCFG8 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG8 auto_ALU_GROUP CSR_HAZARD 107 1 +RW_CSR_PMPCFG8 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG8 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG8 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG8 auto_CSR_GROUP CSR_HAZARD 27 1 +RW_CSR_PMPCFG8 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG8 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG8 auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG8 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG9 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPCFG9 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG9 auto_ALU_GROUP CSR_HAZARD 89 1 +RW_CSR_PMPCFG9 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG9 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG9 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG9 auto_CSR_GROUP CSR_HAZARD 31 1 +RW_CSR_PMPCFG9 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG9 auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG9 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG9 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG10 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPCFG10 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG10 auto_ALU_GROUP CSR_HAZARD 89 1 +RW_CSR_PMPCFG10 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG10 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG10 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG10 auto_CSR_GROUP CSR_HAZARD 27 1 +RW_CSR_PMPCFG10 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG10 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG10 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG10 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG11 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG11 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG11 auto_ALU_GROUP CSR_HAZARD 109 1 +RW_CSR_PMPCFG11 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG11 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG11 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG11 auto_CSR_GROUP CSR_HAZARD 25 1 +RW_CSR_PMPCFG11 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG11 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG11 auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG11 auto_DIV_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPCFG12 auto_LOAD_GROUP CSR_HAZARD 19 1 +RW_CSR_PMPCFG12 auto_STORE_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPCFG12 auto_ALU_GROUP CSR_HAZARD 84 1 +RW_CSR_PMPCFG12 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG12 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG12 auto_CSR_GROUP CSR_HAZARD 31 1 +RW_CSR_PMPCFG12 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG12 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG12 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG13 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG13 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG13 auto_ALU_GROUP CSR_HAZARD 87 1 +RW_CSR_PMPCFG13 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG13 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG13 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG13 auto_CSR_GROUP CSR_HAZARD 29 1 +RW_CSR_PMPCFG13 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG13 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG13 auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPCFG13 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG14 auto_LOAD_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPCFG14 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG14 auto_ALU_GROUP CSR_HAZARD 88 1 +RW_CSR_PMPCFG14 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPCFG14 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG14 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG14 auto_CSR_GROUP CSR_HAZARD 26 1 +RW_CSR_PMPCFG14 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG14 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG14 auto_MULTI_MUL_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG14 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPCFG15 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPCFG15 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG15 auto_ALU_GROUP CSR_HAZARD 107 1 +RW_CSR_PMPCFG15 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPCFG15 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPCFG15 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPCFG15 auto_CSR_GROUP CSR_HAZARD 34 1 +RW_CSR_PMPCFG15 auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPCFG15 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPCFG15 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPCFG15 auto_DIV_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR0 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR0 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_ALU_GROUP CSR_HAZARD 52 1 +RW_CSR_PMPADDR0 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR0 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR0 auto_CSR_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR0 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR0 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR0 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR0 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR1 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR1 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR1 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR1 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_PMPADDR1 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR1 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR1 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR1 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR2 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR2 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR2 auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_PMPADDR2 auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR2 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR2 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR2 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR2 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR2 auto_CSR_GROUP CSR_HAZARD 46 1 +RW_CSR_PMPADDR2 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR2 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR2 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR2 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR3 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR3 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR3 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR3 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR3 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR3 auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR3 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR3 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR4 auto_LOAD_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR4 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR4 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR4 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR4 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_PMPADDR4 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR4 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR4 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR4 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR5 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR5 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR5 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR5 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR5 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR5 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR5 auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR5 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR5 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR5 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR5 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR6 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR6 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR6 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR6 auto_CSR_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR6 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR6 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR6 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR6 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR7 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR7 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR7 auto_ALU_GROUP CSR_HAZARD 60 1 +RW_CSR_PMPADDR7 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR7 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR7 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR7 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR7 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR7 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR8 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR8 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR8 auto_ALU_GROUP CSR_HAZARD 30 1 +RW_CSR_PMPADDR8 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR8 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR8 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR8 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR8 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR8 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR8 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR8 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR9 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR9 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR9 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_PMPADDR9 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR9 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR9 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR9 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR9 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR9 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR10 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR10 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR10 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_PMPADDR10 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR10 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR10 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR10 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_PMPADDR10 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR10 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR10 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR10 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR11 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR11 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR11 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_PMPADDR11 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR11 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR11 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR11 auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR11 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR11 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR11 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR11 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR12 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR12 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR12 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_PMPADDR12 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_PMPADDR12 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR12 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR12 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR13 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR13 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR13 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_PMPADDR13 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR13 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR13 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR13 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR13 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR13 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR13 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR14 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR14 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR14 auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_PMPADDR14 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR14 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR14 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR14 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR14 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR14 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR14 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR15 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR15 auto_ALU_GROUP CSR_HAZARD 56 1 +RW_CSR_PMPADDR15 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR15 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR15 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR15 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR15 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR15 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR15 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR16 auto_LOAD_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_ALU_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_CSR_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR16 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR16 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR17 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR17 auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_PMPADDR17 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR17 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR17 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR17 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR17 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR17 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR17 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR17 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR18 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR18 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR18 auto_ALU_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR18 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR18 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR18 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR18 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR18 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR18 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR18 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR19 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR19 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR19 auto_ALU_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR19 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR19 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR19 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR19 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR19 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR19 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR19 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR20 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR20 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR20 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR20 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR20 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR20 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR20 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR20 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR20 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR20 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR21 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR21 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_ALU_GROUP CSR_HAZARD 37 1 +RW_CSR_PMPADDR21 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR21 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR21 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR21 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR21 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR21 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR22 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR22 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR22 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR22 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR22 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR22 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR22 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR22 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR22 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR22 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR23 auto_LOAD_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR23 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR23 auto_ALU_GROUP CSR_HAZARD 43 1 +RW_CSR_PMPADDR23 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR23 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR23 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR23 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR23 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR23 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR23 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR23 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR23 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR23 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR24 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR24 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR24 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR24 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR24 auto_CSR_GROUP CSR_HAZARD 18 1 +RW_CSR_PMPADDR24 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR24 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR25 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR25 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR25 auto_ALU_GROUP CSR_HAZARD 57 1 +RW_CSR_PMPADDR25 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR25 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR25 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR25 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR25 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR25 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR26 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR26 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR26 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR26 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR26 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR26 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR26 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR26 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR26 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR27 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR27 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR27 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR27 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR27 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR27 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR27 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR27 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR27 auto_CSR_GROUP CSR_HAZARD 20 1 +RW_CSR_PMPADDR27 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR27 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR27 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR27 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR28 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR28 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR28 auto_ALU_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR28 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR28 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR28 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR28 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR28 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR28 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR28 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR28 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR28 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR28 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR29 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR29 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR29 auto_ALU_GROUP CSR_HAZARD 41 1 +RW_CSR_PMPADDR29 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR29 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR29 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR29 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR29 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR29 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR29 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR30 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR30 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR30 auto_ALU_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR30 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR30 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR30 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR30 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR30 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR30 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR30 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR31 auto_LOAD_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR31 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR31 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR31 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR31 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR31 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR31 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR32 auto_LOAD_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_ALU_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_CSR_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR32 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR32 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR33 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR33 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR33 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR33 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR33 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR33 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR33 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR33 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR33 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR34 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR34 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR34 auto_ALU_GROUP CSR_HAZARD 45 1 +RW_CSR_PMPADDR34 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR34 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR34 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR34 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR34 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR34 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR35 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_ALU_GROUP CSR_HAZARD 52 1 +RW_CSR_PMPADDR35 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR35 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR35 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR35 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR35 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR35 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR36 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR36 auto_ALU_GROUP CSR_HAZARD 51 1 +RW_CSR_PMPADDR36 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR36 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR36 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR36 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR36 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR37 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR37 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR37 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR37 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR37 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR37 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR37 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR37 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR37 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR38 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_ALU_GROUP CSR_HAZARD 42 1 +RW_CSR_PMPADDR38 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR38 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR38 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR38 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR38 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR38 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR38 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR39 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR39 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR39 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR39 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR39 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR39 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR39 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR39 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR39 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR39 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR39 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR39 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR39 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR40 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR40 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR40 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR40 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR40 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR40 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR40 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR40 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR40 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR40 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR41 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR41 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR41 auto_ALU_GROUP CSR_HAZARD 56 1 +RW_CSR_PMPADDR41 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR41 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR41 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR41 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR41 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR41 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR41 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR42 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR42 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR42 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR42 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR42 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR42 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR42 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR42 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR42 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR42 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR42 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR42 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR42 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR43 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR43 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_ALU_GROUP CSR_HAZARD 51 1 +RW_CSR_PMPADDR43 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR43 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR43 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR43 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR43 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR43 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR44 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR44 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR44 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR44 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR44 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR44 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR44 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR44 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR44 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR44 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR44 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR44 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR44 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR45 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR45 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR45 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR45 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR45 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR45 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR45 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR45 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR45 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR46 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR46 auto_ALU_GROUP CSR_HAZARD 43 1 +RW_CSR_PMPADDR46 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR46 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR46 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR46 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR46 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR46 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR46 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR47 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR47 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR47 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR47 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR47 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR47 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR47 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR47 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR47 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR47 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR47 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR47 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR47 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR48 auto_LOAD_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_STORE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_ALU_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_CSR_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR48 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR48 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR49 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR49 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR49 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_CSR_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPADDR49 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR49 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR49 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR50 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR50 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR50 auto_ALU_GROUP CSR_HAZARD 55 1 +RW_CSR_PMPADDR50 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR50 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR50 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR50 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR50 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR50 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR50 auto_DIV_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR51 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR51 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR51 auto_ALU_GROUP CSR_HAZARD 64 1 +RW_CSR_PMPADDR51 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR51 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR51 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR51 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR51 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR51 auto_CSR_GROUP CSR_HAZARD 16 1 +RW_CSR_PMPADDR51 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR51 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR51 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR51 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR52 auto_LOAD_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR52 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR52 auto_ALU_GROUP CSR_HAZARD 39 1 +RW_CSR_PMPADDR52 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR52 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR52 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR52 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR52 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR52 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR52 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR53 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_PMPADDR53 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR53 auto_ALU_GROUP CSR_HAZARD 48 1 +RW_CSR_PMPADDR53 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR53 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR53 auto_CSR_GROUP CSR_HAZARD 17 1 +RW_CSR_PMPADDR53 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR53 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR53 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR53 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR54 auto_LOAD_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR54 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR54 auto_ALU_GROUP CSR_HAZARD 59 1 +RW_CSR_PMPADDR54 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR54 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR54 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR54 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR54 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR54 auto_CSR_GROUP CSR_HAZARD 12 1 +RW_CSR_PMPADDR54 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR54 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR54 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR54 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR55 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR55 auto_ALU_GROUP CSR_HAZARD 44 1 +RW_CSR_PMPADDR55 auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR55 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR55 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR55 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR55 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR56 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR56 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR56 auto_ALU_GROUP CSR_HAZARD 39 1 +RW_CSR_PMPADDR56 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR56 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR56 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_CSR_GROUP CSR_HAZARD 13 1 +RW_CSR_PMPADDR56 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR56 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR56 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR56 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR57 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR57 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR57 auto_ALU_GROUP CSR_HAZARD 47 1 +RW_CSR_PMPADDR57 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR57 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR57 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR57 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR57 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR57 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR57 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR58 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR58 auto_ALU_GROUP CSR_HAZARD 50 1 +RW_CSR_PMPADDR58 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR58 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_CSR_GROUP CSR_HAZARD 11 1 +RW_CSR_PMPADDR58 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR58 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR58 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR58 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR59 auto_LOAD_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR59 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR59 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR59 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR59 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR59 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_CSR_GROUP CSR_HAZARD 18 1 +RW_CSR_PMPADDR59 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR59 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR59 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR59 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR60 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR60 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR60 auto_ALU_GROUP CSR_HAZARD 49 1 +RW_CSR_PMPADDR60 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR60 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_CSR_GROUP CSR_HAZARD 14 1 +RW_CSR_PMPADDR60 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR60 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR60 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR60 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR61 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_PMPADDR61 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR61 auto_ALU_GROUP CSR_HAZARD 54 1 +RW_CSR_PMPADDR61 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR61 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR61 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR61 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR61 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR61 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR62 auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_PMPADDR62 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR62 auto_ALU_GROUP CSR_HAZARD 61 1 +RW_CSR_PMPADDR62 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR62 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR62 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR62 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR62 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR62 auto_CSR_GROUP CSR_HAZARD 15 1 +RW_CSR_PMPADDR62 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR62 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR62 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR62 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_PMPADDR63 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR63 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_PMPADDR63 auto_ALU_GROUP CSR_HAZARD 52 1 +RW_CSR_PMPADDR63 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR63 auto_JUMP_GROUP CSR_HAZARD 7 1 +RW_CSR_PMPADDR63 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_CSR_GROUP CSR_HAZARD 8 1 +RW_CSR_PMPADDR63 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_PMPADDR63 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_PMPADDR63 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_PMPADDR63 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MCYCLE auto_LOAD_GROUP CSR_HAZARD 18 1 +RW_CSR_MCYCLE auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MCYCLE auto_ALU_GROUP CSR_HAZARD 108 1 +RW_CSR_MCYCLE auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_MCYCLE auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MCYCLE auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLE auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLE auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLE auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_MCYCLE auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MCYCLE auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCYCLE auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MCYCLE auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MINSTRET auto_LOAD_GROUP CSR_HAZARD 24 1 +RW_CSR_MINSTRET auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MINSTRET auto_ALU_GROUP CSR_HAZARD 120 1 +RW_CSR_MINSTRET auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MINSTRET auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRET auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MINSTRET auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MINSTRET auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MINSTRET auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MINSTRET auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER3 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER3 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER3 auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER3 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_FENCE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER3 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER3 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER3 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER4 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER4 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER4 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER4 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER4 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER5 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER5 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER5 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMCOUNTER5 auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER5 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER5 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER5 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER5 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER6 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER6 auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMCOUNTER6 auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER6 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMCOUNTER6 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER6 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER6 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER7 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER7 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER7 auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMCOUNTER7 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER7 auto_JUMP_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER7 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER7 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER7 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER8 auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER8 auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER8 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER8 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER8 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8 auto_CSR_GROUP CSR_HAZARD 45 1 +RW_CSR_MHPMCOUNTER8 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER8 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER8 auto_MULTI_MUL_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER8 auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER9 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER9 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER9 auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER9 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER9 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER9 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER9 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER9 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER10 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER10 auto_ALU_GROUP CSR_HAZARD 62 1 +RW_CSR_MHPMCOUNTER10 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER10 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER10 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER11 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER11 auto_STORE_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER11 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER11 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER11 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER11 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER11 auto_MULTI_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER11 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER12 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER12 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER12 auto_ALU_GROUP CSR_HAZARD 78 1 +RW_CSR_MHPMCOUNTER12 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER12 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER12 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER12 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER12 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER13 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER13 auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER13 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER13 auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER13 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER13 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER13 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER13 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER13 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER13 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER14 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER14 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER14 auto_ALU_GROUP CSR_HAZARD 63 1 +RW_CSR_MHPMCOUNTER14 auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER14 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER14 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER14 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER14 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER14 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER14 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15 auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMCOUNTER15 auto_STORE_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER15 auto_ALU_GROUP CSR_HAZARD 64 1 +RW_CSR_MHPMCOUNTER15 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER15 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER15 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15 auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER15 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER15 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER16 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER16 auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER16 auto_ALU_GROUP CSR_HAZARD 74 1 +RW_CSR_MHPMCOUNTER16 auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER16 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER16 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER16 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER16 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER16 auto_MULTI_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER17 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER17 auto_STORE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17 auto_ALU_GROUP CSR_HAZARD 62 1 +RW_CSR_MHPMCOUNTER17 auto_BRANCH_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER17 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER17 auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER17 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER18 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER18 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER18 auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMCOUNTER18 auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER18 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER18 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER18 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER18 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER18 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER18 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER19 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER19 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER19 auto_ALU_GROUP CSR_HAZARD 79 1 +RW_CSR_MHPMCOUNTER19 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER19 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER19 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER19 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER19 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER19 auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER19 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER20 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER20 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER20 auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER20 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER20 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER20 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER20 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER21 auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER21 auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER21 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER21 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER21 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER21 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER21 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER21 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER21 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22 auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER22 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER22 auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER22 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER22 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER22 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22 auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER23 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER23 auto_STORE_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER23 auto_ALU_GROUP CSR_HAZARD 85 1 +RW_CSR_MHPMCOUNTER23 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER23 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER23 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER23 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER23 auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER23 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER24 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER24 auto_ALU_GROUP CSR_HAZARD 60 1 +RW_CSR_MHPMCOUNTER24 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER24 auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25 auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER25 auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER25 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER25 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER25 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER25 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER26 auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER26 auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMCOUNTER26 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER26 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER26 auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER26 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER26 auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER27 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER27 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER27 auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER27 auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER27 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER27 auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27 auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER27 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER27 auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER28 auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER28 auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER28 auto_ALU_GROUP CSR_HAZARD 72 1 +RW_CSR_MHPMCOUNTER28 auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER28 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER28 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER28 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER28 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28 auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER28 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29 auto_LOAD_GROUP CSR_HAZARD 11 1 +RW_CSR_MHPMCOUNTER29 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29 auto_ALU_GROUP CSR_HAZARD 81 1 +RW_CSR_MHPMCOUNTER29 auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER29 auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER29 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER29 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER29 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER29 auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER29 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER29 auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER30 auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER30 auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER30 auto_ALU_GROUP CSR_HAZARD 71 1 +RW_CSR_MHPMCOUNTER30 auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER30 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30 auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30 auto_CSR_GROUP CSR_HAZARD 36 1 +RW_CSR_MHPMCOUNTER30 auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30 auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER30 auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30 auto_DIV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER31 auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER31 auto_ALU_GROUP CSR_HAZARD 80 1 +RW_CSR_MHPMCOUNTER31 auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER31 auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31 auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER31 auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31 auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31 auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31 auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MCYCLEH auto_LOAD_GROUP CSR_HAZARD 25 1 +RW_CSR_MCYCLEH auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MCYCLEH auto_ALU_GROUP CSR_HAZARD 111 1 +RW_CSR_MCYCLEH auto_BRANCH_GROUP CSR_HAZARD 11 1 +RW_CSR_MCYCLEH auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MCYCLEH auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MCYCLEH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLEH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MCYCLEH auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MCYCLEH auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MCYCLEH auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MCYCLEH auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MCYCLEH auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MINSTRETH auto_LOAD_GROUP CSR_HAZARD 18 1 +RW_CSR_MINSTRETH auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MINSTRETH auto_ALU_GROUP CSR_HAZARD 106 1 +RW_CSR_MINSTRETH auto_BRANCH_GROUP CSR_HAZARD 12 1 +RW_CSR_MINSTRETH auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MINSTRETH auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MINSTRETH auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRETH auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MINSTRETH auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MINSTRETH auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MINSTRETH auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MINSTRETH auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MINSTRETH auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER3H auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER3H auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER3H auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER3H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER3H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER3H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER3H auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER3H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER3H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER3H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER3H auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER4H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER4H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER4H auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMCOUNTER4H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER4H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER4H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER4H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER4H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER4H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER4H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER5H auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER5H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER5H auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER5H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER5H auto_JUMP_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER5H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER5H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER5H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER5H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER5H auto_MULTI_MUL_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER5H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER6H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER6H auto_ALU_GROUP CSR_HAZARD 70 1 +RW_CSR_MHPMCOUNTER6H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER6H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER6H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER6H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER6H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER6H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER6H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER7H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER7H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER7H auto_ALU_GROUP CSR_HAZARD 83 1 +RW_CSR_MHPMCOUNTER7H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER7H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER7H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER7H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER7H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER7H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER7H auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER8H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER8H auto_STORE_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER8H auto_ALU_GROUP CSR_HAZARD 75 1 +RW_CSR_MHPMCOUNTER8H auto_BRANCH_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER8H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER8H auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER8H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER8H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER8H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER8H auto_DIV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER9H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER9H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER9H auto_ALU_GROUP CSR_HAZARD 53 1 +RW_CSR_MHPMCOUNTER9H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER9H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER9H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER9H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER9H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER9H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER10H auto_LOAD_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER10H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER10H auto_ALU_GROUP CSR_HAZARD 81 1 +RW_CSR_MHPMCOUNTER10H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER10H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER10H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER10H auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER10H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER10H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER10H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER10H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER11H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER11H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER11H auto_ALU_GROUP CSR_HAZARD 82 1 +RW_CSR_MHPMCOUNTER11H auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER11H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER11H auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER11H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER11H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER11H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER11H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER12H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER12H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER12H auto_ALU_GROUP CSR_HAZARD 73 1 +RW_CSR_MHPMCOUNTER12H auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER12H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER12H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER12H auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER12H auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER12H auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER12H auto_DIV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER13H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER13H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13H auto_ALU_GROUP CSR_HAZARD 83 1 +RW_CSR_MHPMCOUNTER13H auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER13H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER13H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER13H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER13H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13H auto_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER13H auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER13H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER14H auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER14H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER14H auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMCOUNTER14H auto_BRANCH_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER14H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER14H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER14H auto_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER14H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER14H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER15H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER15H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER15H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER15H auto_BRANCH_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER15H auto_CSR_GROUP CSR_HAZARD 39 1 +RW_CSR_MHPMCOUNTER15H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER15H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER15H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER15H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER16H auto_LOAD_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER16H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER16H auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMCOUNTER16H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER16H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER16H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER16H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER16H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER16H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER16H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER17H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER17H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER17H auto_ALU_GROUP CSR_HAZARD 84 1 +RW_CSR_MHPMCOUNTER17H auto_BRANCH_GROUP CSR_HAZARD 9 1 +RW_CSR_MHPMCOUNTER17H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER17H auto_CSR_GROUP CSR_HAZARD 37 1 +RW_CSR_MHPMCOUNTER17H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER17H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER17H auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER17H auto_DIV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER18H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER18H auto_ALU_GROUP CSR_HAZARD 69 1 +RW_CSR_MHPMCOUNTER18H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER18H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER18H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER18H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18H auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER18H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER18H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER19H auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER19H auto_STORE_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER19H auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER19H auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER19H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER19H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER19H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER19H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER19H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER19H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER19H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER20H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER20H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER20H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER20H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER20H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER20H auto_CSR_GROUP CSR_HAZARD 43 1 +RW_CSR_MHPMCOUNTER20H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER20H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER20H auto_MULTI_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER20H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER21H auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER21H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER21H auto_ALU_GROUP CSR_HAZARD 79 1 +RW_CSR_MHPMCOUNTER21H auto_BRANCH_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER21H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER21H auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER21H auto_ENV_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER21H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER21H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER21H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER22H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER22H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER22H auto_ALU_GROUP CSR_HAZARD 77 1 +RW_CSR_MHPMCOUNTER22H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER22H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER22H auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER22H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER22H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_MULTI_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER22H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER23H auto_LOAD_GROUP CSR_HAZARD 14 1 +RW_CSR_MHPMCOUNTER23H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER23H auto_ALU_GROUP CSR_HAZARD 93 1 +RW_CSR_MHPMCOUNTER23H auto_BRANCH_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER23H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER23H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_CSR_GROUP CSR_HAZARD 41 1 +RW_CSR_MHPMCOUNTER23H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER23H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER23H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER23H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER24H auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER24H auto_STORE_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER24H auto_ALU_GROUP CSR_HAZARD 58 1 +RW_CSR_MHPMCOUNTER24H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER24H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER24H auto_CSR_GROUP CSR_HAZARD 44 1 +RW_CSR_MHPMCOUNTER24H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER24H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER24H auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER24H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25H auto_LOAD_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER25H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25H auto_ALU_GROUP CSR_HAZARD 86 1 +RW_CSR_MHPMCOUNTER25H auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER25H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER25H auto_FENCE_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER25H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER25H auto_ENV_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER25H auto_MUL_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER25H auto_MULTI_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER25H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER26H auto_LOAD_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER26H auto_STORE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26H auto_ALU_GROUP CSR_HAZARD 67 1 +RW_CSR_MHPMCOUNTER26H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER26H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER26H auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER26H auto_ENV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER26H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER26H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER26H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER27H auto_LOAD_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER27H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER27H auto_ALU_GROUP CSR_HAZARD 66 1 +RW_CSR_MHPMCOUNTER27H auto_BRANCH_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER27H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER27H auto_CSR_GROUP CSR_HAZARD 40 1 +RW_CSR_MHPMCOUNTER27H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER27H auto_MUL_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER27H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER27H auto_DIV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER28H auto_LOAD_GROUP CSR_HAZARD 13 1 +RW_CSR_MHPMCOUNTER28H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER28H auto_ALU_GROUP CSR_HAZARD 93 1 +RW_CSR_MHPMCOUNTER28H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER28H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER28H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER28H auto_ENV_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER28H auto_MUL_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER28H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER28H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER29H auto_LOAD_GROUP CSR_HAZARD 12 1 +RW_CSR_MHPMCOUNTER29H auto_STORE_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER29H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER29H auto_BRANCH_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER29H auto_CSR_GROUP CSR_HAZARD 42 1 +RW_CSR_MHPMCOUNTER29H auto_ENV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER29H auto_MULTI_MUL_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER29H auto_DIV_GROUP CSR_HAZARD 4 1 +RW_CSR_MHPMCOUNTER30H auto_LOAD_GROUP CSR_HAZARD 10 1 +RW_CSR_MHPMCOUNTER30H auto_STORE_GROUP CSR_HAZARD 8 1 +RW_CSR_MHPMCOUNTER30H auto_ALU_GROUP CSR_HAZARD 76 1 +RW_CSR_MHPMCOUNTER30H auto_BRANCH_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30H auto_JUMP_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30H auto_FENCE_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER30H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER30H auto_ENV_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER30H auto_MUL_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER30H auto_MULTI_MUL_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER30H auto_DIV_GROUP CSR_HAZARD 5 1 +RW_CSR_MHPMCOUNTER31H auto_LOAD_GROUP CSR_HAZARD 16 1 +RW_CSR_MHPMCOUNTER31H auto_STORE_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER31H auto_ALU_GROUP CSR_HAZARD 68 1 +RW_CSR_MHPMCOUNTER31H auto_BRANCH_GROUP CSR_HAZARD 7 1 +RW_CSR_MHPMCOUNTER31H auto_JUMP_GROUP CSR_HAZARD 2 1 +RW_CSR_MHPMCOUNTER31H auto_FENCE_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31H auto_RET_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31H auto_WFI_GROUP CSR_HAZARD 1 1 +RW_CSR_MHPMCOUNTER31H auto_CSR_GROUP CSR_HAZARD 38 1 +RW_CSR_MHPMCOUNTER31H auto_ENV_GROUP CSR_HAZARD 3 1 +RW_CSR_MHPMCOUNTER31H auto_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER31H auto_MULTI_MUL_GROUP CSR_HAZARD 6 1 +RW_CSR_MHPMCOUNTER31H auto_DIV_GROUP CSR_HAZARD 5 1 + + +User Defined Cross Bins for cross_seq_csr_hazard_x2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_HAZ 0 Excluded + + +Group : uvma_isacov_pkg::cg_cl + +=============================================================================== +Group : uvma_isacov_pkg::cg_cl +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.55 99.55 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.55 1 100 1 64 64 uvma_isacov_pkg.rv32c_lw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cl + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 102 2 100 99.55 + + +Variables for Group uvma_isacov_pkg::cg_cl + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_lw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.55 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.55 99.55 1 100 1 1 64 64 uvma_isacov_pkg::cg_cl + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_lw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 102 2 100 99.55 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_lw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 63385 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 18919 1 +auto_NON_ZERO 44467 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 10117 1 +auto[1] 9326 1 +auto[2] 5387 1 +auto[3] 5614 1 +auto[4] 3834 1 +auto[5] 8589 1 +auto[6] 6007 1 +auto[7] 14512 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 7152 1 +auto[1] 1980 1 +auto[2] 10837 1 +auto[3] 7245 1 +auto[4] 6362 1 +auto[5] 13586 1 +auto[6] 11213 1 +auto[7] 5011 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 2 62 96.88 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT1_1 0 1 1 +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 63385 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 74 1 +BIT15_1 63323 1 +BIT14_1 88 1 +BIT13_1 23170 1 +BIT12_1 40243 1 +BIT11_1 63272 1 +BIT10_1 63265 1 +BIT9_1 98 1 +BIT8_1 111 1 +BIT7_1 18982 1 +BIT6_1 113 1 +BIT5_1 101 1 +BIT4_1 63283 1 +BIT3_1 63263 1 +BIT2_1 88 1 +BIT31_0 1 1 +BIT30_0 63385 1 +BIT29_0 63385 1 +BIT28_0 63385 1 +BIT27_0 63385 1 +BIT26_0 63385 1 +BIT25_0 63385 1 +BIT24_0 63385 1 +BIT23_0 63385 1 +BIT22_0 63385 1 +BIT21_0 63385 1 +BIT20_0 63385 1 +BIT19_0 63385 1 +BIT18_0 63385 1 +BIT17_0 63385 1 +BIT16_0 63312 1 +BIT15_0 63 1 +BIT14_0 63298 1 +BIT13_0 40216 1 +BIT12_0 23143 1 +BIT11_0 114 1 +BIT10_0 121 1 +BIT9_0 63288 1 +BIT8_0 63275 1 +BIT7_0 44404 1 +BIT6_0 63273 1 +BIT5_0 63285 1 +BIT4_0 103 1 +BIT3_0 123 1 +BIT2_0 63298 1 +BIT1_0 63386 1 +BIT0_0 63386 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 27 1 +BIT3_1 50 1 +BIT2_1 68 1 +BIT1_1 25380 1 +BIT0_1 32087 1 +BIT4_0 63359 1 +BIT3_0 63336 1 +BIT2_0 63318 1 +BIT1_0 38006 1 +BIT0_0 31299 1 + + +Group : uvma_isacov_pkg::cg_cs + +=============================================================================== +Group : uvma_isacov_pkg::cg_cs +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.61 99.61 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.61 1 100 1 64 64 uvma_isacov_pkg.rv32c_sw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cs + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 160 2 158 99.61 + + +Variables for Group uvma_isacov_pkg::cg_cs + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_sw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.61 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.61 99.61 1 100 1 1 64 64 uvma_isacov_pkg::cg_cs + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_sw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 160 2 158 99.61 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_sw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 2 62 96.88 100 1 1 0 +cp_imm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2 1 +auto_NON_ZERO 63864 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 14957 1 +auto_NON_ZERO 48909 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 19409 1 +auto_NON_ZERO 44457 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 10116 1 +auto[1] 9322 1 +auto[2] 5384 1 +auto[3] 6099 1 +auto[4] 3825 1 +auto[5] 8581 1 +auto[6] 6020 1 +auto[7] 14519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 7154 1 +auto[1] 1974 1 +auto[2] 11319 1 +auto[3] 7238 1 +auto[4] 6369 1 +auto[5] 13580 1 +auto[6] 11210 1 +auto[7] 5022 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 33740 1 +BIT30_1 11358 1 +BIT29_1 11106 1 +BIT28_1 10959 1 +BIT27_1 11101 1 +BIT26_1 11228 1 +BIT25_1 11603 1 +BIT24_1 10070 1 +BIT23_1 10188 1 +BIT22_1 10601 1 +BIT21_1 9954 1 +BIT20_1 10744 1 +BIT19_1 10099 1 +BIT18_1 10927 1 +BIT17_1 10869 1 +BIT16_1 10668 1 +BIT15_1 11919 1 +BIT14_1 30183 1 +BIT13_1 25416 1 +BIT12_1 20121 1 +BIT11_1 31441 1 +BIT10_1 30383 1 +BIT9_1 26573 1 +BIT8_1 15791 1 +BIT7_1 13175 1 +BIT6_1 15202 1 +BIT5_1 13026 1 +BIT4_1 30209 1 +BIT3_1 29935 1 +BIT2_1 30016 1 +BIT1_1 11166 1 +BIT0_1 13741 1 +BIT31_0 30126 1 +BIT30_0 52508 1 +BIT29_0 52760 1 +BIT28_0 52907 1 +BIT27_0 52765 1 +BIT26_0 52638 1 +BIT25_0 52263 1 +BIT24_0 53796 1 +BIT23_0 53678 1 +BIT22_0 53265 1 +BIT21_0 53912 1 +BIT20_0 53122 1 +BIT19_0 53767 1 +BIT18_0 52939 1 +BIT17_0 52997 1 +BIT16_0 53198 1 +BIT15_0 51947 1 +BIT14_0 33683 1 +BIT13_0 38450 1 +BIT12_0 43745 1 +BIT11_0 32425 1 +BIT10_0 33483 1 +BIT9_0 37293 1 +BIT8_0 48075 1 +BIT7_0 50691 1 +BIT6_0 48664 1 +BIT5_0 50840 1 +BIT4_0 33657 1 +BIT3_0 33931 1 +BIT2_0 33850 1 +BIT1_0 52700 1 +BIT0_0 50125 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 2 62 96.88 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT1_1 0 1 1 +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 63864 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 126 1 +BIT15_1 63380 1 +BIT14_1 487 1 +BIT13_1 23567 1 +BIT12_1 40401 1 +BIT11_1 63269 1 +BIT10_1 63272 1 +BIT9_1 99 1 +BIT8_1 105 1 +BIT7_1 19199 1 +BIT6_1 382 1 +BIT5_1 322 1 +BIT4_1 63489 1 +BIT3_1 63498 1 +BIT2_1 324 1 +BIT31_0 2 1 +BIT30_0 63865 1 +BIT29_0 63865 1 +BIT28_0 63865 1 +BIT27_0 63865 1 +BIT26_0 63865 1 +BIT25_0 63865 1 +BIT24_0 63865 1 +BIT23_0 63865 1 +BIT22_0 63865 1 +BIT21_0 63865 1 +BIT20_0 63865 1 +BIT19_0 63865 1 +BIT18_0 63865 1 +BIT17_0 63865 1 +BIT16_0 63740 1 +BIT15_0 486 1 +BIT14_0 63379 1 +BIT13_0 40299 1 +BIT12_0 23465 1 +BIT11_0 597 1 +BIT10_0 594 1 +BIT9_0 63767 1 +BIT8_0 63761 1 +BIT7_0 44667 1 +BIT6_0 63484 1 +BIT5_0 63544 1 +BIT4_0 377 1 +BIT3_0 368 1 +BIT2_0 63542 1 +BIT1_0 63866 1 +BIT0_0 63866 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 24 1 +BIT3_1 46 1 +BIT2_1 61 1 +BIT1_1 25365 1 +BIT0_1 32069 1 +BIT4_0 63842 1 +BIT3_0 63820 1 +BIT2_0 63805 1 +BIT1_0 38501 1 +BIT0_0 31797 1 + + +Group : uvme_cva6_pkg::cg_cvxif_rs3_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_rs3_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.78 99.78 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.73 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_msub_cg + 99.79 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_madd_cg + 99.79 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_nmadd_cg + 99.80 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 0 2048 100.00 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_rs3_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_msub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.73 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 19 2029 99.07 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 11 1013 98.93 100 1 1 0 +cross_rd_rs2 1024 8 1016 99.22 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 179 1 +RD_01 161 1 +RD_02 155 1 +RD_03 186 1 +RD_04 177 1 +RD_05 166 1 +RD_06 186 1 +RD_07 155 1 +RD_08 180 1 +RD_09 171 1 +RD_0a 149 1 +RD_0b 187 1 +RD_0c 151 1 +RD_0d 154 1 +RD_0e 141 1 +RD_0f 156 1 +RD_10 157 1 +RD_11 163 1 +RD_12 155 1 +RD_13 172 1 +RD_14 159 1 +RD_15 189 1 +RD_16 159 1 +RD_17 178 1 +RD_18 150 1 +RD_19 148 1 +RD_1a 166 1 +RD_1b 146 1 +RD_1c 140 1 +RD_1d 149 1 +RD_1e 160 1 +RD_1f 179 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 168 1 +RS1_01 157 1 +RS1_02 181 1 +RS1_03 142 1 +RS1_04 188 1 +RS1_05 163 1 +RS1_06 141 1 +RS1_07 149 1 +RS1_08 169 1 +RS1_09 146 1 +RS1_0a 165 1 +RS1_0b 174 1 +RS1_0c 158 1 +RS1_0d 168 1 +RS1_0e 164 1 +RS1_0f 179 1 +RS1_10 157 1 +RS1_11 157 1 +RS1_12 132 1 +RS1_13 174 1 +RS1_14 179 1 +RS1_15 172 1 +RS1_16 156 1 +RS1_17 162 1 +RS1_18 168 1 +RS1_19 169 1 +RS1_1a 182 1 +RS1_1b 172 1 +RS1_1c 143 1 +RS1_1d 165 1 +RS1_1e 166 1 +RS1_1f 158 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 155 1 +RS2_01 162 1 +RS2_02 164 1 +RS2_03 171 1 +RS2_04 146 1 +RS2_05 194 1 +RS2_06 181 1 +RS2_07 166 1 +RS2_08 159 1 +RS2_09 178 1 +RS2_0a 162 1 +RS2_0b 159 1 +RS2_0c 172 1 +RS2_0d 170 1 +RS2_0e 157 1 +RS2_0f 176 1 +RS2_10 153 1 +RS2_11 187 1 +RS2_12 159 1 +RS2_13 157 1 +RS2_14 148 1 +RS2_15 166 1 +RS2_16 148 1 +RS2_17 155 1 +RS2_18 166 1 +RS2_19 173 1 +RS2_1a 135 1 +RS2_1b 150 1 +RS2_1c 173 1 +RS2_1d 143 1 +RS2_1e 172 1 +RS2_1f 167 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2527 1 +BIT30_1 1927 1 +BIT29_1 1943 1 +BIT28_1 1994 1 +BIT27_1 1918 1 +BIT26_1 1914 1 +BIT25_1 1970 1 +BIT24_1 1947 1 +BIT23_1 1917 1 +BIT22_1 1889 1 +BIT21_1 1891 1 +BIT20_1 1868 1 +BIT19_1 1938 1 +BIT18_1 1852 1 +BIT17_1 1866 1 +BIT16_1 1971 1 +BIT15_1 2174 1 +BIT14_1 2084 1 +BIT13_1 1997 1 +BIT12_1 2330 1 +BIT11_1 2290 1 +BIT10_1 2325 1 +BIT9_1 2083 1 +BIT8_1 2006 1 +BIT7_1 2264 1 +BIT6_1 1950 1 +BIT5_1 2019 1 +BIT4_1 2419 1 +BIT3_1 2406 1 +BIT2_1 2375 1 +BIT1_1 2006 1 +BIT0_1 1683 1 +BIT31_0 2697 1 +BIT30_0 3297 1 +BIT29_0 3281 1 +BIT28_0 3230 1 +BIT27_0 3306 1 +BIT26_0 3310 1 +BIT25_0 3254 1 +BIT24_0 3277 1 +BIT23_0 3307 1 +BIT22_0 3335 1 +BIT21_0 3333 1 +BIT20_0 3356 1 +BIT19_0 3286 1 +BIT18_0 3372 1 +BIT17_0 3358 1 +BIT16_0 3253 1 +BIT15_0 3050 1 +BIT14_0 3140 1 +BIT13_0 3227 1 +BIT12_0 2894 1 +BIT11_0 2934 1 +BIT10_0 2899 1 +BIT9_0 3141 1 +BIT8_0 3218 1 +BIT7_0 2960 1 +BIT6_0 3274 1 +BIT5_0 3205 1 +BIT4_0 2805 1 +BIT3_0 2818 1 +BIT2_0 2849 1 +BIT1_0 3218 1 +BIT0_0 3541 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2527 1 +BIT30_1 2031 1 +BIT29_1 1955 1 +BIT28_1 1999 1 +BIT27_1 1922 1 +BIT26_1 1910 1 +BIT25_1 1982 1 +BIT24_1 1937 1 +BIT23_1 1922 1 +BIT22_1 1941 1 +BIT21_1 1965 1 +BIT20_1 1929 1 +BIT19_1 1909 1 +BIT18_1 1876 1 +BIT17_1 1986 1 +BIT16_1 1984 1 +BIT15_1 2156 1 +BIT14_1 2051 1 +BIT13_1 2043 1 +BIT12_1 2317 1 +BIT11_1 2392 1 +BIT10_1 2258 1 +BIT9_1 2122 1 +BIT8_1 2064 1 +BIT7_1 2202 1 +BIT6_1 1998 1 +BIT5_1 2058 1 +BIT4_1 2405 1 +BIT3_1 2505 1 +BIT2_1 2366 1 +BIT1_1 2034 1 +BIT0_1 1744 1 +BIT31_0 2696 1 +BIT30_0 3192 1 +BIT29_0 3268 1 +BIT28_0 3224 1 +BIT27_0 3301 1 +BIT26_0 3313 1 +BIT25_0 3241 1 +BIT24_0 3286 1 +BIT23_0 3301 1 +BIT22_0 3282 1 +BIT21_0 3258 1 +BIT20_0 3294 1 +BIT19_0 3314 1 +BIT18_0 3347 1 +BIT17_0 3237 1 +BIT16_0 3239 1 +BIT15_0 3067 1 +BIT14_0 3172 1 +BIT13_0 3180 1 +BIT12_0 2906 1 +BIT11_0 2831 1 +BIT10_0 2965 1 +BIT9_0 3101 1 +BIT8_0 3159 1 +BIT7_0 3021 1 +BIT6_0 3225 1 +BIT5_0 3165 1 +BIT4_0 2818 1 +BIT3_0 2718 1 +BIT2_0 2857 1 +BIT1_0 3189 1 +BIT0_0 3479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 11 1013 98.93 11 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_01] [RS1_1c] 0 1 1 +[RD_02] [RS1_1e] 0 1 1 +[RD_05] [RS1_01] 0 1 1 +[RD_05] [RS1_1f] 0 1 1 +[RD_0e] [RS1_10] 0 1 1 +[RD_0e] [RS1_19] 0 1 1 +[RD_0f] [RS1_01] 0 1 1 +[RD_12] [RS1_1d] 0 1 1 +[RD_16] [RS1_15] 0 1 1 +[RD_1c] [RS1_0a] 0 1 1 +[RD_1e] [RS1_07] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 3 1 +RD_00 RS1_01 4 1 +RD_00 RS1_02 6 1 +RD_00 RS1_03 5 1 +RD_00 RS1_04 5 1 +RD_00 RS1_05 4 1 +RD_00 RS1_06 8 1 +RD_00 RS1_07 3 1 +RD_00 RS1_08 9 1 +RD_00 RS1_09 8 1 +RD_00 RS1_0a 12 1 +RD_00 RS1_0b 9 1 +RD_00 RS1_0c 8 1 +RD_00 RS1_0d 9 1 +RD_00 RS1_0e 7 1 +RD_00 RS1_0f 2 1 +RD_00 RS1_10 4 1 +RD_00 RS1_11 4 1 +RD_00 RS1_12 5 1 +RD_00 RS1_13 3 1 +RD_00 RS1_14 9 1 +RD_00 RS1_15 2 1 +RD_00 RS1_16 8 1 +RD_00 RS1_17 1 1 +RD_00 RS1_18 3 1 +RD_00 RS1_19 6 1 +RD_00 RS1_1a 7 1 +RD_00 RS1_1b 7 1 +RD_00 RS1_1c 4 1 +RD_00 RS1_1d 4 1 +RD_00 RS1_1e 6 1 +RD_00 RS1_1f 4 1 +RD_01 RS1_00 6 1 +RD_01 RS1_01 4 1 +RD_01 RS1_02 8 1 +RD_01 RS1_03 2 1 +RD_01 RS1_04 6 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 4 1 +RD_01 RS1_07 5 1 +RD_01 RS1_08 3 1 +RD_01 RS1_09 9 1 +RD_01 RS1_0a 4 1 +RD_01 RS1_0b 5 1 +RD_01 RS1_0c 9 1 +RD_01 RS1_0d 3 1 +RD_01 RS1_0e 2 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 7 1 +RD_01 RS1_11 4 1 +RD_01 RS1_12 9 1 +RD_01 RS1_13 5 1 +RD_01 RS1_14 4 1 +RD_01 RS1_15 7 1 +RD_01 RS1_16 5 1 +RD_01 RS1_17 8 1 +RD_01 RS1_18 4 1 +RD_01 RS1_19 7 1 +RD_01 RS1_1a 5 1 +RD_01 RS1_1b 5 1 +RD_01 RS1_1d 4 1 +RD_01 RS1_1e 4 1 +RD_01 RS1_1f 3 1 +RD_02 RS1_00 7 1 +RD_02 RS1_01 5 1 +RD_02 RS1_02 1 1 +RD_02 RS1_03 9 1 +RD_02 RS1_04 8 1 +RD_02 RS1_05 2 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 4 1 +RD_02 RS1_08 2 1 +RD_02 RS1_09 4 1 +RD_02 RS1_0a 6 1 +RD_02 RS1_0b 13 1 +RD_02 RS1_0c 4 1 +RD_02 RS1_0d 5 1 +RD_02 RS1_0e 5 1 +RD_02 RS1_0f 11 1 +RD_02 RS1_10 2 1 +RD_02 RS1_11 4 1 +RD_02 RS1_12 4 1 +RD_02 RS1_13 4 1 +RD_02 RS1_14 4 1 +RD_02 RS1_15 6 1 +RD_02 RS1_16 5 1 +RD_02 RS1_17 4 1 +RD_02 RS1_18 5 1 +RD_02 RS1_19 1 1 +RD_02 RS1_1a 7 1 +RD_02 RS1_1b 8 1 +RD_02 RS1_1c 2 1 +RD_02 RS1_1d 5 1 +RD_02 RS1_1f 3 1 +RD_03 RS1_00 11 1 +RD_03 RS1_01 2 1 +RD_03 RS1_02 7 1 +RD_03 RS1_03 9 1 +RD_03 RS1_04 3 1 +RD_03 RS1_05 8 1 +RD_03 RS1_06 6 1 +RD_03 RS1_07 7 1 +RD_03 RS1_08 11 1 +RD_03 RS1_09 5 1 +RD_03 RS1_0a 1 1 +RD_03 RS1_0b 4 1 +RD_03 RS1_0c 8 1 +RD_03 RS1_0d 8 1 +RD_03 RS1_0e 6 1 +RD_03 RS1_0f 1 1 +RD_03 RS1_10 10 1 +RD_03 RS1_11 9 1 +RD_03 RS1_12 4 1 +RD_03 RS1_13 6 1 +RD_03 RS1_14 7 1 +RD_03 RS1_15 5 1 +RD_03 RS1_16 4 1 +RD_03 RS1_17 6 1 +RD_03 RS1_18 5 1 +RD_03 RS1_19 8 1 +RD_03 RS1_1a 4 1 +RD_03 RS1_1b 1 1 +RD_03 RS1_1c 1 1 +RD_03 RS1_1d 6 1 +RD_03 RS1_1e 5 1 +RD_03 RS1_1f 8 1 +RD_04 RS1_00 6 1 +RD_04 RS1_01 5 1 +RD_04 RS1_02 7 1 +RD_04 RS1_03 11 1 +RD_04 RS1_04 4 1 +RD_04 RS1_05 7 1 +RD_04 RS1_06 2 1 +RD_04 RS1_07 2 1 +RD_04 RS1_08 5 1 +RD_04 RS1_09 5 1 +RD_04 RS1_0a 9 1 +RD_04 RS1_0b 9 1 +RD_04 RS1_0c 6 1 +RD_04 RS1_0d 5 1 +RD_04 RS1_0e 2 1 +RD_04 RS1_0f 8 1 +RD_04 RS1_10 8 1 +RD_04 RS1_11 7 1 +RD_04 RS1_12 2 1 +RD_04 RS1_13 5 1 +RD_04 RS1_14 1 1 +RD_04 RS1_15 5 1 +RD_04 RS1_16 2 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 8 1 +RD_04 RS1_19 8 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 7 1 +RD_04 RS1_1c 4 1 +RD_04 RS1_1d 4 1 +RD_04 RS1_1e 6 1 +RD_04 RS1_1f 7 1 +RD_05 RS1_00 8 1 +RD_05 RS1_02 3 1 +RD_05 RS1_03 5 1 +RD_05 RS1_04 10 1 +RD_05 RS1_05 4 1 +RD_05 RS1_06 4 1 +RD_05 RS1_07 11 1 +RD_05 RS1_08 8 1 +RD_05 RS1_09 1 1 +RD_05 RS1_0a 6 1 +RD_05 RS1_0b 3 1 +RD_05 RS1_0c 4 1 +RD_05 RS1_0d 8 1 +RD_05 RS1_0e 6 1 +RD_05 RS1_0f 3 1 +RD_05 RS1_10 3 1 +RD_05 RS1_11 2 1 +RD_05 RS1_12 6 1 +RD_05 RS1_13 6 1 +RD_05 RS1_14 6 1 +RD_05 RS1_15 6 1 +RD_05 RS1_16 6 1 +RD_05 RS1_17 6 1 +RD_05 RS1_18 5 1 +RD_05 RS1_19 3 1 +RD_05 RS1_1a 11 1 +RD_05 RS1_1b 6 1 +RD_05 RS1_1c 3 1 +RD_05 RS1_1d 7 1 +RD_05 RS1_1e 6 1 +RD_06 RS1_00 4 1 +RD_06 RS1_01 3 1 +RD_06 RS1_02 3 1 +RD_06 RS1_03 7 1 +RD_06 RS1_04 6 1 +RD_06 RS1_05 2 1 +RD_06 RS1_06 6 1 +RD_06 RS1_07 4 1 +RD_06 RS1_08 4 1 +RD_06 RS1_09 3 1 +RD_06 RS1_0a 14 1 +RD_06 RS1_0b 10 1 +RD_06 RS1_0c 5 1 +RD_06 RS1_0d 4 1 +RD_06 RS1_0e 5 1 +RD_06 RS1_0f 7 1 +RD_06 RS1_10 2 1 +RD_06 RS1_11 4 1 +RD_06 RS1_12 5 1 +RD_06 RS1_13 8 1 +RD_06 RS1_14 4 1 +RD_06 RS1_15 6 1 +RD_06 RS1_16 8 1 +RD_06 RS1_17 4 1 +RD_06 RS1_18 6 1 +RD_06 RS1_19 11 1 +RD_06 RS1_1a 6 1 +RD_06 RS1_1b 11 1 +RD_06 RS1_1c 6 1 +RD_06 RS1_1d 11 1 +RD_06 RS1_1e 5 1 +RD_06 RS1_1f 2 1 +RD_07 RS1_00 7 1 +RD_07 RS1_01 1 1 +RD_07 RS1_02 4 1 +RD_07 RS1_03 1 1 +RD_07 RS1_04 7 1 +RD_07 RS1_05 8 1 +RD_07 RS1_06 5 1 +RD_07 RS1_07 6 1 +RD_07 RS1_08 3 1 +RD_07 RS1_09 6 1 +RD_07 RS1_0a 5 1 +RD_07 RS1_0b 4 1 +RD_07 RS1_0c 3 1 +RD_07 RS1_0d 8 1 +RD_07 RS1_0e 5 1 +RD_07 RS1_0f 6 1 +RD_07 RS1_10 7 1 +RD_07 RS1_11 2 1 +RD_07 RS1_12 1 1 +RD_07 RS1_13 8 1 +RD_07 RS1_14 4 1 +RD_07 RS1_15 5 1 +RD_07 RS1_16 5 1 +RD_07 RS1_17 2 1 +RD_07 RS1_18 6 1 +RD_07 RS1_19 1 1 +RD_07 RS1_1a 4 1 +RD_07 RS1_1b 4 1 +RD_07 RS1_1c 9 1 +RD_07 RS1_1d 8 1 +RD_07 RS1_1e 8 1 +RD_07 RS1_1f 2 1 +RD_08 RS1_00 6 1 +RD_08 RS1_01 3 1 +RD_08 RS1_02 3 1 +RD_08 RS1_03 4 1 +RD_08 RS1_04 6 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 5 1 +RD_08 RS1_07 4 1 +RD_08 RS1_08 6 1 +RD_08 RS1_09 5 1 +RD_08 RS1_0a 3 1 +RD_08 RS1_0b 3 1 +RD_08 RS1_0c 5 1 +RD_08 RS1_0d 3 1 +RD_08 RS1_0e 2 1 +RD_08 RS1_0f 8 1 +RD_08 RS1_10 5 1 +RD_08 RS1_11 8 1 +RD_08 RS1_12 1 1 +RD_08 RS1_13 6 1 +RD_08 RS1_14 8 1 +RD_08 RS1_15 8 1 +RD_08 RS1_16 9 1 +RD_08 RS1_17 10 1 +RD_08 RS1_18 6 1 +RD_08 RS1_19 4 1 +RD_08 RS1_1a 8 1 +RD_08 RS1_1b 8 1 +RD_08 RS1_1c 4 1 +RD_08 RS1_1d 9 1 +RD_08 RS1_1e 3 1 +RD_08 RS1_1f 12 1 +RD_09 RS1_00 4 1 +RD_09 RS1_01 7 1 +RD_09 RS1_02 10 1 +RD_09 RS1_03 1 1 +RD_09 RS1_04 7 1 +RD_09 RS1_05 6 1 +RD_09 RS1_06 6 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 7 1 +RD_09 RS1_09 5 1 +RD_09 RS1_0a 6 1 +RD_09 RS1_0b 6 1 +RD_09 RS1_0c 9 1 +RD_09 RS1_0d 8 1 +RD_09 RS1_0e 5 1 +RD_09 RS1_0f 1 1 +RD_09 RS1_10 7 1 +RD_09 RS1_11 7 1 +RD_09 RS1_12 5 1 +RD_09 RS1_13 6 1 +RD_09 RS1_14 5 1 +RD_09 RS1_15 9 1 +RD_09 RS1_16 3 1 +RD_09 RS1_17 1 1 +RD_09 RS1_18 2 1 +RD_09 RS1_19 4 1 +RD_09 RS1_1a 7 1 +RD_09 RS1_1b 3 1 +RD_09 RS1_1c 7 1 +RD_09 RS1_1d 1 1 +RD_09 RS1_1e 6 1 +RD_09 RS1_1f 4 1 +RD_0a RS1_00 7 1 +RD_0a RS1_01 7 1 +RD_0a RS1_02 8 1 +RD_0a RS1_03 3 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 3 1 +RD_0a RS1_06 4 1 +RD_0a RS1_07 3 1 +RD_0a RS1_08 4 1 +RD_0a RS1_09 3 1 +RD_0a RS1_0a 3 1 +RD_0a RS1_0b 7 1 +RD_0a RS1_0c 5 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 1 1 +RD_0a RS1_0f 4 1 +RD_0a RS1_10 1 1 +RD_0a RS1_11 6 1 +RD_0a RS1_12 3 1 +RD_0a RS1_13 8 1 +RD_0a RS1_14 6 1 +RD_0a RS1_15 11 1 +RD_0a RS1_16 3 1 +RD_0a RS1_17 4 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 4 1 +RD_0a RS1_1a 8 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 6 1 +RD_0a RS1_1d 3 1 +RD_0a RS1_1e 4 1 +RD_0a RS1_1f 6 1 +RD_0b RS1_00 7 1 +RD_0b RS1_01 7 1 +RD_0b RS1_02 8 1 +RD_0b RS1_03 5 1 +RD_0b RS1_04 6 1 +RD_0b RS1_05 9 1 +RD_0b RS1_06 1 1 +RD_0b RS1_07 2 1 +RD_0b RS1_08 8 1 +RD_0b RS1_09 5 1 +RD_0b RS1_0a 5 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 8 1 +RD_0b RS1_0d 5 1 +RD_0b RS1_0e 9 1 +RD_0b RS1_0f 9 1 +RD_0b RS1_10 4 1 +RD_0b RS1_11 3 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 2 1 +RD_0b RS1_14 7 1 +RD_0b RS1_15 5 1 +RD_0b RS1_16 7 1 +RD_0b RS1_17 7 1 +RD_0b RS1_18 8 1 +RD_0b RS1_19 12 1 +RD_0b RS1_1a 3 1 +RD_0b RS1_1b 8 1 +RD_0b RS1_1c 8 1 +RD_0b RS1_1d 2 1 +RD_0b RS1_1e 5 1 +RD_0b RS1_1f 3 1 +RD_0c RS1_00 2 1 +RD_0c RS1_01 3 1 +RD_0c RS1_02 6 1 +RD_0c RS1_03 2 1 +RD_0c RS1_04 4 1 +RD_0c RS1_05 5 1 +RD_0c RS1_06 7 1 +RD_0c RS1_07 7 1 +RD_0c RS1_08 2 1 +RD_0c RS1_09 2 1 +RD_0c RS1_0a 3 1 +RD_0c RS1_0b 7 1 +RD_0c RS1_0c 3 1 +RD_0c RS1_0d 1 1 +RD_0c RS1_0e 8 1 +RD_0c RS1_0f 9 1 +RD_0c RS1_10 4 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 3 1 +RD_0c RS1_13 7 1 +RD_0c RS1_14 4 1 +RD_0c RS1_15 11 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 3 1 +RD_0c RS1_18 3 1 +RD_0c RS1_19 8 1 +RD_0c RS1_1a 6 1 +RD_0c RS1_1b 2 1 +RD_0c RS1_1c 10 1 +RD_0c RS1_1d 4 1 +RD_0c RS1_1e 3 1 +RD_0c RS1_1f 5 1 +RD_0d RS1_00 5 1 +RD_0d RS1_01 4 1 +RD_0d RS1_02 7 1 +RD_0d RS1_03 5 1 +RD_0d RS1_04 3 1 +RD_0d RS1_05 1 1 +RD_0d RS1_06 2 1 +RD_0d RS1_07 5 1 +RD_0d RS1_08 2 1 +RD_0d RS1_09 3 1 +RD_0d RS1_0a 4 1 +RD_0d RS1_0b 7 1 +RD_0d RS1_0c 3 1 +RD_0d RS1_0d 4 1 +RD_0d RS1_0e 5 1 +RD_0d RS1_0f 1 1 +RD_0d RS1_10 6 1 +RD_0d RS1_11 13 1 +RD_0d RS1_12 2 1 +RD_0d RS1_13 8 1 +RD_0d RS1_14 5 1 +RD_0d RS1_15 7 1 +RD_0d RS1_16 5 1 +RD_0d RS1_17 7 1 +RD_0d RS1_18 7 1 +RD_0d RS1_19 7 1 +RD_0d RS1_1a 5 1 +RD_0d RS1_1b 6 1 +RD_0d RS1_1c 1 1 +RD_0d RS1_1d 5 1 +RD_0d RS1_1e 7 1 +RD_0d RS1_1f 2 1 +RD_0e RS1_00 5 1 +RD_0e RS1_01 6 1 +RD_0e RS1_02 2 1 +RD_0e RS1_03 3 1 +RD_0e RS1_04 5 1 +RD_0e RS1_05 8 1 +RD_0e RS1_06 1 1 +RD_0e RS1_07 6 1 +RD_0e RS1_08 3 1 +RD_0e RS1_09 6 1 +RD_0e RS1_0a 4 1 +RD_0e RS1_0b 6 1 +RD_0e RS1_0c 7 1 +RD_0e RS1_0d 5 1 +RD_0e RS1_0e 6 1 +RD_0e RS1_0f 5 1 +RD_0e RS1_11 5 1 +RD_0e RS1_12 2 1 +RD_0e RS1_13 3 1 +RD_0e RS1_14 5 1 +RD_0e RS1_15 4 1 +RD_0e RS1_16 4 1 +RD_0e RS1_17 6 1 +RD_0e RS1_18 8 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 6 1 +RD_0e RS1_1c 2 1 +RD_0e RS1_1d 5 1 +RD_0e RS1_1e 2 1 +RD_0e RS1_1f 9 1 +RD_0f RS1_00 8 1 +RD_0f RS1_02 6 1 +RD_0f RS1_03 4 1 +RD_0f RS1_04 2 1 +RD_0f RS1_05 7 1 +RD_0f RS1_06 5 1 +RD_0f RS1_07 4 1 +RD_0f RS1_08 4 1 +RD_0f RS1_09 7 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 9 1 +RD_0f RS1_0c 2 1 +RD_0f RS1_0d 3 1 +RD_0f RS1_0e 5 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 5 1 +RD_0f RS1_11 8 1 +RD_0f RS1_12 2 1 +RD_0f RS1_13 8 1 +RD_0f RS1_14 3 1 +RD_0f RS1_15 6 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 5 1 +RD_0f RS1_18 7 1 +RD_0f RS1_19 5 1 +RD_0f RS1_1a 2 1 +RD_0f RS1_1b 5 1 +RD_0f RS1_1c 5 1 +RD_0f RS1_1d 6 1 +RD_0f RS1_1e 6 1 +RD_0f RS1_1f 5 1 +RD_10 RS1_00 4 1 +RD_10 RS1_01 4 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 6 1 +RD_10 RS1_04 5 1 +RD_10 RS1_05 5 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 6 1 +RD_10 RS1_08 9 1 +RD_10 RS1_09 4 1 +RD_10 RS1_0a 1 1 +RD_10 RS1_0b 3 1 +RD_10 RS1_0c 4 1 +RD_10 RS1_0d 6 1 +RD_10 RS1_0e 14 1 +RD_10 RS1_0f 6 1 +RD_10 RS1_10 10 1 +RD_10 RS1_11 5 1 +RD_10 RS1_12 3 1 +RD_10 RS1_13 4 1 +RD_10 RS1_14 7 1 +RD_10 RS1_15 2 1 +RD_10 RS1_16 4 1 +RD_10 RS1_17 8 1 +RD_10 RS1_18 4 1 +RD_10 RS1_19 6 1 +RD_10 RS1_1a 4 1 +RD_10 RS1_1b 3 1 +RD_10 RS1_1c 2 1 +RD_10 RS1_1d 3 1 +RD_10 RS1_1e 4 1 +RD_10 RS1_1f 3 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 10 1 +RD_11 RS1_02 4 1 +RD_11 RS1_03 3 1 +RD_11 RS1_04 7 1 +RD_11 RS1_05 3 1 +RD_11 RS1_06 4 1 +RD_11 RS1_07 3 1 +RD_11 RS1_08 5 1 +RD_11 RS1_09 2 1 +RD_11 RS1_0a 4 1 +RD_11 RS1_0b 3 1 +RD_11 RS1_0c 5 1 +RD_11 RS1_0d 7 1 +RD_11 RS1_0e 6 1 +RD_11 RS1_0f 5 1 +RD_11 RS1_10 4 1 +RD_11 RS1_11 2 1 +RD_11 RS1_12 3 1 +RD_11 RS1_13 10 1 +RD_11 RS1_14 6 1 +RD_11 RS1_15 3 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 5 1 +RD_11 RS1_18 10 1 +RD_11 RS1_19 3 1 +RD_11 RS1_1a 8 1 +RD_11 RS1_1b 6 1 +RD_11 RS1_1c 5 1 +RD_11 RS1_1d 8 1 +RD_11 RS1_1e 9 1 +RD_11 RS1_1f 2 1 +RD_12 RS1_00 7 1 +RD_12 RS1_01 6 1 +RD_12 RS1_02 5 1 +RD_12 RS1_03 3 1 +RD_12 RS1_04 10 1 +RD_12 RS1_05 6 1 +RD_12 RS1_06 2 1 +RD_12 RS1_07 4 1 +RD_12 RS1_08 3 1 +RD_12 RS1_09 3 1 +RD_12 RS1_0a 4 1 +RD_12 RS1_0b 7 1 +RD_12 RS1_0c 4 1 +RD_12 RS1_0d 3 1 +RD_12 RS1_0e 4 1 +RD_12 RS1_0f 5 1 +RD_12 RS1_10 6 1 +RD_12 RS1_11 5 1 +RD_12 RS1_12 1 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 7 1 +RD_12 RS1_15 6 1 +RD_12 RS1_16 6 1 +RD_12 RS1_17 5 1 +RD_12 RS1_18 4 1 +RD_12 RS1_19 3 1 +RD_12 RS1_1a 8 1 +RD_12 RS1_1b 4 1 +RD_12 RS1_1c 5 1 +RD_12 RS1_1e 8 1 +RD_12 RS1_1f 6 1 +RD_13 RS1_00 3 1 +RD_13 RS1_01 6 1 +RD_13 RS1_02 7 1 +RD_13 RS1_03 3 1 +RD_13 RS1_04 6 1 +RD_13 RS1_05 7 1 +RD_13 RS1_06 7 1 +RD_13 RS1_07 7 1 +RD_13 RS1_08 5 1 +RD_13 RS1_09 3 1 +RD_13 RS1_0a 3 1 +RD_13 RS1_0b 4 1 +RD_13 RS1_0c 4 1 +RD_13 RS1_0d 10 1 +RD_13 RS1_0e 2 1 +RD_13 RS1_0f 4 1 +RD_13 RS1_10 5 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 5 1 +RD_13 RS1_13 4 1 +RD_13 RS1_14 10 1 +RD_13 RS1_15 11 1 +RD_13 RS1_16 3 1 +RD_13 RS1_17 1 1 +RD_13 RS1_18 4 1 +RD_13 RS1_19 6 1 +RD_13 RS1_1a 10 1 +RD_13 RS1_1b 5 1 +RD_13 RS1_1c 4 1 +RD_13 RS1_1d 6 1 +RD_13 RS1_1e 6 1 +RD_13 RS1_1f 6 1 +RD_14 RS1_00 2 1 +RD_14 RS1_01 6 1 +RD_14 RS1_02 9 1 +RD_14 RS1_03 4 1 +RD_14 RS1_04 5 1 +RD_14 RS1_05 5 1 +RD_14 RS1_06 6 1 +RD_14 RS1_07 5 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 4 1 +RD_14 RS1_0a 3 1 +RD_14 RS1_0b 4 1 +RD_14 RS1_0c 5 1 +RD_14 RS1_0d 5 1 +RD_14 RS1_0e 8 1 +RD_14 RS1_0f 10 1 +RD_14 RS1_10 5 1 +RD_14 RS1_11 3 1 +RD_14 RS1_12 5 1 +RD_14 RS1_13 4 1 +RD_14 RS1_14 5 1 +RD_14 RS1_15 4 1 +RD_14 RS1_16 6 1 +RD_14 RS1_17 6 1 +RD_14 RS1_18 3 1 +RD_14 RS1_19 3 1 +RD_14 RS1_1a 8 1 +RD_14 RS1_1b 6 1 +RD_14 RS1_1c 2 1 +RD_14 RS1_1d 5 1 +RD_14 RS1_1e 6 1 +RD_14 RS1_1f 2 1 +RD_15 RS1_00 6 1 +RD_15 RS1_01 5 1 +RD_15 RS1_02 9 1 +RD_15 RS1_03 2 1 +RD_15 RS1_04 6 1 +RD_15 RS1_05 8 1 +RD_15 RS1_06 3 1 +RD_15 RS1_07 6 1 +RD_15 RS1_08 4 1 +RD_15 RS1_09 4 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 5 1 +RD_15 RS1_0c 10 1 +RD_15 RS1_0d 5 1 +RD_15 RS1_0e 5 1 +RD_15 RS1_0f 5 1 +RD_15 RS1_10 4 1 +RD_15 RS1_11 7 1 +RD_15 RS1_12 11 1 +RD_15 RS1_13 6 1 +RD_15 RS1_14 11 1 +RD_15 RS1_15 7 1 +RD_15 RS1_16 4 1 +RD_15 RS1_17 3 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 5 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 8 1 +RD_15 RS1_1c 5 1 +RD_15 RS1_1d 8 1 +RD_15 RS1_1e 8 1 +RD_15 RS1_1f 4 1 +RD_16 RS1_00 5 1 +RD_16 RS1_01 3 1 +RD_16 RS1_02 8 1 +RD_16 RS1_03 2 1 +RD_16 RS1_04 5 1 +RD_16 RS1_05 2 1 +RD_16 RS1_06 6 1 +RD_16 RS1_07 6 1 +RD_16 RS1_08 4 1 +RD_16 RS1_09 3 1 +RD_16 RS1_0a 6 1 +RD_16 RS1_0b 3 1 +RD_16 RS1_0c 4 1 +RD_16 RS1_0d 6 1 +RD_16 RS1_0e 4 1 +RD_16 RS1_0f 6 1 +RD_16 RS1_10 5 1 +RD_16 RS1_11 6 1 +RD_16 RS1_12 3 1 +RD_16 RS1_13 7 1 +RD_16 RS1_14 5 1 +RD_16 RS1_16 5 1 +RD_16 RS1_17 6 1 +RD_16 RS1_18 4 1 +RD_16 RS1_19 11 1 +RD_16 RS1_1a 2 1 +RD_16 RS1_1b 8 1 +RD_16 RS1_1c 9 1 +RD_16 RS1_1d 6 1 +RD_16 RS1_1e 2 1 +RD_16 RS1_1f 7 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 14 1 +RD_17 RS1_02 9 1 +RD_17 RS1_03 6 1 +RD_17 RS1_04 11 1 +RD_17 RS1_05 4 1 +RD_17 RS1_06 4 1 +RD_17 RS1_07 5 1 +RD_17 RS1_08 5 1 +RD_17 RS1_09 9 1 +RD_17 RS1_0a 7 1 +RD_17 RS1_0b 4 1 +RD_17 RS1_0c 2 1 +RD_17 RS1_0d 5 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 4 1 +RD_17 RS1_10 2 1 +RD_17 RS1_11 2 1 +RD_17 RS1_12 7 1 +RD_17 RS1_13 4 1 +RD_17 RS1_14 6 1 +RD_17 RS1_15 4 1 +RD_17 RS1_16 2 1 +RD_17 RS1_17 8 1 +RD_17 RS1_18 9 1 +RD_17 RS1_19 5 1 +RD_17 RS1_1a 5 1 +RD_17 RS1_1b 3 1 +RD_17 RS1_1c 5 1 +RD_17 RS1_1d 5 1 +RD_17 RS1_1e 6 1 +RD_17 RS1_1f 5 1 +RD_18 RS1_00 2 1 +RD_18 RS1_01 5 1 +RD_18 RS1_02 2 1 +RD_18 RS1_03 6 1 +RD_18 RS1_04 8 1 +RD_18 RS1_05 5 1 +RD_18 RS1_06 4 1 +RD_18 RS1_07 7 1 +RD_18 RS1_08 6 1 +RD_18 RS1_09 5 1 +RD_18 RS1_0a 4 1 +RD_18 RS1_0b 2 1 +RD_18 RS1_0c 3 1 +RD_18 RS1_0d 6 1 +RD_18 RS1_0e 1 1 +RD_18 RS1_0f 5 1 +RD_18 RS1_10 4 1 +RD_18 RS1_11 2 1 +RD_18 RS1_12 3 1 +RD_18 RS1_13 4 1 +RD_18 RS1_14 4 1 +RD_18 RS1_15 4 1 +RD_18 RS1_16 6 1 +RD_18 RS1_17 4 1 +RD_18 RS1_18 9 1 +RD_18 RS1_19 8 1 +RD_18 RS1_1a 6 1 +RD_18 RS1_1b 5 1 +RD_18 RS1_1c 3 1 +RD_18 RS1_1d 2 1 +RD_18 RS1_1e 7 1 +RD_18 RS1_1f 8 1 +RD_19 RS1_00 5 1 +RD_19 RS1_01 1 1 +RD_19 RS1_02 7 1 +RD_19 RS1_03 2 1 +RD_19 RS1_04 11 1 +RD_19 RS1_05 1 1 +RD_19 RS1_06 2 1 +RD_19 RS1_07 4 1 +RD_19 RS1_08 7 1 +RD_19 RS1_09 2 1 +RD_19 RS1_0a 8 1 +RD_19 RS1_0b 9 1 +RD_19 RS1_0c 4 1 +RD_19 RS1_0d 6 1 +RD_19 RS1_0e 4 1 +RD_19 RS1_0f 5 1 +RD_19 RS1_10 10 1 +RD_19 RS1_11 5 1 +RD_19 RS1_12 3 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 5 1 +RD_19 RS1_15 3 1 +RD_19 RS1_16 2 1 +RD_19 RS1_17 5 1 +RD_19 RS1_18 5 1 +RD_19 RS1_19 3 1 +RD_19 RS1_1a 4 1 +RD_19 RS1_1b 3 1 +RD_19 RS1_1c 1 1 +RD_19 RS1_1d 5 1 +RD_19 RS1_1e 7 1 +RD_19 RS1_1f 6 1 +RD_1a RS1_00 5 1 +RD_1a RS1_01 9 1 +RD_1a RS1_02 5 1 +RD_1a RS1_03 5 1 +RD_1a RS1_04 2 1 +RD_1a RS1_05 5 1 +RD_1a RS1_06 10 1 +RD_1a RS1_07 4 1 +RD_1a RS1_08 4 1 +RD_1a RS1_09 5 1 +RD_1a RS1_0a 4 1 +RD_1a RS1_0b 4 1 +RD_1a RS1_0c 7 1 +RD_1a RS1_0d 9 1 +RD_1a RS1_0e 2 1 +RD_1a RS1_0f 7 1 +RD_1a RS1_10 5 1 +RD_1a RS1_11 4 1 +RD_1a RS1_12 7 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 8 1 +RD_1a RS1_15 4 1 +RD_1a RS1_16 3 1 +RD_1a RS1_17 3 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 5 1 +RD_1a RS1_1a 8 1 +RD_1a RS1_1b 2 1 +RD_1a RS1_1c 6 1 +RD_1a RS1_1d 8 1 +RD_1a RS1_1e 2 1 +RD_1a RS1_1f 7 1 +RD_1b RS1_00 3 1 +RD_1b RS1_01 9 1 +RD_1b RS1_02 7 1 +RD_1b RS1_03 6 1 +RD_1b RS1_04 7 1 +RD_1b RS1_05 2 1 +RD_1b RS1_06 5 1 +RD_1b RS1_07 1 1 +RD_1b RS1_08 8 1 +RD_1b RS1_09 7 1 +RD_1b RS1_0a 5 1 +RD_1b RS1_0b 6 1 +RD_1b RS1_0c 1 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 3 1 +RD_1b RS1_0f 4 1 +RD_1b RS1_10 1 1 +RD_1b RS1_11 5 1 +RD_1b RS1_12 3 1 +RD_1b RS1_13 6 1 +RD_1b RS1_14 6 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 6 1 +RD_1b RS1_17 4 1 +RD_1b RS1_18 3 1 +RD_1b RS1_19 2 1 +RD_1b RS1_1a 6 1 +RD_1b RS1_1b 5 1 +RD_1b RS1_1c 4 1 +RD_1b RS1_1d 6 1 +RD_1b RS1_1e 2 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 2 1 +RD_1c RS1_01 1 1 +RD_1c RS1_02 3 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 5 1 +RD_1c RS1_05 3 1 +RD_1c RS1_06 3 1 +RD_1c RS1_07 5 1 +RD_1c RS1_08 6 1 +RD_1c RS1_09 4 1 +RD_1c RS1_0b 4 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 1 1 +RD_1c RS1_0e 6 1 +RD_1c RS1_0f 9 1 +RD_1c RS1_10 4 1 +RD_1c RS1_11 4 1 +RD_1c RS1_12 5 1 +RD_1c RS1_13 4 1 +RD_1c RS1_14 4 1 +RD_1c RS1_15 2 1 +RD_1c RS1_16 5 1 +RD_1c RS1_17 10 1 +RD_1c RS1_18 3 1 +RD_1c RS1_19 7 1 +RD_1c RS1_1a 3 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 6 1 +RD_1c RS1_1d 8 1 +RD_1c RS1_1e 6 1 +RD_1c RS1_1f 3 1 +RD_1d RS1_00 4 1 +RD_1d RS1_01 5 1 +RD_1d RS1_02 9 1 +RD_1d RS1_03 4 1 +RD_1d RS1_04 3 1 +RD_1d RS1_05 8 1 +RD_1d RS1_06 5 1 +RD_1d RS1_07 2 1 +RD_1d RS1_08 3 1 +RD_1d RS1_09 5 1 +RD_1d RS1_0a 8 1 +RD_1d RS1_0b 1 1 +RD_1d RS1_0c 6 1 +RD_1d RS1_0d 2 1 +RD_1d RS1_0e 5 1 +RD_1d RS1_0f 3 1 +RD_1d RS1_10 5 1 +RD_1d RS1_11 2 1 +RD_1d RS1_12 4 1 +RD_1d RS1_13 6 1 +RD_1d RS1_14 4 1 +RD_1d RS1_15 4 1 +RD_1d RS1_16 7 1 +RD_1d RS1_17 7 1 +RD_1d RS1_18 3 1 +RD_1d RS1_19 6 1 +RD_1d RS1_1a 2 1 +RD_1d RS1_1b 7 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 2 1 +RD_1d RS1_1e 8 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 7 1 +RD_1e RS1_02 2 1 +RD_1e RS1_03 6 1 +RD_1e RS1_04 2 1 +RD_1e RS1_05 8 1 +RD_1e RS1_06 1 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 3 1 +RD_1e RS1_0a 3 1 +RD_1e RS1_0b 6 1 +RD_1e RS1_0c 4 1 +RD_1e RS1_0d 4 1 +RD_1e RS1_0e 9 1 +RD_1e RS1_0f 7 1 +RD_1e RS1_10 6 1 +RD_1e RS1_11 9 1 +RD_1e RS1_12 6 1 +RD_1e RS1_13 6 1 +RD_1e RS1_14 2 1 +RD_1e RS1_15 4 1 +RD_1e RS1_16 2 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 3 1 +RD_1e RS1_1a 8 1 +RD_1e RS1_1b 10 1 +RD_1e RS1_1c 7 1 +RD_1e RS1_1d 3 1 +RD_1e RS1_1e 6 1 +RD_1e RS1_1f 7 1 +RD_1f RS1_00 9 1 +RD_1f RS1_01 5 1 +RD_1f RS1_02 2 1 +RD_1f RS1_03 3 1 +RD_1f RS1_04 10 1 +RD_1f RS1_05 7 1 +RD_1f RS1_06 4 1 +RD_1f RS1_07 5 1 +RD_1f RS1_08 10 1 +RD_1f RS1_09 6 1 +RD_1f RS1_0a 10 1 +RD_1f RS1_0b 3 1 +RD_1f RS1_0c 2 1 +RD_1f RS1_0d 6 1 +RD_1f RS1_0e 7 1 +RD_1f RS1_0f 8 1 +RD_1f RS1_10 6 1 +RD_1f RS1_11 3 1 +RD_1f RS1_12 4 1 +RD_1f RS1_13 5 1 +RD_1f RS1_14 7 1 +RD_1f RS1_15 8 1 +RD_1f RS1_16 9 1 +RD_1f RS1_17 3 1 +RD_1f RS1_18 5 1 +RD_1f RS1_19 4 1 +RD_1f RS1_1a 7 1 +RD_1f RS1_1b 2 1 +RD_1f RS1_1c 2 1 +RD_1f RS1_1d 6 1 +RD_1f RS1_1e 3 1 +RD_1f RS1_1f 8 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 8 1016 99.22 8 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_07] [RS2_0e] 0 1 1 +[RD_0f] [RS2_10] 0 1 1 +[RD_10] [RS2_03] 0 1 1 +[RD_14] [RS2_01] 0 1 1 +[RD_16] [RS2_1a] 0 1 1 +[RD_19] [RS2_0c] 0 1 1 +[RD_1c] [RS2_10] 0 1 1 +[RD_1c] [RS2_1d] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 5 1 +RD_00 RS2_01 7 1 +RD_00 RS2_02 4 1 +RD_00 RS2_03 4 1 +RD_00 RS2_04 10 1 +RD_00 RS2_05 8 1 +RD_00 RS2_06 3 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 3 1 +RD_00 RS2_09 2 1 +RD_00 RS2_0a 5 1 +RD_00 RS2_0b 8 1 +RD_00 RS2_0c 3 1 +RD_00 RS2_0d 9 1 +RD_00 RS2_0e 6 1 +RD_00 RS2_0f 10 1 +RD_00 RS2_10 2 1 +RD_00 RS2_11 9 1 +RD_00 RS2_12 6 1 +RD_00 RS2_13 3 1 +RD_00 RS2_14 5 1 +RD_00 RS2_15 8 1 +RD_00 RS2_16 5 1 +RD_00 RS2_17 3 1 +RD_00 RS2_18 10 1 +RD_00 RS2_19 10 1 +RD_00 RS2_1a 4 1 +RD_00 RS2_1b 7 1 +RD_00 RS2_1c 6 1 +RD_00 RS2_1d 1 1 +RD_00 RS2_1e 3 1 +RD_00 RS2_1f 6 1 +RD_01 RS2_00 7 1 +RD_01 RS2_01 1 1 +RD_01 RS2_02 3 1 +RD_01 RS2_03 5 1 +RD_01 RS2_04 5 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 4 1 +RD_01 RS2_07 6 1 +RD_01 RS2_08 4 1 +RD_01 RS2_09 10 1 +RD_01 RS2_0a 6 1 +RD_01 RS2_0b 4 1 +RD_01 RS2_0c 9 1 +RD_01 RS2_0d 5 1 +RD_01 RS2_0e 4 1 +RD_01 RS2_0f 4 1 +RD_01 RS2_10 4 1 +RD_01 RS2_11 5 1 +RD_01 RS2_12 5 1 +RD_01 RS2_13 2 1 +RD_01 RS2_14 4 1 +RD_01 RS2_15 2 1 +RD_01 RS2_16 2 1 +RD_01 RS2_17 7 1 +RD_01 RS2_18 8 1 +RD_01 RS2_19 10 1 +RD_01 RS2_1a 4 1 +RD_01 RS2_1b 5 1 +RD_01 RS2_1c 3 1 +RD_01 RS2_1d 3 1 +RD_01 RS2_1e 9 1 +RD_01 RS2_1f 4 1 +RD_02 RS2_00 4 1 +RD_02 RS2_01 2 1 +RD_02 RS2_02 10 1 +RD_02 RS2_03 7 1 +RD_02 RS2_04 2 1 +RD_02 RS2_05 5 1 +RD_02 RS2_06 4 1 +RD_02 RS2_07 6 1 +RD_02 RS2_08 7 1 +RD_02 RS2_09 4 1 +RD_02 RS2_0a 7 1 +RD_02 RS2_0b 5 1 +RD_02 RS2_0c 9 1 +RD_02 RS2_0d 4 1 +RD_02 RS2_0e 4 1 +RD_02 RS2_0f 7 1 +RD_02 RS2_10 8 1 +RD_02 RS2_11 3 1 +RD_02 RS2_12 3 1 +RD_02 RS2_13 5 1 +RD_02 RS2_14 4 1 +RD_02 RS2_15 6 1 +RD_02 RS2_16 4 1 +RD_02 RS2_17 3 1 +RD_02 RS2_18 3 1 +RD_02 RS2_19 7 1 +RD_02 RS2_1a 4 1 +RD_02 RS2_1b 3 1 +RD_02 RS2_1c 4 1 +RD_02 RS2_1d 4 1 +RD_02 RS2_1e 2 1 +RD_02 RS2_1f 5 1 +RD_03 RS2_00 4 1 +RD_03 RS2_01 5 1 +RD_03 RS2_02 8 1 +RD_03 RS2_03 15 1 +RD_03 RS2_04 4 1 +RD_03 RS2_05 12 1 +RD_03 RS2_06 7 1 +RD_03 RS2_07 6 1 +RD_03 RS2_08 4 1 +RD_03 RS2_09 6 1 +RD_03 RS2_0a 5 1 +RD_03 RS2_0b 10 1 +RD_03 RS2_0c 3 1 +RD_03 RS2_0d 7 1 +RD_03 RS2_0e 7 1 +RD_03 RS2_0f 6 1 +RD_03 RS2_10 3 1 +RD_03 RS2_11 3 1 +RD_03 RS2_12 3 1 +RD_03 RS2_13 9 1 +RD_03 RS2_14 3 1 +RD_03 RS2_15 5 1 +RD_03 RS2_16 3 1 +RD_03 RS2_17 5 1 +RD_03 RS2_18 3 1 +RD_03 RS2_19 9 1 +RD_03 RS2_1a 7 1 +RD_03 RS2_1b 7 1 +RD_03 RS2_1c 6 1 +RD_03 RS2_1d 2 1 +RD_03 RS2_1e 3 1 +RD_03 RS2_1f 6 1 +RD_04 RS2_00 5 1 +RD_04 RS2_01 9 1 +RD_04 RS2_02 2 1 +RD_04 RS2_03 6 1 +RD_04 RS2_04 5 1 +RD_04 RS2_05 4 1 +RD_04 RS2_06 10 1 +RD_04 RS2_07 4 1 +RD_04 RS2_08 5 1 +RD_04 RS2_09 8 1 +RD_04 RS2_0a 12 1 +RD_04 RS2_0b 3 1 +RD_04 RS2_0c 5 1 +RD_04 RS2_0d 6 1 +RD_04 RS2_0e 11 1 +RD_04 RS2_0f 2 1 +RD_04 RS2_10 10 1 +RD_04 RS2_11 6 1 +RD_04 RS2_12 3 1 +RD_04 RS2_13 6 1 +RD_04 RS2_14 2 1 +RD_04 RS2_15 5 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 2 1 +RD_04 RS2_18 8 1 +RD_04 RS2_19 3 1 +RD_04 RS2_1a 6 1 +RD_04 RS2_1b 4 1 +RD_04 RS2_1c 4 1 +RD_04 RS2_1d 4 1 +RD_04 RS2_1e 5 1 +RD_04 RS2_1f 7 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 3 1 +RD_05 RS2_02 6 1 +RD_05 RS2_03 5 1 +RD_05 RS2_04 3 1 +RD_05 RS2_05 2 1 +RD_05 RS2_06 3 1 +RD_05 RS2_07 4 1 +RD_05 RS2_08 5 1 +RD_05 RS2_09 7 1 +RD_05 RS2_0a 1 1 +RD_05 RS2_0b 6 1 +RD_05 RS2_0c 7 1 +RD_05 RS2_0d 2 1 +RD_05 RS2_0e 10 1 +RD_05 RS2_0f 5 1 +RD_05 RS2_10 6 1 +RD_05 RS2_11 8 1 +RD_05 RS2_12 5 1 +RD_05 RS2_13 6 1 +RD_05 RS2_14 11 1 +RD_05 RS2_15 4 1 +RD_05 RS2_16 7 1 +RD_05 RS2_17 5 1 +RD_05 RS2_18 6 1 +RD_05 RS2_19 4 1 +RD_05 RS2_1a 7 1 +RD_05 RS2_1b 5 1 +RD_05 RS2_1c 2 1 +RD_05 RS2_1d 4 1 +RD_05 RS2_1e 7 1 +RD_05 RS2_1f 7 1 +RD_06 RS2_00 4 1 +RD_06 RS2_01 4 1 +RD_06 RS2_02 6 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 9 1 +RD_06 RS2_05 8 1 +RD_06 RS2_06 4 1 +RD_06 RS2_07 7 1 +RD_06 RS2_08 4 1 +RD_06 RS2_09 10 1 +RD_06 RS2_0a 9 1 +RD_06 RS2_0b 2 1 +RD_06 RS2_0c 5 1 +RD_06 RS2_0d 9 1 +RD_06 RS2_0e 6 1 +RD_06 RS2_0f 5 1 +RD_06 RS2_10 6 1 +RD_06 RS2_11 10 1 +RD_06 RS2_12 7 1 +RD_06 RS2_13 7 1 +RD_06 RS2_14 2 1 +RD_06 RS2_15 6 1 +RD_06 RS2_16 2 1 +RD_06 RS2_17 2 1 +RD_06 RS2_18 6 1 +RD_06 RS2_19 5 1 +RD_06 RS2_1a 4 1 +RD_06 RS2_1b 4 1 +RD_06 RS2_1c 9 1 +RD_06 RS2_1d 4 1 +RD_06 RS2_1e 5 1 +RD_06 RS2_1f 10 1 +RD_07 RS2_00 5 1 +RD_07 RS2_01 4 1 +RD_07 RS2_02 3 1 +RD_07 RS2_03 6 1 +RD_07 RS2_04 5 1 +RD_07 RS2_05 8 1 +RD_07 RS2_06 2 1 +RD_07 RS2_07 6 1 +RD_07 RS2_08 5 1 +RD_07 RS2_09 6 1 +RD_07 RS2_0a 5 1 +RD_07 RS2_0b 6 1 +RD_07 RS2_0c 4 1 +RD_07 RS2_0d 5 1 +RD_07 RS2_0f 8 1 +RD_07 RS2_10 7 1 +RD_07 RS2_11 1 1 +RD_07 RS2_12 2 1 +RD_07 RS2_13 2 1 +RD_07 RS2_14 7 1 +RD_07 RS2_15 8 1 +RD_07 RS2_16 5 1 +RD_07 RS2_17 5 1 +RD_07 RS2_18 7 1 +RD_07 RS2_19 3 1 +RD_07 RS2_1a 1 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 5 1 +RD_07 RS2_1d 5 1 +RD_07 RS2_1e 5 1 +RD_07 RS2_1f 8 1 +RD_08 RS2_00 4 1 +RD_08 RS2_01 3 1 +RD_08 RS2_02 6 1 +RD_08 RS2_03 8 1 +RD_08 RS2_04 2 1 +RD_08 RS2_05 2 1 +RD_08 RS2_06 2 1 +RD_08 RS2_07 9 1 +RD_08 RS2_08 4 1 +RD_08 RS2_09 4 1 +RD_08 RS2_0a 9 1 +RD_08 RS2_0b 6 1 +RD_08 RS2_0c 4 1 +RD_08 RS2_0d 10 1 +RD_08 RS2_0e 5 1 +RD_08 RS2_0f 4 1 +RD_08 RS2_10 3 1 +RD_08 RS2_11 11 1 +RD_08 RS2_12 6 1 +RD_08 RS2_13 5 1 +RD_08 RS2_14 2 1 +RD_08 RS2_15 8 1 +RD_08 RS2_16 3 1 +RD_08 RS2_17 4 1 +RD_08 RS2_18 5 1 +RD_08 RS2_19 7 1 +RD_08 RS2_1a 5 1 +RD_08 RS2_1b 9 1 +RD_08 RS2_1c 6 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 16 1 +RD_09 RS2_00 9 1 +RD_09 RS2_01 6 1 +RD_09 RS2_02 7 1 +RD_09 RS2_03 2 1 +RD_09 RS2_04 2 1 +RD_09 RS2_05 7 1 +RD_09 RS2_06 3 1 +RD_09 RS2_07 6 1 +RD_09 RS2_08 3 1 +RD_09 RS2_09 7 1 +RD_09 RS2_0a 3 1 +RD_09 RS2_0b 6 1 +RD_09 RS2_0c 5 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 5 1 +RD_09 RS2_10 7 1 +RD_09 RS2_11 5 1 +RD_09 RS2_12 7 1 +RD_09 RS2_13 6 1 +RD_09 RS2_14 8 1 +RD_09 RS2_15 3 1 +RD_09 RS2_16 7 1 +RD_09 RS2_17 6 1 +RD_09 RS2_18 5 1 +RD_09 RS2_19 6 1 +RD_09 RS2_1a 2 1 +RD_09 RS2_1b 6 1 +RD_09 RS2_1c 4 1 +RD_09 RS2_1d 6 1 +RD_09 RS2_1e 8 1 +RD_09 RS2_1f 6 1 +RD_0a RS2_00 4 1 +RD_0a RS2_01 2 1 +RD_0a RS2_02 1 1 +RD_0a RS2_03 4 1 +RD_0a RS2_04 1 1 +RD_0a RS2_05 7 1 +RD_0a RS2_06 10 1 +RD_0a RS2_07 2 1 +RD_0a RS2_08 6 1 +RD_0a RS2_09 7 1 +RD_0a RS2_0a 2 1 +RD_0a RS2_0b 9 1 +RD_0a RS2_0c 3 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 4 1 +RD_0a RS2_0f 7 1 +RD_0a RS2_10 4 1 +RD_0a RS2_11 9 1 +RD_0a RS2_12 4 1 +RD_0a RS2_13 5 1 +RD_0a RS2_14 4 1 +RD_0a RS2_15 3 1 +RD_0a RS2_16 6 1 +RD_0a RS2_17 3 1 +RD_0a RS2_18 4 1 +RD_0a RS2_19 2 1 +RD_0a RS2_1a 7 1 +RD_0a RS2_1b 1 1 +RD_0a RS2_1c 8 1 +RD_0a RS2_1d 7 1 +RD_0a RS2_1e 5 1 +RD_0a RS2_1f 4 1 +RD_0b RS2_00 6 1 +RD_0b RS2_01 4 1 +RD_0b RS2_02 6 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 4 1 +RD_0b RS2_05 9 1 +RD_0b RS2_06 6 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 5 1 +RD_0b RS2_09 3 1 +RD_0b RS2_0a 9 1 +RD_0b RS2_0b 7 1 +RD_0b RS2_0c 3 1 +RD_0b RS2_0d 9 1 +RD_0b RS2_0e 6 1 +RD_0b RS2_0f 3 1 +RD_0b RS2_10 8 1 +RD_0b RS2_11 12 1 +RD_0b RS2_12 1 1 +RD_0b RS2_13 5 1 +RD_0b RS2_14 9 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 7 1 +RD_0b RS2_17 6 1 +RD_0b RS2_18 5 1 +RD_0b RS2_19 3 1 +RD_0b RS2_1a 6 1 +RD_0b RS2_1b 3 1 +RD_0b RS2_1c 7 1 +RD_0b RS2_1d 4 1 +RD_0b RS2_1e 6 1 +RD_0b RS2_1f 4 1 +RD_0c RS2_00 8 1 +RD_0c RS2_01 2 1 +RD_0c RS2_02 4 1 +RD_0c RS2_03 3 1 +RD_0c RS2_04 7 1 +RD_0c RS2_05 6 1 +RD_0c RS2_06 7 1 +RD_0c RS2_07 2 1 +RD_0c RS2_08 5 1 +RD_0c RS2_09 4 1 +RD_0c RS2_0a 2 1 +RD_0c RS2_0b 4 1 +RD_0c RS2_0c 10 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 2 1 +RD_0c RS2_0f 9 1 +RD_0c RS2_10 7 1 +RD_0c RS2_11 6 1 +RD_0c RS2_12 4 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 6 1 +RD_0c RS2_15 3 1 +RD_0c RS2_16 4 1 +RD_0c RS2_17 10 1 +RD_0c RS2_18 2 1 +RD_0c RS2_19 4 1 +RD_0c RS2_1a 4 1 +RD_0c RS2_1b 6 1 +RD_0c RS2_1c 1 1 +RD_0c RS2_1d 5 1 +RD_0c RS2_1e 1 1 +RD_0c RS2_1f 6 1 +RD_0d RS2_00 3 1 +RD_0d RS2_01 5 1 +RD_0d RS2_02 9 1 +RD_0d RS2_03 5 1 +RD_0d RS2_04 5 1 +RD_0d RS2_05 4 1 +RD_0d RS2_06 8 1 +RD_0d RS2_07 5 1 +RD_0d RS2_08 3 1 +RD_0d RS2_09 5 1 +RD_0d RS2_0a 3 1 +RD_0d RS2_0b 6 1 +RD_0d RS2_0c 9 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 5 1 +RD_0d RS2_0f 5 1 +RD_0d RS2_10 1 1 +RD_0d RS2_11 3 1 +RD_0d RS2_12 4 1 +RD_0d RS2_13 4 1 +RD_0d RS2_14 4 1 +RD_0d RS2_15 3 1 +RD_0d RS2_16 6 1 +RD_0d RS2_17 3 1 +RD_0d RS2_18 3 1 +RD_0d RS2_19 6 1 +RD_0d RS2_1a 4 1 +RD_0d RS2_1b 2 1 +RD_0d RS2_1c 11 1 +RD_0d RS2_1d 6 1 +RD_0d RS2_1e 3 1 +RD_0d RS2_1f 4 1 +RD_0e RS2_00 4 1 +RD_0e RS2_01 5 1 +RD_0e RS2_02 9 1 +RD_0e RS2_03 3 1 +RD_0e RS2_04 7 1 +RD_0e RS2_05 5 1 +RD_0e RS2_06 2 1 +RD_0e RS2_07 3 1 +RD_0e RS2_08 5 1 +RD_0e RS2_09 4 1 +RD_0e RS2_0a 3 1 +RD_0e RS2_0b 4 1 +RD_0e RS2_0c 7 1 +RD_0e RS2_0d 3 1 +RD_0e RS2_0e 3 1 +RD_0e RS2_0f 5 1 +RD_0e RS2_10 2 1 +RD_0e RS2_11 2 1 +RD_0e RS2_12 3 1 +RD_0e RS2_13 3 1 +RD_0e RS2_14 10 1 +RD_0e RS2_15 4 1 +RD_0e RS2_16 6 1 +RD_0e RS2_17 7 1 +RD_0e RS2_18 2 1 +RD_0e RS2_19 2 1 +RD_0e RS2_1a 3 1 +RD_0e RS2_1b 3 1 +RD_0e RS2_1c 6 1 +RD_0e RS2_1d 11 1 +RD_0e RS2_1e 4 1 +RD_0e RS2_1f 1 1 +RD_0f RS2_00 2 1 +RD_0f RS2_01 2 1 +RD_0f RS2_02 4 1 +RD_0f RS2_03 4 1 +RD_0f RS2_04 3 1 +RD_0f RS2_05 8 1 +RD_0f RS2_06 5 1 +RD_0f RS2_07 2 1 +RD_0f RS2_08 5 1 +RD_0f RS2_09 4 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 5 1 +RD_0f RS2_0c 2 1 +RD_0f RS2_0d 7 1 +RD_0f RS2_0e 8 1 +RD_0f RS2_0f 4 1 +RD_0f RS2_11 10 1 +RD_0f RS2_12 5 1 +RD_0f RS2_13 4 1 +RD_0f RS2_14 6 1 +RD_0f RS2_15 6 1 +RD_0f RS2_16 3 1 +RD_0f RS2_17 10 1 +RD_0f RS2_18 2 1 +RD_0f RS2_19 7 1 +RD_0f RS2_1a 5 1 +RD_0f RS2_1b 8 1 +RD_0f RS2_1c 4 1 +RD_0f RS2_1d 5 1 +RD_0f RS2_1e 10 1 +RD_0f RS2_1f 2 1 +RD_10 RS2_00 5 1 +RD_10 RS2_01 12 1 +RD_10 RS2_02 3 1 +RD_10 RS2_04 5 1 +RD_10 RS2_05 3 1 +RD_10 RS2_06 1 1 +RD_10 RS2_07 3 1 +RD_10 RS2_08 3 1 +RD_10 RS2_09 5 1 +RD_10 RS2_0a 3 1 +RD_10 RS2_0b 5 1 +RD_10 RS2_0c 7 1 +RD_10 RS2_0d 8 1 +RD_10 RS2_0e 5 1 +RD_10 RS2_0f 2 1 +RD_10 RS2_10 6 1 +RD_10 RS2_11 11 1 +RD_10 RS2_12 9 1 +RD_10 RS2_13 5 1 +RD_10 RS2_14 6 1 +RD_10 RS2_15 8 1 +RD_10 RS2_16 2 1 +RD_10 RS2_17 5 1 +RD_10 RS2_18 6 1 +RD_10 RS2_19 7 1 +RD_10 RS2_1a 1 1 +RD_10 RS2_1b 4 1 +RD_10 RS2_1c 6 1 +RD_10 RS2_1d 5 1 +RD_10 RS2_1e 2 1 +RD_10 RS2_1f 4 1 +RD_11 RS2_00 8 1 +RD_11 RS2_01 13 1 +RD_11 RS2_02 2 1 +RD_11 RS2_03 9 1 +RD_11 RS2_04 3 1 +RD_11 RS2_05 9 1 +RD_11 RS2_06 8 1 +RD_11 RS2_07 4 1 +RD_11 RS2_08 5 1 +RD_11 RS2_09 4 1 +RD_11 RS2_0a 2 1 +RD_11 RS2_0b 6 1 +RD_11 RS2_0c 6 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 2 1 +RD_11 RS2_0f 5 1 +RD_11 RS2_10 2 1 +RD_11 RS2_11 5 1 +RD_11 RS2_12 2 1 +RD_11 RS2_13 3 1 +RD_11 RS2_14 6 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 2 1 +RD_11 RS2_17 3 1 +RD_11 RS2_18 7 1 +RD_11 RS2_19 3 1 +RD_11 RS2_1a 3 1 +RD_11 RS2_1b 9 1 +RD_11 RS2_1c 8 1 +RD_11 RS2_1d 2 1 +RD_11 RS2_1e 10 1 +RD_11 RS2_1f 3 1 +RD_12 RS2_00 3 1 +RD_12 RS2_01 8 1 +RD_12 RS2_02 5 1 +RD_12 RS2_03 3 1 +RD_12 RS2_04 2 1 +RD_12 RS2_05 6 1 +RD_12 RS2_06 10 1 +RD_12 RS2_07 11 1 +RD_12 RS2_08 6 1 +RD_12 RS2_09 4 1 +RD_12 RS2_0a 5 1 +RD_12 RS2_0b 4 1 +RD_12 RS2_0c 6 1 +RD_12 RS2_0d 5 1 +RD_12 RS2_0e 4 1 +RD_12 RS2_0f 3 1 +RD_12 RS2_10 7 1 +RD_12 RS2_11 4 1 +RD_12 RS2_12 6 1 +RD_12 RS2_13 7 1 +RD_12 RS2_14 4 1 +RD_12 RS2_15 5 1 +RD_12 RS2_16 3 1 +RD_12 RS2_17 3 1 +RD_12 RS2_18 2 1 +RD_12 RS2_19 4 1 +RD_12 RS2_1a 3 1 +RD_12 RS2_1b 3 1 +RD_12 RS2_1c 3 1 +RD_12 RS2_1d 9 1 +RD_12 RS2_1e 4 1 +RD_12 RS2_1f 3 1 +RD_13 RS2_00 5 1 +RD_13 RS2_01 8 1 +RD_13 RS2_02 5 1 +RD_13 RS2_03 6 1 +RD_13 RS2_04 3 1 +RD_13 RS2_05 8 1 +RD_13 RS2_06 4 1 +RD_13 RS2_07 5 1 +RD_13 RS2_08 7 1 +RD_13 RS2_09 6 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 6 1 +RD_13 RS2_0c 10 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 7 1 +RD_13 RS2_0f 9 1 +RD_13 RS2_10 7 1 +RD_13 RS2_11 5 1 +RD_13 RS2_12 6 1 +RD_13 RS2_13 5 1 +RD_13 RS2_14 4 1 +RD_13 RS2_15 6 1 +RD_13 RS2_16 9 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 2 1 +RD_13 RS2_19 3 1 +RD_13 RS2_1a 5 1 +RD_13 RS2_1b 1 1 +RD_13 RS2_1c 7 1 +RD_13 RS2_1d 2 1 +RD_13 RS2_1e 6 1 +RD_13 RS2_1f 2 1 +RD_14 RS2_00 3 1 +RD_14 RS2_02 9 1 +RD_14 RS2_03 7 1 +RD_14 RS2_04 6 1 +RD_14 RS2_05 9 1 +RD_14 RS2_06 4 1 +RD_14 RS2_07 3 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 6 1 +RD_14 RS2_0a 6 1 +RD_14 RS2_0b 7 1 +RD_14 RS2_0c 7 1 +RD_14 RS2_0d 1 1 +RD_14 RS2_0e 3 1 +RD_14 RS2_0f 3 1 +RD_14 RS2_10 1 1 +RD_14 RS2_11 4 1 +RD_14 RS2_12 6 1 +RD_14 RS2_13 6 1 +RD_14 RS2_14 2 1 +RD_14 RS2_15 4 1 +RD_14 RS2_16 3 1 +RD_14 RS2_17 5 1 +RD_14 RS2_18 10 1 +RD_14 RS2_19 3 1 +RD_14 RS2_1a 6 1 +RD_14 RS2_1b 7 1 +RD_14 RS2_1c 6 1 +RD_14 RS2_1d 6 1 +RD_14 RS2_1e 4 1 +RD_14 RS2_1f 9 1 +RD_15 RS2_00 6 1 +RD_15 RS2_01 5 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 5 1 +RD_15 RS2_04 5 1 +RD_15 RS2_05 7 1 +RD_15 RS2_06 4 1 +RD_15 RS2_07 10 1 +RD_15 RS2_08 4 1 +RD_15 RS2_09 9 1 +RD_15 RS2_0a 4 1 +RD_15 RS2_0b 1 1 +RD_15 RS2_0c 12 1 +RD_15 RS2_0d 3 1 +RD_15 RS2_0e 6 1 +RD_15 RS2_0f 8 1 +RD_15 RS2_10 3 1 +RD_15 RS2_11 8 1 +RD_15 RS2_12 6 1 +RD_15 RS2_13 5 1 +RD_15 RS2_14 1 1 +RD_15 RS2_15 9 1 +RD_15 RS2_16 3 1 +RD_15 RS2_17 6 1 +RD_15 RS2_18 6 1 +RD_15 RS2_19 8 1 +RD_15 RS2_1a 5 1 +RD_15 RS2_1b 5 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 7 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 9 1 +RD_16 RS2_00 4 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 3 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 5 1 +RD_16 RS2_05 8 1 +RD_16 RS2_06 11 1 +RD_16 RS2_07 6 1 +RD_16 RS2_08 5 1 +RD_16 RS2_09 10 1 +RD_16 RS2_0a 5 1 +RD_16 RS2_0b 4 1 +RD_16 RS2_0c 3 1 +RD_16 RS2_0d 2 1 +RD_16 RS2_0e 6 1 +RD_16 RS2_0f 3 1 +RD_16 RS2_10 3 1 +RD_16 RS2_11 10 1 +RD_16 RS2_12 3 1 +RD_16 RS2_13 5 1 +RD_16 RS2_14 1 1 +RD_16 RS2_15 9 1 +RD_16 RS2_16 5 1 +RD_16 RS2_17 2 1 +RD_16 RS2_18 3 1 +RD_16 RS2_19 7 1 +RD_16 RS2_1b 3 1 +RD_16 RS2_1c 11 1 +RD_16 RS2_1d 1 1 +RD_16 RS2_1e 3 1 +RD_16 RS2_1f 5 1 +RD_17 RS2_00 5 1 +RD_17 RS2_01 7 1 +RD_17 RS2_02 5 1 +RD_17 RS2_03 4 1 +RD_17 RS2_04 6 1 +RD_17 RS2_05 4 1 +RD_17 RS2_06 11 1 +RD_17 RS2_07 4 1 +RD_17 RS2_08 5 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 6 1 +RD_17 RS2_0b 4 1 +RD_17 RS2_0c 2 1 +RD_17 RS2_0d 4 1 +RD_17 RS2_0e 4 1 +RD_17 RS2_0f 9 1 +RD_17 RS2_10 5 1 +RD_17 RS2_11 8 1 +RD_17 RS2_12 11 1 +RD_17 RS2_13 8 1 +RD_17 RS2_14 7 1 +RD_17 RS2_15 2 1 +RD_17 RS2_16 4 1 +RD_17 RS2_17 8 1 +RD_17 RS2_18 5 1 +RD_17 RS2_19 6 1 +RD_17 RS2_1a 2 1 +RD_17 RS2_1b 5 1 +RD_17 RS2_1c 8 1 +RD_17 RS2_1d 2 1 +RD_17 RS2_1e 8 1 +RD_17 RS2_1f 4 1 +RD_18 RS2_00 5 1 +RD_18 RS2_01 3 1 +RD_18 RS2_02 7 1 +RD_18 RS2_03 4 1 +RD_18 RS2_04 2 1 +RD_18 RS2_05 3 1 +RD_18 RS2_06 10 1 +RD_18 RS2_07 3 1 +RD_18 RS2_08 9 1 +RD_18 RS2_09 6 1 +RD_18 RS2_0a 5 1 +RD_18 RS2_0b 2 1 +RD_18 RS2_0c 7 1 +RD_18 RS2_0d 8 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 2 1 +RD_18 RS2_10 6 1 +RD_18 RS2_11 2 1 +RD_18 RS2_12 8 1 +RD_18 RS2_13 3 1 +RD_18 RS2_14 5 1 +RD_18 RS2_15 5 1 +RD_18 RS2_16 5 1 +RD_18 RS2_17 4 1 +RD_18 RS2_18 8 1 +RD_18 RS2_19 6 1 +RD_18 RS2_1a 1 1 +RD_18 RS2_1b 4 1 +RD_18 RS2_1c 7 1 +RD_18 RS2_1d 4 1 +RD_18 RS2_1e 2 1 +RD_18 RS2_1f 1 1 +RD_19 RS2_00 3 1 +RD_19 RS2_01 2 1 +RD_19 RS2_02 5 1 +RD_19 RS2_03 6 1 +RD_19 RS2_04 8 1 +RD_19 RS2_05 7 1 +RD_19 RS2_06 3 1 +RD_19 RS2_07 6 1 +RD_19 RS2_08 4 1 +RD_19 RS2_09 3 1 +RD_19 RS2_0a 10 1 +RD_19 RS2_0b 3 1 +RD_19 RS2_0d 4 1 +RD_19 RS2_0e 6 1 +RD_19 RS2_0f 5 1 +RD_19 RS2_10 6 1 +RD_19 RS2_11 1 1 +RD_19 RS2_12 6 1 +RD_19 RS2_13 6 1 +RD_19 RS2_14 3 1 +RD_19 RS2_15 5 1 +RD_19 RS2_16 2 1 +RD_19 RS2_17 9 1 +RD_19 RS2_18 1 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 10 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 1 1 +RD_19 RS2_1d 4 1 +RD_19 RS2_1e 5 1 +RD_19 RS2_1f 6 1 +RD_1a RS2_00 1 1 +RD_1a RS2_01 5 1 +RD_1a RS2_02 5 1 +RD_1a RS2_03 7 1 +RD_1a RS2_04 5 1 +RD_1a RS2_05 6 1 +RD_1a RS2_06 8 1 +RD_1a RS2_07 5 1 +RD_1a RS2_08 3 1 +RD_1a RS2_09 6 1 +RD_1a RS2_0a 5 1 +RD_1a RS2_0b 8 1 +RD_1a RS2_0c 2 1 +RD_1a RS2_0d 6 1 +RD_1a RS2_0e 6 1 +RD_1a RS2_0f 9 1 +RD_1a RS2_10 5 1 +RD_1a RS2_11 6 1 +RD_1a RS2_12 5 1 +RD_1a RS2_13 4 1 +RD_1a RS2_14 4 1 +RD_1a RS2_15 9 1 +RD_1a RS2_16 5 1 +RD_1a RS2_17 6 1 +RD_1a RS2_18 6 1 +RD_1a RS2_19 4 1 +RD_1a RS2_1a 2 1 +RD_1a RS2_1b 5 1 +RD_1a RS2_1c 2 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 5 1 +RD_1a RS2_1f 6 1 +RD_1b RS2_00 8 1 +RD_1b RS2_01 6 1 +RD_1b RS2_02 3 1 +RD_1b RS2_03 2 1 +RD_1b RS2_04 8 1 +RD_1b RS2_05 5 1 +RD_1b RS2_06 5 1 +RD_1b RS2_07 5 1 +RD_1b RS2_08 8 1 +RD_1b RS2_09 1 1 +RD_1b RS2_0a 6 1 +RD_1b RS2_0b 3 1 +RD_1b RS2_0c 5 1 +RD_1b RS2_0d 1 1 +RD_1b RS2_0e 4 1 +RD_1b RS2_0f 3 1 +RD_1b RS2_10 9 1 +RD_1b RS2_11 3 1 +RD_1b RS2_12 3 1 +RD_1b RS2_13 5 1 +RD_1b RS2_14 3 1 +RD_1b RS2_15 3 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 2 1 +RD_1b RS2_18 11 1 +RD_1b RS2_19 7 1 +RD_1b RS2_1a 5 1 +RD_1b RS2_1b 1 1 +RD_1b RS2_1c 1 1 +RD_1b RS2_1d 7 1 +RD_1b RS2_1e 3 1 +RD_1b RS2_1f 4 1 +RD_1c RS2_00 6 1 +RD_1c RS2_01 6 1 +RD_1c RS2_02 4 1 +RD_1c RS2_03 7 1 +RD_1c RS2_04 1 1 +RD_1c RS2_05 3 1 +RD_1c RS2_06 4 1 +RD_1c RS2_07 11 1 +RD_1c RS2_08 8 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 5 1 +RD_1c RS2_0b 2 1 +RD_1c RS2_0c 4 1 +RD_1c RS2_0d 7 1 +RD_1c RS2_0e 4 1 +RD_1c RS2_0f 7 1 +RD_1c RS2_11 3 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 3 1 +RD_1c RS2_14 4 1 +RD_1c RS2_15 2 1 +RD_1c RS2_16 6 1 +RD_1c RS2_17 4 1 +RD_1c RS2_18 2 1 +RD_1c RS2_19 2 1 +RD_1c RS2_1a 8 1 +RD_1c RS2_1b 5 1 +RD_1c RS2_1c 4 1 +RD_1c RS2_1e 3 1 +RD_1c RS2_1f 6 1 +RD_1d RS2_00 1 1 +RD_1d RS2_01 5 1 +RD_1d RS2_02 5 1 +RD_1d RS2_03 5 1 +RD_1d RS2_04 4 1 +RD_1d RS2_05 2 1 +RD_1d RS2_06 3 1 +RD_1d RS2_07 5 1 +RD_1d RS2_08 4 1 +RD_1d RS2_09 5 1 +RD_1d RS2_0a 1 1 +RD_1d RS2_0b 5 1 +RD_1d RS2_0c 5 1 +RD_1d RS2_0d 5 1 +RD_1d RS2_0e 4 1 +RD_1d RS2_0f 6 1 +RD_1d RS2_10 3 1 +RD_1d RS2_11 6 1 +RD_1d RS2_12 6 1 +RD_1d RS2_13 7 1 +RD_1d RS2_14 4 1 +RD_1d RS2_15 6 1 +RD_1d RS2_16 4 1 +RD_1d RS2_17 4 1 +RD_1d RS2_18 9 1 +RD_1d RS2_19 7 1 +RD_1d RS2_1a 5 1 +RD_1d RS2_1b 5 1 +RD_1d RS2_1c 6 1 +RD_1d RS2_1d 3 1 +RD_1d RS2_1e 8 1 +RD_1d RS2_1f 1 1 +RD_1e RS2_00 8 1 +RD_1e RS2_01 4 1 +RD_1e RS2_02 6 1 +RD_1e RS2_03 7 1 +RD_1e RS2_04 3 1 +RD_1e RS2_05 1 1 +RD_1e RS2_06 6 1 +RD_1e RS2_07 5 1 +RD_1e RS2_08 8 1 +RD_1e RS2_09 4 1 +RD_1e RS2_0a 4 1 +RD_1e RS2_0b 3 1 +RD_1e RS2_0c 4 1 +RD_1e RS2_0d 7 1 +RD_1e RS2_0e 5 1 +RD_1e RS2_0f 3 1 +RD_1e RS2_10 6 1 +RD_1e RS2_11 5 1 +RD_1e RS2_12 7 1 +RD_1e RS2_13 4 1 +RD_1e RS2_14 3 1 +RD_1e RS2_15 2 1 +RD_1e RS2_16 5 1 +RD_1e RS2_17 4 1 +RD_1e RS2_18 4 1 +RD_1e RS2_19 6 1 +RD_1e RS2_1a 4 1 +RD_1e RS2_1b 5 1 +RD_1e RS2_1c 9 1 +RD_1e RS2_1d 4 1 +RD_1e RS2_1e 9 1 +RD_1e RS2_1f 5 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 6 1 +RD_1f RS2_02 3 1 +RD_1f RS2_03 3 1 +RD_1f RS2_04 6 1 +RD_1f RS2_05 11 1 +RD_1f RS2_06 9 1 +RD_1f RS2_07 4 1 +RD_1f RS2_08 4 1 +RD_1f RS2_09 8 1 +RD_1f RS2_0a 7 1 +RD_1f RS2_0b 5 1 +RD_1f RS2_0c 4 1 +RD_1f RS2_0d 6 1 +RD_1f RS2_0e 3 1 +RD_1f RS2_0f 10 1 +RD_1f RS2_10 6 1 +RD_1f RS2_11 3 1 +RD_1f RS2_12 3 1 +RD_1f RS2_13 5 1 +RD_1f RS2_14 4 1 +RD_1f RS2_15 4 1 +RD_1f RS2_16 9 1 +RD_1f RS2_17 4 1 +RD_1f RS2_18 5 1 +RD_1f RS2_19 7 1 +RD_1f RS2_1a 2 1 +RD_1f RS2_1b 6 1 +RD_1f RS2_1c 4 1 +RD_1f RS2_1d 8 1 +RD_1f RS2_1e 10 1 +RD_1f RS2_1f 3 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_madd_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.79 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 15 2033 99.27 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 8 1016 99.22 100 1 1 0 +cross_rd_rs2 1024 7 1017 99.32 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 200 1 +RD_01 154 1 +RD_02 167 1 +RD_03 178 1 +RD_04 163 1 +RD_05 145 1 +RD_06 176 1 +RD_07 165 1 +RD_08 148 1 +RD_09 170 1 +RD_0a 154 1 +RD_0b 184 1 +RD_0c 161 1 +RD_0d 169 1 +RD_0e 144 1 +RD_0f 191 1 +RD_10 156 1 +RD_11 183 1 +RD_12 179 1 +RD_13 165 1 +RD_14 179 1 +RD_15 163 1 +RD_16 181 1 +RD_17 158 1 +RD_18 156 1 +RD_19 157 1 +RD_1a 168 1 +RD_1b 194 1 +RD_1c 177 1 +RD_1d 154 1 +RD_1e 169 1 +RD_1f 168 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 173 1 +RS1_01 142 1 +RS1_02 168 1 +RS1_03 132 1 +RS1_04 173 1 +RS1_05 189 1 +RS1_06 173 1 +RS1_07 163 1 +RS1_08 151 1 +RS1_09 181 1 +RS1_0a 163 1 +RS1_0b 165 1 +RS1_0c 149 1 +RS1_0d 158 1 +RS1_0e 176 1 +RS1_0f 163 1 +RS1_10 164 1 +RS1_11 185 1 +RS1_12 174 1 +RS1_13 167 1 +RS1_14 183 1 +RS1_15 184 1 +RS1_16 158 1 +RS1_17 159 1 +RS1_18 168 1 +RS1_19 204 1 +RS1_1a 158 1 +RS1_1b 178 1 +RS1_1c 161 1 +RS1_1d 190 1 +RS1_1e 148 1 +RS1_1f 176 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 164 1 +RS2_01 169 1 +RS2_02 174 1 +RS2_03 191 1 +RS2_04 186 1 +RS2_05 157 1 +RS2_06 190 1 +RS2_07 164 1 +RS2_08 168 1 +RS2_09 173 1 +RS2_0a 173 1 +RS2_0b 166 1 +RS2_0c 175 1 +RS2_0d 150 1 +RS2_0e 157 1 +RS2_0f 169 1 +RS2_10 149 1 +RS2_11 153 1 +RS2_12 170 1 +RS2_13 173 1 +RS2_14 167 1 +RS2_15 177 1 +RS2_16 155 1 +RS2_17 168 1 +RS2_18 163 1 +RS2_19 191 1 +RS2_1a 163 1 +RS2_1b 155 1 +RS2_1c 160 1 +RS2_1d 146 1 +RS2_1e 175 1 +RS2_1f 185 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2518 1 +BIT30_1 1958 1 +BIT29_1 1957 1 +BIT28_1 2018 1 +BIT27_1 1894 1 +BIT26_1 1888 1 +BIT25_1 1944 1 +BIT24_1 1945 1 +BIT23_1 1876 1 +BIT22_1 1939 1 +BIT21_1 1908 1 +BIT20_1 1938 1 +BIT19_1 1910 1 +BIT18_1 1902 1 +BIT17_1 1924 1 +BIT16_1 2044 1 +BIT15_1 2122 1 +BIT14_1 2186 1 +BIT13_1 2025 1 +BIT12_1 2421 1 +BIT11_1 2359 1 +BIT10_1 2291 1 +BIT9_1 2161 1 +BIT8_1 2092 1 +BIT7_1 2216 1 +BIT6_1 2017 1 +BIT5_1 2159 1 +BIT4_1 2503 1 +BIT3_1 2550 1 +BIT2_1 2497 1 +BIT1_1 2053 1 +BIT0_1 1713 1 +BIT31_0 2858 1 +BIT30_0 3418 1 +BIT29_0 3419 1 +BIT28_0 3358 1 +BIT27_0 3482 1 +BIT26_0 3488 1 +BIT25_0 3432 1 +BIT24_0 3431 1 +BIT23_0 3500 1 +BIT22_0 3437 1 +BIT21_0 3468 1 +BIT20_0 3438 1 +BIT19_0 3466 1 +BIT18_0 3474 1 +BIT17_0 3452 1 +BIT16_0 3332 1 +BIT15_0 3254 1 +BIT14_0 3190 1 +BIT13_0 3351 1 +BIT12_0 2955 1 +BIT11_0 3017 1 +BIT10_0 3085 1 +BIT9_0 3215 1 +BIT8_0 3284 1 +BIT7_0 3160 1 +BIT6_0 3359 1 +BIT5_0 3217 1 +BIT4_0 2873 1 +BIT3_0 2826 1 +BIT2_0 2879 1 +BIT1_0 3323 1 +BIT0_0 3663 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2550 1 +BIT30_1 1943 1 +BIT29_1 1955 1 +BIT28_1 2000 1 +BIT27_1 1895 1 +BIT26_1 1910 1 +BIT25_1 1913 1 +BIT24_1 1914 1 +BIT23_1 1903 1 +BIT22_1 1881 1 +BIT21_1 1933 1 +BIT20_1 1912 1 +BIT19_1 1927 1 +BIT18_1 1909 1 +BIT17_1 1870 1 +BIT16_1 1972 1 +BIT15_1 2132 1 +BIT14_1 2096 1 +BIT13_1 2029 1 +BIT12_1 2422 1 +BIT11_1 2383 1 +BIT10_1 2326 1 +BIT9_1 2126 1 +BIT8_1 2032 1 +BIT7_1 2155 1 +BIT6_1 1984 1 +BIT5_1 1969 1 +BIT4_1 2460 1 +BIT3_1 2511 1 +BIT2_1 2423 1 +BIT1_1 1976 1 +BIT0_1 1768 1 +BIT31_0 2826 1 +BIT30_0 3433 1 +BIT29_0 3421 1 +BIT28_0 3376 1 +BIT27_0 3481 1 +BIT26_0 3466 1 +BIT25_0 3463 1 +BIT24_0 3462 1 +BIT23_0 3473 1 +BIT22_0 3495 1 +BIT21_0 3443 1 +BIT20_0 3464 1 +BIT19_0 3449 1 +BIT18_0 3467 1 +BIT17_0 3506 1 +BIT16_0 3404 1 +BIT15_0 3244 1 +BIT14_0 3280 1 +BIT13_0 3347 1 +BIT12_0 2954 1 +BIT11_0 2993 1 +BIT10_0 3050 1 +BIT9_0 3250 1 +BIT8_0 3344 1 +BIT7_0 3221 1 +BIT6_0 3392 1 +BIT5_0 3407 1 +BIT4_0 2916 1 +BIT3_0 2865 1 +BIT2_0 2953 1 +BIT1_0 3400 1 +BIT0_0 3608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 8 1016 99.22 8 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_03] [RS1_16] 0 1 1 +[RD_08] [RS1_1f] 0 1 1 +[RD_0b] [RS1_01] 0 1 1 +[RD_0e] [RS1_01] 0 1 1 +[RD_0e] [RS1_03] 0 1 1 +[RD_0f] [RS1_14] 0 1 1 +[RD_1e] [RS1_02] 0 1 1 +[RD_1f] [RS1_11] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 2 1 +RD_00 RS1_01 5 1 +RD_00 RS1_02 8 1 +RD_00 RS1_03 6 1 +RD_00 RS1_04 8 1 +RD_00 RS1_05 4 1 +RD_00 RS1_06 7 1 +RD_00 RS1_07 9 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 6 1 +RD_00 RS1_0a 3 1 +RD_00 RS1_0b 2 1 +RD_00 RS1_0c 9 1 +RD_00 RS1_0d 4 1 +RD_00 RS1_0e 5 1 +RD_00 RS1_0f 7 1 +RD_00 RS1_10 5 1 +RD_00 RS1_11 3 1 +RD_00 RS1_12 12 1 +RD_00 RS1_13 8 1 +RD_00 RS1_14 9 1 +RD_00 RS1_15 14 1 +RD_00 RS1_16 7 1 +RD_00 RS1_17 4 1 +RD_00 RS1_18 9 1 +RD_00 RS1_19 4 1 +RD_00 RS1_1a 6 1 +RD_00 RS1_1b 10 1 +RD_00 RS1_1c 5 1 +RD_00 RS1_1d 4 1 +RD_00 RS1_1e 9 1 +RD_00 RS1_1f 3 1 +RD_01 RS1_00 2 1 +RD_01 RS1_01 5 1 +RD_01 RS1_02 6 1 +RD_01 RS1_03 1 1 +RD_01 RS1_04 4 1 +RD_01 RS1_05 10 1 +RD_01 RS1_06 9 1 +RD_01 RS1_07 5 1 +RD_01 RS1_08 3 1 +RD_01 RS1_09 8 1 +RD_01 RS1_0a 6 1 +RD_01 RS1_0b 4 1 +RD_01 RS1_0c 4 1 +RD_01 RS1_0d 1 1 +RD_01 RS1_0e 4 1 +RD_01 RS1_0f 3 1 +RD_01 RS1_10 1 1 +RD_01 RS1_11 13 1 +RD_01 RS1_12 3 1 +RD_01 RS1_13 3 1 +RD_01 RS1_14 5 1 +RD_01 RS1_15 4 1 +RD_01 RS1_16 2 1 +RD_01 RS1_17 8 1 +RD_01 RS1_18 6 1 +RD_01 RS1_19 8 1 +RD_01 RS1_1a 7 1 +RD_01 RS1_1b 4 1 +RD_01 RS1_1c 3 1 +RD_01 RS1_1d 3 1 +RD_01 RS1_1e 3 1 +RD_01 RS1_1f 6 1 +RD_02 RS1_00 10 1 +RD_02 RS1_01 4 1 +RD_02 RS1_02 7 1 +RD_02 RS1_03 9 1 +RD_02 RS1_04 6 1 +RD_02 RS1_05 9 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 1 1 +RD_02 RS1_08 3 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 4 1 +RD_02 RS1_0b 4 1 +RD_02 RS1_0c 4 1 +RD_02 RS1_0d 8 1 +RD_02 RS1_0e 5 1 +RD_02 RS1_0f 3 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 3 1 +RD_02 RS1_12 4 1 +RD_02 RS1_13 6 1 +RD_02 RS1_14 8 1 +RD_02 RS1_15 4 1 +RD_02 RS1_16 4 1 +RD_02 RS1_17 2 1 +RD_02 RS1_18 6 1 +RD_02 RS1_19 8 1 +RD_02 RS1_1a 3 1 +RD_02 RS1_1b 6 1 +RD_02 RS1_1c 7 1 +RD_02 RS1_1d 6 1 +RD_02 RS1_1e 4 1 +RD_02 RS1_1f 5 1 +RD_03 RS1_00 8 1 +RD_03 RS1_01 1 1 +RD_03 RS1_02 4 1 +RD_03 RS1_03 3 1 +RD_03 RS1_04 6 1 +RD_03 RS1_05 11 1 +RD_03 RS1_06 3 1 +RD_03 RS1_07 4 1 +RD_03 RS1_08 5 1 +RD_03 RS1_09 3 1 +RD_03 RS1_0a 9 1 +RD_03 RS1_0b 7 1 +RD_03 RS1_0c 4 1 +RD_03 RS1_0d 3 1 +RD_03 RS1_0e 9 1 +RD_03 RS1_0f 7 1 +RD_03 RS1_10 6 1 +RD_03 RS1_11 11 1 +RD_03 RS1_12 6 1 +RD_03 RS1_13 7 1 +RD_03 RS1_14 4 1 +RD_03 RS1_15 6 1 +RD_03 RS1_17 6 1 +RD_03 RS1_18 7 1 +RD_03 RS1_19 3 1 +RD_03 RS1_1a 6 1 +RD_03 RS1_1b 7 1 +RD_03 RS1_1c 4 1 +RD_03 RS1_1d 8 1 +RD_03 RS1_1e 3 1 +RD_03 RS1_1f 7 1 +RD_04 RS1_00 5 1 +RD_04 RS1_01 5 1 +RD_04 RS1_02 4 1 +RD_04 RS1_03 2 1 +RD_04 RS1_04 7 1 +RD_04 RS1_05 4 1 +RD_04 RS1_06 7 1 +RD_04 RS1_07 4 1 +RD_04 RS1_08 4 1 +RD_04 RS1_09 1 1 +RD_04 RS1_0a 10 1 +RD_04 RS1_0b 5 1 +RD_04 RS1_0c 4 1 +RD_04 RS1_0d 2 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 7 1 +RD_04 RS1_10 2 1 +RD_04 RS1_11 9 1 +RD_04 RS1_12 3 1 +RD_04 RS1_13 5 1 +RD_04 RS1_14 4 1 +RD_04 RS1_15 9 1 +RD_04 RS1_16 5 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 2 1 +RD_04 RS1_19 10 1 +RD_04 RS1_1a 6 1 +RD_04 RS1_1b 7 1 +RD_04 RS1_1c 3 1 +RD_04 RS1_1d 5 1 +RD_04 RS1_1e 5 1 +RD_04 RS1_1f 5 1 +RD_05 RS1_00 5 1 +RD_05 RS1_01 5 1 +RD_05 RS1_02 7 1 +RD_05 RS1_03 7 1 +RD_05 RS1_04 7 1 +RD_05 RS1_05 5 1 +RD_05 RS1_06 5 1 +RD_05 RS1_07 8 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 9 1 +RD_05 RS1_0a 7 1 +RD_05 RS1_0b 4 1 +RD_05 RS1_0c 2 1 +RD_05 RS1_0d 3 1 +RD_05 RS1_0e 5 1 +RD_05 RS1_0f 3 1 +RD_05 RS1_10 5 1 +RD_05 RS1_11 2 1 +RD_05 RS1_12 5 1 +RD_05 RS1_13 1 1 +RD_05 RS1_14 8 1 +RD_05 RS1_15 4 1 +RD_05 RS1_16 4 1 +RD_05 RS1_17 5 1 +RD_05 RS1_18 4 1 +RD_05 RS1_19 6 1 +RD_05 RS1_1a 1 1 +RD_05 RS1_1b 2 1 +RD_05 RS1_1c 2 1 +RD_05 RS1_1d 4 1 +RD_05 RS1_1e 3 1 +RD_05 RS1_1f 3 1 +RD_06 RS1_00 8 1 +RD_06 RS1_01 4 1 +RD_06 RS1_02 7 1 +RD_06 RS1_03 3 1 +RD_06 RS1_04 13 1 +RD_06 RS1_05 5 1 +RD_06 RS1_06 7 1 +RD_06 RS1_07 5 1 +RD_06 RS1_08 5 1 +RD_06 RS1_09 9 1 +RD_06 RS1_0a 3 1 +RD_06 RS1_0b 7 1 +RD_06 RS1_0c 3 1 +RD_06 RS1_0d 3 1 +RD_06 RS1_0e 1 1 +RD_06 RS1_0f 4 1 +RD_06 RS1_10 6 1 +RD_06 RS1_11 6 1 +RD_06 RS1_12 3 1 +RD_06 RS1_13 6 1 +RD_06 RS1_14 8 1 +RD_06 RS1_15 4 1 +RD_06 RS1_16 5 1 +RD_06 RS1_17 7 1 +RD_06 RS1_18 4 1 +RD_06 RS1_19 12 1 +RD_06 RS1_1a 1 1 +RD_06 RS1_1b 7 1 +RD_06 RS1_1c 6 1 +RD_06 RS1_1d 4 1 +RD_06 RS1_1e 1 1 +RD_06 RS1_1f 9 1 +RD_07 RS1_00 4 1 +RD_07 RS1_01 4 1 +RD_07 RS1_02 2 1 +RD_07 RS1_03 4 1 +RD_07 RS1_04 4 1 +RD_07 RS1_05 6 1 +RD_07 RS1_06 3 1 +RD_07 RS1_07 4 1 +RD_07 RS1_08 5 1 +RD_07 RS1_09 7 1 +RD_07 RS1_0a 4 1 +RD_07 RS1_0b 3 1 +RD_07 RS1_0c 7 1 +RD_07 RS1_0d 4 1 +RD_07 RS1_0e 9 1 +RD_07 RS1_0f 4 1 +RD_07 RS1_10 8 1 +RD_07 RS1_11 6 1 +RD_07 RS1_12 3 1 +RD_07 RS1_13 3 1 +RD_07 RS1_14 9 1 +RD_07 RS1_15 5 1 +RD_07 RS1_16 7 1 +RD_07 RS1_17 8 1 +RD_07 RS1_18 7 1 +RD_07 RS1_19 5 1 +RD_07 RS1_1a 6 1 +RD_07 RS1_1b 4 1 +RD_07 RS1_1c 5 1 +RD_07 RS1_1d 3 1 +RD_07 RS1_1e 4 1 +RD_07 RS1_1f 8 1 +RD_08 RS1_00 3 1 +RD_08 RS1_01 4 1 +RD_08 RS1_02 8 1 +RD_08 RS1_03 4 1 +RD_08 RS1_04 2 1 +RD_08 RS1_05 2 1 +RD_08 RS1_06 8 1 +RD_08 RS1_07 7 1 +RD_08 RS1_08 4 1 +RD_08 RS1_09 6 1 +RD_08 RS1_0a 3 1 +RD_08 RS1_0b 5 1 +RD_08 RS1_0c 5 1 +RD_08 RS1_0d 5 1 +RD_08 RS1_0e 2 1 +RD_08 RS1_0f 2 1 +RD_08 RS1_10 3 1 +RD_08 RS1_11 9 1 +RD_08 RS1_12 3 1 +RD_08 RS1_13 1 1 +RD_08 RS1_14 5 1 +RD_08 RS1_15 5 1 +RD_08 RS1_16 5 1 +RD_08 RS1_17 5 1 +RD_08 RS1_18 4 1 +RD_08 RS1_19 5 1 +RD_08 RS1_1a 5 1 +RD_08 RS1_1b 9 1 +RD_08 RS1_1c 5 1 +RD_08 RS1_1d 6 1 +RD_08 RS1_1e 8 1 +RD_09 RS1_00 8 1 +RD_09 RS1_01 3 1 +RD_09 RS1_02 5 1 +RD_09 RS1_03 2 1 +RD_09 RS1_04 1 1 +RD_09 RS1_05 12 1 +RD_09 RS1_06 7 1 +RD_09 RS1_07 7 1 +RD_09 RS1_08 7 1 +RD_09 RS1_09 6 1 +RD_09 RS1_0a 5 1 +RD_09 RS1_0b 3 1 +RD_09 RS1_0c 3 1 +RD_09 RS1_0d 3 1 +RD_09 RS1_0e 6 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 3 1 +RD_09 RS1_11 3 1 +RD_09 RS1_12 7 1 +RD_09 RS1_13 7 1 +RD_09 RS1_14 7 1 +RD_09 RS1_15 6 1 +RD_09 RS1_16 1 1 +RD_09 RS1_17 4 1 +RD_09 RS1_18 8 1 +RD_09 RS1_19 5 1 +RD_09 RS1_1a 3 1 +RD_09 RS1_1b 7 1 +RD_09 RS1_1c 3 1 +RD_09 RS1_1d 11 1 +RD_09 RS1_1e 5 1 +RD_09 RS1_1f 7 1 +RD_0a RS1_00 3 1 +RD_0a RS1_01 5 1 +RD_0a RS1_02 5 1 +RD_0a RS1_03 8 1 +RD_0a RS1_04 4 1 +RD_0a RS1_05 4 1 +RD_0a RS1_06 6 1 +RD_0a RS1_07 6 1 +RD_0a RS1_08 5 1 +RD_0a RS1_09 2 1 +RD_0a RS1_0a 2 1 +RD_0a RS1_0b 6 1 +RD_0a RS1_0c 4 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 8 1 +RD_0a RS1_0f 11 1 +RD_0a RS1_10 5 1 +RD_0a RS1_11 8 1 +RD_0a RS1_12 7 1 +RD_0a RS1_13 3 1 +RD_0a RS1_14 4 1 +RD_0a RS1_15 6 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 3 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 8 1 +RD_0a RS1_1a 5 1 +RD_0a RS1_1b 4 1 +RD_0a RS1_1c 2 1 +RD_0a RS1_1d 3 1 +RD_0a RS1_1e 2 1 +RD_0a RS1_1f 2 1 +RD_0b RS1_00 5 1 +RD_0b RS1_02 3 1 +RD_0b RS1_03 2 1 +RD_0b RS1_04 6 1 +RD_0b RS1_05 12 1 +RD_0b RS1_06 4 1 +RD_0b RS1_07 4 1 +RD_0b RS1_08 3 1 +RD_0b RS1_09 6 1 +RD_0b RS1_0a 5 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 5 1 +RD_0b RS1_0e 6 1 +RD_0b RS1_0f 7 1 +RD_0b RS1_10 9 1 +RD_0b RS1_11 4 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 9 1 +RD_0b RS1_14 6 1 +RD_0b RS1_15 2 1 +RD_0b RS1_16 3 1 +RD_0b RS1_17 7 1 +RD_0b RS1_18 10 1 +RD_0b RS1_19 6 1 +RD_0b RS1_1a 11 1 +RD_0b RS1_1b 5 1 +RD_0b RS1_1c 8 1 +RD_0b RS1_1d 14 1 +RD_0b RS1_1e 3 1 +RD_0b RS1_1f 4 1 +RD_0c RS1_00 6 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 5 1 +RD_0c RS1_03 2 1 +RD_0c RS1_04 7 1 +RD_0c RS1_05 3 1 +RD_0c RS1_06 2 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 3 1 +RD_0c RS1_09 6 1 +RD_0c RS1_0a 3 1 +RD_0c RS1_0b 1 1 +RD_0c RS1_0c 7 1 +RD_0c RS1_0d 6 1 +RD_0c RS1_0e 1 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 4 1 +RD_0c RS1_11 6 1 +RD_0c RS1_12 4 1 +RD_0c RS1_13 5 1 +RD_0c RS1_14 12 1 +RD_0c RS1_15 1 1 +RD_0c RS1_16 10 1 +RD_0c RS1_17 3 1 +RD_0c RS1_18 4 1 +RD_0c RS1_19 2 1 +RD_0c RS1_1a 4 1 +RD_0c RS1_1b 10 1 +RD_0c RS1_1c 6 1 +RD_0c RS1_1d 5 1 +RD_0c RS1_1e 8 1 +RD_0c RS1_1f 11 1 +RD_0d RS1_00 3 1 +RD_0d RS1_01 3 1 +RD_0d RS1_02 6 1 +RD_0d RS1_03 9 1 +RD_0d RS1_04 5 1 +RD_0d RS1_05 5 1 +RD_0d RS1_06 6 1 +RD_0d RS1_07 4 1 +RD_0d RS1_08 5 1 +RD_0d RS1_09 3 1 +RD_0d RS1_0a 6 1 +RD_0d RS1_0b 4 1 +RD_0d RS1_0c 3 1 +RD_0d RS1_0d 3 1 +RD_0d RS1_0e 4 1 +RD_0d RS1_0f 6 1 +RD_0d RS1_10 4 1 +RD_0d RS1_11 7 1 +RD_0d RS1_12 5 1 +RD_0d RS1_13 9 1 +RD_0d RS1_14 3 1 +RD_0d RS1_15 9 1 +RD_0d RS1_16 7 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 6 1 +RD_0d RS1_19 6 1 +RD_0d RS1_1a 8 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 9 1 +RD_0d RS1_1d 8 1 +RD_0d RS1_1e 3 1 +RD_0d RS1_1f 4 1 +RD_0e RS1_00 3 1 +RD_0e RS1_02 4 1 +RD_0e RS1_04 2 1 +RD_0e RS1_05 10 1 +RD_0e RS1_06 5 1 +RD_0e RS1_07 1 1 +RD_0e RS1_08 4 1 +RD_0e RS1_09 6 1 +RD_0e RS1_0a 4 1 +RD_0e RS1_0b 7 1 +RD_0e RS1_0c 4 1 +RD_0e RS1_0d 3 1 +RD_0e RS1_0e 6 1 +RD_0e RS1_0f 7 1 +RD_0e RS1_10 6 1 +RD_0e RS1_11 4 1 +RD_0e RS1_12 9 1 +RD_0e RS1_13 6 1 +RD_0e RS1_14 5 1 +RD_0e RS1_15 6 1 +RD_0e RS1_16 2 1 +RD_0e RS1_17 1 1 +RD_0e RS1_18 2 1 +RD_0e RS1_19 6 1 +RD_0e RS1_1a 3 1 +RD_0e RS1_1b 8 1 +RD_0e RS1_1c 4 1 +RD_0e RS1_1d 7 1 +RD_0e RS1_1e 4 1 +RD_0e RS1_1f 5 1 +RD_0f RS1_00 10 1 +RD_0f RS1_01 2 1 +RD_0f RS1_02 7 1 +RD_0f RS1_03 4 1 +RD_0f RS1_04 7 1 +RD_0f RS1_05 7 1 +RD_0f RS1_06 3 1 +RD_0f RS1_07 4 1 +RD_0f RS1_08 8 1 +RD_0f RS1_09 3 1 +RD_0f RS1_0a 4 1 +RD_0f RS1_0b 3 1 +RD_0f RS1_0c 6 1 +RD_0f RS1_0d 5 1 +RD_0f RS1_0e 3 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 7 1 +RD_0f RS1_11 10 1 +RD_0f RS1_12 9 1 +RD_0f RS1_13 8 1 +RD_0f RS1_15 6 1 +RD_0f RS1_16 5 1 +RD_0f RS1_17 10 1 +RD_0f RS1_18 6 1 +RD_0f RS1_19 9 1 +RD_0f RS1_1a 3 1 +RD_0f RS1_1b 6 1 +RD_0f RS1_1c 9 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 11 1 +RD_0f RS1_1f 5 1 +RD_10 RS1_00 5 1 +RD_10 RS1_01 9 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 5 1 +RD_10 RS1_04 3 1 +RD_10 RS1_05 6 1 +RD_10 RS1_06 2 1 +RD_10 RS1_07 8 1 +RD_10 RS1_08 5 1 +RD_10 RS1_09 4 1 +RD_10 RS1_0a 4 1 +RD_10 RS1_0b 5 1 +RD_10 RS1_0c 5 1 +RD_10 RS1_0d 5 1 +RD_10 RS1_0e 6 1 +RD_10 RS1_0f 3 1 +RD_10 RS1_10 4 1 +RD_10 RS1_11 11 1 +RD_10 RS1_12 1 1 +RD_10 RS1_13 8 1 +RD_10 RS1_14 6 1 +RD_10 RS1_15 4 1 +RD_10 RS1_16 5 1 +RD_10 RS1_17 3 1 +RD_10 RS1_18 3 1 +RD_10 RS1_19 5 1 +RD_10 RS1_1a 6 1 +RD_10 RS1_1b 4 1 +RD_10 RS1_1c 10 1 +RD_10 RS1_1d 3 1 +RD_10 RS1_1e 1 1 +RD_10 RS1_1f 3 1 +RD_11 RS1_00 8 1 +RD_11 RS1_01 5 1 +RD_11 RS1_02 2 1 +RD_11 RS1_03 4 1 +RD_11 RS1_04 8 1 +RD_11 RS1_05 9 1 +RD_11 RS1_06 11 1 +RD_11 RS1_07 4 1 +RD_11 RS1_08 3 1 +RD_11 RS1_09 12 1 +RD_11 RS1_0a 5 1 +RD_11 RS1_0b 4 1 +RD_11 RS1_0c 5 1 +RD_11 RS1_0d 9 1 +RD_11 RS1_0e 4 1 +RD_11 RS1_0f 7 1 +RD_11 RS1_10 3 1 +RD_11 RS1_11 5 1 +RD_11 RS1_12 4 1 +RD_11 RS1_13 4 1 +RD_11 RS1_14 5 1 +RD_11 RS1_15 12 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 7 1 +RD_11 RS1_18 4 1 +RD_11 RS1_19 5 1 +RD_11 RS1_1a 4 1 +RD_11 RS1_1b 9 1 +RD_11 RS1_1c 4 1 +RD_11 RS1_1d 5 1 +RD_11 RS1_1e 2 1 +RD_11 RS1_1f 6 1 +RD_12 RS1_00 6 1 +RD_12 RS1_01 6 1 +RD_12 RS1_02 6 1 +RD_12 RS1_03 4 1 +RD_12 RS1_04 6 1 +RD_12 RS1_05 3 1 +RD_12 RS1_06 8 1 +RD_12 RS1_07 7 1 +RD_12 RS1_08 7 1 +RD_12 RS1_09 6 1 +RD_12 RS1_0a 12 1 +RD_12 RS1_0b 7 1 +RD_12 RS1_0c 6 1 +RD_12 RS1_0d 9 1 +RD_12 RS1_0e 3 1 +RD_12 RS1_0f 5 1 +RD_12 RS1_10 3 1 +RD_12 RS1_11 5 1 +RD_12 RS1_12 5 1 +RD_12 RS1_13 3 1 +RD_12 RS1_14 5 1 +RD_12 RS1_15 4 1 +RD_12 RS1_16 6 1 +RD_12 RS1_17 4 1 +RD_12 RS1_18 7 1 +RD_12 RS1_19 6 1 +RD_12 RS1_1a 4 1 +RD_12 RS1_1b 3 1 +RD_12 RS1_1c 6 1 +RD_12 RS1_1d 3 1 +RD_12 RS1_1e 4 1 +RD_12 RS1_1f 10 1 +RD_13 RS1_00 4 1 +RD_13 RS1_01 7 1 +RD_13 RS1_02 5 1 +RD_13 RS1_03 4 1 +RD_13 RS1_04 3 1 +RD_13 RS1_05 5 1 +RD_13 RS1_06 6 1 +RD_13 RS1_07 4 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 10 1 +RD_13 RS1_0a 4 1 +RD_13 RS1_0b 5 1 +RD_13 RS1_0c 4 1 +RD_13 RS1_0d 4 1 +RD_13 RS1_0e 6 1 +RD_13 RS1_0f 2 1 +RD_13 RS1_10 3 1 +RD_13 RS1_11 2 1 +RD_13 RS1_12 5 1 +RD_13 RS1_13 4 1 +RD_13 RS1_14 3 1 +RD_13 RS1_15 12 1 +RD_13 RS1_16 6 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 6 1 +RD_13 RS1_19 7 1 +RD_13 RS1_1a 4 1 +RD_13 RS1_1b 6 1 +RD_13 RS1_1c 6 1 +RD_13 RS1_1d 10 1 +RD_13 RS1_1e 9 1 +RD_13 RS1_1f 1 1 +RD_14 RS1_00 4 1 +RD_14 RS1_01 4 1 +RD_14 RS1_02 6 1 +RD_14 RS1_03 3 1 +RD_14 RS1_04 8 1 +RD_14 RS1_05 4 1 +RD_14 RS1_06 5 1 +RD_14 RS1_07 5 1 +RD_14 RS1_08 2 1 +RD_14 RS1_09 3 1 +RD_14 RS1_0a 1 1 +RD_14 RS1_0b 10 1 +RD_14 RS1_0c 3 1 +RD_14 RS1_0d 5 1 +RD_14 RS1_0e 2 1 +RD_14 RS1_0f 9 1 +RD_14 RS1_10 12 1 +RD_14 RS1_11 7 1 +RD_14 RS1_12 7 1 +RD_14 RS1_13 8 1 +RD_14 RS1_14 6 1 +RD_14 RS1_15 3 1 +RD_14 RS1_16 4 1 +RD_14 RS1_17 3 1 +RD_14 RS1_18 6 1 +RD_14 RS1_19 2 1 +RD_14 RS1_1a 12 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 7 1 +RD_14 RS1_1d 6 1 +RD_14 RS1_1e 8 1 +RD_14 RS1_1f 10 1 +RD_15 RS1_00 2 1 +RD_15 RS1_01 6 1 +RD_15 RS1_02 9 1 +RD_15 RS1_03 7 1 +RD_15 RS1_04 7 1 +RD_15 RS1_05 5 1 +RD_15 RS1_06 6 1 +RD_15 RS1_07 9 1 +RD_15 RS1_08 4 1 +RD_15 RS1_09 7 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 7 1 +RD_15 RS1_0c 2 1 +RD_15 RS1_0d 2 1 +RD_15 RS1_0e 6 1 +RD_15 RS1_0f 6 1 +RD_15 RS1_10 3 1 +RD_15 RS1_11 5 1 +RD_15 RS1_12 5 1 +RD_15 RS1_13 3 1 +RD_15 RS1_14 5 1 +RD_15 RS1_15 1 1 +RD_15 RS1_16 3 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 4 1 +RD_15 RS1_1a 6 1 +RD_15 RS1_1b 6 1 +RD_15 RS1_1c 1 1 +RD_15 RS1_1d 8 1 +RD_15 RS1_1e 7 1 +RD_15 RS1_1f 5 1 +RD_16 RS1_00 4 1 +RD_16 RS1_01 5 1 +RD_16 RS1_02 5 1 +RD_16 RS1_03 3 1 +RD_16 RS1_04 8 1 +RD_16 RS1_05 6 1 +RD_16 RS1_06 3 1 +RD_16 RS1_07 4 1 +RD_16 RS1_08 6 1 +RD_16 RS1_09 3 1 +RD_16 RS1_0a 7 1 +RD_16 RS1_0b 8 1 +RD_16 RS1_0c 2 1 +RD_16 RS1_0d 6 1 +RD_16 RS1_0e 12 1 +RD_16 RS1_0f 7 1 +RD_16 RS1_10 4 1 +RD_16 RS1_11 3 1 +RD_16 RS1_12 8 1 +RD_16 RS1_13 6 1 +RD_16 RS1_14 6 1 +RD_16 RS1_15 5 1 +RD_16 RS1_16 6 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 6 1 +RD_16 RS1_19 11 1 +RD_16 RS1_1a 2 1 +RD_16 RS1_1b 5 1 +RD_16 RS1_1c 5 1 +RD_16 RS1_1d 7 1 +RD_16 RS1_1e 6 1 +RD_16 RS1_1f 4 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 4 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 1 1 +RD_17 RS1_04 6 1 +RD_17 RS1_05 4 1 +RD_17 RS1_06 5 1 +RD_17 RS1_07 6 1 +RD_17 RS1_08 3 1 +RD_17 RS1_09 4 1 +RD_17 RS1_0a 4 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 8 1 +RD_17 RS1_0d 6 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 3 1 +RD_17 RS1_10 6 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 7 1 +RD_17 RS1_13 7 1 +RD_17 RS1_14 5 1 +RD_17 RS1_15 6 1 +RD_17 RS1_16 3 1 +RD_17 RS1_17 4 1 +RD_17 RS1_18 7 1 +RD_17 RS1_19 6 1 +RD_17 RS1_1a 6 1 +RD_17 RS1_1b 4 1 +RD_17 RS1_1c 5 1 +RD_17 RS1_1d 4 1 +RD_17 RS1_1e 4 1 +RD_17 RS1_1f 4 1 +RD_18 RS1_00 6 1 +RD_18 RS1_01 6 1 +RD_18 RS1_02 6 1 +RD_18 RS1_03 1 1 +RD_18 RS1_04 5 1 +RD_18 RS1_05 3 1 +RD_18 RS1_06 2 1 +RD_18 RS1_07 7 1 +RD_18 RS1_08 1 1 +RD_18 RS1_09 2 1 +RD_18 RS1_0a 4 1 +RD_18 RS1_0b 2 1 +RD_18 RS1_0c 2 1 +RD_18 RS1_0d 13 1 +RD_18 RS1_0e 3 1 +RD_18 RS1_0f 2 1 +RD_18 RS1_10 6 1 +RD_18 RS1_11 5 1 +RD_18 RS1_12 7 1 +RD_18 RS1_13 6 1 +RD_18 RS1_14 8 1 +RD_18 RS1_15 3 1 +RD_18 RS1_16 6 1 +RD_18 RS1_17 5 1 +RD_18 RS1_18 5 1 +RD_18 RS1_19 11 1 +RD_18 RS1_1a 6 1 +RD_18 RS1_1b 2 1 +RD_18 RS1_1c 8 1 +RD_18 RS1_1d 4 1 +RD_18 RS1_1e 4 1 +RD_18 RS1_1f 5 1 +RD_19 RS1_00 4 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 5 1 +RD_19 RS1_03 9 1 +RD_19 RS1_04 2 1 +RD_19 RS1_05 2 1 +RD_19 RS1_06 6 1 +RD_19 RS1_07 2 1 +RD_19 RS1_08 7 1 +RD_19 RS1_09 5 1 +RD_19 RS1_0a 2 1 +RD_19 RS1_0b 5 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 4 1 +RD_19 RS1_0e 5 1 +RD_19 RS1_0f 5 1 +RD_19 RS1_10 5 1 +RD_19 RS1_11 1 1 +RD_19 RS1_12 7 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 8 1 +RD_19 RS1_15 2 1 +RD_19 RS1_16 8 1 +RD_19 RS1_17 6 1 +RD_19 RS1_18 9 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 3 1 +RD_19 RS1_1b 4 1 +RD_19 RS1_1c 7 1 +RD_19 RS1_1d 8 1 +RD_19 RS1_1e 4 1 +RD_19 RS1_1f 3 1 +RD_1a RS1_00 5 1 +RD_1a RS1_01 4 1 +RD_1a RS1_02 2 1 +RD_1a RS1_03 5 1 +RD_1a RS1_04 4 1 +RD_1a RS1_05 4 1 +RD_1a RS1_06 12 1 +RD_1a RS1_07 5 1 +RD_1a RS1_08 3 1 +RD_1a RS1_09 8 1 +RD_1a RS1_0a 9 1 +RD_1a RS1_0b 9 1 +RD_1a RS1_0c 11 1 +RD_1a RS1_0d 9 1 +RD_1a RS1_0e 6 1 +RD_1a RS1_0f 2 1 +RD_1a RS1_10 4 1 +RD_1a RS1_11 3 1 +RD_1a RS1_12 10 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 8 1 +RD_1a RS1_15 2 1 +RD_1a RS1_16 10 1 +RD_1a RS1_17 1 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 4 1 +RD_1a RS1_1a 1 1 +RD_1a RS1_1b 4 1 +RD_1a RS1_1c 2 1 +RD_1a RS1_1d 2 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 7 1 +RD_1b RS1_00 11 1 +RD_1b RS1_01 7 1 +RD_1b RS1_02 5 1 +RD_1b RS1_03 5 1 +RD_1b RS1_04 5 1 +RD_1b RS1_05 7 1 +RD_1b RS1_06 6 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 9 1 +RD_1b RS1_09 14 1 +RD_1b RS1_0a 5 1 +RD_1b RS1_0b 7 1 +RD_1b RS1_0c 4 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 9 1 +RD_1b RS1_0f 4 1 +RD_1b RS1_10 5 1 +RD_1b RS1_11 10 1 +RD_1b RS1_12 4 1 +RD_1b RS1_13 7 1 +RD_1b RS1_14 4 1 +RD_1b RS1_15 5 1 +RD_1b RS1_16 2 1 +RD_1b RS1_17 7 1 +RD_1b RS1_18 4 1 +RD_1b RS1_19 8 1 +RD_1b RS1_1a 6 1 +RD_1b RS1_1b 8 1 +RD_1b RS1_1c 5 1 +RD_1b RS1_1d 5 1 +RD_1b RS1_1e 2 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 10 1 +RD_1c RS1_01 2 1 +RD_1c RS1_02 6 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 6 1 +RD_1c RS1_05 3 1 +RD_1c RS1_06 4 1 +RD_1c RS1_07 6 1 +RD_1c RS1_08 4 1 +RD_1c RS1_09 3 1 +RD_1c RS1_0a 5 1 +RD_1c RS1_0b 4 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 2 1 +RD_1c RS1_0e 7 1 +RD_1c RS1_0f 3 1 +RD_1c RS1_10 8 1 +RD_1c RS1_11 5 1 +RD_1c RS1_12 5 1 +RD_1c RS1_13 5 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 14 1 +RD_1c RS1_16 6 1 +RD_1c RS1_17 6 1 +RD_1c RS1_18 5 1 +RD_1c RS1_19 10 1 +RD_1c RS1_1a 3 1 +RD_1c RS1_1b 4 1 +RD_1c RS1_1c 5 1 +RD_1c RS1_1d 10 1 +RD_1c RS1_1e 4 1 +RD_1c RS1_1f 8 1 +RD_1d RS1_00 2 1 +RD_1d RS1_01 7 1 +RD_1d RS1_02 7 1 +RD_1d RS1_03 2 1 +RD_1d RS1_04 5 1 +RD_1d RS1_05 1 1 +RD_1d RS1_06 4 1 +RD_1d RS1_07 2 1 +RD_1d RS1_08 9 1 +RD_1d RS1_09 2 1 +RD_1d RS1_0a 8 1 +RD_1d RS1_0b 3 1 +RD_1d RS1_0c 4 1 +RD_1d RS1_0d 6 1 +RD_1d RS1_0e 8 1 +RD_1d RS1_0f 9 1 +RD_1d RS1_10 3 1 +RD_1d RS1_11 10 1 +RD_1d RS1_12 4 1 +RD_1d RS1_13 5 1 +RD_1d RS1_14 3 1 +RD_1d RS1_15 7 1 +RD_1d RS1_16 9 1 +RD_1d RS1_17 1 1 +RD_1d RS1_18 1 1 +RD_1d RS1_19 6 1 +RD_1d RS1_1a 6 1 +RD_1d RS1_1b 4 1 +RD_1d RS1_1c 3 1 +RD_1d RS1_1d 3 1 +RD_1d RS1_1e 6 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 7 1 +RD_1e RS1_01 4 1 +RD_1e RS1_03 4 1 +RD_1e RS1_04 3 1 +RD_1e RS1_05 15 1 +RD_1e RS1_06 2 1 +RD_1e RS1_07 4 1 +RD_1e RS1_08 5 1 +RD_1e RS1_09 9 1 +RD_1e RS1_0a 4 1 +RD_1e RS1_0b 8 1 +RD_1e RS1_0c 2 1 +RD_1e RS1_0d 4 1 +RD_1e RS1_0e 5 1 +RD_1e RS1_0f 4 1 +RD_1e RS1_10 4 1 +RD_1e RS1_11 4 1 +RD_1e RS1_12 5 1 +RD_1e RS1_13 4 1 +RD_1e RS1_14 6 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 5 1 +RD_1e RS1_17 8 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 7 1 +RD_1e RS1_1a 8 1 +RD_1e RS1_1b 4 1 +RD_1e RS1_1c 3 1 +RD_1e RS1_1d 7 1 +RD_1e RS1_1e 4 1 +RD_1e RS1_1f 9 1 +RD_1f RS1_00 4 1 +RD_1f RS1_01 7 1 +RD_1f RS1_02 7 1 +RD_1f RS1_03 4 1 +RD_1f RS1_04 5 1 +RD_1f RS1_05 3 1 +RD_1f RS1_06 4 1 +RD_1f RS1_07 9 1 +RD_1f RS1_08 8 1 +RD_1f RS1_09 3 1 +RD_1f RS1_0a 4 1 +RD_1f RS1_0b 7 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 8 1 +RD_1f RS1_0e 9 1 +RD_1f RS1_0f 5 1 +RD_1f RS1_10 13 1 +RD_1f RS1_12 2 1 +RD_1f RS1_13 4 1 +RD_1f RS1_14 3 1 +RD_1f RS1_15 8 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 5 1 +RD_1f RS1_18 1 1 +RD_1f RS1_19 4 1 +RD_1f RS1_1a 3 1 +RD_1f RS1_1b 8 1 +RD_1f RS1_1c 3 1 +RD_1f RS1_1d 9 1 +RD_1f RS1_1e 2 1 +RD_1f RS1_1f 8 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 7 1017 99.32 7 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_03] [RS2_1a] 0 1 1 +[RD_0e] [RS2_07] 0 1 1 +[RD_1b] [RS2_12] 0 1 1 +[RD_1c] [RS2_02] 0 1 1 +[RD_1c] [RS2_1d] 0 1 1 +[RD_1d] [RS2_01] 0 1 1 +[RD_1e] [RS2_10] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 6 1 +RD_00 RS2_01 9 1 +RD_00 RS2_02 6 1 +RD_00 RS2_03 6 1 +RD_00 RS2_04 8 1 +RD_00 RS2_05 3 1 +RD_00 RS2_06 8 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 6 1 +RD_00 RS2_09 5 1 +RD_00 RS2_0a 5 1 +RD_00 RS2_0b 3 1 +RD_00 RS2_0c 6 1 +RD_00 RS2_0d 9 1 +RD_00 RS2_0e 7 1 +RD_00 RS2_0f 7 1 +RD_00 RS2_10 6 1 +RD_00 RS2_11 8 1 +RD_00 RS2_12 7 1 +RD_00 RS2_13 5 1 +RD_00 RS2_14 13 1 +RD_00 RS2_15 7 1 +RD_00 RS2_16 3 1 +RD_00 RS2_17 6 1 +RD_00 RS2_18 9 1 +RD_00 RS2_19 9 1 +RD_00 RS2_1a 7 1 +RD_00 RS2_1b 6 1 +RD_00 RS2_1c 5 1 +RD_00 RS2_1d 5 1 +RD_00 RS2_1e 2 1 +RD_00 RS2_1f 4 1 +RD_01 RS2_00 4 1 +RD_01 RS2_01 5 1 +RD_01 RS2_02 5 1 +RD_01 RS2_03 6 1 +RD_01 RS2_04 4 1 +RD_01 RS2_05 9 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 5 1 +RD_01 RS2_08 3 1 +RD_01 RS2_09 8 1 +RD_01 RS2_0a 1 1 +RD_01 RS2_0b 9 1 +RD_01 RS2_0c 6 1 +RD_01 RS2_0d 3 1 +RD_01 RS2_0e 6 1 +RD_01 RS2_0f 8 1 +RD_01 RS2_10 1 1 +RD_01 RS2_11 5 1 +RD_01 RS2_12 2 1 +RD_01 RS2_13 3 1 +RD_01 RS2_14 3 1 +RD_01 RS2_15 6 1 +RD_01 RS2_16 6 1 +RD_01 RS2_17 5 1 +RD_01 RS2_18 8 1 +RD_01 RS2_19 3 1 +RD_01 RS2_1a 4 1 +RD_01 RS2_1b 2 1 +RD_01 RS2_1c 4 1 +RD_01 RS2_1d 3 1 +RD_01 RS2_1e 9 1 +RD_01 RS2_1f 3 1 +RD_02 RS2_00 4 1 +RD_02 RS2_01 4 1 +RD_02 RS2_02 4 1 +RD_02 RS2_03 5 1 +RD_02 RS2_04 6 1 +RD_02 RS2_05 13 1 +RD_02 RS2_06 9 1 +RD_02 RS2_07 4 1 +RD_02 RS2_08 6 1 +RD_02 RS2_09 4 1 +RD_02 RS2_0a 1 1 +RD_02 RS2_0b 4 1 +RD_02 RS2_0c 5 1 +RD_02 RS2_0d 1 1 +RD_02 RS2_0e 5 1 +RD_02 RS2_0f 13 1 +RD_02 RS2_10 6 1 +RD_02 RS2_11 7 1 +RD_02 RS2_12 5 1 +RD_02 RS2_13 5 1 +RD_02 RS2_14 3 1 +RD_02 RS2_15 7 1 +RD_02 RS2_16 4 1 +RD_02 RS2_17 4 1 +RD_02 RS2_18 8 1 +RD_02 RS2_19 4 1 +RD_02 RS2_1a 4 1 +RD_02 RS2_1b 3 1 +RD_02 RS2_1c 2 1 +RD_02 RS2_1d 3 1 +RD_02 RS2_1e 8 1 +RD_02 RS2_1f 6 1 +RD_03 RS2_00 2 1 +RD_03 RS2_01 9 1 +RD_03 RS2_02 5 1 +RD_03 RS2_03 3 1 +RD_03 RS2_04 8 1 +RD_03 RS2_05 2 1 +RD_03 RS2_06 5 1 +RD_03 RS2_07 3 1 +RD_03 RS2_08 3 1 +RD_03 RS2_09 12 1 +RD_03 RS2_0a 10 1 +RD_03 RS2_0b 4 1 +RD_03 RS2_0c 4 1 +RD_03 RS2_0d 5 1 +RD_03 RS2_0e 5 1 +RD_03 RS2_0f 2 1 +RD_03 RS2_10 6 1 +RD_03 RS2_11 6 1 +RD_03 RS2_12 6 1 +RD_03 RS2_13 7 1 +RD_03 RS2_14 5 1 +RD_03 RS2_15 5 1 +RD_03 RS2_16 9 1 +RD_03 RS2_17 8 1 +RD_03 RS2_18 6 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1b 4 1 +RD_03 RS2_1c 7 1 +RD_03 RS2_1d 5 1 +RD_03 RS2_1e 9 1 +RD_03 RS2_1f 8 1 +RD_04 RS2_00 8 1 +RD_04 RS2_01 9 1 +RD_04 RS2_02 5 1 +RD_04 RS2_03 11 1 +RD_04 RS2_04 8 1 +RD_04 RS2_05 4 1 +RD_04 RS2_06 7 1 +RD_04 RS2_07 7 1 +RD_04 RS2_08 4 1 +RD_04 RS2_09 5 1 +RD_04 RS2_0a 1 1 +RD_04 RS2_0b 2 1 +RD_04 RS2_0c 7 1 +RD_04 RS2_0d 6 1 +RD_04 RS2_0e 2 1 +RD_04 RS2_0f 8 1 +RD_04 RS2_10 4 1 +RD_04 RS2_11 3 1 +RD_04 RS2_12 6 1 +RD_04 RS2_13 5 1 +RD_04 RS2_14 4 1 +RD_04 RS2_15 2 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 4 1 +RD_04 RS2_18 4 1 +RD_04 RS2_19 4 1 +RD_04 RS2_1a 9 1 +RD_04 RS2_1b 5 1 +RD_04 RS2_1c 5 1 +RD_04 RS2_1d 2 1 +RD_04 RS2_1e 6 1 +RD_04 RS2_1f 1 1 +RD_05 RS2_00 4 1 +RD_05 RS2_01 3 1 +RD_05 RS2_02 5 1 +RD_05 RS2_03 7 1 +RD_05 RS2_04 4 1 +RD_05 RS2_05 4 1 +RD_05 RS2_06 8 1 +RD_05 RS2_07 5 1 +RD_05 RS2_08 5 1 +RD_05 RS2_09 4 1 +RD_05 RS2_0a 1 1 +RD_05 RS2_0b 4 1 +RD_05 RS2_0c 7 1 +RD_05 RS2_0d 4 1 +RD_05 RS2_0e 5 1 +RD_05 RS2_0f 5 1 +RD_05 RS2_10 4 1 +RD_05 RS2_11 3 1 +RD_05 RS2_12 7 1 +RD_05 RS2_13 3 1 +RD_05 RS2_14 9 1 +RD_05 RS2_15 5 1 +RD_05 RS2_16 1 1 +RD_05 RS2_17 3 1 +RD_05 RS2_18 3 1 +RD_05 RS2_19 5 1 +RD_05 RS2_1a 6 1 +RD_05 RS2_1b 3 1 +RD_05 RS2_1c 3 1 +RD_05 RS2_1d 5 1 +RD_05 RS2_1e 4 1 +RD_05 RS2_1f 6 1 +RD_06 RS2_00 3 1 +RD_06 RS2_01 7 1 +RD_06 RS2_02 7 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 7 1 +RD_06 RS2_05 3 1 +RD_06 RS2_06 9 1 +RD_06 RS2_07 2 1 +RD_06 RS2_08 8 1 +RD_06 RS2_09 7 1 +RD_06 RS2_0a 8 1 +RD_06 RS2_0b 2 1 +RD_06 RS2_0c 6 1 +RD_06 RS2_0d 1 1 +RD_06 RS2_0e 9 1 +RD_06 RS2_0f 2 1 +RD_06 RS2_10 7 1 +RD_06 RS2_11 6 1 +RD_06 RS2_12 7 1 +RD_06 RS2_13 8 1 +RD_06 RS2_14 4 1 +RD_06 RS2_15 2 1 +RD_06 RS2_16 5 1 +RD_06 RS2_17 5 1 +RD_06 RS2_18 5 1 +RD_06 RS2_19 12 1 +RD_06 RS2_1a 3 1 +RD_06 RS2_1b 7 1 +RD_06 RS2_1c 6 1 +RD_06 RS2_1d 3 1 +RD_06 RS2_1e 5 1 +RD_06 RS2_1f 5 1 +RD_07 RS2_00 4 1 +RD_07 RS2_01 8 1 +RD_07 RS2_02 4 1 +RD_07 RS2_03 5 1 +RD_07 RS2_04 3 1 +RD_07 RS2_05 4 1 +RD_07 RS2_06 10 1 +RD_07 RS2_07 4 1 +RD_07 RS2_08 3 1 +RD_07 RS2_09 3 1 +RD_07 RS2_0a 9 1 +RD_07 RS2_0b 3 1 +RD_07 RS2_0c 8 1 +RD_07 RS2_0d 6 1 +RD_07 RS2_0e 9 1 +RD_07 RS2_0f 8 1 +RD_07 RS2_10 3 1 +RD_07 RS2_11 3 1 +RD_07 RS2_12 4 1 +RD_07 RS2_13 7 1 +RD_07 RS2_14 2 1 +RD_07 RS2_15 4 1 +RD_07 RS2_16 7 1 +RD_07 RS2_17 3 1 +RD_07 RS2_18 4 1 +RD_07 RS2_19 5 1 +RD_07 RS2_1a 6 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 7 1 +RD_07 RS2_1d 2 1 +RD_07 RS2_1e 3 1 +RD_07 RS2_1f 8 1 +RD_08 RS2_00 4 1 +RD_08 RS2_01 2 1 +RD_08 RS2_02 3 1 +RD_08 RS2_03 4 1 +RD_08 RS2_04 8 1 +RD_08 RS2_05 2 1 +RD_08 RS2_06 4 1 +RD_08 RS2_07 8 1 +RD_08 RS2_08 6 1 +RD_08 RS2_09 2 1 +RD_08 RS2_0a 4 1 +RD_08 RS2_0b 5 1 +RD_08 RS2_0c 5 1 +RD_08 RS2_0d 6 1 +RD_08 RS2_0e 2 1 +RD_08 RS2_0f 6 1 +RD_08 RS2_10 4 1 +RD_08 RS2_11 5 1 +RD_08 RS2_12 3 1 +RD_08 RS2_13 6 1 +RD_08 RS2_14 7 1 +RD_08 RS2_15 5 1 +RD_08 RS2_16 3 1 +RD_08 RS2_17 6 1 +RD_08 RS2_18 2 1 +RD_08 RS2_19 2 1 +RD_08 RS2_1a 8 1 +RD_08 RS2_1b 3 1 +RD_08 RS2_1c 7 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 4 1 +RD_08 RS2_1f 9 1 +RD_09 RS2_00 5 1 +RD_09 RS2_01 1 1 +RD_09 RS2_02 5 1 +RD_09 RS2_03 4 1 +RD_09 RS2_04 4 1 +RD_09 RS2_05 10 1 +RD_09 RS2_06 3 1 +RD_09 RS2_07 10 1 +RD_09 RS2_08 6 1 +RD_09 RS2_09 5 1 +RD_09 RS2_0a 4 1 +RD_09 RS2_0b 2 1 +RD_09 RS2_0c 10 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 5 1 +RD_09 RS2_0f 5 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 4 1 +RD_09 RS2_12 2 1 +RD_09 RS2_13 4 1 +RD_09 RS2_14 7 1 +RD_09 RS2_15 13 1 +RD_09 RS2_16 6 1 +RD_09 RS2_17 9 1 +RD_09 RS2_18 9 1 +RD_09 RS2_19 3 1 +RD_09 RS2_1a 5 1 +RD_09 RS2_1b 1 1 +RD_09 RS2_1c 6 1 +RD_09 RS2_1d 3 1 +RD_09 RS2_1e 2 1 +RD_09 RS2_1f 8 1 +RD_0a RS2_00 5 1 +RD_0a RS2_01 6 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 3 1 +RD_0a RS2_04 4 1 +RD_0a RS2_05 3 1 +RD_0a RS2_06 3 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 4 1 +RD_0a RS2_09 3 1 +RD_0a RS2_0a 10 1 +RD_0a RS2_0b 2 1 +RD_0a RS2_0c 8 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 3 1 +RD_0a RS2_0f 4 1 +RD_0a RS2_10 5 1 +RD_0a RS2_11 4 1 +RD_0a RS2_12 5 1 +RD_0a RS2_13 4 1 +RD_0a RS2_14 5 1 +RD_0a RS2_15 5 1 +RD_0a RS2_16 5 1 +RD_0a RS2_17 7 1 +RD_0a RS2_18 5 1 +RD_0a RS2_19 4 1 +RD_0a RS2_1a 4 1 +RD_0a RS2_1b 5 1 +RD_0a RS2_1c 2 1 +RD_0a RS2_1d 6 1 +RD_0a RS2_1e 8 1 +RD_0a RS2_1f 9 1 +RD_0b RS2_00 5 1 +RD_0b RS2_01 5 1 +RD_0b RS2_02 6 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 6 1 +RD_0b RS2_05 5 1 +RD_0b RS2_06 3 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 6 1 +RD_0b RS2_09 8 1 +RD_0b RS2_0a 4 1 +RD_0b RS2_0b 11 1 +RD_0b RS2_0c 5 1 +RD_0b RS2_0d 3 1 +RD_0b RS2_0e 7 1 +RD_0b RS2_0f 2 1 +RD_0b RS2_10 4 1 +RD_0b RS2_11 6 1 +RD_0b RS2_12 11 1 +RD_0b RS2_13 2 1 +RD_0b RS2_14 6 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 7 1 +RD_0b RS2_17 7 1 +RD_0b RS2_18 4 1 +RD_0b RS2_19 4 1 +RD_0b RS2_1a 8 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 9 1 +RD_0b RS2_1d 2 1 +RD_0b RS2_1e 5 1 +RD_0b RS2_1f 8 1 +RD_0c RS2_00 1 1 +RD_0c RS2_01 3 1 +RD_0c RS2_02 8 1 +RD_0c RS2_03 4 1 +RD_0c RS2_04 1 1 +RD_0c RS2_05 4 1 +RD_0c RS2_06 3 1 +RD_0c RS2_07 4 1 +RD_0c RS2_08 9 1 +RD_0c RS2_09 6 1 +RD_0c RS2_0a 4 1 +RD_0c RS2_0b 5 1 +RD_0c RS2_0c 14 1 +RD_0c RS2_0d 4 1 +RD_0c RS2_0e 6 1 +RD_0c RS2_0f 6 1 +RD_0c RS2_10 1 1 +RD_0c RS2_11 6 1 +RD_0c RS2_12 3 1 +RD_0c RS2_13 5 1 +RD_0c RS2_14 9 1 +RD_0c RS2_15 11 1 +RD_0c RS2_16 2 1 +RD_0c RS2_17 6 1 +RD_0c RS2_18 7 1 +RD_0c RS2_19 4 1 +RD_0c RS2_1a 2 1 +RD_0c RS2_1b 5 1 +RD_0c RS2_1c 3 1 +RD_0c RS2_1d 5 1 +RD_0c RS2_1e 7 1 +RD_0c RS2_1f 3 1 +RD_0d RS2_00 8 1 +RD_0d RS2_01 2 1 +RD_0d RS2_02 3 1 +RD_0d RS2_03 7 1 +RD_0d RS2_04 4 1 +RD_0d RS2_05 1 1 +RD_0d RS2_06 7 1 +RD_0d RS2_07 6 1 +RD_0d RS2_08 4 1 +RD_0d RS2_09 3 1 +RD_0d RS2_0a 1 1 +RD_0d RS2_0b 5 1 +RD_0d RS2_0c 6 1 +RD_0d RS2_0d 6 1 +RD_0d RS2_0e 3 1 +RD_0d RS2_0f 7 1 +RD_0d RS2_10 7 1 +RD_0d RS2_11 6 1 +RD_0d RS2_12 6 1 +RD_0d RS2_13 8 1 +RD_0d RS2_14 3 1 +RD_0d RS2_15 6 1 +RD_0d RS2_16 5 1 +RD_0d RS2_17 6 1 +RD_0d RS2_18 4 1 +RD_0d RS2_19 11 1 +RD_0d RS2_1a 7 1 +RD_0d RS2_1b 6 1 +RD_0d RS2_1c 3 1 +RD_0d RS2_1d 8 1 +RD_0d RS2_1e 3 1 +RD_0d RS2_1f 7 1 +RD_0e RS2_00 6 1 +RD_0e RS2_01 3 1 +RD_0e RS2_02 5 1 +RD_0e RS2_03 17 1 +RD_0e RS2_04 8 1 +RD_0e RS2_05 3 1 +RD_0e RS2_06 4 1 +RD_0e RS2_08 6 1 +RD_0e RS2_09 4 1 +RD_0e RS2_0a 2 1 +RD_0e RS2_0b 5 1 +RD_0e RS2_0c 5 1 +RD_0e RS2_0d 2 1 +RD_0e RS2_0e 3 1 +RD_0e RS2_0f 2 1 +RD_0e RS2_10 5 1 +RD_0e RS2_11 10 1 +RD_0e RS2_12 6 1 +RD_0e RS2_13 4 1 +RD_0e RS2_14 6 1 +RD_0e RS2_15 4 1 +RD_0e RS2_16 1 1 +RD_0e RS2_17 2 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 4 1 +RD_0e RS2_1a 2 1 +RD_0e RS2_1b 7 1 +RD_0e RS2_1c 1 1 +RD_0e RS2_1d 2 1 +RD_0e RS2_1e 6 1 +RD_0e RS2_1f 3 1 +RD_0f RS2_00 12 1 +RD_0f RS2_01 5 1 +RD_0f RS2_02 5 1 +RD_0f RS2_03 4 1 +RD_0f RS2_04 10 1 +RD_0f RS2_05 3 1 +RD_0f RS2_06 4 1 +RD_0f RS2_07 8 1 +RD_0f RS2_08 4 1 +RD_0f RS2_09 2 1 +RD_0f RS2_0a 15 1 +RD_0f RS2_0b 8 1 +RD_0f RS2_0c 3 1 +RD_0f RS2_0d 4 1 +RD_0f RS2_0e 4 1 +RD_0f RS2_0f 9 1 +RD_0f RS2_10 5 1 +RD_0f RS2_11 4 1 +RD_0f RS2_12 4 1 +RD_0f RS2_13 8 1 +RD_0f RS2_14 3 1 +RD_0f RS2_15 8 1 +RD_0f RS2_16 8 1 +RD_0f RS2_17 3 1 +RD_0f RS2_18 3 1 +RD_0f RS2_19 7 1 +RD_0f RS2_1a 8 1 +RD_0f RS2_1b 2 1 +RD_0f RS2_1c 7 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 3 1 +RD_0f RS2_1f 12 1 +RD_10 RS2_00 4 1 +RD_10 RS2_01 4 1 +RD_10 RS2_02 3 1 +RD_10 RS2_03 8 1 +RD_10 RS2_04 2 1 +RD_10 RS2_05 2 1 +RD_10 RS2_06 7 1 +RD_10 RS2_07 6 1 +RD_10 RS2_08 7 1 +RD_10 RS2_09 2 1 +RD_10 RS2_0a 6 1 +RD_10 RS2_0b 10 1 +RD_10 RS2_0c 1 1 +RD_10 RS2_0d 3 1 +RD_10 RS2_0e 1 1 +RD_10 RS2_0f 8 1 +RD_10 RS2_10 7 1 +RD_10 RS2_11 9 1 +RD_10 RS2_12 6 1 +RD_10 RS2_13 5 1 +RD_10 RS2_14 5 1 +RD_10 RS2_15 3 1 +RD_10 RS2_16 4 1 +RD_10 RS2_17 8 1 +RD_10 RS2_18 8 1 +RD_10 RS2_19 5 1 +RD_10 RS2_1a 1 1 +RD_10 RS2_1b 4 1 +RD_10 RS2_1c 3 1 +RD_10 RS2_1d 6 1 +RD_10 RS2_1e 3 1 +RD_10 RS2_1f 5 1 +RD_11 RS2_00 10 1 +RD_11 RS2_01 9 1 +RD_11 RS2_02 13 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 6 1 +RD_11 RS2_05 4 1 +RD_11 RS2_06 10 1 +RD_11 RS2_07 3 1 +RD_11 RS2_08 3 1 +RD_11 RS2_09 7 1 +RD_11 RS2_0a 1 1 +RD_11 RS2_0b 4 1 +RD_11 RS2_0c 2 1 +RD_11 RS2_0d 2 1 +RD_11 RS2_0e 6 1 +RD_11 RS2_0f 2 1 +RD_11 RS2_10 5 1 +RD_11 RS2_11 4 1 +RD_11 RS2_12 8 1 +RD_11 RS2_13 5 1 +RD_11 RS2_14 2 1 +RD_11 RS2_15 10 1 +RD_11 RS2_16 3 1 +RD_11 RS2_17 8 1 +RD_11 RS2_18 10 1 +RD_11 RS2_19 4 1 +RD_11 RS2_1a 3 1 +RD_11 RS2_1b 5 1 +RD_11 RS2_1c 7 1 +RD_11 RS2_1d 8 1 +RD_11 RS2_1e 4 1 +RD_11 RS2_1f 8 1 +RD_12 RS2_00 2 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 7 1 +RD_12 RS2_03 6 1 +RD_12 RS2_04 8 1 +RD_12 RS2_05 6 1 +RD_12 RS2_06 4 1 +RD_12 RS2_07 6 1 +RD_12 RS2_08 7 1 +RD_12 RS2_09 11 1 +RD_12 RS2_0a 13 1 +RD_12 RS2_0b 6 1 +RD_12 RS2_0c 4 1 +RD_12 RS2_0d 5 1 +RD_12 RS2_0e 6 1 +RD_12 RS2_0f 6 1 +RD_12 RS2_10 3 1 +RD_12 RS2_11 3 1 +RD_12 RS2_12 5 1 +RD_12 RS2_13 4 1 +RD_12 RS2_14 7 1 +RD_12 RS2_15 2 1 +RD_12 RS2_16 5 1 +RD_12 RS2_17 4 1 +RD_12 RS2_18 5 1 +RD_12 RS2_19 6 1 +RD_12 RS2_1a 6 1 +RD_12 RS2_1b 6 1 +RD_12 RS2_1c 10 1 +RD_12 RS2_1d 5 1 +RD_12 RS2_1e 3 1 +RD_12 RS2_1f 4 1 +RD_13 RS2_00 8 1 +RD_13 RS2_01 5 1 +RD_13 RS2_02 3 1 +RD_13 RS2_03 5 1 +RD_13 RS2_04 2 1 +RD_13 RS2_05 10 1 +RD_13 RS2_06 8 1 +RD_13 RS2_07 10 1 +RD_13 RS2_08 6 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 4 1 +RD_13 RS2_0c 7 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 5 1 +RD_13 RS2_0f 3 1 +RD_13 RS2_10 4 1 +RD_13 RS2_11 3 1 +RD_13 RS2_12 11 1 +RD_13 RS2_13 10 1 +RD_13 RS2_14 3 1 +RD_13 RS2_15 4 1 +RD_13 RS2_16 7 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 2 1 +RD_13 RS2_19 6 1 +RD_13 RS2_1a 2 1 +RD_13 RS2_1b 2 1 +RD_13 RS2_1c 5 1 +RD_13 RS2_1d 7 1 +RD_13 RS2_1e 3 1 +RD_13 RS2_1f 2 1 +RD_14 RS2_00 7 1 +RD_14 RS2_01 7 1 +RD_14 RS2_02 5 1 +RD_14 RS2_03 9 1 +RD_14 RS2_04 5 1 +RD_14 RS2_05 5 1 +RD_14 RS2_06 1 1 +RD_14 RS2_07 3 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 6 1 +RD_14 RS2_0a 2 1 +RD_14 RS2_0b 6 1 +RD_14 RS2_0c 6 1 +RD_14 RS2_0d 5 1 +RD_14 RS2_0e 9 1 +RD_14 RS2_0f 6 1 +RD_14 RS2_10 3 1 +RD_14 RS2_11 7 1 +RD_14 RS2_12 8 1 +RD_14 RS2_13 6 1 +RD_14 RS2_14 11 1 +RD_14 RS2_15 3 1 +RD_14 RS2_16 7 1 +RD_14 RS2_17 5 1 +RD_14 RS2_18 2 1 +RD_14 RS2_19 8 1 +RD_14 RS2_1a 7 1 +RD_14 RS2_1b 4 1 +RD_14 RS2_1c 4 1 +RD_14 RS2_1d 6 1 +RD_14 RS2_1e 10 1 +RD_14 RS2_1f 3 1 +RD_15 RS2_00 6 1 +RD_15 RS2_01 6 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 3 1 +RD_15 RS2_04 4 1 +RD_15 RS2_05 4 1 +RD_15 RS2_06 5 1 +RD_15 RS2_07 4 1 +RD_15 RS2_08 6 1 +RD_15 RS2_09 7 1 +RD_15 RS2_0a 4 1 +RD_15 RS2_0b 3 1 +RD_15 RS2_0c 5 1 +RD_15 RS2_0d 2 1 +RD_15 RS2_0e 7 1 +RD_15 RS2_0f 3 1 +RD_15 RS2_10 3 1 +RD_15 RS2_11 2 1 +RD_15 RS2_12 6 1 +RD_15 RS2_13 8 1 +RD_15 RS2_14 3 1 +RD_15 RS2_15 5 1 +RD_15 RS2_16 9 1 +RD_15 RS2_17 6 1 +RD_15 RS2_18 1 1 +RD_15 RS2_19 10 1 +RD_15 RS2_1a 8 1 +RD_15 RS2_1b 2 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 6 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 6 1 +RD_16 RS2_00 7 1 +RD_16 RS2_01 5 1 +RD_16 RS2_02 9 1 +RD_16 RS2_03 8 1 +RD_16 RS2_04 9 1 +RD_16 RS2_05 4 1 +RD_16 RS2_06 3 1 +RD_16 RS2_07 4 1 +RD_16 RS2_08 8 1 +RD_16 RS2_09 8 1 +RD_16 RS2_0a 5 1 +RD_16 RS2_0b 10 1 +RD_16 RS2_0c 6 1 +RD_16 RS2_0d 8 1 +RD_16 RS2_0e 4 1 +RD_16 RS2_0f 3 1 +RD_16 RS2_10 6 1 +RD_16 RS2_11 4 1 +RD_16 RS2_12 6 1 +RD_16 RS2_13 5 1 +RD_16 RS2_14 8 1 +RD_16 RS2_15 2 1 +RD_16 RS2_16 4 1 +RD_16 RS2_17 3 1 +RD_16 RS2_18 5 1 +RD_16 RS2_19 10 1 +RD_16 RS2_1a 3 1 +RD_16 RS2_1b 6 1 +RD_16 RS2_1c 4 1 +RD_16 RS2_1d 3 1 +RD_16 RS2_1e 6 1 +RD_16 RS2_1f 5 1 +RD_17 RS2_00 2 1 +RD_17 RS2_01 2 1 +RD_17 RS2_02 7 1 +RD_17 RS2_03 5 1 +RD_17 RS2_04 4 1 +RD_17 RS2_05 7 1 +RD_17 RS2_06 4 1 +RD_17 RS2_07 6 1 +RD_17 RS2_08 6 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 7 1 +RD_17 RS2_0b 3 1 +RD_17 RS2_0c 6 1 +RD_17 RS2_0d 4 1 +RD_17 RS2_0e 4 1 +RD_17 RS2_0f 5 1 +RD_17 RS2_10 8 1 +RD_17 RS2_11 4 1 +RD_17 RS2_12 1 1 +RD_17 RS2_13 2 1 +RD_17 RS2_14 4 1 +RD_17 RS2_15 5 1 +RD_17 RS2_16 4 1 +RD_17 RS2_17 4 1 +RD_17 RS2_18 4 1 +RD_17 RS2_19 3 1 +RD_17 RS2_1a 5 1 +RD_17 RS2_1b 6 1 +RD_17 RS2_1c 5 1 +RD_17 RS2_1d 8 1 +RD_17 RS2_1e 9 1 +RD_17 RS2_1f 9 1 +RD_18 RS2_00 6 1 +RD_18 RS2_01 8 1 +RD_18 RS2_02 4 1 +RD_18 RS2_03 9 1 +RD_18 RS2_04 6 1 +RD_18 RS2_05 8 1 +RD_18 RS2_06 3 1 +RD_18 RS2_07 6 1 +RD_18 RS2_08 3 1 +RD_18 RS2_09 9 1 +RD_18 RS2_0a 6 1 +RD_18 RS2_0b 5 1 +RD_18 RS2_0c 4 1 +RD_18 RS2_0d 6 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 2 1 +RD_18 RS2_10 2 1 +RD_18 RS2_11 3 1 +RD_18 RS2_12 3 1 +RD_18 RS2_13 3 1 +RD_18 RS2_14 5 1 +RD_18 RS2_15 4 1 +RD_18 RS2_16 3 1 +RD_18 RS2_17 7 1 +RD_18 RS2_18 3 1 +RD_18 RS2_19 10 1 +RD_18 RS2_1a 4 1 +RD_18 RS2_1b 7 1 +RD_18 RS2_1c 4 1 +RD_18 RS2_1d 5 1 +RD_18 RS2_1e 3 1 +RD_18 RS2_1f 2 1 +RD_19 RS2_00 4 1 +RD_19 RS2_01 5 1 +RD_19 RS2_02 5 1 +RD_19 RS2_03 1 1 +RD_19 RS2_04 6 1 +RD_19 RS2_05 9 1 +RD_19 RS2_06 7 1 +RD_19 RS2_07 4 1 +RD_19 RS2_08 6 1 +RD_19 RS2_09 4 1 +RD_19 RS2_0a 4 1 +RD_19 RS2_0b 3 1 +RD_19 RS2_0c 3 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 5 1 +RD_19 RS2_0f 6 1 +RD_19 RS2_10 9 1 +RD_19 RS2_11 4 1 +RD_19 RS2_12 6 1 +RD_19 RS2_13 2 1 +RD_19 RS2_14 3 1 +RD_19 RS2_15 2 1 +RD_19 RS2_16 3 1 +RD_19 RS2_17 3 1 +RD_19 RS2_18 4 1 +RD_19 RS2_19 8 1 +RD_19 RS2_1a 4 1 +RD_19 RS2_1b 6 1 +RD_19 RS2_1c 5 1 +RD_19 RS2_1d 4 1 +RD_19 RS2_1e 12 1 +RD_19 RS2_1f 7 1 +RD_1a RS2_00 7 1 +RD_1a RS2_01 5 1 +RD_1a RS2_02 3 1 +RD_1a RS2_03 6 1 +RD_1a RS2_04 4 1 +RD_1a RS2_05 2 1 +RD_1a RS2_06 4 1 +RD_1a RS2_07 7 1 +RD_1a RS2_08 3 1 +RD_1a RS2_09 5 1 +RD_1a RS2_0a 5 1 +RD_1a RS2_0b 8 1 +RD_1a RS2_0c 2 1 +RD_1a RS2_0d 5 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 7 1 +RD_1a RS2_10 6 1 +RD_1a RS2_11 3 1 +RD_1a RS2_12 6 1 +RD_1a RS2_13 4 1 +RD_1a RS2_14 7 1 +RD_1a RS2_15 4 1 +RD_1a RS2_16 6 1 +RD_1a RS2_17 10 1 +RD_1a RS2_18 5 1 +RD_1a RS2_19 7 1 +RD_1a RS2_1a 6 1 +RD_1a RS2_1b 4 1 +RD_1a RS2_1c 5 1 +RD_1a RS2_1d 6 1 +RD_1a RS2_1e 4 1 +RD_1a RS2_1f 8 1 +RD_1b RS2_00 5 1 +RD_1b RS2_01 8 1 +RD_1b RS2_02 14 1 +RD_1b RS2_03 5 1 +RD_1b RS2_04 9 1 +RD_1b RS2_05 4 1 +RD_1b RS2_06 14 1 +RD_1b RS2_07 3 1 +RD_1b RS2_08 7 1 +RD_1b RS2_09 5 1 +RD_1b RS2_0a 9 1 +RD_1b RS2_0b 2 1 +RD_1b RS2_0c 5 1 +RD_1b RS2_0d 8 1 +RD_1b RS2_0e 5 1 +RD_1b RS2_0f 3 1 +RD_1b RS2_10 4 1 +RD_1b RS2_11 5 1 +RD_1b RS2_13 10 1 +RD_1b RS2_14 7 1 +RD_1b RS2_15 7 1 +RD_1b RS2_16 10 1 +RD_1b RS2_17 3 1 +RD_1b RS2_18 7 1 +RD_1b RS2_19 4 1 +RD_1b RS2_1a 3 1 +RD_1b RS2_1b 9 1 +RD_1b RS2_1c 4 1 +RD_1b RS2_1d 9 1 +RD_1b RS2_1e 4 1 +RD_1b RS2_1f 2 1 +RD_1c RS2_00 4 1 +RD_1c RS2_01 10 1 +RD_1c RS2_03 6 1 +RD_1c RS2_04 8 1 +RD_1c RS2_05 7 1 +RD_1c RS2_06 6 1 +RD_1c RS2_07 7 1 +RD_1c RS2_08 6 1 +RD_1c RS2_09 3 1 +RD_1c RS2_0a 9 1 +RD_1c RS2_0b 6 1 +RD_1c RS2_0c 2 1 +RD_1c RS2_0d 5 1 +RD_1c RS2_0e 7 1 +RD_1c RS2_0f 7 1 +RD_1c RS2_10 7 1 +RD_1c RS2_11 5 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 8 1 +RD_1c RS2_14 4 1 +RD_1c RS2_15 5 1 +RD_1c RS2_16 3 1 +RD_1c RS2_17 2 1 +RD_1c RS2_18 7 1 +RD_1c RS2_19 3 1 +RD_1c RS2_1a 5 1 +RD_1c RS2_1b 4 1 +RD_1c RS2_1c 10 1 +RD_1c RS2_1e 7 1 +RD_1c RS2_1f 10 1 +RD_1d RS2_00 1 1 +RD_1d RS2_02 4 1 +RD_1d RS2_03 3 1 +RD_1d RS2_04 9 1 +RD_1d RS2_05 3 1 +RD_1d RS2_06 5 1 +RD_1d RS2_07 3 1 +RD_1d RS2_08 8 1 +RD_1d RS2_09 3 1 +RD_1d RS2_0a 7 1 +RD_1d RS2_0b 8 1 +RD_1d RS2_0c 3 1 +RD_1d RS2_0d 8 1 +RD_1d RS2_0e 4 1 +RD_1d RS2_0f 2 1 +RD_1d RS2_10 5 1 +RD_1d RS2_11 1 1 +RD_1d RS2_12 5 1 +RD_1d RS2_13 5 1 +RD_1d RS2_14 4 1 +RD_1d RS2_15 9 1 +RD_1d RS2_16 5 1 +RD_1d RS2_17 4 1 +RD_1d RS2_18 7 1 +RD_1d RS2_19 7 1 +RD_1d RS2_1a 8 1 +RD_1d RS2_1b 9 1 +RD_1d RS2_1c 2 1 +RD_1d RS2_1d 4 1 +RD_1d RS2_1e 4 1 +RD_1d RS2_1f 4 1 +RD_1e RS2_00 6 1 +RD_1e RS2_01 5 1 +RD_1e RS2_02 5 1 +RD_1e RS2_03 4 1 +RD_1e RS2_04 5 1 +RD_1e RS2_05 5 1 +RD_1e RS2_06 8 1 +RD_1e RS2_07 8 1 +RD_1e RS2_08 2 1 +RD_1e RS2_09 6 1 +RD_1e RS2_0a 8 1 +RD_1e RS2_0b 5 1 +RD_1e RS2_0c 9 1 +RD_1e RS2_0d 8 1 +RD_1e RS2_0e 3 1 +RD_1e RS2_0f 5 1 +RD_1e RS2_11 2 1 +RD_1e RS2_12 4 1 +RD_1e RS2_13 8 1 +RD_1e RS2_14 3 1 +RD_1e RS2_15 6 1 +RD_1e RS2_16 2 1 +RD_1e RS2_17 7 1 +RD_1e RS2_18 2 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 8 1 +RD_1e RS2_1b 6 1 +RD_1e RS2_1c 7 1 +RD_1e RS2_1d 3 1 +RD_1e RS2_1e 9 1 +RD_1e RS2_1f 3 1 +RD_1f RS2_00 4 1 +RD_1f RS2_01 5 1 +RD_1f RS2_02 6 1 +RD_1f RS2_03 6 1 +RD_1f RS2_04 6 1 +RD_1f RS2_05 4 1 +RD_1f RS2_06 9 1 +RD_1f RS2_07 5 1 +RD_1f RS2_08 4 1 +RD_1f RS2_09 6 1 +RD_1f RS2_0a 4 1 +RD_1f RS2_0b 9 1 +RD_1f RS2_0c 5 1 +RD_1f RS2_0d 5 1 +RD_1f RS2_0e 3 1 +RD_1f RS2_0f 7 1 +RD_1f RS2_10 4 1 +RD_1f RS2_11 8 1 +RD_1f RS2_12 7 1 +RD_1f RS2_13 4 1 +RD_1f RS2_14 2 1 +RD_1f RS2_15 8 1 +RD_1f RS2_16 3 1 +RD_1f RS2_17 5 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 7 1 +RD_1f RS2_1a 7 1 +RD_1f RS2_1b 6 1 +RD_1f RS2_1c 4 1 +RD_1f RS2_1d 3 1 +RD_1f RS2_1e 1 1 +RD_1f RS2_1f 7 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_nmadd_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.79 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 15 2033 99.27 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 10 1014 99.02 100 1 1 0 +cross_rd_rs2 1024 5 1019 99.51 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 179 1 +RD_01 177 1 +RD_02 156 1 +RD_03 165 1 +RD_04 157 1 +RD_05 170 1 +RD_06 151 1 +RD_07 154 1 +RD_08 165 1 +RD_09 176 1 +RD_0a 167 1 +RD_0b 173 1 +RD_0c 143 1 +RD_0d 151 1 +RD_0e 175 1 +RD_0f 183 1 +RD_10 177 1 +RD_11 159 1 +RD_12 147 1 +RD_13 183 1 +RD_14 168 1 +RD_15 152 1 +RD_16 156 1 +RD_17 198 1 +RD_18 158 1 +RD_19 154 1 +RD_1a 152 1 +RD_1b 188 1 +RD_1c 164 1 +RD_1d 158 1 +RD_1e 178 1 +RD_1f 180 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 180 1 +RS1_01 149 1 +RS1_02 169 1 +RS1_03 161 1 +RS1_04 175 1 +RS1_05 182 1 +RS1_06 174 1 +RS1_07 180 1 +RS1_08 164 1 +RS1_09 188 1 +RS1_0a 167 1 +RS1_0b 144 1 +RS1_0c 160 1 +RS1_0d 157 1 +RS1_0e 189 1 +RS1_0f 155 1 +RS1_10 168 1 +RS1_11 140 1 +RS1_12 147 1 +RS1_13 145 1 +RS1_14 186 1 +RS1_15 158 1 +RS1_16 166 1 +RS1_17 171 1 +RS1_18 167 1 +RS1_19 174 1 +RS1_1a 153 1 +RS1_1b 176 1 +RS1_1c 199 1 +RS1_1d 161 1 +RS1_1e 158 1 +RS1_1f 151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 183 1 +RS2_01 173 1 +RS2_02 155 1 +RS2_03 186 1 +RS2_04 184 1 +RS2_05 186 1 +RS2_06 150 1 +RS2_07 178 1 +RS2_08 158 1 +RS2_09 156 1 +RS2_0a 147 1 +RS2_0b 161 1 +RS2_0c 160 1 +RS2_0d 172 1 +RS2_0e 176 1 +RS2_0f 166 1 +RS2_10 168 1 +RS2_11 142 1 +RS2_12 168 1 +RS2_13 165 1 +RS2_14 161 1 +RS2_15 167 1 +RS2_16 174 1 +RS2_17 155 1 +RS2_18 152 1 +RS2_19 174 1 +RS2_1a 165 1 +RS2_1b 150 1 +RS2_1c 193 1 +RS2_1d 179 1 +RS2_1e 159 1 +RS2_1f 151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2531 1 +BIT30_1 2008 1 +BIT29_1 1971 1 +BIT28_1 1957 1 +BIT27_1 1908 1 +BIT26_1 1943 1 +BIT25_1 1960 1 +BIT24_1 1903 1 +BIT23_1 1912 1 +BIT22_1 1964 1 +BIT21_1 1872 1 +BIT20_1 1868 1 +BIT19_1 1887 1 +BIT18_1 1917 1 +BIT17_1 1960 1 +BIT16_1 2066 1 +BIT15_1 2164 1 +BIT14_1 2094 1 +BIT13_1 2013 1 +BIT12_1 2388 1 +BIT11_1 2379 1 +BIT10_1 2380 1 +BIT9_1 2176 1 +BIT8_1 2028 1 +BIT7_1 2183 1 +BIT6_1 1991 1 +BIT5_1 2010 1 +BIT4_1 2414 1 +BIT3_1 2473 1 +BIT2_1 2385 1 +BIT1_1 1944 1 +BIT0_1 1670 1 +BIT31_0 2783 1 +BIT30_0 3306 1 +BIT29_0 3343 1 +BIT28_0 3357 1 +BIT27_0 3406 1 +BIT26_0 3371 1 +BIT25_0 3354 1 +BIT24_0 3411 1 +BIT23_0 3402 1 +BIT22_0 3350 1 +BIT21_0 3442 1 +BIT20_0 3446 1 +BIT19_0 3427 1 +BIT18_0 3397 1 +BIT17_0 3354 1 +BIT16_0 3248 1 +BIT15_0 3150 1 +BIT14_0 3220 1 +BIT13_0 3301 1 +BIT12_0 2926 1 +BIT11_0 2935 1 +BIT10_0 2934 1 +BIT9_0 3138 1 +BIT8_0 3286 1 +BIT7_0 3131 1 +BIT6_0 3323 1 +BIT5_0 3304 1 +BIT4_0 2900 1 +BIT3_0 2841 1 +BIT2_0 2929 1 +BIT1_0 3370 1 +BIT0_0 3644 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2496 1 +BIT30_1 1981 1 +BIT29_1 1973 1 +BIT28_1 1980 1 +BIT27_1 1897 1 +BIT26_1 1927 1 +BIT25_1 1977 1 +BIT24_1 1877 1 +BIT23_1 1955 1 +BIT22_1 1891 1 +BIT21_1 1838 1 +BIT20_1 1842 1 +BIT19_1 1915 1 +BIT18_1 1868 1 +BIT17_1 1940 1 +BIT16_1 1987 1 +BIT15_1 2190 1 +BIT14_1 2130 1 +BIT13_1 2052 1 +BIT12_1 2362 1 +BIT11_1 2377 1 +BIT10_1 2326 1 +BIT9_1 2171 1 +BIT8_1 1974 1 +BIT7_1 2224 1 +BIT6_1 1889 1 +BIT5_1 2064 1 +BIT4_1 2459 1 +BIT3_1 2520 1 +BIT2_1 2419 1 +BIT1_1 1954 1 +BIT0_1 1783 1 +BIT31_0 2815 1 +BIT30_0 3330 1 +BIT29_0 3338 1 +BIT28_0 3331 1 +BIT27_0 3414 1 +BIT26_0 3384 1 +BIT25_0 3334 1 +BIT24_0 3434 1 +BIT23_0 3356 1 +BIT22_0 3420 1 +BIT21_0 3473 1 +BIT20_0 3469 1 +BIT19_0 3396 1 +BIT18_0 3443 1 +BIT17_0 3371 1 +BIT16_0 3324 1 +BIT15_0 3121 1 +BIT14_0 3181 1 +BIT13_0 3259 1 +BIT12_0 2949 1 +BIT11_0 2934 1 +BIT10_0 2985 1 +BIT9_0 3140 1 +BIT8_0 3337 1 +BIT7_0 3087 1 +BIT6_0 3422 1 +BIT5_0 3247 1 +BIT4_0 2852 1 +BIT3_0 2791 1 +BIT2_0 2892 1 +BIT1_0 3357 1 +BIT0_0 3528 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 10 1014 99.02 10 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_00] [RS1_01] 0 1 1 +[RD_01] [RS1_13] 0 1 1 +[RD_02] [RS1_0d] 0 1 1 +[RD_04] [RS1_01] 0 1 1 +[RD_04] [RS1_05] 0 1 1 +[RD_04] [RS1_1c] 0 1 1 +[RD_0c] [RS1_18] 0 1 1 +[RD_0e] [RS1_12] 0 1 1 +[RD_16] [RS1_11] 0 1 1 +[RD_19] [RS1_00] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 4 1 +RD_00 RS1_02 9 1 +RD_00 RS1_03 4 1 +RD_00 RS1_04 5 1 +RD_00 RS1_05 6 1 +RD_00 RS1_06 6 1 +RD_00 RS1_07 10 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 9 1 +RD_00 RS1_0a 7 1 +RD_00 RS1_0b 5 1 +RD_00 RS1_0c 3 1 +RD_00 RS1_0d 4 1 +RD_00 RS1_0e 5 1 +RD_00 RS1_0f 1 1 +RD_00 RS1_10 9 1 +RD_00 RS1_11 8 1 +RD_00 RS1_12 4 1 +RD_00 RS1_13 1 1 +RD_00 RS1_14 5 1 +RD_00 RS1_15 16 1 +RD_00 RS1_16 7 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 2 1 +RD_00 RS1_19 5 1 +RD_00 RS1_1a 7 1 +RD_00 RS1_1b 6 1 +RD_00 RS1_1c 7 1 +RD_00 RS1_1d 8 1 +RD_00 RS1_1e 4 1 +RD_00 RS1_1f 4 1 +RD_01 RS1_00 9 1 +RD_01 RS1_01 6 1 +RD_01 RS1_02 7 1 +RD_01 RS1_03 12 1 +RD_01 RS1_04 6 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 3 1 +RD_01 RS1_07 13 1 +RD_01 RS1_08 7 1 +RD_01 RS1_09 2 1 +RD_01 RS1_0a 2 1 +RD_01 RS1_0b 5 1 +RD_01 RS1_0c 4 1 +RD_01 RS1_0d 4 1 +RD_01 RS1_0e 6 1 +RD_01 RS1_0f 4 1 +RD_01 RS1_10 3 1 +RD_01 RS1_11 3 1 +RD_01 RS1_12 5 1 +RD_01 RS1_14 5 1 +RD_01 RS1_15 5 1 +RD_01 RS1_16 7 1 +RD_01 RS1_17 6 1 +RD_01 RS1_18 8 1 +RD_01 RS1_19 3 1 +RD_01 RS1_1a 6 1 +RD_01 RS1_1b 3 1 +RD_01 RS1_1c 9 1 +RD_01 RS1_1d 4 1 +RD_01 RS1_1e 8 1 +RD_01 RS1_1f 7 1 +RD_02 RS1_00 7 1 +RD_02 RS1_01 6 1 +RD_02 RS1_02 6 1 +RD_02 RS1_03 4 1 +RD_02 RS1_04 8 1 +RD_02 RS1_05 5 1 +RD_02 RS1_06 9 1 +RD_02 RS1_07 3 1 +RD_02 RS1_08 7 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 7 1 +RD_02 RS1_0b 3 1 +RD_02 RS1_0c 5 1 +RD_02 RS1_0e 5 1 +RD_02 RS1_0f 2 1 +RD_02 RS1_10 1 1 +RD_02 RS1_11 6 1 +RD_02 RS1_12 6 1 +RD_02 RS1_13 7 1 +RD_02 RS1_14 4 1 +RD_02 RS1_15 4 1 +RD_02 RS1_16 8 1 +RD_02 RS1_17 3 1 +RD_02 RS1_18 3 1 +RD_02 RS1_19 6 1 +RD_02 RS1_1a 4 1 +RD_02 RS1_1b 7 1 +RD_02 RS1_1c 5 1 +RD_02 RS1_1d 4 1 +RD_02 RS1_1e 3 1 +RD_02 RS1_1f 3 1 +RD_03 RS1_00 5 1 +RD_03 RS1_01 1 1 +RD_03 RS1_02 2 1 +RD_03 RS1_03 6 1 +RD_03 RS1_04 3 1 +RD_03 RS1_05 12 1 +RD_03 RS1_06 2 1 +RD_03 RS1_07 6 1 +RD_03 RS1_08 7 1 +RD_03 RS1_09 6 1 +RD_03 RS1_0a 8 1 +RD_03 RS1_0b 2 1 +RD_03 RS1_0c 7 1 +RD_03 RS1_0d 5 1 +RD_03 RS1_0e 6 1 +RD_03 RS1_0f 5 1 +RD_03 RS1_10 9 1 +RD_03 RS1_11 5 1 +RD_03 RS1_12 9 1 +RD_03 RS1_13 4 1 +RD_03 RS1_14 8 1 +RD_03 RS1_15 1 1 +RD_03 RS1_16 6 1 +RD_03 RS1_17 4 1 +RD_03 RS1_18 8 1 +RD_03 RS1_19 4 1 +RD_03 RS1_1a 3 1 +RD_03 RS1_1b 5 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 2 1 +RD_03 RS1_1e 4 1 +RD_03 RS1_1f 3 1 +RD_04 RS1_00 8 1 +RD_04 RS1_02 2 1 +RD_04 RS1_03 4 1 +RD_04 RS1_04 7 1 +RD_04 RS1_06 5 1 +RD_04 RS1_07 5 1 +RD_04 RS1_08 7 1 +RD_04 RS1_09 7 1 +RD_04 RS1_0a 4 1 +RD_04 RS1_0b 3 1 +RD_04 RS1_0c 5 1 +RD_04 RS1_0d 3 1 +RD_04 RS1_0e 5 1 +RD_04 RS1_0f 1 1 +RD_04 RS1_10 7 1 +RD_04 RS1_11 7 1 +RD_04 RS1_12 3 1 +RD_04 RS1_13 3 1 +RD_04 RS1_14 9 1 +RD_04 RS1_15 8 1 +RD_04 RS1_16 6 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 5 1 +RD_04 RS1_19 11 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 4 1 +RD_04 RS1_1d 5 1 +RD_04 RS1_1e 8 1 +RD_04 RS1_1f 5 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 5 1 +RD_05 RS1_02 2 1 +RD_05 RS1_03 4 1 +RD_05 RS1_04 3 1 +RD_05 RS1_05 5 1 +RD_05 RS1_06 5 1 +RD_05 RS1_07 7 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 6 1 +RD_05 RS1_0a 2 1 +RD_05 RS1_0b 8 1 +RD_05 RS1_0c 7 1 +RD_05 RS1_0d 4 1 +RD_05 RS1_0e 3 1 +RD_05 RS1_0f 4 1 +RD_05 RS1_10 10 1 +RD_05 RS1_11 3 1 +RD_05 RS1_12 4 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 6 1 +RD_05 RS1_15 4 1 +RD_05 RS1_16 5 1 +RD_05 RS1_17 6 1 +RD_05 RS1_18 7 1 +RD_05 RS1_19 7 1 +RD_05 RS1_1a 10 1 +RD_05 RS1_1b 3 1 +RD_05 RS1_1c 10 1 +RD_05 RS1_1d 8 1 +RD_05 RS1_1e 7 1 +RD_05 RS1_1f 1 1 +RD_06 RS1_00 3 1 +RD_06 RS1_01 1 1 +RD_06 RS1_02 2 1 +RD_06 RS1_03 4 1 +RD_06 RS1_04 9 1 +RD_06 RS1_05 7 1 +RD_06 RS1_06 8 1 +RD_06 RS1_07 3 1 +RD_06 RS1_08 4 1 +RD_06 RS1_09 7 1 +RD_06 RS1_0a 7 1 +RD_06 RS1_0b 5 1 +RD_06 RS1_0c 5 1 +RD_06 RS1_0d 5 1 +RD_06 RS1_0e 7 1 +RD_06 RS1_0f 5 1 +RD_06 RS1_10 6 1 +RD_06 RS1_11 1 1 +RD_06 RS1_12 1 1 +RD_06 RS1_13 5 1 +RD_06 RS1_14 6 1 +RD_06 RS1_15 7 1 +RD_06 RS1_16 3 1 +RD_06 RS1_17 2 1 +RD_06 RS1_18 7 1 +RD_06 RS1_19 5 1 +RD_06 RS1_1a 2 1 +RD_06 RS1_1b 6 1 +RD_06 RS1_1c 4 1 +RD_06 RS1_1d 8 1 +RD_06 RS1_1e 4 1 +RD_06 RS1_1f 2 1 +RD_07 RS1_00 8 1 +RD_07 RS1_01 9 1 +RD_07 RS1_02 3 1 +RD_07 RS1_03 3 1 +RD_07 RS1_04 4 1 +RD_07 RS1_05 3 1 +RD_07 RS1_06 3 1 +RD_07 RS1_07 3 1 +RD_07 RS1_08 4 1 +RD_07 RS1_09 9 1 +RD_07 RS1_0a 3 1 +RD_07 RS1_0b 5 1 +RD_07 RS1_0c 5 1 +RD_07 RS1_0d 2 1 +RD_07 RS1_0e 5 1 +RD_07 RS1_0f 3 1 +RD_07 RS1_10 4 1 +RD_07 RS1_11 6 1 +RD_07 RS1_12 2 1 +RD_07 RS1_13 6 1 +RD_07 RS1_14 9 1 +RD_07 RS1_15 2 1 +RD_07 RS1_16 3 1 +RD_07 RS1_17 5 1 +RD_07 RS1_18 7 1 +RD_07 RS1_19 4 1 +RD_07 RS1_1a 5 1 +RD_07 RS1_1b 7 1 +RD_07 RS1_1c 7 1 +RD_07 RS1_1d 6 1 +RD_07 RS1_1e 5 1 +RD_07 RS1_1f 4 1 +RD_08 RS1_00 4 1 +RD_08 RS1_01 5 1 +RD_08 RS1_02 4 1 +RD_08 RS1_03 3 1 +RD_08 RS1_04 4 1 +RD_08 RS1_05 3 1 +RD_08 RS1_06 4 1 +RD_08 RS1_07 8 1 +RD_08 RS1_08 4 1 +RD_08 RS1_09 4 1 +RD_08 RS1_0a 6 1 +RD_08 RS1_0b 4 1 +RD_08 RS1_0c 3 1 +RD_08 RS1_0d 7 1 +RD_08 RS1_0e 6 1 +RD_08 RS1_0f 7 1 +RD_08 RS1_10 5 1 +RD_08 RS1_11 4 1 +RD_08 RS1_12 6 1 +RD_08 RS1_13 6 1 +RD_08 RS1_14 2 1 +RD_08 RS1_15 6 1 +RD_08 RS1_16 7 1 +RD_08 RS1_17 3 1 +RD_08 RS1_18 3 1 +RD_08 RS1_19 7 1 +RD_08 RS1_1a 3 1 +RD_08 RS1_1b 8 1 +RD_08 RS1_1c 9 1 +RD_08 RS1_1d 5 1 +RD_08 RS1_1e 8 1 +RD_08 RS1_1f 7 1 +RD_09 RS1_00 6 1 +RD_09 RS1_01 5 1 +RD_09 RS1_02 9 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 4 1 +RD_09 RS1_05 3 1 +RD_09 RS1_06 6 1 +RD_09 RS1_07 4 1 +RD_09 RS1_08 2 1 +RD_09 RS1_09 7 1 +RD_09 RS1_0a 7 1 +RD_09 RS1_0b 7 1 +RD_09 RS1_0c 6 1 +RD_09 RS1_0d 11 1 +RD_09 RS1_0e 5 1 +RD_09 RS1_0f 4 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 2 1 +RD_09 RS1_12 2 1 +RD_09 RS1_13 8 1 +RD_09 RS1_14 3 1 +RD_09 RS1_15 7 1 +RD_09 RS1_16 3 1 +RD_09 RS1_17 6 1 +RD_09 RS1_18 7 1 +RD_09 RS1_19 6 1 +RD_09 RS1_1a 6 1 +RD_09 RS1_1b 6 1 +RD_09 RS1_1c 8 1 +RD_09 RS1_1d 2 1 +RD_09 RS1_1e 9 1 +RD_09 RS1_1f 6 1 +RD_0a RS1_00 6 1 +RD_0a RS1_01 3 1 +RD_0a RS1_02 9 1 +RD_0a RS1_03 5 1 +RD_0a RS1_04 2 1 +RD_0a RS1_05 4 1 +RD_0a RS1_06 3 1 +RD_0a RS1_07 5 1 +RD_0a RS1_08 4 1 +RD_0a RS1_09 6 1 +RD_0a RS1_0a 6 1 +RD_0a RS1_0b 7 1 +RD_0a RS1_0c 3 1 +RD_0a RS1_0d 5 1 +RD_0a RS1_0e 13 1 +RD_0a RS1_0f 7 1 +RD_0a RS1_10 7 1 +RD_0a RS1_11 8 1 +RD_0a RS1_12 4 1 +RD_0a RS1_13 5 1 +RD_0a RS1_14 9 1 +RD_0a RS1_15 4 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 4 1 +RD_0a RS1_18 3 1 +RD_0a RS1_19 1 1 +RD_0a RS1_1a 4 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 6 1 +RD_0a RS1_1d 8 1 +RD_0a RS1_1e 5 1 +RD_0a RS1_1f 3 1 +RD_0b RS1_00 6 1 +RD_0b RS1_01 4 1 +RD_0b RS1_02 8 1 +RD_0b RS1_03 8 1 +RD_0b RS1_04 2 1 +RD_0b RS1_05 6 1 +RD_0b RS1_06 4 1 +RD_0b RS1_07 6 1 +RD_0b RS1_08 9 1 +RD_0b RS1_09 6 1 +RD_0b RS1_0a 6 1 +RD_0b RS1_0b 7 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 6 1 +RD_0b RS1_0e 9 1 +RD_0b RS1_0f 6 1 +RD_0b RS1_10 2 1 +RD_0b RS1_11 3 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 5 1 +RD_0b RS1_14 2 1 +RD_0b RS1_15 2 1 +RD_0b RS1_16 5 1 +RD_0b RS1_17 4 1 +RD_0b RS1_18 6 1 +RD_0b RS1_19 5 1 +RD_0b RS1_1a 4 1 +RD_0b RS1_1b 5 1 +RD_0b RS1_1c 10 1 +RD_0b RS1_1d 8 1 +RD_0b RS1_1e 6 1 +RD_0b RS1_1f 2 1 +RD_0c RS1_00 2 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 6 1 +RD_0c RS1_03 5 1 +RD_0c RS1_04 2 1 +RD_0c RS1_05 6 1 +RD_0c RS1_06 6 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 4 1 +RD_0c RS1_09 7 1 +RD_0c RS1_0a 6 1 +RD_0c RS1_0b 6 1 +RD_0c RS1_0c 1 1 +RD_0c RS1_0d 5 1 +RD_0c RS1_0e 3 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 1 1 +RD_0c RS1_11 1 1 +RD_0c RS1_12 4 1 +RD_0c RS1_13 3 1 +RD_0c RS1_14 8 1 +RD_0c RS1_15 4 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 7 1 +RD_0c RS1_19 4 1 +RD_0c RS1_1a 8 1 +RD_0c RS1_1b 4 1 +RD_0c RS1_1c 4 1 +RD_0c RS1_1d 5 1 +RD_0c RS1_1e 9 1 +RD_0c RS1_1f 3 1 +RD_0d RS1_00 12 1 +RD_0d RS1_01 6 1 +RD_0d RS1_02 6 1 +RD_0d RS1_03 1 1 +RD_0d RS1_04 5 1 +RD_0d RS1_05 3 1 +RD_0d RS1_06 4 1 +RD_0d RS1_07 5 1 +RD_0d RS1_08 6 1 +RD_0d RS1_09 6 1 +RD_0d RS1_0a 4 1 +RD_0d RS1_0b 3 1 +RD_0d RS1_0c 5 1 +RD_0d RS1_0d 4 1 +RD_0d RS1_0e 1 1 +RD_0d RS1_0f 3 1 +RD_0d RS1_10 8 1 +RD_0d RS1_11 3 1 +RD_0d RS1_12 3 1 +RD_0d RS1_13 7 1 +RD_0d RS1_14 3 1 +RD_0d RS1_15 9 1 +RD_0d RS1_16 3 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 3 1 +RD_0d RS1_19 1 1 +RD_0d RS1_1a 6 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 8 1 +RD_0d RS1_1d 6 1 +RD_0d RS1_1e 6 1 +RD_0d RS1_1f 5 1 +RD_0e RS1_00 4 1 +RD_0e RS1_01 7 1 +RD_0e RS1_02 3 1 +RD_0e RS1_03 4 1 +RD_0e RS1_04 1 1 +RD_0e RS1_05 6 1 +RD_0e RS1_06 9 1 +RD_0e RS1_07 7 1 +RD_0e RS1_08 5 1 +RD_0e RS1_09 11 1 +RD_0e RS1_0a 6 1 +RD_0e RS1_0b 2 1 +RD_0e RS1_0c 5 1 +RD_0e RS1_0d 8 1 +RD_0e RS1_0e 9 1 +RD_0e RS1_0f 2 1 +RD_0e RS1_10 9 1 +RD_0e RS1_11 6 1 +RD_0e RS1_13 3 1 +RD_0e RS1_14 10 1 +RD_0e RS1_15 5 1 +RD_0e RS1_16 8 1 +RD_0e RS1_17 9 1 +RD_0e RS1_18 5 1 +RD_0e RS1_19 1 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 5 1 +RD_0e RS1_1c 5 1 +RD_0e RS1_1d 6 1 +RD_0e RS1_1e 8 1 +RD_0e RS1_1f 4 1 +RD_0f RS1_00 7 1 +RD_0f RS1_01 4 1 +RD_0f RS1_02 12 1 +RD_0f RS1_03 15 1 +RD_0f RS1_04 9 1 +RD_0f RS1_05 3 1 +RD_0f RS1_06 6 1 +RD_0f RS1_07 2 1 +RD_0f RS1_08 6 1 +RD_0f RS1_09 3 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 6 1 +RD_0f RS1_0c 5 1 +RD_0f RS1_0d 5 1 +RD_0f RS1_0e 10 1 +RD_0f RS1_0f 1 1 +RD_0f RS1_10 6 1 +RD_0f RS1_11 6 1 +RD_0f RS1_12 4 1 +RD_0f RS1_13 1 1 +RD_0f RS1_14 7 1 +RD_0f RS1_15 6 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 5 1 +RD_0f RS1_18 9 1 +RD_0f RS1_19 8 1 +RD_0f RS1_1a 5 1 +RD_0f RS1_1b 5 1 +RD_0f RS1_1c 8 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 2 1 +RD_0f RS1_1f 6 1 +RD_10 RS1_00 8 1 +RD_10 RS1_01 3 1 +RD_10 RS1_02 7 1 +RD_10 RS1_03 4 1 +RD_10 RS1_04 3 1 +RD_10 RS1_05 6 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 6 1 +RD_10 RS1_08 4 1 +RD_10 RS1_09 6 1 +RD_10 RS1_0a 2 1 +RD_10 RS1_0b 5 1 +RD_10 RS1_0c 3 1 +RD_10 RS1_0d 6 1 +RD_10 RS1_0e 3 1 +RD_10 RS1_0f 7 1 +RD_10 RS1_10 5 1 +RD_10 RS1_11 5 1 +RD_10 RS1_12 6 1 +RD_10 RS1_13 6 1 +RD_10 RS1_14 8 1 +RD_10 RS1_15 8 1 +RD_10 RS1_16 5 1 +RD_10 RS1_17 7 1 +RD_10 RS1_18 6 1 +RD_10 RS1_19 14 1 +RD_10 RS1_1a 5 1 +RD_10 RS1_1b 6 1 +RD_10 RS1_1c 9 1 +RD_10 RS1_1d 3 1 +RD_10 RS1_1e 4 1 +RD_10 RS1_1f 3 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 5 1 +RD_11 RS1_02 4 1 +RD_11 RS1_03 2 1 +RD_11 RS1_04 9 1 +RD_11 RS1_05 7 1 +RD_11 RS1_06 4 1 +RD_11 RS1_07 5 1 +RD_11 RS1_08 1 1 +RD_11 RS1_09 4 1 +RD_11 RS1_0a 6 1 +RD_11 RS1_0b 8 1 +RD_11 RS1_0c 5 1 +RD_11 RS1_0d 6 1 +RD_11 RS1_0e 6 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 4 1 +RD_11 RS1_11 4 1 +RD_11 RS1_12 5 1 +RD_11 RS1_13 7 1 +RD_11 RS1_14 3 1 +RD_11 RS1_15 3 1 +RD_11 RS1_16 3 1 +RD_11 RS1_17 5 1 +RD_11 RS1_18 6 1 +RD_11 RS1_19 6 1 +RD_11 RS1_1a 6 1 +RD_11 RS1_1b 6 1 +RD_11 RS1_1c 7 1 +RD_11 RS1_1d 3 1 +RD_11 RS1_1e 1 1 +RD_11 RS1_1f 10 1 +RD_12 RS1_00 10 1 +RD_12 RS1_01 1 1 +RD_12 RS1_02 3 1 +RD_12 RS1_03 9 1 +RD_12 RS1_04 4 1 +RD_12 RS1_05 10 1 +RD_12 RS1_06 6 1 +RD_12 RS1_07 5 1 +RD_12 RS1_08 5 1 +RD_12 RS1_09 4 1 +RD_12 RS1_0a 10 1 +RD_12 RS1_0b 3 1 +RD_12 RS1_0c 3 1 +RD_12 RS1_0d 2 1 +RD_12 RS1_0e 1 1 +RD_12 RS1_0f 8 1 +RD_12 RS1_10 3 1 +RD_12 RS1_11 5 1 +RD_12 RS1_12 3 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 4 1 +RD_12 RS1_15 3 1 +RD_12 RS1_16 7 1 +RD_12 RS1_17 2 1 +RD_12 RS1_18 9 1 +RD_12 RS1_19 2 1 +RD_12 RS1_1a 2 1 +RD_12 RS1_1b 6 1 +RD_12 RS1_1c 1 1 +RD_12 RS1_1d 4 1 +RD_12 RS1_1e 3 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 4 1 +RD_13 RS1_01 2 1 +RD_13 RS1_02 4 1 +RD_13 RS1_03 4 1 +RD_13 RS1_04 5 1 +RD_13 RS1_05 7 1 +RD_13 RS1_06 4 1 +RD_13 RS1_07 4 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 4 1 +RD_13 RS1_0a 9 1 +RD_13 RS1_0b 6 1 +RD_13 RS1_0c 6 1 +RD_13 RS1_0d 4 1 +RD_13 RS1_0e 3 1 +RD_13 RS1_0f 6 1 +RD_13 RS1_10 4 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 8 1 +RD_13 RS1_13 5 1 +RD_13 RS1_14 2 1 +RD_13 RS1_15 4 1 +RD_13 RS1_16 6 1 +RD_13 RS1_17 9 1 +RD_13 RS1_18 6 1 +RD_13 RS1_19 12 1 +RD_13 RS1_1a 3 1 +RD_13 RS1_1b 10 1 +RD_13 RS1_1c 8 1 +RD_13 RS1_1d 5 1 +RD_13 RS1_1e 9 1 +RD_13 RS1_1f 11 1 +RD_14 RS1_00 9 1 +RD_14 RS1_01 4 1 +RD_14 RS1_02 5 1 +RD_14 RS1_03 2 1 +RD_14 RS1_04 3 1 +RD_14 RS1_05 6 1 +RD_14 RS1_06 4 1 +RD_14 RS1_07 7 1 +RD_14 RS1_08 4 1 +RD_14 RS1_09 6 1 +RD_14 RS1_0a 5 1 +RD_14 RS1_0b 5 1 +RD_14 RS1_0c 5 1 +RD_14 RS1_0d 4 1 +RD_14 RS1_0e 11 1 +RD_14 RS1_0f 4 1 +RD_14 RS1_10 6 1 +RD_14 RS1_11 5 1 +RD_14 RS1_12 5 1 +RD_14 RS1_13 1 1 +RD_14 RS1_14 6 1 +RD_14 RS1_15 6 1 +RD_14 RS1_16 7 1 +RD_14 RS1_17 3 1 +RD_14 RS1_18 5 1 +RD_14 RS1_19 4 1 +RD_14 RS1_1a 7 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 7 1 +RD_14 RS1_1d 2 1 +RD_14 RS1_1e 11 1 +RD_14 RS1_1f 5 1 +RD_15 RS1_00 3 1 +RD_15 RS1_01 5 1 +RD_15 RS1_02 2 1 +RD_15 RS1_03 4 1 +RD_15 RS1_04 5 1 +RD_15 RS1_05 8 1 +RD_15 RS1_06 3 1 +RD_15 RS1_07 10 1 +RD_15 RS1_08 6 1 +RD_15 RS1_09 2 1 +RD_15 RS1_0a 3 1 +RD_15 RS1_0b 2 1 +RD_15 RS1_0c 6 1 +RD_15 RS1_0d 4 1 +RD_15 RS1_0e 7 1 +RD_15 RS1_0f 5 1 +RD_15 RS1_10 2 1 +RD_15 RS1_11 3 1 +RD_15 RS1_12 6 1 +RD_15 RS1_13 6 1 +RD_15 RS1_14 5 1 +RD_15 RS1_15 6 1 +RD_15 RS1_16 1 1 +RD_15 RS1_17 11 1 +RD_15 RS1_18 5 1 +RD_15 RS1_19 2 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 3 1 +RD_15 RS1_1c 9 1 +RD_15 RS1_1d 5 1 +RD_15 RS1_1e 4 1 +RD_15 RS1_1f 5 1 +RD_16 RS1_00 6 1 +RD_16 RS1_01 7 1 +RD_16 RS1_02 4 1 +RD_16 RS1_03 3 1 +RD_16 RS1_04 6 1 +RD_16 RS1_05 8 1 +RD_16 RS1_06 7 1 +RD_16 RS1_07 6 1 +RD_16 RS1_08 5 1 +RD_16 RS1_09 2 1 +RD_16 RS1_0a 5 1 +RD_16 RS1_0b 4 1 +RD_16 RS1_0c 6 1 +RD_16 RS1_0d 5 1 +RD_16 RS1_0e 2 1 +RD_16 RS1_0f 8 1 +RD_16 RS1_10 11 1 +RD_16 RS1_12 6 1 +RD_16 RS1_13 4 1 +RD_16 RS1_14 7 1 +RD_16 RS1_15 3 1 +RD_16 RS1_16 7 1 +RD_16 RS1_17 7 1 +RD_16 RS1_18 5 1 +RD_16 RS1_19 4 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 2 1 +RD_16 RS1_1c 3 1 +RD_16 RS1_1d 6 1 +RD_16 RS1_1e 2 1 +RD_16 RS1_1f 1 1 +RD_17 RS1_00 7 1 +RD_17 RS1_01 7 1 +RD_17 RS1_02 12 1 +RD_17 RS1_03 8 1 +RD_17 RS1_04 12 1 +RD_17 RS1_05 8 1 +RD_17 RS1_06 9 1 +RD_17 RS1_07 7 1 +RD_17 RS1_08 3 1 +RD_17 RS1_09 3 1 +RD_17 RS1_0a 7 1 +RD_17 RS1_0b 3 1 +RD_17 RS1_0c 4 1 +RD_17 RS1_0d 10 1 +RD_17 RS1_0e 2 1 +RD_17 RS1_0f 7 1 +RD_17 RS1_10 3 1 +RD_17 RS1_11 6 1 +RD_17 RS1_12 7 1 +RD_17 RS1_13 5 1 +RD_17 RS1_14 7 1 +RD_17 RS1_15 6 1 +RD_17 RS1_16 7 1 +RD_17 RS1_17 2 1 +RD_17 RS1_18 10 1 +RD_17 RS1_19 11 1 +RD_17 RS1_1a 4 1 +RD_17 RS1_1b 7 1 +RD_17 RS1_1c 1 1 +RD_17 RS1_1d 9 1 +RD_17 RS1_1e 2 1 +RD_17 RS1_1f 2 1 +RD_18 RS1_00 2 1 +RD_18 RS1_01 6 1 +RD_18 RS1_02 5 1 +RD_18 RS1_03 3 1 +RD_18 RS1_04 2 1 +RD_18 RS1_05 6 1 +RD_18 RS1_06 4 1 +RD_18 RS1_07 2 1 +RD_18 RS1_08 7 1 +RD_18 RS1_09 9 1 +RD_18 RS1_0a 7 1 +RD_18 RS1_0b 6 1 +RD_18 RS1_0c 5 1 +RD_18 RS1_0d 3 1 +RD_18 RS1_0e 10 1 +RD_18 RS1_0f 3 1 +RD_18 RS1_10 7 1 +RD_18 RS1_11 3 1 +RD_18 RS1_12 5 1 +RD_18 RS1_13 7 1 +RD_18 RS1_14 9 1 +RD_18 RS1_15 3 1 +RD_18 RS1_16 6 1 +RD_18 RS1_17 8 1 +RD_18 RS1_18 5 1 +RD_18 RS1_19 5 1 +RD_18 RS1_1a 6 1 +RD_18 RS1_1b 2 1 +RD_18 RS1_1c 4 1 +RD_18 RS1_1d 2 1 +RD_18 RS1_1e 3 1 +RD_18 RS1_1f 3 1 +RD_19 RS1_01 8 1 +RD_19 RS1_02 3 1 +RD_19 RS1_03 7 1 +RD_19 RS1_04 12 1 +RD_19 RS1_05 6 1 +RD_19 RS1_06 8 1 +RD_19 RS1_07 1 1 +RD_19 RS1_08 7 1 +RD_19 RS1_09 5 1 +RD_19 RS1_0a 2 1 +RD_19 RS1_0b 3 1 +RD_19 RS1_0c 3 1 +RD_19 RS1_0d 6 1 +RD_19 RS1_0e 6 1 +RD_19 RS1_0f 1 1 +RD_19 RS1_10 4 1 +RD_19 RS1_11 5 1 +RD_19 RS1_12 8 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 5 1 +RD_19 RS1_15 3 1 +RD_19 RS1_16 4 1 +RD_19 RS1_17 8 1 +RD_19 RS1_18 3 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 7 1 +RD_19 RS1_1b 6 1 +RD_19 RS1_1c 4 1 +RD_19 RS1_1d 3 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 5 1 +RD_1a RS1_00 2 1 +RD_1a RS1_01 7 1 +RD_1a RS1_02 6 1 +RD_1a RS1_03 3 1 +RD_1a RS1_04 9 1 +RD_1a RS1_05 4 1 +RD_1a RS1_06 4 1 +RD_1a RS1_07 9 1 +RD_1a RS1_08 5 1 +RD_1a RS1_09 6 1 +RD_1a RS1_0a 1 1 +RD_1a RS1_0b 2 1 +RD_1a RS1_0c 5 1 +RD_1a RS1_0d 3 1 +RD_1a RS1_0e 5 1 +RD_1a RS1_0f 7 1 +RD_1a RS1_10 6 1 +RD_1a RS1_11 6 1 +RD_1a RS1_12 3 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 6 1 +RD_1a RS1_15 3 1 +RD_1a RS1_16 2 1 +RD_1a RS1_17 4 1 +RD_1a RS1_18 1 1 +RD_1a RS1_19 5 1 +RD_1a RS1_1a 2 1 +RD_1a RS1_1b 18 1 +RD_1a RS1_1c 5 1 +RD_1a RS1_1d 1 1 +RD_1a RS1_1e 3 1 +RD_1a RS1_1f 6 1 +RD_1b RS1_00 9 1 +RD_1b RS1_01 6 1 +RD_1b RS1_02 3 1 +RD_1b RS1_03 1 1 +RD_1b RS1_04 9 1 +RD_1b RS1_05 9 1 +RD_1b RS1_06 8 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 7 1 +RD_1b RS1_09 12 1 +RD_1b RS1_0a 6 1 +RD_1b RS1_0b 3 1 +RD_1b RS1_0c 11 1 +RD_1b RS1_0d 3 1 +RD_1b RS1_0e 7 1 +RD_1b RS1_0f 7 1 +RD_1b RS1_10 2 1 +RD_1b RS1_11 7 1 +RD_1b RS1_12 6 1 +RD_1b RS1_13 1 1 +RD_1b RS1_14 10 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 6 1 +RD_1b RS1_17 8 1 +RD_1b RS1_18 7 1 +RD_1b RS1_19 2 1 +RD_1b RS1_1a 3 1 +RD_1b RS1_1b 4 1 +RD_1b RS1_1c 9 1 +RD_1b RS1_1d 6 1 +RD_1b RS1_1e 5 1 +RD_1b RS1_1f 4 1 +RD_1c RS1_00 4 1 +RD_1c RS1_01 7 1 +RD_1c RS1_02 4 1 +RD_1c RS1_03 3 1 +RD_1c RS1_04 8 1 +RD_1c RS1_05 5 1 +RD_1c RS1_06 5 1 +RD_1c RS1_07 7 1 +RD_1c RS1_08 6 1 +RD_1c RS1_09 2 1 +RD_1c RS1_0a 3 1 +RD_1c RS1_0b 3 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 9 1 +RD_1c RS1_0e 9 1 +RD_1c RS1_0f 6 1 +RD_1c RS1_10 4 1 +RD_1c RS1_11 3 1 +RD_1c RS1_12 1 1 +RD_1c RS1_13 6 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 7 1 +RD_1c RS1_16 5 1 +RD_1c RS1_17 4 1 +RD_1c RS1_18 2 1 +RD_1c RS1_19 2 1 +RD_1c RS1_1a 4 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 10 1 +RD_1c RS1_1d 12 1 +RD_1c RS1_1e 3 1 +RD_1c RS1_1f 6 1 +RD_1d RS1_00 5 1 +RD_1d RS1_01 6 1 +RD_1d RS1_02 7 1 +RD_1d RS1_03 4 1 +RD_1d RS1_04 5 1 +RD_1d RS1_05 6 1 +RD_1d RS1_06 8 1 +RD_1d RS1_07 5 1 +RD_1d RS1_08 5 1 +RD_1d RS1_09 5 1 +RD_1d RS1_0a 7 1 +RD_1d RS1_0b 3 1 +RD_1d RS1_0c 4 1 +RD_1d RS1_0d 7 1 +RD_1d RS1_0e 3 1 +RD_1d RS1_0f 6 1 +RD_1d RS1_10 8 1 +RD_1d RS1_11 3 1 +RD_1d RS1_12 5 1 +RD_1d RS1_13 4 1 +RD_1d RS1_14 4 1 +RD_1d RS1_15 1 1 +RD_1d RS1_16 4 1 +RD_1d RS1_17 6 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 9 1 +RD_1d RS1_1a 4 1 +RD_1d RS1_1b 3 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 1 1 +RD_1d RS1_1e 3 1 +RD_1d RS1_1f 7 1 +RD_1e RS1_00 7 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 6 1 +RD_1e RS1_03 9 1 +RD_1e RS1_04 3 1 +RD_1e RS1_05 5 1 +RD_1e RS1_06 5 1 +RD_1e RS1_07 3 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 10 1 +RD_1e RS1_0a 4 1 +RD_1e RS1_0b 4 1 +RD_1e RS1_0c 9 1 +RD_1e RS1_0d 5 1 +RD_1e RS1_0e 6 1 +RD_1e RS1_0f 7 1 +RD_1e RS1_10 3 1 +RD_1e RS1_11 6 1 +RD_1e RS1_12 5 1 +RD_1e RS1_13 8 1 +RD_1e RS1_14 6 1 +RD_1e RS1_15 1 1 +RD_1e RS1_16 9 1 +RD_1e RS1_17 6 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 6 1 +RD_1e RS1_1a 7 1 +RD_1e RS1_1b 7 1 +RD_1e RS1_1c 2 1 +RD_1e RS1_1d 4 1 +RD_1e RS1_1e 4 1 +RD_1e RS1_1f 8 1 +RD_1f RS1_00 3 1 +RD_1f RS1_01 5 1 +RD_1f RS1_02 4 1 +RD_1f RS1_03 10 1 +RD_1f RS1_04 6 1 +RD_1f RS1_05 4 1 +RD_1f RS1_06 8 1 +RD_1f RS1_07 8 1 +RD_1f RS1_08 8 1 +RD_1f RS1_09 7 1 +RD_1f RS1_0a 6 1 +RD_1f RS1_0b 6 1 +RD_1f RS1_0c 6 1 +RD_1f RS1_0d 2 1 +RD_1f RS1_0e 10 1 +RD_1f RS1_0f 9 1 +RD_1f RS1_10 3 1 +RD_1f RS1_11 2 1 +RD_1f RS1_12 6 1 +RD_1f RS1_13 6 1 +RD_1f RS1_14 3 1 +RD_1f RS1_15 8 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 3 1 +RD_1f RS1_18 3 1 +RD_1f RS1_19 7 1 +RD_1f RS1_1a 6 1 +RD_1f RS1_1b 7 1 +RD_1f RS1_1c 8 1 +RD_1f RS1_1d 5 1 +RD_1f RS1_1e 2 1 +RD_1f RS1_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 5 1019 99.51 5 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_02] [RS2_09] 0 1 1 +[RD_03] [RS2_0b] 0 1 1 +[RD_04] [RS2_0a] 0 1 1 +[RD_0d] [RS2_0d] 0 1 1 +[RD_14] [RS2_12] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 7 1 +RD_00 RS2_01 5 1 +RD_00 RS2_02 4 1 +RD_00 RS2_03 6 1 +RD_00 RS2_04 12 1 +RD_00 RS2_05 2 1 +RD_00 RS2_06 7 1 +RD_00 RS2_07 7 1 +RD_00 RS2_08 5 1 +RD_00 RS2_09 4 1 +RD_00 RS2_0a 6 1 +RD_00 RS2_0b 8 1 +RD_00 RS2_0c 8 1 +RD_00 RS2_0d 2 1 +RD_00 RS2_0e 1 1 +RD_00 RS2_0f 8 1 +RD_00 RS2_10 3 1 +RD_00 RS2_11 8 1 +RD_00 RS2_12 5 1 +RD_00 RS2_13 3 1 +RD_00 RS2_14 6 1 +RD_00 RS2_15 2 1 +RD_00 RS2_16 7 1 +RD_00 RS2_17 4 1 +RD_00 RS2_18 6 1 +RD_00 RS2_19 7 1 +RD_00 RS2_1a 4 1 +RD_00 RS2_1b 4 1 +RD_00 RS2_1c 7 1 +RD_00 RS2_1d 7 1 +RD_00 RS2_1e 8 1 +RD_00 RS2_1f 6 1 +RD_01 RS2_00 6 1 +RD_01 RS2_01 3 1 +RD_01 RS2_02 8 1 +RD_01 RS2_03 8 1 +RD_01 RS2_04 6 1 +RD_01 RS2_05 4 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 5 1 +RD_01 RS2_08 3 1 +RD_01 RS2_09 7 1 +RD_01 RS2_0a 5 1 +RD_01 RS2_0b 10 1 +RD_01 RS2_0c 3 1 +RD_01 RS2_0d 7 1 +RD_01 RS2_0e 7 1 +RD_01 RS2_0f 11 1 +RD_01 RS2_10 9 1 +RD_01 RS2_11 3 1 +RD_01 RS2_12 6 1 +RD_01 RS2_13 8 1 +RD_01 RS2_14 5 1 +RD_01 RS2_15 8 1 +RD_01 RS2_16 5 1 +RD_01 RS2_17 4 1 +RD_01 RS2_18 3 1 +RD_01 RS2_19 2 1 +RD_01 RS2_1a 3 1 +RD_01 RS2_1b 4 1 +RD_01 RS2_1c 5 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 3 1 +RD_01 RS2_1f 6 1 +RD_02 RS2_00 5 1 +RD_02 RS2_01 6 1 +RD_02 RS2_02 2 1 +RD_02 RS2_03 7 1 +RD_02 RS2_04 6 1 +RD_02 RS2_05 5 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 7 1 +RD_02 RS2_08 2 1 +RD_02 RS2_0a 1 1 +RD_02 RS2_0b 8 1 +RD_02 RS2_0c 8 1 +RD_02 RS2_0d 6 1 +RD_02 RS2_0e 7 1 +RD_02 RS2_0f 3 1 +RD_02 RS2_10 3 1 +RD_02 RS2_11 3 1 +RD_02 RS2_12 7 1 +RD_02 RS2_13 3 1 +RD_02 RS2_14 7 1 +RD_02 RS2_15 4 1 +RD_02 RS2_16 5 1 +RD_02 RS2_17 5 1 +RD_02 RS2_18 6 1 +RD_02 RS2_19 7 1 +RD_02 RS2_1a 7 1 +RD_02 RS2_1b 4 1 +RD_02 RS2_1c 1 1 +RD_02 RS2_1d 4 1 +RD_02 RS2_1e 5 1 +RD_02 RS2_1f 4 1 +RD_03 RS2_00 7 1 +RD_03 RS2_01 6 1 +RD_03 RS2_02 3 1 +RD_03 RS2_03 3 1 +RD_03 RS2_04 6 1 +RD_03 RS2_05 4 1 +RD_03 RS2_06 3 1 +RD_03 RS2_07 5 1 +RD_03 RS2_08 4 1 +RD_03 RS2_09 4 1 +RD_03 RS2_0a 3 1 +RD_03 RS2_0c 3 1 +RD_03 RS2_0d 5 1 +RD_03 RS2_0e 8 1 +RD_03 RS2_0f 9 1 +RD_03 RS2_10 9 1 +RD_03 RS2_11 4 1 +RD_03 RS2_12 3 1 +RD_03 RS2_13 5 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 6 1 +RD_03 RS2_16 4 1 +RD_03 RS2_17 3 1 +RD_03 RS2_18 7 1 +RD_03 RS2_19 9 1 +RD_03 RS2_1a 11 1 +RD_03 RS2_1b 3 1 +RD_03 RS2_1c 5 1 +RD_03 RS2_1d 10 1 +RD_03 RS2_1e 5 1 +RD_03 RS2_1f 4 1 +RD_04 RS2_00 7 1 +RD_04 RS2_01 3 1 +RD_04 RS2_02 3 1 +RD_04 RS2_03 7 1 +RD_04 RS2_04 1 1 +RD_04 RS2_05 8 1 +RD_04 RS2_06 3 1 +RD_04 RS2_07 3 1 +RD_04 RS2_08 3 1 +RD_04 RS2_09 7 1 +RD_04 RS2_0b 7 1 +RD_04 RS2_0c 4 1 +RD_04 RS2_0d 5 1 +RD_04 RS2_0e 7 1 +RD_04 RS2_0f 3 1 +RD_04 RS2_10 3 1 +RD_04 RS2_11 5 1 +RD_04 RS2_12 4 1 +RD_04 RS2_13 5 1 +RD_04 RS2_14 9 1 +RD_04 RS2_15 7 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 3 1 +RD_04 RS2_18 3 1 +RD_04 RS2_19 9 1 +RD_04 RS2_1a 7 1 +RD_04 RS2_1b 3 1 +RD_04 RS2_1c 5 1 +RD_04 RS2_1d 9 1 +RD_04 RS2_1e 3 1 +RD_04 RS2_1f 6 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 5 1 +RD_05 RS2_02 2 1 +RD_05 RS2_03 6 1 +RD_05 RS2_04 5 1 +RD_05 RS2_05 4 1 +RD_05 RS2_06 3 1 +RD_05 RS2_07 5 1 +RD_05 RS2_08 3 1 +RD_05 RS2_09 3 1 +RD_05 RS2_0a 8 1 +RD_05 RS2_0b 8 1 +RD_05 RS2_0c 8 1 +RD_05 RS2_0d 12 1 +RD_05 RS2_0e 10 1 +RD_05 RS2_0f 3 1 +RD_05 RS2_10 6 1 +RD_05 RS2_11 3 1 +RD_05 RS2_12 5 1 +RD_05 RS2_13 5 1 +RD_05 RS2_14 2 1 +RD_05 RS2_15 4 1 +RD_05 RS2_16 10 1 +RD_05 RS2_17 6 1 +RD_05 RS2_18 5 1 +RD_05 RS2_19 4 1 +RD_05 RS2_1a 3 1 +RD_05 RS2_1b 5 1 +RD_05 RS2_1c 6 1 +RD_05 RS2_1d 11 1 +RD_05 RS2_1e 5 1 +RD_05 RS2_1f 2 1 +RD_06 RS2_00 5 1 +RD_06 RS2_01 9 1 +RD_06 RS2_02 2 1 +RD_06 RS2_03 6 1 +RD_06 RS2_04 4 1 +RD_06 RS2_05 6 1 +RD_06 RS2_06 7 1 +RD_06 RS2_07 12 1 +RD_06 RS2_08 7 1 +RD_06 RS2_09 5 1 +RD_06 RS2_0a 3 1 +RD_06 RS2_0b 7 1 +RD_06 RS2_0c 2 1 +RD_06 RS2_0d 4 1 +RD_06 RS2_0e 6 1 +RD_06 RS2_0f 5 1 +RD_06 RS2_10 2 1 +RD_06 RS2_11 3 1 +RD_06 RS2_12 2 1 +RD_06 RS2_13 4 1 +RD_06 RS2_14 8 1 +RD_06 RS2_15 5 1 +RD_06 RS2_16 2 1 +RD_06 RS2_17 2 1 +RD_06 RS2_18 4 1 +RD_06 RS2_19 1 1 +RD_06 RS2_1a 4 1 +RD_06 RS2_1b 5 1 +RD_06 RS2_1c 8 1 +RD_06 RS2_1d 2 1 +RD_06 RS2_1e 3 1 +RD_06 RS2_1f 6 1 +RD_07 RS2_00 6 1 +RD_07 RS2_01 6 1 +RD_07 RS2_02 5 1 +RD_07 RS2_03 2 1 +RD_07 RS2_04 3 1 +RD_07 RS2_05 4 1 +RD_07 RS2_06 4 1 +RD_07 RS2_07 11 1 +RD_07 RS2_08 5 1 +RD_07 RS2_09 2 1 +RD_07 RS2_0a 4 1 +RD_07 RS2_0b 2 1 +RD_07 RS2_0c 12 1 +RD_07 RS2_0d 3 1 +RD_07 RS2_0e 3 1 +RD_07 RS2_0f 8 1 +RD_07 RS2_10 4 1 +RD_07 RS2_11 2 1 +RD_07 RS2_12 4 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 2 1 +RD_07 RS2_15 6 1 +RD_07 RS2_16 6 1 +RD_07 RS2_17 4 1 +RD_07 RS2_18 4 1 +RD_07 RS2_19 7 1 +RD_07 RS2_1a 4 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 4 1 +RD_07 RS2_1d 9 1 +RD_07 RS2_1e 4 1 +RD_07 RS2_1f 3 1 +RD_08 RS2_00 7 1 +RD_08 RS2_01 7 1 +RD_08 RS2_02 7 1 +RD_08 RS2_03 5 1 +RD_08 RS2_04 4 1 +RD_08 RS2_05 8 1 +RD_08 RS2_06 6 1 +RD_08 RS2_07 5 1 +RD_08 RS2_08 6 1 +RD_08 RS2_09 5 1 +RD_08 RS2_0a 1 1 +RD_08 RS2_0b 1 1 +RD_08 RS2_0c 2 1 +RD_08 RS2_0d 7 1 +RD_08 RS2_0e 3 1 +RD_08 RS2_0f 7 1 +RD_08 RS2_10 9 1 +RD_08 RS2_11 1 1 +RD_08 RS2_12 10 1 +RD_08 RS2_13 4 1 +RD_08 RS2_14 5 1 +RD_08 RS2_15 7 1 +RD_08 RS2_16 2 1 +RD_08 RS2_17 6 1 +RD_08 RS2_18 5 1 +RD_08 RS2_19 3 1 +RD_08 RS2_1a 8 1 +RD_08 RS2_1b 5 1 +RD_08 RS2_1c 4 1 +RD_08 RS2_1d 6 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 4 1 +RD_09 RS2_00 8 1 +RD_09 RS2_01 7 1 +RD_09 RS2_02 3 1 +RD_09 RS2_03 5 1 +RD_09 RS2_04 5 1 +RD_09 RS2_05 5 1 +RD_09 RS2_06 4 1 +RD_09 RS2_07 3 1 +RD_09 RS2_08 7 1 +RD_09 RS2_09 5 1 +RD_09 RS2_0a 3 1 +RD_09 RS2_0b 2 1 +RD_09 RS2_0c 1 1 +RD_09 RS2_0d 6 1 +RD_09 RS2_0e 6 1 +RD_09 RS2_0f 6 1 +RD_09 RS2_10 9 1 +RD_09 RS2_11 13 1 +RD_09 RS2_12 9 1 +RD_09 RS2_13 9 1 +RD_09 RS2_14 3 1 +RD_09 RS2_15 5 1 +RD_09 RS2_16 11 1 +RD_09 RS2_17 4 1 +RD_09 RS2_18 2 1 +RD_09 RS2_19 6 1 +RD_09 RS2_1a 4 1 +RD_09 RS2_1b 6 1 +RD_09 RS2_1c 3 1 +RD_09 RS2_1d 1 1 +RD_09 RS2_1e 10 1 +RD_09 RS2_1f 5 1 +RD_0a RS2_00 5 1 +RD_0a RS2_01 1 1 +RD_0a RS2_02 10 1 +RD_0a RS2_03 4 1 +RD_0a RS2_04 8 1 +RD_0a RS2_05 6 1 +RD_0a RS2_06 3 1 +RD_0a RS2_07 8 1 +RD_0a RS2_08 5 1 +RD_0a RS2_09 2 1 +RD_0a RS2_0a 8 1 +RD_0a RS2_0b 3 1 +RD_0a RS2_0c 3 1 +RD_0a RS2_0d 8 1 +RD_0a RS2_0e 11 1 +RD_0a RS2_0f 6 1 +RD_0a RS2_10 6 1 +RD_0a RS2_11 4 1 +RD_0a RS2_12 2 1 +RD_0a RS2_13 3 1 +RD_0a RS2_14 4 1 +RD_0a RS2_15 3 1 +RD_0a RS2_16 2 1 +RD_0a RS2_17 8 1 +RD_0a RS2_18 4 1 +RD_0a RS2_19 9 1 +RD_0a RS2_1a 3 1 +RD_0a RS2_1b 2 1 +RD_0a RS2_1c 4 1 +RD_0a RS2_1d 4 1 +RD_0a RS2_1e 8 1 +RD_0a RS2_1f 10 1 +RD_0b RS2_00 7 1 +RD_0b RS2_01 8 1 +RD_0b RS2_02 2 1 +RD_0b RS2_03 5 1 +RD_0b RS2_04 3 1 +RD_0b RS2_05 6 1 +RD_0b RS2_06 5 1 +RD_0b RS2_07 6 1 +RD_0b RS2_08 6 1 +RD_0b RS2_09 12 1 +RD_0b RS2_0a 2 1 +RD_0b RS2_0b 4 1 +RD_0b RS2_0c 8 1 +RD_0b RS2_0d 5 1 +RD_0b RS2_0e 4 1 +RD_0b RS2_0f 3 1 +RD_0b RS2_10 3 1 +RD_0b RS2_11 6 1 +RD_0b RS2_12 12 1 +RD_0b RS2_13 10 1 +RD_0b RS2_14 4 1 +RD_0b RS2_15 3 1 +RD_0b RS2_16 4 1 +RD_0b RS2_17 4 1 +RD_0b RS2_18 2 1 +RD_0b RS2_19 5 1 +RD_0b RS2_1a 5 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 6 1 +RD_0b RS2_1d 8 1 +RD_0b RS2_1e 4 1 +RD_0b RS2_1f 7 1 +RD_0c RS2_00 3 1 +RD_0c RS2_01 5 1 +RD_0c RS2_02 1 1 +RD_0c RS2_03 6 1 +RD_0c RS2_04 4 1 +RD_0c RS2_05 6 1 +RD_0c RS2_06 6 1 +RD_0c RS2_07 7 1 +RD_0c RS2_08 1 1 +RD_0c RS2_09 3 1 +RD_0c RS2_0a 3 1 +RD_0c RS2_0b 3 1 +RD_0c RS2_0c 3 1 +RD_0c RS2_0d 4 1 +RD_0c RS2_0e 3 1 +RD_0c RS2_0f 4 1 +RD_0c RS2_10 5 1 +RD_0c RS2_11 4 1 +RD_0c RS2_12 2 1 +RD_0c RS2_13 7 1 +RD_0c RS2_14 9 1 +RD_0c RS2_15 8 1 +RD_0c RS2_16 2 1 +RD_0c RS2_17 2 1 +RD_0c RS2_18 5 1 +RD_0c RS2_19 3 1 +RD_0c RS2_1a 7 1 +RD_0c RS2_1b 2 1 +RD_0c RS2_1c 10 1 +RD_0c RS2_1d 6 1 +RD_0c RS2_1e 4 1 +RD_0c RS2_1f 5 1 +RD_0d RS2_00 6 1 +RD_0d RS2_01 6 1 +RD_0d RS2_02 5 1 +RD_0d RS2_03 4 1 +RD_0d RS2_04 2 1 +RD_0d RS2_05 4 1 +RD_0d RS2_06 7 1 +RD_0d RS2_07 7 1 +RD_0d RS2_08 7 1 +RD_0d RS2_09 2 1 +RD_0d RS2_0a 5 1 +RD_0d RS2_0b 3 1 +RD_0d RS2_0c 3 1 +RD_0d RS2_0e 3 1 +RD_0d RS2_0f 4 1 +RD_0d RS2_10 8 1 +RD_0d RS2_11 3 1 +RD_0d RS2_12 8 1 +RD_0d RS2_13 5 1 +RD_0d RS2_14 3 1 +RD_0d RS2_15 5 1 +RD_0d RS2_16 1 1 +RD_0d RS2_17 6 1 +RD_0d RS2_18 10 1 +RD_0d RS2_19 3 1 +RD_0d RS2_1a 2 1 +RD_0d RS2_1b 3 1 +RD_0d RS2_1c 6 1 +RD_0d RS2_1d 4 1 +RD_0d RS2_1e 9 1 +RD_0d RS2_1f 7 1 +RD_0e RS2_00 8 1 +RD_0e RS2_01 8 1 +RD_0e RS2_02 8 1 +RD_0e RS2_03 5 1 +RD_0e RS2_04 4 1 +RD_0e RS2_05 3 1 +RD_0e RS2_06 7 1 +RD_0e RS2_07 6 1 +RD_0e RS2_08 4 1 +RD_0e RS2_09 8 1 +RD_0e RS2_0a 5 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 7 1 +RD_0e RS2_0d 10 1 +RD_0e RS2_0e 8 1 +RD_0e RS2_0f 4 1 +RD_0e RS2_10 8 1 +RD_0e RS2_11 6 1 +RD_0e RS2_12 3 1 +RD_0e RS2_13 3 1 +RD_0e RS2_14 9 1 +RD_0e RS2_15 3 1 +RD_0e RS2_16 1 1 +RD_0e RS2_17 8 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 4 1 +RD_0e RS2_1a 2 1 +RD_0e RS2_1b 2 1 +RD_0e RS2_1c 12 1 +RD_0e RS2_1d 4 1 +RD_0e RS2_1e 4 1 +RD_0e RS2_1f 2 1 +RD_0f RS2_00 6 1 +RD_0f RS2_01 5 1 +RD_0f RS2_02 3 1 +RD_0f RS2_03 11 1 +RD_0f RS2_04 7 1 +RD_0f RS2_05 5 1 +RD_0f RS2_06 4 1 +RD_0f RS2_07 4 1 +RD_0f RS2_08 12 1 +RD_0f RS2_09 1 1 +RD_0f RS2_0a 7 1 +RD_0f RS2_0b 6 1 +RD_0f RS2_0c 4 1 +RD_0f RS2_0d 10 1 +RD_0f RS2_0e 4 1 +RD_0f RS2_0f 4 1 +RD_0f RS2_10 7 1 +RD_0f RS2_11 4 1 +RD_0f RS2_12 4 1 +RD_0f RS2_13 4 1 +RD_0f RS2_14 5 1 +RD_0f RS2_15 4 1 +RD_0f RS2_16 4 1 +RD_0f RS2_17 6 1 +RD_0f RS2_18 7 1 +RD_0f RS2_19 5 1 +RD_0f RS2_1a 8 1 +RD_0f RS2_1b 1 1 +RD_0f RS2_1c 9 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 12 1 +RD_0f RS2_1f 4 1 +RD_10 RS2_00 6 1 +RD_10 RS2_01 8 1 +RD_10 RS2_02 5 1 +RD_10 RS2_03 5 1 +RD_10 RS2_04 3 1 +RD_10 RS2_05 8 1 +RD_10 RS2_06 5 1 +RD_10 RS2_07 11 1 +RD_10 RS2_08 2 1 +RD_10 RS2_09 6 1 +RD_10 RS2_0a 5 1 +RD_10 RS2_0b 9 1 +RD_10 RS2_0c 5 1 +RD_10 RS2_0d 5 1 +RD_10 RS2_0e 6 1 +RD_10 RS2_0f 3 1 +RD_10 RS2_10 4 1 +RD_10 RS2_11 6 1 +RD_10 RS2_12 5 1 +RD_10 RS2_13 4 1 +RD_10 RS2_14 6 1 +RD_10 RS2_15 6 1 +RD_10 RS2_16 6 1 +RD_10 RS2_17 2 1 +RD_10 RS2_18 2 1 +RD_10 RS2_19 6 1 +RD_10 RS2_1a 4 1 +RD_10 RS2_1b 8 1 +RD_10 RS2_1c 7 1 +RD_10 RS2_1d 6 1 +RD_10 RS2_1e 5 1 +RD_10 RS2_1f 8 1 +RD_11 RS2_00 6 1 +RD_11 RS2_01 3 1 +RD_11 RS2_02 6 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 7 1 +RD_11 RS2_05 10 1 +RD_11 RS2_06 7 1 +RD_11 RS2_07 3 1 +RD_11 RS2_08 6 1 +RD_11 RS2_09 4 1 +RD_11 RS2_0a 6 1 +RD_11 RS2_0b 3 1 +RD_11 RS2_0c 3 1 +RD_11 RS2_0d 7 1 +RD_11 RS2_0e 4 1 +RD_11 RS2_0f 3 1 +RD_11 RS2_10 3 1 +RD_11 RS2_11 2 1 +RD_11 RS2_12 4 1 +RD_11 RS2_13 1 1 +RD_11 RS2_14 3 1 +RD_11 RS2_15 7 1 +RD_11 RS2_16 8 1 +RD_11 RS2_17 5 1 +RD_11 RS2_18 7 1 +RD_11 RS2_19 1 1 +RD_11 RS2_1a 10 1 +RD_11 RS2_1b 2 1 +RD_11 RS2_1c 8 1 +RD_11 RS2_1d 7 1 +RD_11 RS2_1e 1 1 +RD_11 RS2_1f 5 1 +RD_12 RS2_00 3 1 +RD_12 RS2_01 5 1 +RD_12 RS2_02 4 1 +RD_12 RS2_03 3 1 +RD_12 RS2_04 2 1 +RD_12 RS2_05 11 1 +RD_12 RS2_06 5 1 +RD_12 RS2_07 7 1 +RD_12 RS2_08 9 1 +RD_12 RS2_09 6 1 +RD_12 RS2_0a 4 1 +RD_12 RS2_0b 9 1 +RD_12 RS2_0c 5 1 +RD_12 RS2_0d 2 1 +RD_12 RS2_0e 2 1 +RD_12 RS2_0f 2 1 +RD_12 RS2_10 1 1 +RD_12 RS2_11 5 1 +RD_12 RS2_12 7 1 +RD_12 RS2_13 10 1 +RD_12 RS2_14 5 1 +RD_12 RS2_15 3 1 +RD_12 RS2_16 8 1 +RD_12 RS2_17 2 1 +RD_12 RS2_18 2 1 +RD_12 RS2_19 9 1 +RD_12 RS2_1a 2 1 +RD_12 RS2_1b 5 1 +RD_12 RS2_1c 2 1 +RD_12 RS2_1d 3 1 +RD_12 RS2_1e 3 1 +RD_12 RS2_1f 1 1 +RD_13 RS2_00 16 1 +RD_13 RS2_01 7 1 +RD_13 RS2_02 8 1 +RD_13 RS2_03 1 1 +RD_13 RS2_04 8 1 +RD_13 RS2_05 6 1 +RD_13 RS2_06 7 1 +RD_13 RS2_07 4 1 +RD_13 RS2_08 3 1 +RD_13 RS2_09 11 1 +RD_13 RS2_0a 2 1 +RD_13 RS2_0b 2 1 +RD_13 RS2_0c 7 1 +RD_13 RS2_0d 3 1 +RD_13 RS2_0e 10 1 +RD_13 RS2_0f 6 1 +RD_13 RS2_10 3 1 +RD_13 RS2_11 6 1 +RD_13 RS2_12 3 1 +RD_13 RS2_13 8 1 +RD_13 RS2_14 6 1 +RD_13 RS2_15 1 1 +RD_13 RS2_16 5 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 9 1 +RD_13 RS2_19 7 1 +RD_13 RS2_1a 5 1 +RD_13 RS2_1b 10 1 +RD_13 RS2_1c 3 1 +RD_13 RS2_1d 4 1 +RD_13 RS2_1e 5 1 +RD_13 RS2_1f 2 1 +RD_14 RS2_00 5 1 +RD_14 RS2_01 7 1 +RD_14 RS2_02 4 1 +RD_14 RS2_03 4 1 +RD_14 RS2_04 7 1 +RD_14 RS2_05 7 1 +RD_14 RS2_06 7 1 +RD_14 RS2_07 3 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 3 1 +RD_14 RS2_0a 4 1 +RD_14 RS2_0b 9 1 +RD_14 RS2_0c 5 1 +RD_14 RS2_0d 6 1 +RD_14 RS2_0e 6 1 +RD_14 RS2_0f 6 1 +RD_14 RS2_10 8 1 +RD_14 RS2_11 7 1 +RD_14 RS2_13 9 1 +RD_14 RS2_14 6 1 +RD_14 RS2_15 6 1 +RD_14 RS2_16 8 1 +RD_14 RS2_17 7 1 +RD_14 RS2_18 2 1 +RD_14 RS2_19 7 1 +RD_14 RS2_1a 6 1 +RD_14 RS2_1b 2 1 +RD_14 RS2_1c 1 1 +RD_14 RS2_1d 5 1 +RD_14 RS2_1e 4 1 +RD_14 RS2_1f 4 1 +RD_15 RS2_00 5 1 +RD_15 RS2_01 6 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 7 1 +RD_15 RS2_04 4 1 +RD_15 RS2_05 5 1 +RD_15 RS2_06 4 1 +RD_15 RS2_07 3 1 +RD_15 RS2_08 4 1 +RD_15 RS2_09 4 1 +RD_15 RS2_0a 7 1 +RD_15 RS2_0b 6 1 +RD_15 RS2_0c 5 1 +RD_15 RS2_0d 2 1 +RD_15 RS2_0e 7 1 +RD_15 RS2_0f 6 1 +RD_15 RS2_10 5 1 +RD_15 RS2_11 4 1 +RD_15 RS2_12 3 1 +RD_15 RS2_13 2 1 +RD_15 RS2_14 3 1 +RD_15 RS2_15 3 1 +RD_15 RS2_16 7 1 +RD_15 RS2_17 2 1 +RD_15 RS2_18 2 1 +RD_15 RS2_19 4 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 5 1 +RD_15 RS2_1c 10 1 +RD_15 RS2_1d 10 1 +RD_15 RS2_1e 1 1 +RD_15 RS2_1f 4 1 +RD_16 RS2_00 4 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 3 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 11 1 +RD_16 RS2_05 8 1 +RD_16 RS2_06 3 1 +RD_16 RS2_07 3 1 +RD_16 RS2_08 5 1 +RD_16 RS2_09 1 1 +RD_16 RS2_0a 8 1 +RD_16 RS2_0b 3 1 +RD_16 RS2_0c 2 1 +RD_16 RS2_0d 2 1 +RD_16 RS2_0e 7 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_10 8 1 +RD_16 RS2_11 7 1 +RD_16 RS2_12 6 1 +RD_16 RS2_13 6 1 +RD_16 RS2_14 7 1 +RD_16 RS2_15 1 1 +RD_16 RS2_16 3 1 +RD_16 RS2_17 8 1 +RD_16 RS2_18 4 1 +RD_16 RS2_19 3 1 +RD_16 RS2_1a 1 1 +RD_16 RS2_1b 7 1 +RD_16 RS2_1c 3 1 +RD_16 RS2_1d 7 1 +RD_16 RS2_1e 2 1 +RD_16 RS2_1f 2 1 +RD_17 RS2_00 7 1 +RD_17 RS2_01 3 1 +RD_17 RS2_02 5 1 +RD_17 RS2_03 6 1 +RD_17 RS2_04 3 1 +RD_17 RS2_05 3 1 +RD_17 RS2_06 1 1 +RD_17 RS2_07 8 1 +RD_17 RS2_08 2 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 15 1 +RD_17 RS2_0b 8 1 +RD_17 RS2_0c 7 1 +RD_17 RS2_0d 9 1 +RD_17 RS2_0e 6 1 +RD_17 RS2_0f 6 1 +RD_17 RS2_10 5 1 +RD_17 RS2_11 2 1 +RD_17 RS2_12 15 1 +RD_17 RS2_13 7 1 +RD_17 RS2_14 7 1 +RD_17 RS2_15 11 1 +RD_17 RS2_16 8 1 +RD_17 RS2_17 8 1 +RD_17 RS2_18 5 1 +RD_17 RS2_19 5 1 +RD_17 RS2_1a 9 1 +RD_17 RS2_1b 3 1 +RD_17 RS2_1c 8 1 +RD_17 RS2_1d 3 1 +RD_17 RS2_1e 4 1 +RD_17 RS2_1f 4 1 +RD_18 RS2_00 4 1 +RD_18 RS2_01 5 1 +RD_18 RS2_02 4 1 +RD_18 RS2_03 5 1 +RD_18 RS2_04 8 1 +RD_18 RS2_05 6 1 +RD_18 RS2_06 3 1 +RD_18 RS2_07 5 1 +RD_18 RS2_08 5 1 +RD_18 RS2_09 4 1 +RD_18 RS2_0a 2 1 +RD_18 RS2_0b 4 1 +RD_18 RS2_0c 6 1 +RD_18 RS2_0d 6 1 +RD_18 RS2_0e 5 1 +RD_18 RS2_0f 5 1 +RD_18 RS2_10 3 1 +RD_18 RS2_11 5 1 +RD_18 RS2_12 7 1 +RD_18 RS2_13 2 1 +RD_18 RS2_14 3 1 +RD_18 RS2_15 1 1 +RD_18 RS2_16 10 1 +RD_18 RS2_17 3 1 +RD_18 RS2_18 6 1 +RD_18 RS2_19 6 1 +RD_18 RS2_1a 7 1 +RD_18 RS2_1b 4 1 +RD_18 RS2_1c 7 1 +RD_18 RS2_1d 6 1 +RD_18 RS2_1e 2 1 +RD_18 RS2_1f 9 1 +RD_19 RS2_00 2 1 +RD_19 RS2_01 8 1 +RD_19 RS2_02 3 1 +RD_19 RS2_03 4 1 +RD_19 RS2_04 15 1 +RD_19 RS2_05 2 1 +RD_19 RS2_06 1 1 +RD_19 RS2_07 2 1 +RD_19 RS2_08 2 1 +RD_19 RS2_09 8 1 +RD_19 RS2_0a 1 1 +RD_19 RS2_0b 4 1 +RD_19 RS2_0c 2 1 +RD_19 RS2_0d 1 1 +RD_19 RS2_0e 4 1 +RD_19 RS2_0f 2 1 +RD_19 RS2_10 2 1 +RD_19 RS2_11 4 1 +RD_19 RS2_12 5 1 +RD_19 RS2_13 6 1 +RD_19 RS2_14 3 1 +RD_19 RS2_15 8 1 +RD_19 RS2_16 4 1 +RD_19 RS2_17 4 1 +RD_19 RS2_18 8 1 +RD_19 RS2_19 7 1 +RD_19 RS2_1a 5 1 +RD_19 RS2_1b 10 1 +RD_19 RS2_1c 11 1 +RD_19 RS2_1d 4 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 5 1 +RD_1a RS2_00 3 1 +RD_1a RS2_01 2 1 +RD_1a RS2_02 3 1 +RD_1a RS2_03 8 1 +RD_1a RS2_04 7 1 +RD_1a RS2_05 4 1 +RD_1a RS2_06 3 1 +RD_1a RS2_07 3 1 +RD_1a RS2_08 10 1 +RD_1a RS2_09 2 1 +RD_1a RS2_0a 6 1 +RD_1a RS2_0b 7 1 +RD_1a RS2_0c 5 1 +RD_1a RS2_0d 3 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 4 1 +RD_1a RS2_10 5 1 +RD_1a RS2_11 3 1 +RD_1a RS2_12 3 1 +RD_1a RS2_13 3 1 +RD_1a RS2_14 4 1 +RD_1a RS2_15 5 1 +RD_1a RS2_16 6 1 +RD_1a RS2_17 5 1 +RD_1a RS2_18 5 1 +RD_1a RS2_19 8 1 +RD_1a RS2_1a 5 1 +RD_1a RS2_1b 8 1 +RD_1a RS2_1c 5 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 2 1 +RD_1a RS2_1f 6 1 +RD_1b RS2_00 5 1 +RD_1b RS2_01 5 1 +RD_1b RS2_02 10 1 +RD_1b RS2_03 8 1 +RD_1b RS2_04 7 1 +RD_1b RS2_05 6 1 +RD_1b RS2_06 7 1 +RD_1b RS2_07 3 1 +RD_1b RS2_08 5 1 +RD_1b RS2_09 7 1 +RD_1b RS2_0a 12 1 +RD_1b RS2_0b 5 1 +RD_1b RS2_0c 7 1 +RD_1b RS2_0d 10 1 +RD_1b RS2_0e 9 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 3 1 +RD_1b RS2_11 2 1 +RD_1b RS2_12 6 1 +RD_1b RS2_13 4 1 +RD_1b RS2_14 5 1 +RD_1b RS2_15 8 1 +RD_1b RS2_16 5 1 +RD_1b RS2_17 4 1 +RD_1b RS2_18 2 1 +RD_1b RS2_19 4 1 +RD_1b RS2_1a 4 1 +RD_1b RS2_1b 6 1 +RD_1b RS2_1c 10 1 +RD_1b RS2_1d 5 1 +RD_1b RS2_1e 4 1 +RD_1b RS2_1f 5 1 +RD_1c RS2_00 6 1 +RD_1c RS2_01 1 1 +RD_1c RS2_02 5 1 +RD_1c RS2_03 12 1 +RD_1c RS2_04 5 1 +RD_1c RS2_05 15 1 +RD_1c RS2_06 4 1 +RD_1c RS2_07 2 1 +RD_1c RS2_08 3 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 3 1 +RD_1c RS2_0b 6 1 +RD_1c RS2_0c 8 1 +RD_1c RS2_0d 5 1 +RD_1c RS2_0e 5 1 +RD_1c RS2_0f 4 1 +RD_1c RS2_10 8 1 +RD_1c RS2_11 6 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 3 1 +RD_1c RS2_14 7 1 +RD_1c RS2_15 7 1 +RD_1c RS2_16 8 1 +RD_1c RS2_17 4 1 +RD_1c RS2_18 6 1 +RD_1c RS2_19 1 1 +RD_1c RS2_1a 4 1 +RD_1c RS2_1b 1 1 +RD_1c RS2_1c 3 1 +RD_1c RS2_1d 2 1 +RD_1c RS2_1e 5 1 +RD_1c RS2_1f 6 1 +RD_1d RS2_00 5 1 +RD_1d RS2_01 6 1 +RD_1d RS2_02 6 1 +RD_1d RS2_03 2 1 +RD_1d RS2_04 5 1 +RD_1d RS2_05 2 1 +RD_1d RS2_06 5 1 +RD_1d RS2_07 7 1 +RD_1d RS2_08 13 1 +RD_1d RS2_09 6 1 +RD_1d RS2_0a 3 1 +RD_1d RS2_0b 2 1 +RD_1d RS2_0c 5 1 +RD_1d RS2_0d 4 1 +RD_1d RS2_0e 5 1 +RD_1d RS2_0f 7 1 +RD_1d RS2_10 4 1 +RD_1d RS2_11 3 1 +RD_1d RS2_12 4 1 +RD_1d RS2_13 11 1 +RD_1d RS2_14 2 1 +RD_1d RS2_15 5 1 +RD_1d RS2_16 5 1 +RD_1d RS2_17 6 1 +RD_1d RS2_18 6 1 +RD_1d RS2_19 7 1 +RD_1d RS2_1a 6 1 +RD_1d RS2_1b 4 1 +RD_1d RS2_1c 3 1 +RD_1d RS2_1d 3 1 +RD_1d RS2_1e 5 1 +RD_1d RS2_1f 1 1 +RD_1e RS2_00 5 1 +RD_1e RS2_01 8 1 +RD_1e RS2_02 4 1 +RD_1e RS2_03 6 1 +RD_1e RS2_04 7 1 +RD_1e RS2_05 8 1 +RD_1e RS2_06 1 1 +RD_1e RS2_07 5 1 +RD_1e RS2_08 1 1 +RD_1e RS2_09 7 1 +RD_1e RS2_0a 4 1 +RD_1e RS2_0b 5 1 +RD_1e RS2_0c 5 1 +RD_1e RS2_0d 5 1 +RD_1e RS2_0e 3 1 +RD_1e RS2_0f 6 1 +RD_1e RS2_10 9 1 +RD_1e RS2_11 6 1 +RD_1e RS2_12 6 1 +RD_1e RS2_13 4 1 +RD_1e RS2_14 4 1 +RD_1e RS2_15 10 1 +RD_1e RS2_16 6 1 +RD_1e RS2_17 5 1 +RD_1e RS2_18 3 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 3 1 +RD_1e RS2_1b 8 1 +RD_1e RS2_1c 9 1 +RD_1e RS2_1d 9 1 +RD_1e RS2_1e 7 1 +RD_1e RS2_1f 2 1 +RD_1f RS2_00 5 1 +RD_1f RS2_01 1 1 +RD_1f RS2_02 11 1 +RD_1f RS2_03 13 1 +RD_1f RS2_04 5 1 +RD_1f RS2_05 5 1 +RD_1f RS2_06 5 1 +RD_1f RS2_07 8 1 +RD_1f RS2_08 5 1 +RD_1f RS2_09 7 1 +RD_1f RS2_0a 1 1 +RD_1f RS2_0b 4 1 +RD_1f RS2_0c 4 1 +RD_1f RS2_0d 8 1 +RD_1f RS2_0e 2 1 +RD_1f RS2_0f 5 1 +RD_1f RS2_10 3 1 +RD_1f RS2_11 2 1 +RD_1f RS2_12 4 1 +RD_1f RS2_13 2 1 +RD_1f RS2_14 5 1 +RD_1f RS2_15 5 1 +RD_1f RS2_16 6 1 +RD_1f RS2_17 10 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 8 1 +RD_1f RS2_1a 6 1 +RD_1f RS2_1b 8 1 +RD_1f RS2_1c 8 1 +RD_1f RS2_1d 4 1 +RD_1f RS2_1e 10 1 +RD_1f RS2_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_nmsub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.80 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.78 99.78 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 14 2034 99.32 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 8 1016 99.22 100 1 1 0 +cross_rd_rs2 1024 6 1018 99.41 100 1 1 0 +cross_rd_rs3 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 179 1 +RD_01 163 1 +RD_02 162 1 +RD_03 191 1 +RD_04 148 1 +RD_05 187 1 +RD_06 160 1 +RD_07 180 1 +RD_08 169 1 +RD_09 187 1 +RD_0a 157 1 +RD_0b 172 1 +RD_0c 179 1 +RD_0d 163 1 +RD_0e 162 1 +RD_0f 164 1 +RD_10 190 1 +RD_11 175 1 +RD_12 169 1 +RD_13 176 1 +RD_14 204 1 +RD_15 169 1 +RD_16 163 1 +RD_17 170 1 +RD_18 149 1 +RD_19 150 1 +RD_1a 151 1 +RD_1b 131 1 +RD_1c 152 1 +RD_1d 184 1 +RD_1e 165 1 +RD_1f 159 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 158 1 +RS1_01 178 1 +RS1_02 172 1 +RS1_03 170 1 +RS1_04 148 1 +RS1_05 150 1 +RS1_06 159 1 +RS1_07 180 1 +RS1_08 162 1 +RS1_09 160 1 +RS1_0a 198 1 +RS1_0b 160 1 +RS1_0c 198 1 +RS1_0d 174 1 +RS1_0e 171 1 +RS1_0f 167 1 +RS1_10 173 1 +RS1_11 189 1 +RS1_12 159 1 +RS1_13 190 1 +RS1_14 187 1 +RS1_15 156 1 +RS1_16 138 1 +RS1_17 182 1 +RS1_18 142 1 +RS1_19 159 1 +RS1_1a 154 1 +RS1_1b 174 1 +RS1_1c 154 1 +RS1_1d 166 1 +RS1_1e 186 1 +RS1_1f 166 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 176 1 +RS2_01 162 1 +RS2_02 158 1 +RS2_03 154 1 +RS2_04 154 1 +RS2_05 164 1 +RS2_06 190 1 +RS2_07 178 1 +RS2_08 157 1 +RS2_09 169 1 +RS2_0a 191 1 +RS2_0b 173 1 +RS2_0c 170 1 +RS2_0d 153 1 +RS2_0e 182 1 +RS2_0f 170 1 +RS2_10 115 1 +RS2_11 183 1 +RS2_12 176 1 +RS2_13 143 1 +RS2_14 185 1 +RS2_15 153 1 +RS2_16 164 1 +RS2_17 163 1 +RS2_18 158 1 +RS2_19 177 1 +RS2_1a 149 1 +RS2_1b 177 1 +RS2_1c 169 1 +RS2_1d 182 1 +RS2_1e 192 1 +RS2_1f 193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2553 1 +BIT30_1 2067 1 +BIT29_1 2069 1 +BIT28_1 2039 1 +BIT27_1 1919 1 +BIT26_1 1905 1 +BIT25_1 1960 1 +BIT24_1 1958 1 +BIT23_1 1973 1 +BIT22_1 2077 1 +BIT21_1 2000 1 +BIT20_1 2015 1 +BIT19_1 1857 1 +BIT18_1 1921 1 +BIT17_1 1979 1 +BIT16_1 2007 1 +BIT15_1 2177 1 +BIT14_1 2134 1 +BIT13_1 2053 1 +BIT12_1 2346 1 +BIT11_1 2452 1 +BIT10_1 2369 1 +BIT9_1 2160 1 +BIT8_1 2083 1 +BIT7_1 2260 1 +BIT6_1 1997 1 +BIT5_1 2042 1 +BIT4_1 2476 1 +BIT3_1 2538 1 +BIT2_1 2422 1 +BIT1_1 2030 1 +BIT0_1 1747 1 +BIT31_0 2826 1 +BIT30_0 3312 1 +BIT29_0 3310 1 +BIT28_0 3340 1 +BIT27_0 3460 1 +BIT26_0 3474 1 +BIT25_0 3419 1 +BIT24_0 3421 1 +BIT23_0 3406 1 +BIT22_0 3302 1 +BIT21_0 3379 1 +BIT20_0 3364 1 +BIT19_0 3522 1 +BIT18_0 3458 1 +BIT17_0 3400 1 +BIT16_0 3372 1 +BIT15_0 3202 1 +BIT14_0 3245 1 +BIT13_0 3326 1 +BIT12_0 3033 1 +BIT11_0 2927 1 +BIT10_0 3010 1 +BIT9_0 3219 1 +BIT8_0 3296 1 +BIT7_0 3119 1 +BIT6_0 3382 1 +BIT5_0 3337 1 +BIT4_0 2903 1 +BIT3_0 2841 1 +BIT2_0 2957 1 +BIT1_0 3349 1 +BIT0_0 3632 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2546 1 +BIT30_1 2025 1 +BIT29_1 2056 1 +BIT28_1 2009 1 +BIT27_1 1978 1 +BIT26_1 1954 1 +BIT25_1 1995 1 +BIT24_1 1959 1 +BIT23_1 2036 1 +BIT22_1 1969 1 +BIT21_1 1946 1 +BIT20_1 1983 1 +BIT19_1 1932 1 +BIT18_1 1950 1 +BIT17_1 1971 1 +BIT16_1 2041 1 +BIT15_1 2090 1 +BIT14_1 2245 1 +BIT13_1 2113 1 +BIT12_1 2446 1 +BIT11_1 2405 1 +BIT10_1 2410 1 +BIT9_1 2221 1 +BIT8_1 2088 1 +BIT7_1 2204 1 +BIT6_1 2012 1 +BIT5_1 2089 1 +BIT4_1 2491 1 +BIT3_1 2537 1 +BIT2_1 2429 1 +BIT1_1 2036 1 +BIT0_1 1793 1 +BIT31_0 2832 1 +BIT30_0 3353 1 +BIT29_0 3322 1 +BIT28_0 3369 1 +BIT27_0 3400 1 +BIT26_0 3424 1 +BIT25_0 3383 1 +BIT24_0 3419 1 +BIT23_0 3342 1 +BIT22_0 3409 1 +BIT21_0 3432 1 +BIT20_0 3395 1 +BIT19_0 3446 1 +BIT18_0 3428 1 +BIT17_0 3407 1 +BIT16_0 3337 1 +BIT15_0 3288 1 +BIT14_0 3133 1 +BIT13_0 3265 1 +BIT12_0 2932 1 +BIT11_0 2973 1 +BIT10_0 2968 1 +BIT9_0 3157 1 +BIT8_0 3290 1 +BIT7_0 3174 1 +BIT6_0 3366 1 +BIT5_0 3289 1 +BIT4_0 2887 1 +BIT3_0 2841 1 +BIT2_0 2949 1 +BIT1_0 3342 1 +BIT0_0 3585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 8 1016 99.22 8 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_01] [RS1_1a] 0 1 1 +[RD_06] [RS1_05] 0 1 1 +[RD_06] [RS1_1c] 0 1 1 +[RD_0a] [RS1_18] 0 1 1 +[RD_0d] [RS1_1f] 0 1 1 +[RD_11] [RS1_0d] 0 1 1 +[RD_13] [RS1_16] 0 1 1 +[RD_14] [RS1_08] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 4 1 +RD_00 RS1_01 5 1 +RD_00 RS1_02 4 1 +RD_00 RS1_03 4 1 +RD_00 RS1_04 4 1 +RD_00 RS1_05 4 1 +RD_00 RS1_06 5 1 +RD_00 RS1_07 1 1 +RD_00 RS1_08 8 1 +RD_00 RS1_09 7 1 +RD_00 RS1_0a 7 1 +RD_00 RS1_0b 6 1 +RD_00 RS1_0c 8 1 +RD_00 RS1_0d 8 1 +RD_00 RS1_0e 2 1 +RD_00 RS1_0f 4 1 +RD_00 RS1_10 7 1 +RD_00 RS1_11 3 1 +RD_00 RS1_12 6 1 +RD_00 RS1_13 7 1 +RD_00 RS1_14 4 1 +RD_00 RS1_15 1 1 +RD_00 RS1_16 7 1 +RD_00 RS1_17 11 1 +RD_00 RS1_18 4 1 +RD_00 RS1_19 9 1 +RD_00 RS1_1a 9 1 +RD_00 RS1_1b 5 1 +RD_00 RS1_1c 6 1 +RD_00 RS1_1d 8 1 +RD_00 RS1_1e 5 1 +RD_00 RS1_1f 6 1 +RD_01 RS1_00 5 1 +RD_01 RS1_01 3 1 +RD_01 RS1_02 5 1 +RD_01 RS1_03 5 1 +RD_01 RS1_04 7 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 4 1 +RD_01 RS1_07 5 1 +RD_01 RS1_08 6 1 +RD_01 RS1_09 4 1 +RD_01 RS1_0a 2 1 +RD_01 RS1_0b 7 1 +RD_01 RS1_0c 10 1 +RD_01 RS1_0d 6 1 +RD_01 RS1_0e 5 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 5 1 +RD_01 RS1_11 6 1 +RD_01 RS1_12 6 1 +RD_01 RS1_13 4 1 +RD_01 RS1_14 7 1 +RD_01 RS1_15 5 1 +RD_01 RS1_16 3 1 +RD_01 RS1_17 6 1 +RD_01 RS1_18 5 1 +RD_01 RS1_19 4 1 +RD_01 RS1_1b 4 1 +RD_01 RS1_1c 2 1 +RD_01 RS1_1d 7 1 +RD_01 RS1_1e 5 1 +RD_01 RS1_1f 10 1 +RD_02 RS1_00 6 1 +RD_02 RS1_01 7 1 +RD_02 RS1_02 5 1 +RD_02 RS1_03 5 1 +RD_02 RS1_04 3 1 +RD_02 RS1_05 5 1 +RD_02 RS1_06 6 1 +RD_02 RS1_07 5 1 +RD_02 RS1_08 1 1 +RD_02 RS1_09 7 1 +RD_02 RS1_0a 9 1 +RD_02 RS1_0b 8 1 +RD_02 RS1_0c 5 1 +RD_02 RS1_0d 9 1 +RD_02 RS1_0e 6 1 +RD_02 RS1_0f 6 1 +RD_02 RS1_10 5 1 +RD_02 RS1_11 7 1 +RD_02 RS1_12 3 1 +RD_02 RS1_13 2 1 +RD_02 RS1_14 7 1 +RD_02 RS1_15 4 1 +RD_02 RS1_16 3 1 +RD_02 RS1_17 5 1 +RD_02 RS1_18 4 1 +RD_02 RS1_19 4 1 +RD_02 RS1_1a 12 1 +RD_02 RS1_1b 1 1 +RD_02 RS1_1c 3 1 +RD_02 RS1_1d 3 1 +RD_02 RS1_1e 1 1 +RD_02 RS1_1f 5 1 +RD_03 RS1_00 3 1 +RD_03 RS1_01 8 1 +RD_03 RS1_02 5 1 +RD_03 RS1_03 3 1 +RD_03 RS1_04 5 1 +RD_03 RS1_05 7 1 +RD_03 RS1_06 4 1 +RD_03 RS1_07 7 1 +RD_03 RS1_08 6 1 +RD_03 RS1_09 9 1 +RD_03 RS1_0a 4 1 +RD_03 RS1_0b 5 1 +RD_03 RS1_0c 4 1 +RD_03 RS1_0d 3 1 +RD_03 RS1_0e 8 1 +RD_03 RS1_0f 12 1 +RD_03 RS1_10 10 1 +RD_03 RS1_11 12 1 +RD_03 RS1_12 6 1 +RD_03 RS1_13 4 1 +RD_03 RS1_14 6 1 +RD_03 RS1_15 5 1 +RD_03 RS1_16 4 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 3 1 +RD_03 RS1_19 4 1 +RD_03 RS1_1a 5 1 +RD_03 RS1_1b 7 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 1 1 +RD_03 RS1_1e 10 1 +RD_03 RS1_1f 7 1 +RD_04 RS1_00 5 1 +RD_04 RS1_01 2 1 +RD_04 RS1_02 6 1 +RD_04 RS1_03 4 1 +RD_04 RS1_04 6 1 +RD_04 RS1_05 8 1 +RD_04 RS1_06 4 1 +RD_04 RS1_07 2 1 +RD_04 RS1_08 5 1 +RD_04 RS1_09 4 1 +RD_04 RS1_0a 8 1 +RD_04 RS1_0b 3 1 +RD_04 RS1_0c 7 1 +RD_04 RS1_0d 4 1 +RD_04 RS1_0e 3 1 +RD_04 RS1_0f 5 1 +RD_04 RS1_10 6 1 +RD_04 RS1_11 8 1 +RD_04 RS1_12 5 1 +RD_04 RS1_13 8 1 +RD_04 RS1_14 2 1 +RD_04 RS1_15 3 1 +RD_04 RS1_16 3 1 +RD_04 RS1_17 1 1 +RD_04 RS1_18 3 1 +RD_04 RS1_19 8 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 5 1 +RD_04 RS1_1c 3 1 +RD_04 RS1_1d 5 1 +RD_04 RS1_1e 7 1 +RD_04 RS1_1f 1 1 +RD_05 RS1_00 7 1 +RD_05 RS1_01 7 1 +RD_05 RS1_02 3 1 +RD_05 RS1_03 3 1 +RD_05 RS1_04 4 1 +RD_05 RS1_05 3 1 +RD_05 RS1_06 5 1 +RD_05 RS1_07 7 1 +RD_05 RS1_08 5 1 +RD_05 RS1_09 7 1 +RD_05 RS1_0a 8 1 +RD_05 RS1_0b 11 1 +RD_05 RS1_0c 8 1 +RD_05 RS1_0d 6 1 +RD_05 RS1_0e 3 1 +RD_05 RS1_0f 3 1 +RD_05 RS1_10 2 1 +RD_05 RS1_11 5 1 +RD_05 RS1_12 7 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 5 1 +RD_05 RS1_15 8 1 +RD_05 RS1_16 7 1 +RD_05 RS1_17 11 1 +RD_05 RS1_18 8 1 +RD_05 RS1_19 5 1 +RD_05 RS1_1a 3 1 +RD_05 RS1_1b 7 1 +RD_05 RS1_1c 5 1 +RD_05 RS1_1d 3 1 +RD_05 RS1_1e 6 1 +RD_05 RS1_1f 11 1 +RD_06 RS1_00 5 1 +RD_06 RS1_01 1 1 +RD_06 RS1_02 6 1 +RD_06 RS1_03 5 1 +RD_06 RS1_04 2 1 +RD_06 RS1_06 1 1 +RD_06 RS1_07 6 1 +RD_06 RS1_08 6 1 +RD_06 RS1_09 4 1 +RD_06 RS1_0a 3 1 +RD_06 RS1_0b 6 1 +RD_06 RS1_0c 6 1 +RD_06 RS1_0d 4 1 +RD_06 RS1_0e 7 1 +RD_06 RS1_0f 10 1 +RD_06 RS1_10 5 1 +RD_06 RS1_11 10 1 +RD_06 RS1_12 6 1 +RD_06 RS1_13 8 1 +RD_06 RS1_14 4 1 +RD_06 RS1_15 1 1 +RD_06 RS1_16 3 1 +RD_06 RS1_17 4 1 +RD_06 RS1_18 6 1 +RD_06 RS1_19 1 1 +RD_06 RS1_1a 5 1 +RD_06 RS1_1b 11 1 +RD_06 RS1_1d 7 1 +RD_06 RS1_1e 8 1 +RD_06 RS1_1f 9 1 +RD_07 RS1_00 6 1 +RD_07 RS1_01 7 1 +RD_07 RS1_02 3 1 +RD_07 RS1_03 9 1 +RD_07 RS1_04 5 1 +RD_07 RS1_05 7 1 +RD_07 RS1_06 10 1 +RD_07 RS1_07 9 1 +RD_07 RS1_08 4 1 +RD_07 RS1_09 6 1 +RD_07 RS1_0a 2 1 +RD_07 RS1_0b 4 1 +RD_07 RS1_0c 3 1 +RD_07 RS1_0d 5 1 +RD_07 RS1_0e 11 1 +RD_07 RS1_0f 6 1 +RD_07 RS1_10 4 1 +RD_07 RS1_11 3 1 +RD_07 RS1_12 7 1 +RD_07 RS1_13 2 1 +RD_07 RS1_14 11 1 +RD_07 RS1_15 6 1 +RD_07 RS1_16 5 1 +RD_07 RS1_17 1 1 +RD_07 RS1_18 4 1 +RD_07 RS1_19 7 1 +RD_07 RS1_1a 3 1 +RD_07 RS1_1b 9 1 +RD_07 RS1_1c 4 1 +RD_07 RS1_1d 10 1 +RD_07 RS1_1e 1 1 +RD_07 RS1_1f 6 1 +RD_08 RS1_00 5 1 +RD_08 RS1_01 9 1 +RD_08 RS1_02 1 1 +RD_08 RS1_03 6 1 +RD_08 RS1_04 2 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 5 1 +RD_08 RS1_07 4 1 +RD_08 RS1_08 10 1 +RD_08 RS1_09 3 1 +RD_08 RS1_0a 7 1 +RD_08 RS1_0b 5 1 +RD_08 RS1_0c 4 1 +RD_08 RS1_0d 5 1 +RD_08 RS1_0e 5 1 +RD_08 RS1_0f 6 1 +RD_08 RS1_10 4 1 +RD_08 RS1_11 8 1 +RD_08 RS1_12 4 1 +RD_08 RS1_13 2 1 +RD_08 RS1_14 3 1 +RD_08 RS1_15 7 1 +RD_08 RS1_16 11 1 +RD_08 RS1_17 6 1 +RD_08 RS1_18 6 1 +RD_08 RS1_19 2 1 +RD_08 RS1_1a 7 1 +RD_08 RS1_1b 5 1 +RD_08 RS1_1c 5 1 +RD_08 RS1_1d 6 1 +RD_08 RS1_1e 7 1 +RD_08 RS1_1f 4 1 +RD_09 RS1_00 3 1 +RD_09 RS1_01 8 1 +RD_09 RS1_02 8 1 +RD_09 RS1_03 15 1 +RD_09 RS1_04 5 1 +RD_09 RS1_05 8 1 +RD_09 RS1_06 5 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 2 1 +RD_09 RS1_09 6 1 +RD_09 RS1_0a 5 1 +RD_09 RS1_0b 4 1 +RD_09 RS1_0c 9 1 +RD_09 RS1_0d 2 1 +RD_09 RS1_0e 7 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 1 1 +RD_09 RS1_11 10 1 +RD_09 RS1_12 3 1 +RD_09 RS1_13 3 1 +RD_09 RS1_14 4 1 +RD_09 RS1_15 2 1 +RD_09 RS1_16 2 1 +RD_09 RS1_17 6 1 +RD_09 RS1_18 5 1 +RD_09 RS1_19 12 1 +RD_09 RS1_1a 6 1 +RD_09 RS1_1b 6 1 +RD_09 RS1_1c 3 1 +RD_09 RS1_1d 9 1 +RD_09 RS1_1e 8 1 +RD_09 RS1_1f 9 1 +RD_0a RS1_00 3 1 +RD_0a RS1_01 3 1 +RD_0a RS1_02 10 1 +RD_0a RS1_03 4 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 4 1 +RD_0a RS1_06 9 1 +RD_0a RS1_07 4 1 +RD_0a RS1_08 6 1 +RD_0a RS1_09 1 1 +RD_0a RS1_0a 5 1 +RD_0a RS1_0b 4 1 +RD_0a RS1_0c 9 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 4 1 +RD_0a RS1_0f 7 1 +RD_0a RS1_10 8 1 +RD_0a RS1_11 5 1 +RD_0a RS1_12 4 1 +RD_0a RS1_13 7 1 +RD_0a RS1_14 1 1 +RD_0a RS1_15 6 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 5 1 +RD_0a RS1_19 4 1 +RD_0a RS1_1a 7 1 +RD_0a RS1_1b 9 1 +RD_0a RS1_1c 7 1 +RD_0a RS1_1d 5 1 +RD_0a RS1_1e 4 1 +RD_0a RS1_1f 1 1 +RD_0b RS1_00 7 1 +RD_0b RS1_01 4 1 +RD_0b RS1_02 9 1 +RD_0b RS1_03 1 1 +RD_0b RS1_04 5 1 +RD_0b RS1_05 2 1 +RD_0b RS1_06 5 1 +RD_0b RS1_07 4 1 +RD_0b RS1_08 6 1 +RD_0b RS1_09 4 1 +RD_0b RS1_0a 8 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 7 1 +RD_0b RS1_0d 6 1 +RD_0b RS1_0e 3 1 +RD_0b RS1_0f 5 1 +RD_0b RS1_10 5 1 +RD_0b RS1_11 9 1 +RD_0b RS1_12 7 1 +RD_0b RS1_13 8 1 +RD_0b RS1_14 2 1 +RD_0b RS1_15 8 1 +RD_0b RS1_16 4 1 +RD_0b RS1_17 9 1 +RD_0b RS1_18 4 1 +RD_0b RS1_19 6 1 +RD_0b RS1_1a 7 1 +RD_0b RS1_1b 7 1 +RD_0b RS1_1c 6 1 +RD_0b RS1_1d 1 1 +RD_0b RS1_1e 3 1 +RD_0b RS1_1f 6 1 +RD_0c RS1_00 5 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 5 1 +RD_0c RS1_03 9 1 +RD_0c RS1_04 5 1 +RD_0c RS1_05 3 1 +RD_0c RS1_06 7 1 +RD_0c RS1_07 3 1 +RD_0c RS1_08 6 1 +RD_0c RS1_09 6 1 +RD_0c RS1_0a 10 1 +RD_0c RS1_0b 2 1 +RD_0c RS1_0c 6 1 +RD_0c RS1_0d 5 1 +RD_0c RS1_0e 2 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 8 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 7 1 +RD_0c RS1_13 10 1 +RD_0c RS1_14 3 1 +RD_0c RS1_15 4 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 9 1 +RD_0c RS1_18 2 1 +RD_0c RS1_19 3 1 +RD_0c RS1_1a 4 1 +RD_0c RS1_1b 2 1 +RD_0c RS1_1c 7 1 +RD_0c RS1_1d 7 1 +RD_0c RS1_1e 7 1 +RD_0c RS1_1f 15 1 +RD_0d RS1_00 5 1 +RD_0d RS1_01 7 1 +RD_0d RS1_02 4 1 +RD_0d RS1_03 3 1 +RD_0d RS1_04 5 1 +RD_0d RS1_05 7 1 +RD_0d RS1_06 7 1 +RD_0d RS1_07 6 1 +RD_0d RS1_08 5 1 +RD_0d RS1_09 4 1 +RD_0d RS1_0a 11 1 +RD_0d RS1_0b 2 1 +RD_0d RS1_0c 6 1 +RD_0d RS1_0d 2 1 +RD_0d RS1_0e 5 1 +RD_0d RS1_0f 4 1 +RD_0d RS1_10 8 1 +RD_0d RS1_11 5 1 +RD_0d RS1_12 5 1 +RD_0d RS1_13 9 1 +RD_0d RS1_14 7 1 +RD_0d RS1_15 4 1 +RD_0d RS1_16 4 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 2 1 +RD_0d RS1_19 6 1 +RD_0d RS1_1a 5 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 8 1 +RD_0d RS1_1d 6 1 +RD_0d RS1_1e 5 1 +RD_0e RS1_00 6 1 +RD_0e RS1_01 4 1 +RD_0e RS1_02 7 1 +RD_0e RS1_03 6 1 +RD_0e RS1_04 9 1 +RD_0e RS1_05 3 1 +RD_0e RS1_06 3 1 +RD_0e RS1_07 8 1 +RD_0e RS1_08 2 1 +RD_0e RS1_09 5 1 +RD_0e RS1_0a 9 1 +RD_0e RS1_0b 3 1 +RD_0e RS1_0c 6 1 +RD_0e RS1_0d 5 1 +RD_0e RS1_0e 2 1 +RD_0e RS1_0f 5 1 +RD_0e RS1_10 8 1 +RD_0e RS1_11 8 1 +RD_0e RS1_12 5 1 +RD_0e RS1_13 8 1 +RD_0e RS1_14 2 1 +RD_0e RS1_15 3 1 +RD_0e RS1_16 4 1 +RD_0e RS1_17 3 1 +RD_0e RS1_18 5 1 +RD_0e RS1_19 7 1 +RD_0e RS1_1a 5 1 +RD_0e RS1_1b 4 1 +RD_0e RS1_1c 5 1 +RD_0e RS1_1d 4 1 +RD_0e RS1_1e 3 1 +RD_0e RS1_1f 5 1 +RD_0f RS1_00 6 1 +RD_0f RS1_01 7 1 +RD_0f RS1_02 4 1 +RD_0f RS1_03 7 1 +RD_0f RS1_04 4 1 +RD_0f RS1_05 4 1 +RD_0f RS1_06 4 1 +RD_0f RS1_07 6 1 +RD_0f RS1_08 3 1 +RD_0f RS1_09 5 1 +RD_0f RS1_0a 6 1 +RD_0f RS1_0b 2 1 +RD_0f RS1_0c 4 1 +RD_0f RS1_0d 7 1 +RD_0f RS1_0e 6 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 5 1 +RD_0f RS1_11 2 1 +RD_0f RS1_12 4 1 +RD_0f RS1_13 3 1 +RD_0f RS1_14 6 1 +RD_0f RS1_15 5 1 +RD_0f RS1_16 7 1 +RD_0f RS1_17 8 1 +RD_0f RS1_18 4 1 +RD_0f RS1_19 10 1 +RD_0f RS1_1a 2 1 +RD_0f RS1_1b 3 1 +RD_0f RS1_1c 3 1 +RD_0f RS1_1d 6 1 +RD_0f RS1_1e 9 1 +RD_0f RS1_1f 6 1 +RD_10 RS1_00 5 1 +RD_10 RS1_01 7 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 6 1 +RD_10 RS1_04 5 1 +RD_10 RS1_05 3 1 +RD_10 RS1_06 6 1 +RD_10 RS1_07 6 1 +RD_10 RS1_08 6 1 +RD_10 RS1_09 5 1 +RD_10 RS1_0a 9 1 +RD_10 RS1_0b 10 1 +RD_10 RS1_0c 11 1 +RD_10 RS1_0d 8 1 +RD_10 RS1_0e 8 1 +RD_10 RS1_0f 2 1 +RD_10 RS1_10 7 1 +RD_10 RS1_11 3 1 +RD_10 RS1_12 9 1 +RD_10 RS1_13 9 1 +RD_10 RS1_14 4 1 +RD_10 RS1_15 4 1 +RD_10 RS1_16 3 1 +RD_10 RS1_17 7 1 +RD_10 RS1_18 3 1 +RD_10 RS1_19 5 1 +RD_10 RS1_1a 3 1 +RD_10 RS1_1b 8 1 +RD_10 RS1_1c 10 1 +RD_10 RS1_1d 7 1 +RD_10 RS1_1e 6 1 +RD_10 RS1_1f 1 1 +RD_11 RS1_00 5 1 +RD_11 RS1_01 8 1 +RD_11 RS1_02 1 1 +RD_11 RS1_03 7 1 +RD_11 RS1_04 7 1 +RD_11 RS1_05 7 1 +RD_11 RS1_06 6 1 +RD_11 RS1_07 6 1 +RD_11 RS1_08 6 1 +RD_11 RS1_09 3 1 +RD_11 RS1_0a 6 1 +RD_11 RS1_0b 5 1 +RD_11 RS1_0c 4 1 +RD_11 RS1_0e 7 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 6 1 +RD_11 RS1_11 9 1 +RD_11 RS1_12 11 1 +RD_11 RS1_13 3 1 +RD_11 RS1_14 12 1 +RD_11 RS1_15 7 1 +RD_11 RS1_16 5 1 +RD_11 RS1_17 7 1 +RD_11 RS1_18 3 1 +RD_11 RS1_19 3 1 +RD_11 RS1_1a 2 1 +RD_11 RS1_1b 6 1 +RD_11 RS1_1c 3 1 +RD_11 RS1_1d 4 1 +RD_11 RS1_1e 7 1 +RD_11 RS1_1f 5 1 +RD_12 RS1_00 6 1 +RD_12 RS1_01 3 1 +RD_12 RS1_02 8 1 +RD_12 RS1_03 7 1 +RD_12 RS1_04 6 1 +RD_12 RS1_05 5 1 +RD_12 RS1_06 4 1 +RD_12 RS1_07 2 1 +RD_12 RS1_08 4 1 +RD_12 RS1_09 2 1 +RD_12 RS1_0a 8 1 +RD_12 RS1_0b 6 1 +RD_12 RS1_0c 5 1 +RD_12 RS1_0d 8 1 +RD_12 RS1_0e 2 1 +RD_12 RS1_0f 9 1 +RD_12 RS1_10 2 1 +RD_12 RS1_11 6 1 +RD_12 RS1_12 5 1 +RD_12 RS1_13 3 1 +RD_12 RS1_14 5 1 +RD_12 RS1_15 9 1 +RD_12 RS1_16 3 1 +RD_12 RS1_17 9 1 +RD_12 RS1_18 4 1 +RD_12 RS1_19 10 1 +RD_12 RS1_1a 1 1 +RD_12 RS1_1b 7 1 +RD_12 RS1_1c 4 1 +RD_12 RS1_1d 6 1 +RD_12 RS1_1e 6 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 6 1 +RD_13 RS1_01 5 1 +RD_13 RS1_02 9 1 +RD_13 RS1_03 6 1 +RD_13 RS1_04 2 1 +RD_13 RS1_05 9 1 +RD_13 RS1_06 5 1 +RD_13 RS1_07 10 1 +RD_13 RS1_08 6 1 +RD_13 RS1_09 8 1 +RD_13 RS1_0a 9 1 +RD_13 RS1_0b 2 1 +RD_13 RS1_0c 6 1 +RD_13 RS1_0d 12 1 +RD_13 RS1_0e 7 1 +RD_13 RS1_0f 6 1 +RD_13 RS1_10 4 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 6 1 +RD_13 RS1_13 2 1 +RD_13 RS1_14 5 1 +RD_13 RS1_15 8 1 +RD_13 RS1_17 5 1 +RD_13 RS1_18 3 1 +RD_13 RS1_19 2 1 +RD_13 RS1_1a 4 1 +RD_13 RS1_1b 3 1 +RD_13 RS1_1c 6 1 +RD_13 RS1_1d 6 1 +RD_13 RS1_1e 7 1 +RD_13 RS1_1f 2 1 +RD_14 RS1_00 7 1 +RD_14 RS1_01 5 1 +RD_14 RS1_02 3 1 +RD_14 RS1_03 7 1 +RD_14 RS1_04 11 1 +RD_14 RS1_05 4 1 +RD_14 RS1_06 3 1 +RD_14 RS1_07 3 1 +RD_14 RS1_09 6 1 +RD_14 RS1_0a 5 1 +RD_14 RS1_0b 5 1 +RD_14 RS1_0c 8 1 +RD_14 RS1_0d 7 1 +RD_14 RS1_0e 3 1 +RD_14 RS1_0f 4 1 +RD_14 RS1_10 9 1 +RD_14 RS1_11 9 1 +RD_14 RS1_12 8 1 +RD_14 RS1_13 9 1 +RD_14 RS1_14 7 1 +RD_14 RS1_15 7 1 +RD_14 RS1_16 5 1 +RD_14 RS1_17 6 1 +RD_14 RS1_18 8 1 +RD_14 RS1_19 4 1 +RD_14 RS1_1a 4 1 +RD_14 RS1_1b 9 1 +RD_14 RS1_1c 5 1 +RD_14 RS1_1d 4 1 +RD_14 RS1_1e 19 1 +RD_14 RS1_1f 10 1 +RD_15 RS1_00 8 1 +RD_15 RS1_01 5 1 +RD_15 RS1_02 9 1 +RD_15 RS1_03 2 1 +RD_15 RS1_04 4 1 +RD_15 RS1_05 6 1 +RD_15 RS1_06 7 1 +RD_15 RS1_07 7 1 +RD_15 RS1_08 7 1 +RD_15 RS1_09 5 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 6 1 +RD_15 RS1_0c 6 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 7 1 +RD_15 RS1_0f 3 1 +RD_15 RS1_10 2 1 +RD_15 RS1_11 5 1 +RD_15 RS1_12 3 1 +RD_15 RS1_13 5 1 +RD_15 RS1_14 9 1 +RD_15 RS1_15 4 1 +RD_15 RS1_16 2 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 6 1 +RD_15 RS1_19 7 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 5 1 +RD_15 RS1_1c 9 1 +RD_15 RS1_1d 1 1 +RD_15 RS1_1e 5 1 +RD_15 RS1_1f 2 1 +RD_16 RS1_00 1 1 +RD_16 RS1_01 5 1 +RD_16 RS1_02 9 1 +RD_16 RS1_03 1 1 +RD_16 RS1_04 3 1 +RD_16 RS1_05 2 1 +RD_16 RS1_06 9 1 +RD_16 RS1_07 5 1 +RD_16 RS1_08 5 1 +RD_16 RS1_09 7 1 +RD_16 RS1_0a 2 1 +RD_16 RS1_0b 6 1 +RD_16 RS1_0c 9 1 +RD_16 RS1_0d 7 1 +RD_16 RS1_0e 7 1 +RD_16 RS1_0f 3 1 +RD_16 RS1_10 5 1 +RD_16 RS1_11 6 1 +RD_16 RS1_12 3 1 +RD_16 RS1_13 13 1 +RD_16 RS1_14 6 1 +RD_16 RS1_15 6 1 +RD_16 RS1_16 5 1 +RD_16 RS1_17 5 1 +RD_16 RS1_18 5 1 +RD_16 RS1_19 2 1 +RD_16 RS1_1a 3 1 +RD_16 RS1_1b 6 1 +RD_16 RS1_1c 1 1 +RD_16 RS1_1d 5 1 +RD_16 RS1_1e 5 1 +RD_16 RS1_1f 6 1 +RD_17 RS1_00 2 1 +RD_17 RS1_01 5 1 +RD_17 RS1_02 8 1 +RD_17 RS1_03 5 1 +RD_17 RS1_04 8 1 +RD_17 RS1_05 3 1 +RD_17 RS1_06 3 1 +RD_17 RS1_07 5 1 +RD_17 RS1_08 9 1 +RD_17 RS1_09 9 1 +RD_17 RS1_0a 7 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 2 1 +RD_17 RS1_0d 9 1 +RD_17 RS1_0e 8 1 +RD_17 RS1_0f 8 1 +RD_17 RS1_10 5 1 +RD_17 RS1_11 2 1 +RD_17 RS1_12 2 1 +RD_17 RS1_13 7 1 +RD_17 RS1_14 7 1 +RD_17 RS1_15 5 1 +RD_17 RS1_16 3 1 +RD_17 RS1_17 7 1 +RD_17 RS1_18 4 1 +RD_17 RS1_19 2 1 +RD_17 RS1_1a 8 1 +RD_17 RS1_1b 3 1 +RD_17 RS1_1c 6 1 +RD_17 RS1_1d 7 1 +RD_17 RS1_1e 3 1 +RD_17 RS1_1f 3 1 +RD_18 RS1_00 4 1 +RD_18 RS1_01 8 1 +RD_18 RS1_02 3 1 +RD_18 RS1_03 5 1 +RD_18 RS1_04 2 1 +RD_18 RS1_05 8 1 +RD_18 RS1_06 4 1 +RD_18 RS1_07 9 1 +RD_18 RS1_08 7 1 +RD_18 RS1_09 3 1 +RD_18 RS1_0a 12 1 +RD_18 RS1_0b 10 1 +RD_18 RS1_0c 8 1 +RD_18 RS1_0d 3 1 +RD_18 RS1_0e 2 1 +RD_18 RS1_0f 5 1 +RD_18 RS1_10 2 1 +RD_18 RS1_11 6 1 +RD_18 RS1_12 1 1 +RD_18 RS1_13 2 1 +RD_18 RS1_14 5 1 +RD_18 RS1_15 5 1 +RD_18 RS1_16 5 1 +RD_18 RS1_17 6 1 +RD_18 RS1_18 3 1 +RD_18 RS1_19 3 1 +RD_18 RS1_1a 1 1 +RD_18 RS1_1b 1 1 +RD_18 RS1_1c 5 1 +RD_18 RS1_1d 5 1 +RD_18 RS1_1e 4 1 +RD_18 RS1_1f 2 1 +RD_19 RS1_00 4 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 3 1 +RD_19 RS1_03 5 1 +RD_19 RS1_04 2 1 +RD_19 RS1_05 5 1 +RD_19 RS1_06 1 1 +RD_19 RS1_07 7 1 +RD_19 RS1_08 5 1 +RD_19 RS1_09 2 1 +RD_19 RS1_0a 4 1 +RD_19 RS1_0b 3 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 7 1 +RD_19 RS1_0e 9 1 +RD_19 RS1_0f 5 1 +RD_19 RS1_10 3 1 +RD_19 RS1_11 4 1 +RD_19 RS1_12 5 1 +RD_19 RS1_13 8 1 +RD_19 RS1_14 6 1 +RD_19 RS1_15 6 1 +RD_19 RS1_16 3 1 +RD_19 RS1_17 3 1 +RD_19 RS1_18 4 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 6 1 +RD_19 RS1_1b 6 1 +RD_19 RS1_1c 4 1 +RD_19 RS1_1d 5 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 6 1 +RD_1a RS1_00 9 1 +RD_1a RS1_01 4 1 +RD_1a RS1_02 6 1 +RD_1a RS1_03 2 1 +RD_1a RS1_04 6 1 +RD_1a RS1_05 3 1 +RD_1a RS1_06 3 1 +RD_1a RS1_07 9 1 +RD_1a RS1_08 3 1 +RD_1a RS1_09 6 1 +RD_1a RS1_0a 2 1 +RD_1a RS1_0b 2 1 +RD_1a RS1_0c 4 1 +RD_1a RS1_0d 1 1 +RD_1a RS1_0e 9 1 +RD_1a RS1_0f 5 1 +RD_1a RS1_10 10 1 +RD_1a RS1_11 4 1 +RD_1a RS1_12 1 1 +RD_1a RS1_13 4 1 +RD_1a RS1_14 7 1 +RD_1a RS1_15 5 1 +RD_1a RS1_16 3 1 +RD_1a RS1_17 5 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 5 1 +RD_1a RS1_1a 7 1 +RD_1a RS1_1b 4 1 +RD_1a RS1_1c 3 1 +RD_1a RS1_1d 6 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 4 1 +RD_1b RS1_00 3 1 +RD_1b RS1_01 5 1 +RD_1b RS1_02 1 1 +RD_1b RS1_03 5 1 +RD_1b RS1_04 3 1 +RD_1b RS1_05 2 1 +RD_1b RS1_06 2 1 +RD_1b RS1_07 1 1 +RD_1b RS1_08 2 1 +RD_1b RS1_09 4 1 +RD_1b RS1_0a 2 1 +RD_1b RS1_0b 4 1 +RD_1b RS1_0c 5 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 5 1 +RD_1b RS1_0f 3 1 +RD_1b RS1_10 4 1 +RD_1b RS1_11 5 1 +RD_1b RS1_12 5 1 +RD_1b RS1_13 2 1 +RD_1b RS1_14 8 1 +RD_1b RS1_15 2 1 +RD_1b RS1_16 7 1 +RD_1b RS1_17 3 1 +RD_1b RS1_18 10 1 +RD_1b RS1_19 5 1 +RD_1b RS1_1a 4 1 +RD_1b RS1_1b 5 1 +RD_1b RS1_1c 5 1 +RD_1b RS1_1d 7 1 +RD_1b RS1_1e 4 1 +RD_1b RS1_1f 3 1 +RD_1c RS1_00 5 1 +RD_1c RS1_01 4 1 +RD_1c RS1_02 4 1 +RD_1c RS1_03 3 1 +RD_1c RS1_04 3 1 +RD_1c RS1_05 8 1 +RD_1c RS1_06 4 1 +RD_1c RS1_07 6 1 +RD_1c RS1_08 3 1 +RD_1c RS1_09 2 1 +RD_1c RS1_0a 5 1 +RD_1c RS1_0b 5 1 +RD_1c RS1_0c 6 1 +RD_1c RS1_0d 4 1 +RD_1c RS1_0e 6 1 +RD_1c RS1_0f 1 1 +RD_1c RS1_10 2 1 +RD_1c RS1_11 4 1 +RD_1c RS1_12 4 1 +RD_1c RS1_13 9 1 +RD_1c RS1_14 9 1 +RD_1c RS1_15 3 1 +RD_1c RS1_16 4 1 +RD_1c RS1_17 4 1 +RD_1c RS1_18 7 1 +RD_1c RS1_19 2 1 +RD_1c RS1_1a 10 1 +RD_1c RS1_1b 6 1 +RD_1c RS1_1c 2 1 +RD_1c RS1_1d 5 1 +RD_1c RS1_1e 7 1 +RD_1c RS1_1f 5 1 +RD_1d RS1_00 3 1 +RD_1d RS1_01 8 1 +RD_1d RS1_02 9 1 +RD_1d RS1_03 7 1 +RD_1d RS1_04 6 1 +RD_1d RS1_05 4 1 +RD_1d RS1_06 2 1 +RD_1d RS1_07 8 1 +RD_1d RS1_08 4 1 +RD_1d RS1_09 6 1 +RD_1d RS1_0a 5 1 +RD_1d RS1_0b 5 1 +RD_1d RS1_0c 7 1 +RD_1d RS1_0d 3 1 +RD_1d RS1_0e 3 1 +RD_1d RS1_0f 8 1 +RD_1d RS1_10 7 1 +RD_1d RS1_11 9 1 +RD_1d RS1_12 4 1 +RD_1d RS1_13 14 1 +RD_1d RS1_14 6 1 +RD_1d RS1_15 6 1 +RD_1d RS1_16 7 1 +RD_1d RS1_17 3 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 2 1 +RD_1d RS1_1a 5 1 +RD_1d RS1_1b 7 1 +RD_1d RS1_1c 8 1 +RD_1d RS1_1d 3 1 +RD_1d RS1_1e 6 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 6 1 +RD_1e RS1_02 7 1 +RD_1e RS1_03 7 1 +RD_1e RS1_04 2 1 +RD_1e RS1_05 2 1 +RD_1e RS1_06 10 1 +RD_1e RS1_07 10 1 +RD_1e RS1_08 5 1 +RD_1e RS1_09 4 1 +RD_1e RS1_0a 2 1 +RD_1e RS1_0b 4 1 +RD_1e RS1_0c 3 1 +RD_1e RS1_0d 9 1 +RD_1e RS1_0e 6 1 +RD_1e RS1_0f 4 1 +RD_1e RS1_10 11 1 +RD_1e RS1_11 7 1 +RD_1e RS1_12 4 1 +RD_1e RS1_13 6 1 +RD_1e RS1_14 7 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 3 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 2 1 +RD_1e RS1_1a 3 1 +RD_1e RS1_1b 4 1 +RD_1e RS1_1c 5 1 +RD_1e RS1_1d 1 1 +RD_1e RS1_1e 6 1 +RD_1e RS1_1f 5 1 +RD_1f RS1_00 4 1 +RD_1f RS1_01 9 1 +RD_1f RS1_02 3 1 +RD_1f RS1_03 6 1 +RD_1f RS1_04 4 1 +RD_1f RS1_05 4 1 +RD_1f RS1_06 6 1 +RD_1f RS1_07 3 1 +RD_1f RS1_08 9 1 +RD_1f RS1_09 6 1 +RD_1f RS1_0a 9 1 +RD_1f RS1_0b 6 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 5 1 +RD_1f RS1_0e 3 1 +RD_1f RS1_0f 3 1 +RD_1f RS1_10 3 1 +RD_1f RS1_11 2 1 +RD_1f RS1_12 3 1 +RD_1f RS1_13 5 1 +RD_1f RS1_14 10 1 +RD_1f RS1_15 2 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 8 1 +RD_1f RS1_18 2 1 +RD_1f RS1_19 8 1 +RD_1f RS1_1a 5 1 +RD_1f RS1_1b 6 1 +RD_1f RS1_1c 4 1 +RD_1f RS1_1d 6 1 +RD_1f RS1_1e 4 1 +RD_1f RS1_1f 3 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 6 1018 99.41 6 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_02] [RS2_17] 0 1 1 +[RD_04] [RS2_04] 0 1 1 +[RD_0c] [RS2_0b] 0 1 1 +[RD_11] [RS2_1f] 0 1 1 +[RD_16] [RS2_0a] 0 1 1 +[RD_16] [RS2_10] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 4 1 +RD_00 RS2_01 8 1 +RD_00 RS2_02 4 1 +RD_00 RS2_03 7 1 +RD_00 RS2_04 9 1 +RD_00 RS2_05 1 1 +RD_00 RS2_06 6 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 6 1 +RD_00 RS2_09 3 1 +RD_00 RS2_0a 6 1 +RD_00 RS2_0b 7 1 +RD_00 RS2_0c 2 1 +RD_00 RS2_0d 4 1 +RD_00 RS2_0e 10 1 +RD_00 RS2_0f 6 1 +RD_00 RS2_10 4 1 +RD_00 RS2_11 7 1 +RD_00 RS2_12 8 1 +RD_00 RS2_13 2 1 +RD_00 RS2_14 4 1 +RD_00 RS2_15 6 1 +RD_00 RS2_16 3 1 +RD_00 RS2_17 4 1 +RD_00 RS2_18 6 1 +RD_00 RS2_19 5 1 +RD_00 RS2_1a 7 1 +RD_00 RS2_1b 13 1 +RD_00 RS2_1c 2 1 +RD_00 RS2_1d 8 1 +RD_00 RS2_1e 4 1 +RD_00 RS2_1f 9 1 +RD_01 RS2_00 7 1 +RD_01 RS2_01 4 1 +RD_01 RS2_02 2 1 +RD_01 RS2_03 4 1 +RD_01 RS2_04 3 1 +RD_01 RS2_05 5 1 +RD_01 RS2_06 1 1 +RD_01 RS2_07 3 1 +RD_01 RS2_08 4 1 +RD_01 RS2_09 9 1 +RD_01 RS2_0a 5 1 +RD_01 RS2_0b 10 1 +RD_01 RS2_0c 6 1 +RD_01 RS2_0d 4 1 +RD_01 RS2_0e 8 1 +RD_01 RS2_0f 3 1 +RD_01 RS2_10 1 1 +RD_01 RS2_11 7 1 +RD_01 RS2_12 2 1 +RD_01 RS2_13 8 1 +RD_01 RS2_14 10 1 +RD_01 RS2_15 2 1 +RD_01 RS2_16 4 1 +RD_01 RS2_17 3 1 +RD_01 RS2_18 7 1 +RD_01 RS2_19 8 1 +RD_01 RS2_1a 9 1 +RD_01 RS2_1b 6 1 +RD_01 RS2_1c 4 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 3 1 +RD_01 RS2_1f 6 1 +RD_02 RS2_00 3 1 +RD_02 RS2_01 5 1 +RD_02 RS2_02 5 1 +RD_02 RS2_03 3 1 +RD_02 RS2_04 6 1 +RD_02 RS2_05 10 1 +RD_02 RS2_06 6 1 +RD_02 RS2_07 4 1 +RD_02 RS2_08 5 1 +RD_02 RS2_09 3 1 +RD_02 RS2_0a 11 1 +RD_02 RS2_0b 12 1 +RD_02 RS2_0c 4 1 +RD_02 RS2_0d 5 1 +RD_02 RS2_0e 11 1 +RD_02 RS2_0f 3 1 +RD_02 RS2_10 4 1 +RD_02 RS2_11 1 1 +RD_02 RS2_12 5 1 +RD_02 RS2_13 2 1 +RD_02 RS2_14 8 1 +RD_02 RS2_15 6 1 +RD_02 RS2_16 2 1 +RD_02 RS2_18 3 1 +RD_02 RS2_19 6 1 +RD_02 RS2_1a 2 1 +RD_02 RS2_1b 5 1 +RD_02 RS2_1c 1 1 +RD_02 RS2_1d 5 1 +RD_02 RS2_1e 7 1 +RD_02 RS2_1f 9 1 +RD_03 RS2_00 6 1 +RD_03 RS2_01 3 1 +RD_03 RS2_02 2 1 +RD_03 RS2_03 3 1 +RD_03 RS2_04 9 1 +RD_03 RS2_05 3 1 +RD_03 RS2_06 6 1 +RD_03 RS2_07 6 1 +RD_03 RS2_08 12 1 +RD_03 RS2_09 6 1 +RD_03 RS2_0a 5 1 +RD_03 RS2_0b 4 1 +RD_03 RS2_0c 4 1 +RD_03 RS2_0d 5 1 +RD_03 RS2_0e 8 1 +RD_03 RS2_0f 6 1 +RD_03 RS2_10 6 1 +RD_03 RS2_11 9 1 +RD_03 RS2_12 10 1 +RD_03 RS2_13 3 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 6 1 +RD_03 RS2_16 8 1 +RD_03 RS2_17 6 1 +RD_03 RS2_18 4 1 +RD_03 RS2_19 7 1 +RD_03 RS2_1a 8 1 +RD_03 RS2_1b 7 1 +RD_03 RS2_1c 7 1 +RD_03 RS2_1d 4 1 +RD_03 RS2_1e 7 1 +RD_03 RS2_1f 7 1 +RD_04 RS2_00 1 1 +RD_04 RS2_01 2 1 +RD_04 RS2_02 2 1 +RD_04 RS2_03 4 1 +RD_04 RS2_05 8 1 +RD_04 RS2_06 7 1 +RD_04 RS2_07 4 1 +RD_04 RS2_08 2 1 +RD_04 RS2_09 8 1 +RD_04 RS2_0a 4 1 +RD_04 RS2_0b 7 1 +RD_04 RS2_0c 3 1 +RD_04 RS2_0d 3 1 +RD_04 RS2_0e 6 1 +RD_04 RS2_0f 6 1 +RD_04 RS2_10 1 1 +RD_04 RS2_11 8 1 +RD_04 RS2_12 1 1 +RD_04 RS2_13 6 1 +RD_04 RS2_14 3 1 +RD_04 RS2_15 4 1 +RD_04 RS2_16 2 1 +RD_04 RS2_17 7 1 +RD_04 RS2_18 7 1 +RD_04 RS2_19 4 1 +RD_04 RS2_1a 5 1 +RD_04 RS2_1b 8 1 +RD_04 RS2_1c 5 1 +RD_04 RS2_1d 6 1 +RD_04 RS2_1e 8 1 +RD_04 RS2_1f 6 1 +RD_05 RS2_00 6 1 +RD_05 RS2_01 4 1 +RD_05 RS2_02 5 1 +RD_05 RS2_03 4 1 +RD_05 RS2_04 10 1 +RD_05 RS2_05 5 1 +RD_05 RS2_06 7 1 +RD_05 RS2_07 6 1 +RD_05 RS2_08 7 1 +RD_05 RS2_09 5 1 +RD_05 RS2_0a 6 1 +RD_05 RS2_0b 5 1 +RD_05 RS2_0c 6 1 +RD_05 RS2_0d 3 1 +RD_05 RS2_0e 6 1 +RD_05 RS2_0f 3 1 +RD_05 RS2_10 3 1 +RD_05 RS2_11 2 1 +RD_05 RS2_12 13 1 +RD_05 RS2_13 3 1 +RD_05 RS2_14 12 1 +RD_05 RS2_15 5 1 +RD_05 RS2_16 3 1 +RD_05 RS2_17 8 1 +RD_05 RS2_18 5 1 +RD_05 RS2_19 10 1 +RD_05 RS2_1a 6 1 +RD_05 RS2_1b 12 1 +RD_05 RS2_1c 7 1 +RD_05 RS2_1d 2 1 +RD_05 RS2_1e 5 1 +RD_05 RS2_1f 3 1 +RD_06 RS2_00 6 1 +RD_06 RS2_01 5 1 +RD_06 RS2_02 2 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 8 1 +RD_06 RS2_05 8 1 +RD_06 RS2_06 10 1 +RD_06 RS2_07 8 1 +RD_06 RS2_08 6 1 +RD_06 RS2_09 3 1 +RD_06 RS2_0a 8 1 +RD_06 RS2_0b 7 1 +RD_06 RS2_0c 4 1 +RD_06 RS2_0d 6 1 +RD_06 RS2_0e 5 1 +RD_06 RS2_0f 6 1 +RD_06 RS2_10 1 1 +RD_06 RS2_11 1 1 +RD_06 RS2_12 3 1 +RD_06 RS2_13 7 1 +RD_06 RS2_14 5 1 +RD_06 RS2_15 3 1 +RD_06 RS2_16 2 1 +RD_06 RS2_17 4 1 +RD_06 RS2_18 5 1 +RD_06 RS2_19 3 1 +RD_06 RS2_1a 2 1 +RD_06 RS2_1b 3 1 +RD_06 RS2_1c 6 1 +RD_06 RS2_1d 8 1 +RD_06 RS2_1e 6 1 +RD_06 RS2_1f 4 1 +RD_07 RS2_00 9 1 +RD_07 RS2_01 4 1 +RD_07 RS2_02 5 1 +RD_07 RS2_03 6 1 +RD_07 RS2_04 2 1 +RD_07 RS2_05 5 1 +RD_07 RS2_06 9 1 +RD_07 RS2_07 7 1 +RD_07 RS2_08 8 1 +RD_07 RS2_09 5 1 +RD_07 RS2_0a 3 1 +RD_07 RS2_0b 5 1 +RD_07 RS2_0c 5 1 +RD_07 RS2_0d 9 1 +RD_07 RS2_0e 5 1 +RD_07 RS2_0f 6 1 +RD_07 RS2_10 2 1 +RD_07 RS2_11 4 1 +RD_07 RS2_12 4 1 +RD_07 RS2_13 8 1 +RD_07 RS2_14 4 1 +RD_07 RS2_15 7 1 +RD_07 RS2_16 5 1 +RD_07 RS2_17 11 1 +RD_07 RS2_18 5 1 +RD_07 RS2_19 10 1 +RD_07 RS2_1a 6 1 +RD_07 RS2_1b 5 1 +RD_07 RS2_1c 1 1 +RD_07 RS2_1d 2 1 +RD_07 RS2_1e 4 1 +RD_07 RS2_1f 9 1 +RD_08 RS2_00 3 1 +RD_08 RS2_01 9 1 +RD_08 RS2_02 9 1 +RD_08 RS2_03 4 1 +RD_08 RS2_04 4 1 +RD_08 RS2_05 5 1 +RD_08 RS2_06 5 1 +RD_08 RS2_07 7 1 +RD_08 RS2_08 6 1 +RD_08 RS2_09 6 1 +RD_08 RS2_0a 5 1 +RD_08 RS2_0b 5 1 +RD_08 RS2_0c 8 1 +RD_08 RS2_0d 5 1 +RD_08 RS2_0e 7 1 +RD_08 RS2_0f 5 1 +RD_08 RS2_10 5 1 +RD_08 RS2_11 4 1 +RD_08 RS2_12 7 1 +RD_08 RS2_13 8 1 +RD_08 RS2_14 6 1 +RD_08 RS2_15 3 1 +RD_08 RS2_16 4 1 +RD_08 RS2_17 7 1 +RD_08 RS2_18 1 1 +RD_08 RS2_19 3 1 +RD_08 RS2_1a 5 1 +RD_08 RS2_1b 3 1 +RD_08 RS2_1c 2 1 +RD_08 RS2_1d 9 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 4 1 +RD_09 RS2_00 11 1 +RD_09 RS2_01 3 1 +RD_09 RS2_02 8 1 +RD_09 RS2_03 5 1 +RD_09 RS2_04 7 1 +RD_09 RS2_05 4 1 +RD_09 RS2_06 5 1 +RD_09 RS2_07 4 1 +RD_09 RS2_08 6 1 +RD_09 RS2_09 6 1 +RD_09 RS2_0a 4 1 +RD_09 RS2_0b 8 1 +RD_09 RS2_0c 8 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 5 1 +RD_09 RS2_0f 10 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 4 1 +RD_09 RS2_12 6 1 +RD_09 RS2_13 3 1 +RD_09 RS2_14 6 1 +RD_09 RS2_15 3 1 +RD_09 RS2_16 6 1 +RD_09 RS2_17 3 1 +RD_09 RS2_18 3 1 +RD_09 RS2_19 8 1 +RD_09 RS2_1a 6 1 +RD_09 RS2_1b 5 1 +RD_09 RS2_1c 9 1 +RD_09 RS2_1d 6 1 +RD_09 RS2_1e 8 1 +RD_09 RS2_1f 8 1 +RD_0a RS2_00 2 1 +RD_0a RS2_01 1 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 5 1 +RD_0a RS2_04 5 1 +RD_0a RS2_05 2 1 +RD_0a RS2_06 2 1 +RD_0a RS2_07 14 1 +RD_0a RS2_08 3 1 +RD_0a RS2_09 10 1 +RD_0a RS2_0a 6 1 +RD_0a RS2_0b 9 1 +RD_0a RS2_0c 6 1 +RD_0a RS2_0d 5 1 +RD_0a RS2_0e 2 1 +RD_0a RS2_0f 6 1 +RD_0a RS2_10 4 1 +RD_0a RS2_11 2 1 +RD_0a RS2_12 1 1 +RD_0a RS2_13 1 1 +RD_0a RS2_14 9 1 +RD_0a RS2_15 6 1 +RD_0a RS2_16 1 1 +RD_0a RS2_17 2 1 +RD_0a RS2_18 8 1 +RD_0a RS2_19 10 1 +RD_0a RS2_1a 3 1 +RD_0a RS2_1b 2 1 +RD_0a RS2_1c 8 1 +RD_0a RS2_1d 5 1 +RD_0a RS2_1e 3 1 +RD_0a RS2_1f 10 1 +RD_0b RS2_00 3 1 +RD_0b RS2_01 8 1 +RD_0b RS2_02 6 1 +RD_0b RS2_03 5 1 +RD_0b RS2_04 4 1 +RD_0b RS2_05 5 1 +RD_0b RS2_06 11 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 2 1 +RD_0b RS2_09 7 1 +RD_0b RS2_0a 6 1 +RD_0b RS2_0b 3 1 +RD_0b RS2_0c 2 1 +RD_0b RS2_0d 3 1 +RD_0b RS2_0e 3 1 +RD_0b RS2_0f 9 1 +RD_0b RS2_10 1 1 +RD_0b RS2_11 11 1 +RD_0b RS2_12 4 1 +RD_0b RS2_13 8 1 +RD_0b RS2_14 3 1 +RD_0b RS2_15 3 1 +RD_0b RS2_16 4 1 +RD_0b RS2_17 4 1 +RD_0b RS2_18 6 1 +RD_0b RS2_19 5 1 +RD_0b RS2_1a 1 1 +RD_0b RS2_1b 8 1 +RD_0b RS2_1c 9 1 +RD_0b RS2_1d 7 1 +RD_0b RS2_1e 11 1 +RD_0b RS2_1f 6 1 +RD_0c RS2_00 4 1 +RD_0c RS2_01 4 1 +RD_0c RS2_02 7 1 +RD_0c RS2_03 4 1 +RD_0c RS2_04 3 1 +RD_0c RS2_05 9 1 +RD_0c RS2_06 1 1 +RD_0c RS2_07 8 1 +RD_0c RS2_08 7 1 +RD_0c RS2_09 9 1 +RD_0c RS2_0a 10 1 +RD_0c RS2_0c 9 1 +RD_0c RS2_0d 6 1 +RD_0c RS2_0e 8 1 +RD_0c RS2_0f 5 1 +RD_0c RS2_10 7 1 +RD_0c RS2_11 10 1 +RD_0c RS2_12 11 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 2 1 +RD_0c RS2_15 6 1 +RD_0c RS2_16 3 1 +RD_0c RS2_17 4 1 +RD_0c RS2_18 4 1 +RD_0c RS2_19 3 1 +RD_0c RS2_1a 4 1 +RD_0c RS2_1b 5 1 +RD_0c RS2_1c 7 1 +RD_0c RS2_1d 8 1 +RD_0c RS2_1e 2 1 +RD_0c RS2_1f 5 1 +RD_0d RS2_00 5 1 +RD_0d RS2_01 5 1 +RD_0d RS2_02 3 1 +RD_0d RS2_03 6 1 +RD_0d RS2_04 7 1 +RD_0d RS2_05 4 1 +RD_0d RS2_06 3 1 +RD_0d RS2_07 4 1 +RD_0d RS2_08 4 1 +RD_0d RS2_09 4 1 +RD_0d RS2_0a 11 1 +RD_0d RS2_0b 4 1 +RD_0d RS2_0c 1 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 6 1 +RD_0d RS2_0f 7 1 +RD_0d RS2_10 3 1 +RD_0d RS2_11 9 1 +RD_0d RS2_12 3 1 +RD_0d RS2_13 4 1 +RD_0d RS2_14 6 1 +RD_0d RS2_15 7 1 +RD_0d RS2_16 6 1 +RD_0d RS2_17 4 1 +RD_0d RS2_18 2 1 +RD_0d RS2_19 4 1 +RD_0d RS2_1a 8 1 +RD_0d RS2_1b 6 1 +RD_0d RS2_1c 4 1 +RD_0d RS2_1d 4 1 +RD_0d RS2_1e 4 1 +RD_0d RS2_1f 8 1 +RD_0e RS2_00 5 1 +RD_0e RS2_01 3 1 +RD_0e RS2_02 6 1 +RD_0e RS2_03 5 1 +RD_0e RS2_04 7 1 +RD_0e RS2_05 4 1 +RD_0e RS2_06 8 1 +RD_0e RS2_07 4 1 +RD_0e RS2_08 4 1 +RD_0e RS2_09 4 1 +RD_0e RS2_0a 6 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 3 1 +RD_0e RS2_0d 2 1 +RD_0e RS2_0e 3 1 +RD_0e RS2_0f 2 1 +RD_0e RS2_10 2 1 +RD_0e RS2_11 4 1 +RD_0e RS2_12 8 1 +RD_0e RS2_13 6 1 +RD_0e RS2_14 3 1 +RD_0e RS2_15 5 1 +RD_0e RS2_16 4 1 +RD_0e RS2_17 5 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 11 1 +RD_0e RS2_1a 3 1 +RD_0e RS2_1b 7 1 +RD_0e RS2_1c 6 1 +RD_0e RS2_1d 6 1 +RD_0e RS2_1e 6 1 +RD_0e RS2_1f 11 1 +RD_0f RS2_00 5 1 +RD_0f RS2_01 4 1 +RD_0f RS2_02 3 1 +RD_0f RS2_03 4 1 +RD_0f RS2_04 2 1 +RD_0f RS2_05 8 1 +RD_0f RS2_06 10 1 +RD_0f RS2_07 9 1 +RD_0f RS2_08 1 1 +RD_0f RS2_09 2 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 7 1 +RD_0f RS2_0c 15 1 +RD_0f RS2_0d 6 1 +RD_0f RS2_0e 4 1 +RD_0f RS2_0f 5 1 +RD_0f RS2_10 5 1 +RD_0f RS2_11 12 1 +RD_0f RS2_12 3 1 +RD_0f RS2_13 3 1 +RD_0f RS2_14 7 1 +RD_0f RS2_15 3 1 +RD_0f RS2_16 3 1 +RD_0f RS2_17 5 1 +RD_0f RS2_18 8 1 +RD_0f RS2_19 4 1 +RD_0f RS2_1a 4 1 +RD_0f RS2_1b 3 1 +RD_0f RS2_1c 5 1 +RD_0f RS2_1d 1 1 +RD_0f RS2_1e 4 1 +RD_0f RS2_1f 5 1 +RD_10 RS2_00 6 1 +RD_10 RS2_01 6 1 +RD_10 RS2_02 5 1 +RD_10 RS2_03 7 1 +RD_10 RS2_04 4 1 +RD_10 RS2_05 5 1 +RD_10 RS2_06 8 1 +RD_10 RS2_07 4 1 +RD_10 RS2_08 9 1 +RD_10 RS2_09 7 1 +RD_10 RS2_0a 7 1 +RD_10 RS2_0b 4 1 +RD_10 RS2_0c 7 1 +RD_10 RS2_0d 8 1 +RD_10 RS2_0e 5 1 +RD_10 RS2_0f 2 1 +RD_10 RS2_10 9 1 +RD_10 RS2_11 3 1 +RD_10 RS2_12 4 1 +RD_10 RS2_13 2 1 +RD_10 RS2_14 8 1 +RD_10 RS2_15 8 1 +RD_10 RS2_16 10 1 +RD_10 RS2_17 6 1 +RD_10 RS2_18 5 1 +RD_10 RS2_19 11 1 +RD_10 RS2_1a 1 1 +RD_10 RS2_1b 6 1 +RD_10 RS2_1c 10 1 +RD_10 RS2_1d 4 1 +RD_10 RS2_1e 5 1 +RD_10 RS2_1f 4 1 +RD_11 RS2_00 9 1 +RD_11 RS2_01 8 1 +RD_11 RS2_02 3 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 2 1 +RD_11 RS2_05 2 1 +RD_11 RS2_06 10 1 +RD_11 RS2_07 10 1 +RD_11 RS2_08 4 1 +RD_11 RS2_09 5 1 +RD_11 RS2_0a 6 1 +RD_11 RS2_0b 3 1 +RD_11 RS2_0c 8 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 7 1 +RD_11 RS2_0f 5 1 +RD_11 RS2_10 3 1 +RD_11 RS2_11 8 1 +RD_11 RS2_12 6 1 +RD_11 RS2_13 5 1 +RD_11 RS2_14 5 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 5 1 +RD_11 RS2_17 3 1 +RD_11 RS2_18 9 1 +RD_11 RS2_19 3 1 +RD_11 RS2_1a 4 1 +RD_11 RS2_1b 9 1 +RD_11 RS2_1c 8 1 +RD_11 RS2_1d 2 1 +RD_11 RS2_1e 7 1 +RD_12 RS2_00 6 1 +RD_12 RS2_01 2 1 +RD_12 RS2_02 6 1 +RD_12 RS2_03 5 1 +RD_12 RS2_04 4 1 +RD_12 RS2_05 6 1 +RD_12 RS2_06 8 1 +RD_12 RS2_07 7 1 +RD_12 RS2_08 6 1 +RD_12 RS2_09 6 1 +RD_12 RS2_0a 1 1 +RD_12 RS2_0b 9 1 +RD_12 RS2_0c 8 1 +RD_12 RS2_0d 2 1 +RD_12 RS2_0e 8 1 +RD_12 RS2_0f 3 1 +RD_12 RS2_10 5 1 +RD_12 RS2_11 7 1 +RD_12 RS2_12 8 1 +RD_12 RS2_13 6 1 +RD_12 RS2_14 2 1 +RD_12 RS2_15 4 1 +RD_12 RS2_16 6 1 +RD_12 RS2_17 5 1 +RD_12 RS2_18 3 1 +RD_12 RS2_19 8 1 +RD_12 RS2_1a 4 1 +RD_12 RS2_1b 3 1 +RD_12 RS2_1c 7 1 +RD_12 RS2_1d 2 1 +RD_12 RS2_1e 5 1 +RD_12 RS2_1f 7 1 +RD_13 RS2_00 5 1 +RD_13 RS2_01 4 1 +RD_13 RS2_02 7 1 +RD_13 RS2_03 5 1 +RD_13 RS2_04 7 1 +RD_13 RS2_05 5 1 +RD_13 RS2_06 6 1 +RD_13 RS2_07 5 1 +RD_13 RS2_08 3 1 +RD_13 RS2_09 1 1 +RD_13 RS2_0a 6 1 +RD_13 RS2_0b 6 1 +RD_13 RS2_0c 9 1 +RD_13 RS2_0d 6 1 +RD_13 RS2_0e 8 1 +RD_13 RS2_0f 3 1 +RD_13 RS2_10 7 1 +RD_13 RS2_11 9 1 +RD_13 RS2_12 5 1 +RD_13 RS2_13 2 1 +RD_13 RS2_14 4 1 +RD_13 RS2_15 9 1 +RD_13 RS2_16 3 1 +RD_13 RS2_17 3 1 +RD_13 RS2_18 5 1 +RD_13 RS2_19 5 1 +RD_13 RS2_1a 4 1 +RD_13 RS2_1b 4 1 +RD_13 RS2_1c 3 1 +RD_13 RS2_1d 10 1 +RD_13 RS2_1e 11 1 +RD_13 RS2_1f 6 1 +RD_14 RS2_00 10 1 +RD_14 RS2_01 9 1 +RD_14 RS2_02 6 1 +RD_14 RS2_03 9 1 +RD_14 RS2_04 3 1 +RD_14 RS2_05 4 1 +RD_14 RS2_06 5 1 +RD_14 RS2_07 6 1 +RD_14 RS2_08 9 1 +RD_14 RS2_09 9 1 +RD_14 RS2_0a 6 1 +RD_14 RS2_0b 3 1 +RD_14 RS2_0c 5 1 +RD_14 RS2_0d 5 1 +RD_14 RS2_0e 4 1 +RD_14 RS2_0f 7 1 +RD_14 RS2_10 4 1 +RD_14 RS2_11 5 1 +RD_14 RS2_12 7 1 +RD_14 RS2_13 9 1 +RD_14 RS2_14 14 1 +RD_14 RS2_15 5 1 +RD_14 RS2_16 6 1 +RD_14 RS2_17 9 1 +RD_14 RS2_18 1 1 +RD_14 RS2_19 5 1 +RD_14 RS2_1a 8 1 +RD_14 RS2_1b 7 1 +RD_14 RS2_1c 6 1 +RD_14 RS2_1d 7 1 +RD_14 RS2_1e 5 1 +RD_14 RS2_1f 6 1 +RD_15 RS2_00 6 1 +RD_15 RS2_01 3 1 +RD_15 RS2_02 2 1 +RD_15 RS2_03 3 1 +RD_15 RS2_04 4 1 +RD_15 RS2_05 5 1 +RD_15 RS2_06 3 1 +RD_15 RS2_07 7 1 +RD_15 RS2_08 7 1 +RD_15 RS2_09 2 1 +RD_15 RS2_0a 9 1 +RD_15 RS2_0b 11 1 +RD_15 RS2_0c 4 1 +RD_15 RS2_0d 6 1 +RD_15 RS2_0e 5 1 +RD_15 RS2_0f 15 1 +RD_15 RS2_10 2 1 +RD_15 RS2_11 6 1 +RD_15 RS2_12 4 1 +RD_15 RS2_13 1 1 +RD_15 RS2_14 1 1 +RD_15 RS2_15 2 1 +RD_15 RS2_16 4 1 +RD_15 RS2_17 7 1 +RD_15 RS2_18 7 1 +RD_15 RS2_19 6 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 9 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 7 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 2 1 +RD_16 RS2_00 9 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 10 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 4 1 +RD_16 RS2_05 5 1 +RD_16 RS2_06 4 1 +RD_16 RS2_07 3 1 +RD_16 RS2_08 1 1 +RD_16 RS2_09 4 1 +RD_16 RS2_0b 4 1 +RD_16 RS2_0c 8 1 +RD_16 RS2_0d 7 1 +RD_16 RS2_0e 4 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_11 8 1 +RD_16 RS2_12 3 1 +RD_16 RS2_13 7 1 +RD_16 RS2_14 6 1 +RD_16 RS2_15 6 1 +RD_16 RS2_16 2 1 +RD_16 RS2_17 7 1 +RD_16 RS2_18 4 1 +RD_16 RS2_19 1 1 +RD_16 RS2_1a 4 1 +RD_16 RS2_1b 5 1 +RD_16 RS2_1c 11 1 +RD_16 RS2_1d 7 1 +RD_16 RS2_1e 6 1 +RD_16 RS2_1f 2 1 +RD_17 RS2_00 4 1 +RD_17 RS2_01 7 1 +RD_17 RS2_02 10 1 +RD_17 RS2_03 3 1 +RD_17 RS2_04 5 1 +RD_17 RS2_05 3 1 +RD_17 RS2_06 6 1 +RD_17 RS2_07 4 1 +RD_17 RS2_08 2 1 +RD_17 RS2_09 5 1 +RD_17 RS2_0a 10 1 +RD_17 RS2_0b 5 1 +RD_17 RS2_0c 5 1 +RD_17 RS2_0d 4 1 +RD_17 RS2_0e 6 1 +RD_17 RS2_0f 2 1 +RD_17 RS2_10 5 1 +RD_17 RS2_11 5 1 +RD_17 RS2_12 7 1 +RD_17 RS2_13 4 1 +RD_17 RS2_14 5 1 +RD_17 RS2_15 3 1 +RD_17 RS2_16 10 1 +RD_17 RS2_17 3 1 +RD_17 RS2_18 4 1 +RD_17 RS2_19 4 1 +RD_17 RS2_1a 5 1 +RD_17 RS2_1b 3 1 +RD_17 RS2_1c 3 1 +RD_17 RS2_1d 7 1 +RD_17 RS2_1e 9 1 +RD_17 RS2_1f 12 1 +RD_18 RS2_00 3 1 +RD_18 RS2_01 2 1 +RD_18 RS2_02 6 1 +RD_18 RS2_03 4 1 +RD_18 RS2_04 1 1 +RD_18 RS2_05 3 1 +RD_18 RS2_06 7 1 +RD_18 RS2_07 6 1 +RD_18 RS2_08 2 1 +RD_18 RS2_09 7 1 +RD_18 RS2_0a 5 1 +RD_18 RS2_0b 3 1 +RD_18 RS2_0c 4 1 +RD_18 RS2_0d 1 1 +RD_18 RS2_0e 7 1 +RD_18 RS2_0f 3 1 +RD_18 RS2_10 4 1 +RD_18 RS2_11 6 1 +RD_18 RS2_12 7 1 +RD_18 RS2_13 4 1 +RD_18 RS2_14 2 1 +RD_18 RS2_15 6 1 +RD_18 RS2_16 4 1 +RD_18 RS2_17 7 1 +RD_18 RS2_18 4 1 +RD_18 RS2_19 4 1 +RD_18 RS2_1a 5 1 +RD_18 RS2_1b 6 1 +RD_18 RS2_1c 1 1 +RD_18 RS2_1d 6 1 +RD_18 RS2_1e 10 1 +RD_18 RS2_1f 9 1 +RD_19 RS2_00 6 1 +RD_19 RS2_01 5 1 +RD_19 RS2_02 1 1 +RD_19 RS2_03 7 1 +RD_19 RS2_04 4 1 +RD_19 RS2_05 8 1 +RD_19 RS2_06 7 1 +RD_19 RS2_07 2 1 +RD_19 RS2_08 6 1 +RD_19 RS2_09 6 1 +RD_19 RS2_0a 4 1 +RD_19 RS2_0b 2 1 +RD_19 RS2_0c 3 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 4 1 +RD_19 RS2_0f 3 1 +RD_19 RS2_10 3 1 +RD_19 RS2_11 9 1 +RD_19 RS2_12 3 1 +RD_19 RS2_13 1 1 +RD_19 RS2_14 10 1 +RD_19 RS2_15 2 1 +RD_19 RS2_16 5 1 +RD_19 RS2_17 5 1 +RD_19 RS2_18 7 1 +RD_19 RS2_19 6 1 +RD_19 RS2_1a 3 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 3 1 +RD_19 RS2_1d 6 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 6 1 +RD_1a RS2_00 3 1 +RD_1a RS2_01 4 1 +RD_1a RS2_02 4 1 +RD_1a RS2_03 5 1 +RD_1a RS2_04 3 1 +RD_1a RS2_05 6 1 +RD_1a RS2_06 6 1 +RD_1a RS2_07 7 1 +RD_1a RS2_08 3 1 +RD_1a RS2_09 6 1 +RD_1a RS2_0a 4 1 +RD_1a RS2_0b 7 1 +RD_1a RS2_0c 7 1 +RD_1a RS2_0d 8 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 6 1 +RD_1a RS2_10 6 1 +RD_1a RS2_11 3 1 +RD_1a RS2_12 1 1 +RD_1a RS2_13 6 1 +RD_1a RS2_14 4 1 +RD_1a RS2_15 3 1 +RD_1a RS2_16 7 1 +RD_1a RS2_17 7 1 +RD_1a RS2_18 2 1 +RD_1a RS2_19 3 1 +RD_1a RS2_1a 2 1 +RD_1a RS2_1b 1 1 +RD_1a RS2_1c 4 1 +RD_1a RS2_1d 12 1 +RD_1a RS2_1e 3 1 +RD_1a RS2_1f 4 1 +RD_1b RS2_00 4 1 +RD_1b RS2_01 4 1 +RD_1b RS2_02 1 1 +RD_1b RS2_03 6 1 +RD_1b RS2_04 8 1 +RD_1b RS2_05 6 1 +RD_1b RS2_06 3 1 +RD_1b RS2_07 4 1 +RD_1b RS2_08 3 1 +RD_1b RS2_09 4 1 +RD_1b RS2_0a 6 1 +RD_1b RS2_0b 3 1 +RD_1b RS2_0c 1 1 +RD_1b RS2_0d 5 1 +RD_1b RS2_0e 2 1 +RD_1b RS2_0f 4 1 +RD_1b RS2_10 2 1 +RD_1b RS2_11 1 1 +RD_1b RS2_12 6 1 +RD_1b RS2_13 2 1 +RD_1b RS2_14 5 1 +RD_1b RS2_15 8 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 4 1 +RD_1b RS2_18 5 1 +RD_1b RS2_19 2 1 +RD_1b RS2_1a 2 1 +RD_1b RS2_1b 5 1 +RD_1b RS2_1c 4 1 +RD_1b RS2_1d 3 1 +RD_1b RS2_1e 5 1 +RD_1b RS2_1f 7 1 +RD_1c RS2_00 7 1 +RD_1c RS2_01 8 1 +RD_1c RS2_02 6 1 +RD_1c RS2_03 1 1 +RD_1c RS2_04 6 1 +RD_1c RS2_05 2 1 +RD_1c RS2_06 5 1 +RD_1c RS2_07 6 1 +RD_1c RS2_08 7 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 4 1 +RD_1c RS2_0b 7 1 +RD_1c RS2_0c 2 1 +RD_1c RS2_0d 6 1 +RD_1c RS2_0e 5 1 +RD_1c RS2_0f 5 1 +RD_1c RS2_10 3 1 +RD_1c RS2_11 4 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 5 1 +RD_1c RS2_14 3 1 +RD_1c RS2_15 2 1 +RD_1c RS2_16 12 1 +RD_1c RS2_17 7 1 +RD_1c RS2_18 4 1 +RD_1c RS2_19 5 1 +RD_1c RS2_1a 2 1 +RD_1c RS2_1b 1 1 +RD_1c RS2_1c 8 1 +RD_1c RS2_1d 7 1 +RD_1c RS2_1e 1 1 +RD_1c RS2_1f 2 1 +RD_1d RS2_00 8 1 +RD_1d RS2_01 8 1 +RD_1d RS2_02 8 1 +RD_1d RS2_03 5 1 +RD_1d RS2_04 6 1 +RD_1d RS2_05 4 1 +RD_1d RS2_06 5 1 +RD_1d RS2_07 4 1 +RD_1d RS2_08 3 1 +RD_1d RS2_09 6 1 +RD_1d RS2_0a 5 1 +RD_1d RS2_0b 4 1 +RD_1d RS2_0c 6 1 +RD_1d RS2_0d 2 1 +RD_1d RS2_0e 9 1 +RD_1d RS2_0f 5 1 +RD_1d RS2_10 3 1 +RD_1d RS2_11 6 1 +RD_1d RS2_12 8 1 +RD_1d RS2_13 3 1 +RD_1d RS2_14 14 1 +RD_1d RS2_15 3 1 +RD_1d RS2_16 6 1 +RD_1d RS2_17 7 1 +RD_1d RS2_18 6 1 +RD_1d RS2_19 3 1 +RD_1d RS2_1a 5 1 +RD_1d RS2_1b 9 1 +RD_1d RS2_1c 2 1 +RD_1d RS2_1d 7 1 +RD_1d RS2_1e 8 1 +RD_1d RS2_1f 6 1 +RD_1e RS2_00 3 1 +RD_1e RS2_01 5 1 +RD_1e RS2_02 4 1 +RD_1e RS2_03 3 1 +RD_1e RS2_04 5 1 +RD_1e RS2_05 7 1 +RD_1e RS2_06 4 1 +RD_1e RS2_07 3 1 +RD_1e RS2_08 5 1 +RD_1e RS2_09 5 1 +RD_1e RS2_0a 11 1 +RD_1e RS2_0b 2 1 +RD_1e RS2_0c 5 1 +RD_1e RS2_0d 4 1 +RD_1e RS2_0e 2 1 +RD_1e RS2_0f 5 1 +RD_1e RS2_10 3 1 +RD_1e RS2_11 4 1 +RD_1e RS2_12 9 1 +RD_1e RS2_13 5 1 +RD_1e RS2_14 3 1 +RD_1e RS2_15 7 1 +RD_1e RS2_16 8 1 +RD_1e RS2_17 3 1 +RD_1e RS2_18 9 1 +RD_1e RS2_19 5 1 +RD_1e RS2_1a 10 1 +RD_1e RS2_1b 3 1 +RD_1e RS2_1c 5 1 +RD_1e RS2_1d 4 1 +RD_1e RS2_1e 10 1 +RD_1e RS2_1f 4 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 7 1 +RD_1f RS2_02 6 1 +RD_1f RS2_03 5 1 +RD_1f RS2_04 2 1 +RD_1f RS2_05 7 1 +RD_1f RS2_06 6 1 +RD_1f RS2_07 4 1 +RD_1f RS2_08 4 1 +RD_1f RS2_09 1 1 +RD_1f RS2_0a 7 1 +RD_1f RS2_0b 4 1 +RD_1f RS2_0c 2 1 +RD_1f RS2_0d 5 1 +RD_1f RS2_0e 5 1 +RD_1f RS2_0f 6 1 +RD_1f RS2_10 2 1 +RD_1f RS2_11 4 1 +RD_1f RS2_12 5 1 +RD_1f RS2_13 5 1 +RD_1f RS2_14 7 1 +RD_1f RS2_15 5 1 +RD_1f RS2_16 10 1 +RD_1f RS2_17 3 1 +RD_1f RS2_18 3 1 +RD_1f RS2_19 5 1 +RD_1f RS2_1a 5 1 +RD_1f RS2_1b 5 1 +RD_1f RS2_1c 7 1 +RD_1f RS2_1d 5 1 +RD_1f RS2_1e 4 1 +RD_1f RS2_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs3 + + +Samples crossed: cp_rd cp_rs3 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +Group : uvme_cva6_pkg::cg_cvxif_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.80 99.80 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.78 1 100 1 64 64 uvme_cva6_pkg.cus_add_multi_cg + 99.78 1 100 1 64 64 uvme_cva6_pkg.cus_double_add_rs2_cg + 99.79 1 100 1 64 64 uvme_cva6_pkg.cus_double_add_rs1_cg + 99.85 1 100 1 64 64 uvme_cva6_pkg.cus_add_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 0 2048 100.00 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_multi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.78 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_multi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 16 2032 99.22 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_multi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_multi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 6 1018 99.41 100 1 1 0 +cross_rd_rs2 1024 10 1014 99.02 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 193 1 +RD_01 181 1 +RD_02 155 1 +RD_03 194 1 +RD_04 156 1 +RD_05 152 1 +RD_06 170 1 +RD_07 159 1 +RD_08 167 1 +RD_09 143 1 +RD_0a 167 1 +RD_0b 165 1 +RD_0c 165 1 +RD_0d 165 1 +RD_0e 161 1 +RD_0f 184 1 +RD_10 181 1 +RD_11 178 1 +RD_12 153 1 +RD_13 168 1 +RD_14 163 1 +RD_15 186 1 +RD_16 173 1 +RD_17 177 1 +RD_18 160 1 +RD_19 145 1 +RD_1a 138 1 +RD_1b 160 1 +RD_1c 148 1 +RD_1d 177 1 +RD_1e 152 1 +RD_1f 164 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 152 1 +RS1_01 146 1 +RS1_02 189 1 +RS1_03 169 1 +RS1_04 157 1 +RS1_05 164 1 +RS1_06 204 1 +RS1_07 198 1 +RS1_08 157 1 +RS1_09 170 1 +RS1_0a 172 1 +RS1_0b 193 1 +RS1_0c 182 1 +RS1_0d 141 1 +RS1_0e 140 1 +RS1_0f 167 1 +RS1_10 171 1 +RS1_11 175 1 +RS1_12 179 1 +RS1_13 164 1 +RS1_14 149 1 +RS1_15 170 1 +RS1_16 152 1 +RS1_17 166 1 +RS1_18 149 1 +RS1_19 157 1 +RS1_1a 170 1 +RS1_1b 152 1 +RS1_1c 167 1 +RS1_1d 183 1 +RS1_1e 151 1 +RS1_1f 144 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 171 1 +RS2_01 169 1 +RS2_02 166 1 +RS2_03 186 1 +RS2_04 174 1 +RS2_05 143 1 +RS2_06 159 1 +RS2_07 166 1 +RS2_08 126 1 +RS2_09 167 1 +RS2_0a 158 1 +RS2_0b 175 1 +RS2_0c 162 1 +RS2_0d 146 1 +RS2_0e 178 1 +RS2_0f 155 1 +RS2_10 173 1 +RS2_11 157 1 +RS2_12 179 1 +RS2_13 181 1 +RS2_14 181 1 +RS2_15 179 1 +RS2_16 170 1 +RS2_17 163 1 +RS2_18 151 1 +RS2_19 152 1 +RS2_1a 160 1 +RS2_1b 152 1 +RS2_1c 179 1 +RS2_1d 167 1 +RS2_1e 183 1 +RS2_1f 172 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2433 1 +BIT30_1 1976 1 +BIT29_1 1936 1 +BIT28_1 1971 1 +BIT27_1 1901 1 +BIT26_1 1891 1 +BIT25_1 1906 1 +BIT24_1 1965 1 +BIT23_1 1880 1 +BIT22_1 1968 1 +BIT21_1 1897 1 +BIT20_1 1924 1 +BIT19_1 1895 1 +BIT18_1 1918 1 +BIT17_1 1988 1 +BIT16_1 2016 1 +BIT15_1 2169 1 +BIT14_1 2087 1 +BIT13_1 2019 1 +BIT12_1 2334 1 +BIT11_1 2396 1 +BIT10_1 2328 1 +BIT9_1 2134 1 +BIT8_1 2025 1 +BIT7_1 2243 1 +BIT6_1 1991 1 +BIT5_1 2054 1 +BIT4_1 2396 1 +BIT3_1 2542 1 +BIT2_1 2435 1 +BIT1_1 1984 1 +BIT0_1 1656 1 +BIT31_0 2861 1 +BIT30_0 3318 1 +BIT29_0 3358 1 +BIT28_0 3323 1 +BIT27_0 3393 1 +BIT26_0 3403 1 +BIT25_0 3388 1 +BIT24_0 3329 1 +BIT23_0 3414 1 +BIT22_0 3326 1 +BIT21_0 3397 1 +BIT20_0 3370 1 +BIT19_0 3399 1 +BIT18_0 3376 1 +BIT17_0 3306 1 +BIT16_0 3278 1 +BIT15_0 3125 1 +BIT14_0 3207 1 +BIT13_0 3275 1 +BIT12_0 2960 1 +BIT11_0 2898 1 +BIT10_0 2966 1 +BIT9_0 3160 1 +BIT8_0 3269 1 +BIT7_0 3051 1 +BIT6_0 3303 1 +BIT5_0 3240 1 +BIT4_0 2898 1 +BIT3_0 2752 1 +BIT2_0 2859 1 +BIT1_0 3310 1 +BIT0_0 3638 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2517 1 +BIT30_1 1976 1 +BIT29_1 1991 1 +BIT28_1 1987 1 +BIT27_1 1952 1 +BIT26_1 1863 1 +BIT25_1 1925 1 +BIT24_1 1880 1 +BIT23_1 1858 1 +BIT22_1 1896 1 +BIT21_1 1822 1 +BIT20_1 1844 1 +BIT19_1 1961 1 +BIT18_1 1929 1 +BIT17_1 1901 1 +BIT16_1 2000 1 +BIT15_1 2176 1 +BIT14_1 2134 1 +BIT13_1 2027 1 +BIT12_1 2369 1 +BIT11_1 2398 1 +BIT10_1 2356 1 +BIT9_1 2086 1 +BIT8_1 2032 1 +BIT7_1 2181 1 +BIT6_1 1976 1 +BIT5_1 1992 1 +BIT4_1 2388 1 +BIT3_1 2520 1 +BIT2_1 2397 1 +BIT1_1 1992 1 +BIT0_1 1660 1 +BIT31_0 2783 1 +BIT30_0 3324 1 +BIT29_0 3309 1 +BIT28_0 3313 1 +BIT27_0 3348 1 +BIT26_0 3437 1 +BIT25_0 3375 1 +BIT24_0 3420 1 +BIT23_0 3442 1 +BIT22_0 3404 1 +BIT21_0 3478 1 +BIT20_0 3456 1 +BIT19_0 3339 1 +BIT18_0 3371 1 +BIT17_0 3399 1 +BIT16_0 3300 1 +BIT15_0 3124 1 +BIT14_0 3166 1 +BIT13_0 3273 1 +BIT12_0 2931 1 +BIT11_0 2902 1 +BIT10_0 2944 1 +BIT9_0 3214 1 +BIT8_0 3268 1 +BIT7_0 3119 1 +BIT6_0 3324 1 +BIT5_0 3308 1 +BIT4_0 2912 1 +BIT3_0 2780 1 +BIT2_0 2903 1 +BIT1_0 3308 1 +BIT0_0 3640 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 6 1018 99.41 6 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_01] [RS1_0d] 0 1 1 +[RD_05] [RS1_05] 0 1 1 +[RD_08] [RS1_14] 0 1 1 +[RD_13] [RS1_11] 0 1 1 +[RD_1c] [RS1_02] 0 1 1 +[RD_1e] [RS1_0c] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 7 1 +RD_00 RS1_01 3 1 +RD_00 RS1_02 8 1 +RD_00 RS1_03 2 1 +RD_00 RS1_04 7 1 +RD_00 RS1_05 10 1 +RD_00 RS1_06 8 1 +RD_00 RS1_07 6 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 6 1 +RD_00 RS1_0a 9 1 +RD_00 RS1_0b 8 1 +RD_00 RS1_0c 2 1 +RD_00 RS1_0d 3 1 +RD_00 RS1_0e 7 1 +RD_00 RS1_0f 3 1 +RD_00 RS1_10 3 1 +RD_00 RS1_11 8 1 +RD_00 RS1_12 4 1 +RD_00 RS1_13 9 1 +RD_00 RS1_14 7 1 +RD_00 RS1_15 7 1 +RD_00 RS1_16 4 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 6 1 +RD_00 RS1_19 3 1 +RD_00 RS1_1a 12 1 +RD_00 RS1_1b 9 1 +RD_00 RS1_1c 5 1 +RD_00 RS1_1d 9 1 +RD_00 RS1_1e 8 1 +RD_00 RS1_1f 2 1 +RD_01 RS1_00 2 1 +RD_01 RS1_01 7 1 +RD_01 RS1_02 5 1 +RD_01 RS1_03 4 1 +RD_01 RS1_04 2 1 +RD_01 RS1_05 3 1 +RD_01 RS1_06 6 1 +RD_01 RS1_07 13 1 +RD_01 RS1_08 9 1 +RD_01 RS1_09 2 1 +RD_01 RS1_0a 8 1 +RD_01 RS1_0b 6 1 +RD_01 RS1_0c 11 1 +RD_01 RS1_0e 4 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 5 1 +RD_01 RS1_11 4 1 +RD_01 RS1_12 5 1 +RD_01 RS1_13 4 1 +RD_01 RS1_14 7 1 +RD_01 RS1_15 5 1 +RD_01 RS1_16 6 1 +RD_01 RS1_17 8 1 +RD_01 RS1_18 3 1 +RD_01 RS1_19 6 1 +RD_01 RS1_1a 7 1 +RD_01 RS1_1b 5 1 +RD_01 RS1_1c 9 1 +RD_01 RS1_1d 7 1 +RD_01 RS1_1e 9 1 +RD_01 RS1_1f 4 1 +RD_02 RS1_00 7 1 +RD_02 RS1_01 1 1 +RD_02 RS1_02 7 1 +RD_02 RS1_03 9 1 +RD_02 RS1_04 4 1 +RD_02 RS1_05 4 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 3 1 +RD_02 RS1_08 3 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 5 1 +RD_02 RS1_0b 5 1 +RD_02 RS1_0c 3 1 +RD_02 RS1_0d 8 1 +RD_02 RS1_0e 4 1 +RD_02 RS1_0f 3 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 6 1 +RD_02 RS1_12 5 1 +RD_02 RS1_13 2 1 +RD_02 RS1_14 6 1 +RD_02 RS1_15 9 1 +RD_02 RS1_16 2 1 +RD_02 RS1_17 7 1 +RD_02 RS1_18 3 1 +RD_02 RS1_19 9 1 +RD_02 RS1_1a 3 1 +RD_02 RS1_1b 5 1 +RD_02 RS1_1c 2 1 +RD_02 RS1_1d 7 1 +RD_02 RS1_1e 1 1 +RD_02 RS1_1f 8 1 +RD_03 RS1_00 4 1 +RD_03 RS1_01 9 1 +RD_03 RS1_02 11 1 +RD_03 RS1_03 6 1 +RD_03 RS1_04 6 1 +RD_03 RS1_05 5 1 +RD_03 RS1_06 8 1 +RD_03 RS1_07 11 1 +RD_03 RS1_08 9 1 +RD_03 RS1_09 7 1 +RD_03 RS1_0a 8 1 +RD_03 RS1_0b 5 1 +RD_03 RS1_0c 10 1 +RD_03 RS1_0d 3 1 +RD_03 RS1_0e 1 1 +RD_03 RS1_0f 6 1 +RD_03 RS1_10 7 1 +RD_03 RS1_11 7 1 +RD_03 RS1_12 8 1 +RD_03 RS1_13 4 1 +RD_03 RS1_14 1 1 +RD_03 RS1_15 8 1 +RD_03 RS1_16 6 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 4 1 +RD_03 RS1_19 3 1 +RD_03 RS1_1a 6 1 +RD_03 RS1_1b 3 1 +RD_03 RS1_1c 4 1 +RD_03 RS1_1d 3 1 +RD_03 RS1_1e 9 1 +RD_03 RS1_1f 5 1 +RD_04 RS1_00 7 1 +RD_04 RS1_01 7 1 +RD_04 RS1_02 11 1 +RD_04 RS1_03 8 1 +RD_04 RS1_04 6 1 +RD_04 RS1_05 9 1 +RD_04 RS1_06 5 1 +RD_04 RS1_07 2 1 +RD_04 RS1_08 4 1 +RD_04 RS1_09 8 1 +RD_04 RS1_0a 7 1 +RD_04 RS1_0b 4 1 +RD_04 RS1_0c 2 1 +RD_04 RS1_0d 3 1 +RD_04 RS1_0e 5 1 +RD_04 RS1_0f 1 1 +RD_04 RS1_10 10 1 +RD_04 RS1_11 3 1 +RD_04 RS1_12 4 1 +RD_04 RS1_13 4 1 +RD_04 RS1_14 5 1 +RD_04 RS1_15 2 1 +RD_04 RS1_16 7 1 +RD_04 RS1_17 2 1 +RD_04 RS1_18 4 1 +RD_04 RS1_19 7 1 +RD_04 RS1_1a 3 1 +RD_04 RS1_1b 2 1 +RD_04 RS1_1c 5 1 +RD_04 RS1_1d 6 1 +RD_04 RS1_1e 1 1 +RD_04 RS1_1f 2 1 +RD_05 RS1_00 7 1 +RD_05 RS1_01 4 1 +RD_05 RS1_02 5 1 +RD_05 RS1_03 7 1 +RD_05 RS1_04 7 1 +RD_05 RS1_06 2 1 +RD_05 RS1_07 2 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 4 1 +RD_05 RS1_0a 4 1 +RD_05 RS1_0b 12 1 +RD_05 RS1_0c 6 1 +RD_05 RS1_0d 1 1 +RD_05 RS1_0e 4 1 +RD_05 RS1_0f 4 1 +RD_05 RS1_10 8 1 +RD_05 RS1_11 3 1 +RD_05 RS1_12 6 1 +RD_05 RS1_13 10 1 +RD_05 RS1_14 2 1 +RD_05 RS1_15 10 1 +RD_05 RS1_16 5 1 +RD_05 RS1_17 6 1 +RD_05 RS1_18 3 1 +RD_05 RS1_19 3 1 +RD_05 RS1_1a 5 1 +RD_05 RS1_1b 4 1 +RD_05 RS1_1c 3 1 +RD_05 RS1_1d 1 1 +RD_05 RS1_1e 5 1 +RD_05 RS1_1f 5 1 +RD_06 RS1_00 6 1 +RD_06 RS1_01 5 1 +RD_06 RS1_02 8 1 +RD_06 RS1_03 7 1 +RD_06 RS1_04 8 1 +RD_06 RS1_05 4 1 +RD_06 RS1_06 10 1 +RD_06 RS1_07 6 1 +RD_06 RS1_08 3 1 +RD_06 RS1_09 6 1 +RD_06 RS1_0a 3 1 +RD_06 RS1_0b 5 1 +RD_06 RS1_0c 3 1 +RD_06 RS1_0d 12 1 +RD_06 RS1_0e 5 1 +RD_06 RS1_0f 5 1 +RD_06 RS1_10 5 1 +RD_06 RS1_11 12 1 +RD_06 RS1_12 3 1 +RD_06 RS1_13 2 1 +RD_06 RS1_14 3 1 +RD_06 RS1_15 3 1 +RD_06 RS1_16 2 1 +RD_06 RS1_17 14 1 +RD_06 RS1_18 4 1 +RD_06 RS1_19 6 1 +RD_06 RS1_1a 3 1 +RD_06 RS1_1b 3 1 +RD_06 RS1_1c 2 1 +RD_06 RS1_1d 5 1 +RD_06 RS1_1e 4 1 +RD_06 RS1_1f 3 1 +RD_07 RS1_00 6 1 +RD_07 RS1_01 3 1 +RD_07 RS1_02 3 1 +RD_07 RS1_03 1 1 +RD_07 RS1_04 7 1 +RD_07 RS1_05 3 1 +RD_07 RS1_06 7 1 +RD_07 RS1_07 2 1 +RD_07 RS1_08 5 1 +RD_07 RS1_09 7 1 +RD_07 RS1_0a 8 1 +RD_07 RS1_0b 5 1 +RD_07 RS1_0c 8 1 +RD_07 RS1_0d 4 1 +RD_07 RS1_0e 2 1 +RD_07 RS1_0f 5 1 +RD_07 RS1_10 3 1 +RD_07 RS1_11 9 1 +RD_07 RS1_12 8 1 +RD_07 RS1_13 10 1 +RD_07 RS1_14 3 1 +RD_07 RS1_15 5 1 +RD_07 RS1_16 5 1 +RD_07 RS1_17 8 1 +RD_07 RS1_18 6 1 +RD_07 RS1_19 6 1 +RD_07 RS1_1a 2 1 +RD_07 RS1_1b 5 1 +RD_07 RS1_1c 2 1 +RD_07 RS1_1d 3 1 +RD_07 RS1_1e 3 1 +RD_07 RS1_1f 5 1 +RD_08 RS1_00 2 1 +RD_08 RS1_01 2 1 +RD_08 RS1_02 4 1 +RD_08 RS1_03 15 1 +RD_08 RS1_04 4 1 +RD_08 RS1_05 7 1 +RD_08 RS1_06 6 1 +RD_08 RS1_07 3 1 +RD_08 RS1_08 4 1 +RD_08 RS1_09 2 1 +RD_08 RS1_0a 4 1 +RD_08 RS1_0b 3 1 +RD_08 RS1_0c 7 1 +RD_08 RS1_0d 2 1 +RD_08 RS1_0e 6 1 +RD_08 RS1_0f 6 1 +RD_08 RS1_10 4 1 +RD_08 RS1_11 10 1 +RD_08 RS1_12 8 1 +RD_08 RS1_13 5 1 +RD_08 RS1_15 6 1 +RD_08 RS1_16 4 1 +RD_08 RS1_17 8 1 +RD_08 RS1_18 7 1 +RD_08 RS1_19 3 1 +RD_08 RS1_1a 4 1 +RD_08 RS1_1b 4 1 +RD_08 RS1_1c 9 1 +RD_08 RS1_1d 8 1 +RD_08 RS1_1e 8 1 +RD_08 RS1_1f 2 1 +RD_09 RS1_00 2 1 +RD_09 RS1_01 7 1 +RD_09 RS1_02 1 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 4 1 +RD_09 RS1_05 6 1 +RD_09 RS1_06 8 1 +RD_09 RS1_07 14 1 +RD_09 RS1_08 2 1 +RD_09 RS1_09 8 1 +RD_09 RS1_0a 3 1 +RD_09 RS1_0b 2 1 +RD_09 RS1_0c 4 1 +RD_09 RS1_0d 5 1 +RD_09 RS1_0e 1 1 +RD_09 RS1_0f 3 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 4 1 +RD_09 RS1_12 2 1 +RD_09 RS1_13 12 1 +RD_09 RS1_14 5 1 +RD_09 RS1_15 2 1 +RD_09 RS1_16 5 1 +RD_09 RS1_17 5 1 +RD_09 RS1_18 3 1 +RD_09 RS1_19 5 1 +RD_09 RS1_1a 7 1 +RD_09 RS1_1b 3 1 +RD_09 RS1_1c 6 1 +RD_09 RS1_1d 2 1 +RD_09 RS1_1e 1 1 +RD_09 RS1_1f 2 1 +RD_0a RS1_00 4 1 +RD_0a RS1_01 5 1 +RD_0a RS1_02 7 1 +RD_0a RS1_03 2 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 14 1 +RD_0a RS1_06 4 1 +RD_0a RS1_07 9 1 +RD_0a RS1_08 6 1 +RD_0a RS1_09 4 1 +RD_0a RS1_0a 10 1 +RD_0a RS1_0b 6 1 +RD_0a RS1_0c 9 1 +RD_0a RS1_0d 5 1 +RD_0a RS1_0e 5 1 +RD_0a RS1_0f 3 1 +RD_0a RS1_10 6 1 +RD_0a RS1_11 1 1 +RD_0a RS1_12 2 1 +RD_0a RS1_13 1 1 +RD_0a RS1_14 3 1 +RD_0a RS1_15 5 1 +RD_0a RS1_16 7 1 +RD_0a RS1_17 3 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 4 1 +RD_0a RS1_1a 6 1 +RD_0a RS1_1b 9 1 +RD_0a RS1_1c 4 1 +RD_0a RS1_1d 7 1 +RD_0a RS1_1e 5 1 +RD_0a RS1_1f 3 1 +RD_0b RS1_00 4 1 +RD_0b RS1_01 6 1 +RD_0b RS1_02 6 1 +RD_0b RS1_03 7 1 +RD_0b RS1_04 4 1 +RD_0b RS1_05 6 1 +RD_0b RS1_06 5 1 +RD_0b RS1_07 2 1 +RD_0b RS1_08 4 1 +RD_0b RS1_09 7 1 +RD_0b RS1_0a 3 1 +RD_0b RS1_0b 4 1 +RD_0b RS1_0c 9 1 +RD_0b RS1_0d 7 1 +RD_0b RS1_0e 3 1 +RD_0b RS1_0f 7 1 +RD_0b RS1_10 6 1 +RD_0b RS1_11 4 1 +RD_0b RS1_12 10 1 +RD_0b RS1_13 5 1 +RD_0b RS1_14 7 1 +RD_0b RS1_15 4 1 +RD_0b RS1_16 7 1 +RD_0b RS1_17 2 1 +RD_0b RS1_18 6 1 +RD_0b RS1_19 8 1 +RD_0b RS1_1a 1 1 +RD_0b RS1_1b 6 1 +RD_0b RS1_1c 4 1 +RD_0b RS1_1d 1 1 +RD_0b RS1_1e 4 1 +RD_0b RS1_1f 6 1 +RD_0c RS1_00 5 1 +RD_0c RS1_01 1 1 +RD_0c RS1_02 4 1 +RD_0c RS1_03 6 1 +RD_0c RS1_04 10 1 +RD_0c RS1_05 2 1 +RD_0c RS1_06 6 1 +RD_0c RS1_07 8 1 +RD_0c RS1_08 10 1 +RD_0c RS1_09 5 1 +RD_0c RS1_0a 3 1 +RD_0c RS1_0b 7 1 +RD_0c RS1_0c 7 1 +RD_0c RS1_0d 4 1 +RD_0c RS1_0e 5 1 +RD_0c RS1_0f 5 1 +RD_0c RS1_10 5 1 +RD_0c RS1_11 3 1 +RD_0c RS1_12 3 1 +RD_0c RS1_13 5 1 +RD_0c RS1_14 5 1 +RD_0c RS1_15 5 1 +RD_0c RS1_16 4 1 +RD_0c RS1_17 7 1 +RD_0c RS1_18 3 1 +RD_0c RS1_19 5 1 +RD_0c RS1_1a 6 1 +RD_0c RS1_1b 2 1 +RD_0c RS1_1c 6 1 +RD_0c RS1_1d 6 1 +RD_0c RS1_1e 9 1 +RD_0c RS1_1f 3 1 +RD_0d RS1_00 5 1 +RD_0d RS1_01 4 1 +RD_0d RS1_02 4 1 +RD_0d RS1_03 4 1 +RD_0d RS1_04 3 1 +RD_0d RS1_05 5 1 +RD_0d RS1_06 7 1 +RD_0d RS1_07 8 1 +RD_0d RS1_08 8 1 +RD_0d RS1_09 8 1 +RD_0d RS1_0a 5 1 +RD_0d RS1_0b 6 1 +RD_0d RS1_0c 8 1 +RD_0d RS1_0d 2 1 +RD_0d RS1_0e 7 1 +RD_0d RS1_0f 10 1 +RD_0d RS1_10 5 1 +RD_0d RS1_11 8 1 +RD_0d RS1_12 3 1 +RD_0d RS1_13 10 1 +RD_0d RS1_14 6 1 +RD_0d RS1_15 5 1 +RD_0d RS1_16 2 1 +RD_0d RS1_17 8 1 +RD_0d RS1_18 2 1 +RD_0d RS1_19 5 1 +RD_0d RS1_1a 1 1 +RD_0d RS1_1b 3 1 +RD_0d RS1_1c 3 1 +RD_0d RS1_1d 4 1 +RD_0d RS1_1e 4 1 +RD_0d RS1_1f 2 1 +RD_0e RS1_00 4 1 +RD_0e RS1_01 4 1 +RD_0e RS1_02 3 1 +RD_0e RS1_03 5 1 +RD_0e RS1_04 6 1 +RD_0e RS1_05 4 1 +RD_0e RS1_06 7 1 +RD_0e RS1_07 9 1 +RD_0e RS1_08 3 1 +RD_0e RS1_09 3 1 +RD_0e RS1_0a 9 1 +RD_0e RS1_0b 7 1 +RD_0e RS1_0c 6 1 +RD_0e RS1_0d 6 1 +RD_0e RS1_0e 3 1 +RD_0e RS1_0f 3 1 +RD_0e RS1_10 2 1 +RD_0e RS1_11 7 1 +RD_0e RS1_12 4 1 +RD_0e RS1_13 1 1 +RD_0e RS1_14 2 1 +RD_0e RS1_15 6 1 +RD_0e RS1_16 6 1 +RD_0e RS1_17 3 1 +RD_0e RS1_18 8 1 +RD_0e RS1_19 4 1 +RD_0e RS1_1a 8 1 +RD_0e RS1_1b 7 1 +RD_0e RS1_1c 3 1 +RD_0e RS1_1d 8 1 +RD_0e RS1_1e 5 1 +RD_0e RS1_1f 5 1 +RD_0f RS1_00 7 1 +RD_0f RS1_01 10 1 +RD_0f RS1_02 7 1 +RD_0f RS1_03 4 1 +RD_0f RS1_04 10 1 +RD_0f RS1_05 6 1 +RD_0f RS1_06 12 1 +RD_0f RS1_07 5 1 +RD_0f RS1_08 7 1 +RD_0f RS1_09 7 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 9 1 +RD_0f RS1_0c 8 1 +RD_0f RS1_0d 4 1 +RD_0f RS1_0e 3 1 +RD_0f RS1_0f 2 1 +RD_0f RS1_10 6 1 +RD_0f RS1_11 5 1 +RD_0f RS1_12 8 1 +RD_0f RS1_13 7 1 +RD_0f RS1_14 5 1 +RD_0f RS1_15 3 1 +RD_0f RS1_16 8 1 +RD_0f RS1_17 6 1 +RD_0f RS1_18 4 1 +RD_0f RS1_19 3 1 +RD_0f RS1_1a 4 1 +RD_0f RS1_1b 4 1 +RD_0f RS1_1c 4 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 3 1 +RD_0f RS1_1f 5 1 +RD_10 RS1_00 5 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 7 1 +RD_10 RS1_03 1 1 +RD_10 RS1_04 3 1 +RD_10 RS1_05 3 1 +RD_10 RS1_06 1 1 +RD_10 RS1_07 11 1 +RD_10 RS1_08 6 1 +RD_10 RS1_09 4 1 +RD_10 RS1_0a 9 1 +RD_10 RS1_0b 8 1 +RD_10 RS1_0c 9 1 +RD_10 RS1_0d 4 1 +RD_10 RS1_0e 7 1 +RD_10 RS1_0f 6 1 +RD_10 RS1_10 3 1 +RD_10 RS1_11 4 1 +RD_10 RS1_12 8 1 +RD_10 RS1_13 5 1 +RD_10 RS1_14 2 1 +RD_10 RS1_15 6 1 +RD_10 RS1_16 6 1 +RD_10 RS1_17 6 1 +RD_10 RS1_18 5 1 +RD_10 RS1_19 11 1 +RD_10 RS1_1a 7 1 +RD_10 RS1_1b 4 1 +RD_10 RS1_1c 2 1 +RD_10 RS1_1d 10 1 +RD_10 RS1_1e 7 1 +RD_10 RS1_1f 9 1 +RD_11 RS1_00 2 1 +RD_11 RS1_01 5 1 +RD_11 RS1_02 6 1 +RD_11 RS1_03 7 1 +RD_11 RS1_04 3 1 +RD_11 RS1_05 3 1 +RD_11 RS1_06 6 1 +RD_11 RS1_07 5 1 +RD_11 RS1_08 7 1 +RD_11 RS1_09 10 1 +RD_11 RS1_0a 5 1 +RD_11 RS1_0b 9 1 +RD_11 RS1_0c 8 1 +RD_11 RS1_0d 3 1 +RD_11 RS1_0e 4 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 8 1 +RD_11 RS1_11 3 1 +RD_11 RS1_12 9 1 +RD_11 RS1_13 4 1 +RD_11 RS1_14 7 1 +RD_11 RS1_15 4 1 +RD_11 RS1_16 8 1 +RD_11 RS1_17 4 1 +RD_11 RS1_18 6 1 +RD_11 RS1_19 5 1 +RD_11 RS1_1a 5 1 +RD_11 RS1_1b 9 1 +RD_11 RS1_1c 7 1 +RD_11 RS1_1d 2 1 +RD_11 RS1_1e 1 1 +RD_11 RS1_1f 9 1 +RD_12 RS1_00 4 1 +RD_12 RS1_01 2 1 +RD_12 RS1_02 8 1 +RD_12 RS1_03 6 1 +RD_12 RS1_04 4 1 +RD_12 RS1_05 2 1 +RD_12 RS1_06 6 1 +RD_12 RS1_07 9 1 +RD_12 RS1_08 3 1 +RD_12 RS1_09 2 1 +RD_12 RS1_0a 4 1 +RD_12 RS1_0b 3 1 +RD_12 RS1_0c 4 1 +RD_12 RS1_0d 5 1 +RD_12 RS1_0e 4 1 +RD_12 RS1_0f 5 1 +RD_12 RS1_10 5 1 +RD_12 RS1_11 6 1 +RD_12 RS1_12 2 1 +RD_12 RS1_13 8 1 +RD_12 RS1_14 10 1 +RD_12 RS1_15 6 1 +RD_12 RS1_16 3 1 +RD_12 RS1_17 1 1 +RD_12 RS1_18 5 1 +RD_12 RS1_19 1 1 +RD_12 RS1_1a 7 1 +RD_12 RS1_1b 7 1 +RD_12 RS1_1c 6 1 +RD_12 RS1_1d 8 1 +RD_12 RS1_1e 6 1 +RD_12 RS1_1f 1 1 +RD_13 RS1_00 6 1 +RD_13 RS1_01 4 1 +RD_13 RS1_02 4 1 +RD_13 RS1_03 3 1 +RD_13 RS1_04 4 1 +RD_13 RS1_05 4 1 +RD_13 RS1_06 10 1 +RD_13 RS1_07 9 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 4 1 +RD_13 RS1_0a 8 1 +RD_13 RS1_0b 8 1 +RD_13 RS1_0c 3 1 +RD_13 RS1_0d 4 1 +RD_13 RS1_0e 4 1 +RD_13 RS1_0f 8 1 +RD_13 RS1_10 8 1 +RD_13 RS1_12 4 1 +RD_13 RS1_13 3 1 +RD_13 RS1_14 6 1 +RD_13 RS1_15 4 1 +RD_13 RS1_16 9 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 7 1 +RD_13 RS1_19 4 1 +RD_13 RS1_1a 8 1 +RD_13 RS1_1b 4 1 +RD_13 RS1_1c 4 1 +RD_13 RS1_1d 8 1 +RD_13 RS1_1e 5 1 +RD_13 RS1_1f 3 1 +RD_14 RS1_00 5 1 +RD_14 RS1_01 6 1 +RD_14 RS1_02 7 1 +RD_14 RS1_03 5 1 +RD_14 RS1_04 6 1 +RD_14 RS1_05 4 1 +RD_14 RS1_06 6 1 +RD_14 RS1_07 6 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 5 1 +RD_14 RS1_0a 4 1 +RD_14 RS1_0b 3 1 +RD_14 RS1_0c 5 1 +RD_14 RS1_0d 1 1 +RD_14 RS1_0e 10 1 +RD_14 RS1_0f 4 1 +RD_14 RS1_10 3 1 +RD_14 RS1_11 11 1 +RD_14 RS1_12 5 1 +RD_14 RS1_13 6 1 +RD_14 RS1_14 7 1 +RD_14 RS1_15 3 1 +RD_14 RS1_16 4 1 +RD_14 RS1_17 3 1 +RD_14 RS1_18 5 1 +RD_14 RS1_19 7 1 +RD_14 RS1_1a 5 1 +RD_14 RS1_1b 1 1 +RD_14 RS1_1c 7 1 +RD_14 RS1_1d 6 1 +RD_14 RS1_1e 4 1 +RD_14 RS1_1f 4 1 +RD_15 RS1_00 5 1 +RD_15 RS1_01 4 1 +RD_15 RS1_02 13 1 +RD_15 RS1_03 5 1 +RD_15 RS1_04 5 1 +RD_15 RS1_05 10 1 +RD_15 RS1_06 6 1 +RD_15 RS1_07 7 1 +RD_15 RS1_08 4 1 +RD_15 RS1_09 6 1 +RD_15 RS1_0a 7 1 +RD_15 RS1_0b 6 1 +RD_15 RS1_0c 10 1 +RD_15 RS1_0d 7 1 +RD_15 RS1_0e 2 1 +RD_15 RS1_0f 7 1 +RD_15 RS1_10 7 1 +RD_15 RS1_11 4 1 +RD_15 RS1_12 6 1 +RD_15 RS1_13 8 1 +RD_15 RS1_14 4 1 +RD_15 RS1_15 8 1 +RD_15 RS1_16 7 1 +RD_15 RS1_17 6 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 1 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 6 1 +RD_15 RS1_1c 7 1 +RD_15 RS1_1d 5 1 +RD_15 RS1_1e 1 1 +RD_15 RS1_1f 4 1 +RD_16 RS1_00 3 1 +RD_16 RS1_01 3 1 +RD_16 RS1_02 10 1 +RD_16 RS1_03 10 1 +RD_16 RS1_04 5 1 +RD_16 RS1_05 6 1 +RD_16 RS1_06 8 1 +RD_16 RS1_07 2 1 +RD_16 RS1_08 6 1 +RD_16 RS1_09 5 1 +RD_16 RS1_0a 2 1 +RD_16 RS1_0b 11 1 +RD_16 RS1_0c 5 1 +RD_16 RS1_0d 5 1 +RD_16 RS1_0e 6 1 +RD_16 RS1_0f 4 1 +RD_16 RS1_10 4 1 +RD_16 RS1_11 3 1 +RD_16 RS1_12 7 1 +RD_16 RS1_13 2 1 +RD_16 RS1_14 4 1 +RD_16 RS1_15 3 1 +RD_16 RS1_16 3 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 5 1 +RD_16 RS1_19 5 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 5 1 +RD_16 RS1_1c 7 1 +RD_16 RS1_1d 10 1 +RD_16 RS1_1e 6 1 +RD_16 RS1_1f 6 1 +RD_17 RS1_00 8 1 +RD_17 RS1_01 11 1 +RD_17 RS1_02 3 1 +RD_17 RS1_03 4 1 +RD_17 RS1_04 4 1 +RD_17 RS1_05 3 1 +RD_17 RS1_06 5 1 +RD_17 RS1_07 4 1 +RD_17 RS1_08 2 1 +RD_17 RS1_09 5 1 +RD_17 RS1_0a 8 1 +RD_17 RS1_0b 4 1 +RD_17 RS1_0c 7 1 +RD_17 RS1_0d 5 1 +RD_17 RS1_0e 6 1 +RD_17 RS1_0f 13 1 +RD_17 RS1_10 8 1 +RD_17 RS1_11 6 1 +RD_17 RS1_12 3 1 +RD_17 RS1_13 5 1 +RD_17 RS1_14 3 1 +RD_17 RS1_15 6 1 +RD_17 RS1_16 1 1 +RD_17 RS1_17 6 1 +RD_17 RS1_18 6 1 +RD_17 RS1_19 8 1 +RD_17 RS1_1a 5 1 +RD_17 RS1_1b 5 1 +RD_17 RS1_1c 9 1 +RD_17 RS1_1d 9 1 +RD_17 RS1_1e 4 1 +RD_17 RS1_1f 1 1 +RD_18 RS1_00 6 1 +RD_18 RS1_01 1 1 +RD_18 RS1_02 4 1 +RD_18 RS1_03 7 1 +RD_18 RS1_04 3 1 +RD_18 RS1_05 11 1 +RD_18 RS1_06 2 1 +RD_18 RS1_07 7 1 +RD_18 RS1_08 3 1 +RD_18 RS1_09 8 1 +RD_18 RS1_0a 3 1 +RD_18 RS1_0b 6 1 +RD_18 RS1_0c 4 1 +RD_18 RS1_0d 11 1 +RD_18 RS1_0e 1 1 +RD_18 RS1_0f 3 1 +RD_18 RS1_10 8 1 +RD_18 RS1_11 6 1 +RD_18 RS1_12 10 1 +RD_18 RS1_13 3 1 +RD_18 RS1_14 7 1 +RD_18 RS1_15 5 1 +RD_18 RS1_16 2 1 +RD_18 RS1_17 4 1 +RD_18 RS1_18 4 1 +RD_18 RS1_19 6 1 +RD_18 RS1_1a 2 1 +RD_18 RS1_1b 4 1 +RD_18 RS1_1c 5 1 +RD_18 RS1_1d 3 1 +RD_18 RS1_1e 4 1 +RD_18 RS1_1f 7 1 +RD_19 RS1_00 4 1 +RD_19 RS1_01 2 1 +RD_19 RS1_02 9 1 +RD_19 RS1_03 1 1 +RD_19 RS1_04 8 1 +RD_19 RS1_05 1 1 +RD_19 RS1_06 5 1 +RD_19 RS1_07 4 1 +RD_19 RS1_08 5 1 +RD_19 RS1_09 2 1 +RD_19 RS1_0a 7 1 +RD_19 RS1_0b 6 1 +RD_19 RS1_0c 4 1 +RD_19 RS1_0d 1 1 +RD_19 RS1_0e 7 1 +RD_19 RS1_0f 7 1 +RD_19 RS1_10 4 1 +RD_19 RS1_11 2 1 +RD_19 RS1_12 11 1 +RD_19 RS1_13 4 1 +RD_19 RS1_14 5 1 +RD_19 RS1_15 4 1 +RD_19 RS1_16 3 1 +RD_19 RS1_17 3 1 +RD_19 RS1_18 4 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 7 1 +RD_19 RS1_1b 4 1 +RD_19 RS1_1c 4 1 +RD_19 RS1_1d 6 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 3 1 +RD_1a RS1_00 5 1 +RD_1a RS1_01 4 1 +RD_1a RS1_02 4 1 +RD_1a RS1_03 4 1 +RD_1a RS1_04 2 1 +RD_1a RS1_05 2 1 +RD_1a RS1_06 11 1 +RD_1a RS1_07 3 1 +RD_1a RS1_08 6 1 +RD_1a RS1_09 3 1 +RD_1a RS1_0a 3 1 +RD_1a RS1_0b 6 1 +RD_1a RS1_0c 4 1 +RD_1a RS1_0d 1 1 +RD_1a RS1_0e 2 1 +RD_1a RS1_0f 3 1 +RD_1a RS1_10 2 1 +RD_1a RS1_11 7 1 +RD_1a RS1_12 3 1 +RD_1a RS1_13 3 1 +RD_1a RS1_14 4 1 +RD_1a RS1_15 5 1 +RD_1a RS1_16 2 1 +RD_1a RS1_17 6 1 +RD_1a RS1_18 2 1 +RD_1a RS1_19 8 1 +RD_1a RS1_1a 5 1 +RD_1a RS1_1b 3 1 +RD_1a RS1_1c 8 1 +RD_1a RS1_1d 3 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 9 1 +RD_1b RS1_00 5 1 +RD_1b RS1_01 6 1 +RD_1b RS1_02 9 1 +RD_1b RS1_03 4 1 +RD_1b RS1_04 4 1 +RD_1b RS1_05 4 1 +RD_1b RS1_06 7 1 +RD_1b RS1_07 6 1 +RD_1b RS1_08 5 1 +RD_1b RS1_09 4 1 +RD_1b RS1_0a 1 1 +RD_1b RS1_0b 7 1 +RD_1b RS1_0c 2 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 6 1 +RD_1b RS1_0f 6 1 +RD_1b RS1_10 3 1 +RD_1b RS1_11 4 1 +RD_1b RS1_12 4 1 +RD_1b RS1_13 4 1 +RD_1b RS1_14 5 1 +RD_1b RS1_15 5 1 +RD_1b RS1_16 5 1 +RD_1b RS1_17 6 1 +RD_1b RS1_18 2 1 +RD_1b RS1_19 3 1 +RD_1b RS1_1a 7 1 +RD_1b RS1_1b 8 1 +RD_1b RS1_1c 5 1 +RD_1b RS1_1d 7 1 +RD_1b RS1_1e 6 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 3 1 +RD_1c RS1_01 9 1 +RD_1c RS1_03 3 1 +RD_1c RS1_04 5 1 +RD_1c RS1_05 5 1 +RD_1c RS1_06 7 1 +RD_1c RS1_07 9 1 +RD_1c RS1_08 2 1 +RD_1c RS1_09 5 1 +RD_1c RS1_0a 2 1 +RD_1c RS1_0b 9 1 +RD_1c RS1_0c 5 1 +RD_1c RS1_0d 2 1 +RD_1c RS1_0e 3 1 +RD_1c RS1_0f 8 1 +RD_1c RS1_10 5 1 +RD_1c RS1_11 7 1 +RD_1c RS1_12 4 1 +RD_1c RS1_13 4 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 5 1 +RD_1c RS1_16 3 1 +RD_1c RS1_17 3 1 +RD_1c RS1_18 6 1 +RD_1c RS1_19 2 1 +RD_1c RS1_1a 6 1 +RD_1c RS1_1b 3 1 +RD_1c RS1_1c 7 1 +RD_1c RS1_1d 4 1 +RD_1c RS1_1e 1 1 +RD_1c RS1_1f 6 1 +RD_1d RS1_00 4 1 +RD_1d RS1_01 4 1 +RD_1d RS1_02 3 1 +RD_1d RS1_03 7 1 +RD_1d RS1_04 6 1 +RD_1d RS1_05 7 1 +RD_1d RS1_06 4 1 +RD_1d RS1_07 4 1 +RD_1d RS1_08 3 1 +RD_1d RS1_09 8 1 +RD_1d RS1_0a 8 1 +RD_1d RS1_0b 4 1 +RD_1d RS1_0c 4 1 +RD_1d RS1_0d 12 1 +RD_1d RS1_0e 5 1 +RD_1d RS1_0f 11 1 +RD_1d RS1_10 3 1 +RD_1d RS1_11 5 1 +RD_1d RS1_12 9 1 +RD_1d RS1_13 4 1 +RD_1d RS1_14 3 1 +RD_1d RS1_15 7 1 +RD_1d RS1_16 11 1 +RD_1d RS1_17 2 1 +RD_1d RS1_18 3 1 +RD_1d RS1_19 3 1 +RD_1d RS1_1a 6 1 +RD_1d RS1_1b 10 1 +RD_1d RS1_1c 4 1 +RD_1d RS1_1d 5 1 +RD_1d RS1_1e 5 1 +RD_1d RS1_1f 3 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 7 1 +RD_1e RS1_03 7 1 +RD_1e RS1_04 2 1 +RD_1e RS1_05 7 1 +RD_1e RS1_06 4 1 +RD_1e RS1_07 4 1 +RD_1e RS1_08 6 1 +RD_1e RS1_09 7 1 +RD_1e RS1_0a 5 1 +RD_1e RS1_0b 2 1 +RD_1e RS1_0d 2 1 +RD_1e RS1_0e 4 1 +RD_1e RS1_0f 4 1 +RD_1e RS1_10 6 1 +RD_1e RS1_11 9 1 +RD_1e RS1_12 5 1 +RD_1e RS1_13 4 1 +RD_1e RS1_14 7 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 3 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 4 1 +RD_1e RS1_19 3 1 +RD_1e RS1_1a 8 1 +RD_1e RS1_1b 2 1 +RD_1e RS1_1c 6 1 +RD_1e RS1_1d 9 1 +RD_1e RS1_1e 5 1 +RD_1e RS1_1f 3 1 +RD_1f RS1_00 3 1 +RD_1f RS1_01 2 1 +RD_1f RS1_02 1 1 +RD_1f RS1_03 5 1 +RD_1f RS1_04 2 1 +RD_1f RS1_05 4 1 +RD_1f RS1_06 10 1 +RD_1f RS1_07 5 1 +RD_1f RS1_08 6 1 +RD_1f RS1_09 3 1 +RD_1f RS1_0a 4 1 +RD_1f RS1_0b 7 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 4 1 +RD_1f RS1_0e 4 1 +RD_1f RS1_0f 3 1 +RD_1f RS1_10 9 1 +RD_1f RS1_11 4 1 +RD_1f RS1_12 6 1 +RD_1f RS1_13 6 1 +RD_1f RS1_14 3 1 +RD_1f RS1_15 9 1 +RD_1f RS1_16 2 1 +RD_1f RS1_17 1 1 +RD_1f RS1_18 10 1 +RD_1f RS1_19 5 1 +RD_1f RS1_1a 6 1 +RD_1f RS1_1b 3 1 +RD_1f RS1_1c 8 1 +RD_1f RS1_1d 6 1 +RD_1f RS1_1e 9 1 +RD_1f RS1_1f 9 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 10 1014 99.02 10 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_01] [RS2_12] 0 1 1 +[RD_05] [RS2_06] 0 1 1 +[RD_09] [RS2_10 , RS2_11] -- -- 2 +[RD_0b] [RS2_19] 0 1 1 +[RD_0e] [RS2_11] 0 1 1 +[RD_12] [RS2_06] 0 1 1 +[RD_13] [RS2_07] 0 1 1 +[RD_1b] [RS2_06] 0 1 1 +[RD_1c] [RS2_0d] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 13 1 +RD_00 RS2_01 3 1 +RD_00 RS2_02 10 1 +RD_00 RS2_03 1 1 +RD_00 RS2_04 6 1 +RD_00 RS2_05 5 1 +RD_00 RS2_06 3 1 +RD_00 RS2_07 9 1 +RD_00 RS2_08 3 1 +RD_00 RS2_09 4 1 +RD_00 RS2_0a 3 1 +RD_00 RS2_0b 9 1 +RD_00 RS2_0c 4 1 +RD_00 RS2_0d 1 1 +RD_00 RS2_0e 5 1 +RD_00 RS2_0f 4 1 +RD_00 RS2_10 3 1 +RD_00 RS2_11 10 1 +RD_00 RS2_12 8 1 +RD_00 RS2_13 9 1 +RD_00 RS2_14 4 1 +RD_00 RS2_15 10 1 +RD_00 RS2_16 3 1 +RD_00 RS2_17 8 1 +RD_00 RS2_18 7 1 +RD_00 RS2_19 7 1 +RD_00 RS2_1a 8 1 +RD_00 RS2_1b 2 1 +RD_00 RS2_1c 5 1 +RD_00 RS2_1d 11 1 +RD_00 RS2_1e 11 1 +RD_00 RS2_1f 4 1 +RD_01 RS2_00 5 1 +RD_01 RS2_01 8 1 +RD_01 RS2_02 7 1 +RD_01 RS2_03 5 1 +RD_01 RS2_04 3 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 8 1 +RD_01 RS2_08 3 1 +RD_01 RS2_09 8 1 +RD_01 RS2_0a 7 1 +RD_01 RS2_0b 4 1 +RD_01 RS2_0c 5 1 +RD_01 RS2_0d 5 1 +RD_01 RS2_0e 9 1 +RD_01 RS2_0f 8 1 +RD_01 RS2_10 5 1 +RD_01 RS2_11 3 1 +RD_01 RS2_13 7 1 +RD_01 RS2_14 4 1 +RD_01 RS2_15 2 1 +RD_01 RS2_16 11 1 +RD_01 RS2_17 8 1 +RD_01 RS2_18 5 1 +RD_01 RS2_19 6 1 +RD_01 RS2_1a 4 1 +RD_01 RS2_1b 5 1 +RD_01 RS2_1c 6 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 6 1 +RD_01 RS2_1f 7 1 +RD_02 RS2_00 7 1 +RD_02 RS2_01 2 1 +RD_02 RS2_02 2 1 +RD_02 RS2_03 5 1 +RD_02 RS2_04 3 1 +RD_02 RS2_05 2 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 4 1 +RD_02 RS2_08 2 1 +RD_02 RS2_09 4 1 +RD_02 RS2_0a 7 1 +RD_02 RS2_0b 4 1 +RD_02 RS2_0c 4 1 +RD_02 RS2_0d 10 1 +RD_02 RS2_0e 10 1 +RD_02 RS2_0f 3 1 +RD_02 RS2_10 4 1 +RD_02 RS2_11 2 1 +RD_02 RS2_12 6 1 +RD_02 RS2_13 3 1 +RD_02 RS2_14 1 1 +RD_02 RS2_15 8 1 +RD_02 RS2_16 5 1 +RD_02 RS2_17 1 1 +RD_02 RS2_18 4 1 +RD_02 RS2_19 2 1 +RD_02 RS2_1a 6 1 +RD_02 RS2_1b 7 1 +RD_02 RS2_1c 6 1 +RD_02 RS2_1d 7 1 +RD_02 RS2_1e 11 1 +RD_02 RS2_1f 5 1 +RD_03 RS2_00 3 1 +RD_03 RS2_01 2 1 +RD_03 RS2_02 14 1 +RD_03 RS2_03 7 1 +RD_03 RS2_04 9 1 +RD_03 RS2_05 2 1 +RD_03 RS2_06 4 1 +RD_03 RS2_07 9 1 +RD_03 RS2_08 9 1 +RD_03 RS2_09 4 1 +RD_03 RS2_0a 6 1 +RD_03 RS2_0b 9 1 +RD_03 RS2_0c 9 1 +RD_03 RS2_0d 4 1 +RD_03 RS2_0e 12 1 +RD_03 RS2_0f 3 1 +RD_03 RS2_10 8 1 +RD_03 RS2_11 3 1 +RD_03 RS2_12 9 1 +RD_03 RS2_13 9 1 +RD_03 RS2_14 6 1 +RD_03 RS2_15 6 1 +RD_03 RS2_16 6 1 +RD_03 RS2_17 9 1 +RD_03 RS2_18 2 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1a 3 1 +RD_03 RS2_1b 7 1 +RD_03 RS2_1c 5 1 +RD_03 RS2_1d 4 1 +RD_03 RS2_1e 3 1 +RD_03 RS2_1f 3 1 +RD_04 RS2_00 5 1 +RD_04 RS2_01 4 1 +RD_04 RS2_02 2 1 +RD_04 RS2_03 4 1 +RD_04 RS2_04 9 1 +RD_04 RS2_05 4 1 +RD_04 RS2_06 5 1 +RD_04 RS2_07 3 1 +RD_04 RS2_08 5 1 +RD_04 RS2_09 4 1 +RD_04 RS2_0a 3 1 +RD_04 RS2_0b 2 1 +RD_04 RS2_0c 7 1 +RD_04 RS2_0d 6 1 +RD_04 RS2_0e 4 1 +RD_04 RS2_0f 6 1 +RD_04 RS2_10 3 1 +RD_04 RS2_11 10 1 +RD_04 RS2_12 4 1 +RD_04 RS2_13 5 1 +RD_04 RS2_14 9 1 +RD_04 RS2_15 8 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 5 1 +RD_04 RS2_18 8 1 +RD_04 RS2_19 2 1 +RD_04 RS2_1a 5 1 +RD_04 RS2_1b 5 1 +RD_04 RS2_1c 3 1 +RD_04 RS2_1d 1 1 +RD_04 RS2_1e 4 1 +RD_04 RS2_1f 6 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 7 1 +RD_05 RS2_02 5 1 +RD_05 RS2_03 8 1 +RD_05 RS2_04 4 1 +RD_05 RS2_05 6 1 +RD_05 RS2_07 7 1 +RD_05 RS2_08 1 1 +RD_05 RS2_09 6 1 +RD_05 RS2_0a 6 1 +RD_05 RS2_0b 8 1 +RD_05 RS2_0c 8 1 +RD_05 RS2_0d 5 1 +RD_05 RS2_0e 1 1 +RD_05 RS2_0f 3 1 +RD_05 RS2_10 4 1 +RD_05 RS2_11 4 1 +RD_05 RS2_12 6 1 +RD_05 RS2_13 5 1 +RD_05 RS2_14 9 1 +RD_05 RS2_15 6 1 +RD_05 RS2_16 8 1 +RD_05 RS2_17 2 1 +RD_05 RS2_18 2 1 +RD_05 RS2_19 5 1 +RD_05 RS2_1a 6 1 +RD_05 RS2_1b 2 1 +RD_05 RS2_1c 1 1 +RD_05 RS2_1d 9 1 +RD_05 RS2_1e 4 1 +RD_05 RS2_1f 1 1 +RD_06 RS2_00 7 1 +RD_06 RS2_01 5 1 +RD_06 RS2_02 3 1 +RD_06 RS2_03 3 1 +RD_06 RS2_04 5 1 +RD_06 RS2_05 7 1 +RD_06 RS2_06 4 1 +RD_06 RS2_07 5 1 +RD_06 RS2_08 7 1 +RD_06 RS2_09 4 1 +RD_06 RS2_0a 7 1 +RD_06 RS2_0b 6 1 +RD_06 RS2_0c 1 1 +RD_06 RS2_0d 8 1 +RD_06 RS2_0e 7 1 +RD_06 RS2_0f 6 1 +RD_06 RS2_10 9 1 +RD_06 RS2_11 1 1 +RD_06 RS2_12 5 1 +RD_06 RS2_13 10 1 +RD_06 RS2_14 5 1 +RD_06 RS2_15 4 1 +RD_06 RS2_16 9 1 +RD_06 RS2_17 3 1 +RD_06 RS2_18 4 1 +RD_06 RS2_19 6 1 +RD_06 RS2_1a 5 1 +RD_06 RS2_1b 6 1 +RD_06 RS2_1c 4 1 +RD_06 RS2_1d 6 1 +RD_06 RS2_1e 4 1 +RD_06 RS2_1f 4 1 +RD_07 RS2_00 12 1 +RD_07 RS2_01 8 1 +RD_07 RS2_02 8 1 +RD_07 RS2_03 4 1 +RD_07 RS2_04 4 1 +RD_07 RS2_05 4 1 +RD_07 RS2_06 4 1 +RD_07 RS2_07 3 1 +RD_07 RS2_08 5 1 +RD_07 RS2_09 6 1 +RD_07 RS2_0a 6 1 +RD_07 RS2_0b 6 1 +RD_07 RS2_0c 5 1 +RD_07 RS2_0d 2 1 +RD_07 RS2_0e 9 1 +RD_07 RS2_0f 4 1 +RD_07 RS2_10 3 1 +RD_07 RS2_11 4 1 +RD_07 RS2_12 3 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 3 1 +RD_07 RS2_15 8 1 +RD_07 RS2_16 2 1 +RD_07 RS2_17 5 1 +RD_07 RS2_18 5 1 +RD_07 RS2_19 2 1 +RD_07 RS2_1a 3 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 7 1 +RD_07 RS2_1d 4 1 +RD_07 RS2_1e 4 1 +RD_07 RS2_1f 5 1 +RD_08 RS2_00 3 1 +RD_08 RS2_01 5 1 +RD_08 RS2_02 6 1 +RD_08 RS2_03 7 1 +RD_08 RS2_04 8 1 +RD_08 RS2_05 5 1 +RD_08 RS2_06 7 1 +RD_08 RS2_07 8 1 +RD_08 RS2_08 5 1 +RD_08 RS2_09 4 1 +RD_08 RS2_0a 4 1 +RD_08 RS2_0b 2 1 +RD_08 RS2_0c 1 1 +RD_08 RS2_0d 5 1 +RD_08 RS2_0e 5 1 +RD_08 RS2_0f 8 1 +RD_08 RS2_10 9 1 +RD_08 RS2_11 3 1 +RD_08 RS2_12 5 1 +RD_08 RS2_13 7 1 +RD_08 RS2_14 3 1 +RD_08 RS2_15 7 1 +RD_08 RS2_16 10 1 +RD_08 RS2_17 2 1 +RD_08 RS2_18 4 1 +RD_08 RS2_19 9 1 +RD_08 RS2_1a 2 1 +RD_08 RS2_1b 5 1 +RD_08 RS2_1c 4 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 4 1 +RD_08 RS2_1f 7 1 +RD_09 RS2_00 3 1 +RD_09 RS2_01 6 1 +RD_09 RS2_02 2 1 +RD_09 RS2_03 5 1 +RD_09 RS2_04 5 1 +RD_09 RS2_05 5 1 +RD_09 RS2_06 7 1 +RD_09 RS2_07 5 1 +RD_09 RS2_08 5 1 +RD_09 RS2_09 5 1 +RD_09 RS2_0a 4 1 +RD_09 RS2_0b 3 1 +RD_09 RS2_0c 8 1 +RD_09 RS2_0d 3 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 2 1 +RD_09 RS2_12 5 1 +RD_09 RS2_13 5 1 +RD_09 RS2_14 5 1 +RD_09 RS2_15 4 1 +RD_09 RS2_16 4 1 +RD_09 RS2_17 6 1 +RD_09 RS2_18 9 1 +RD_09 RS2_19 7 1 +RD_09 RS2_1a 2 1 +RD_09 RS2_1b 3 1 +RD_09 RS2_1c 5 1 +RD_09 RS2_1d 8 1 +RD_09 RS2_1e 4 1 +RD_09 RS2_1f 4 1 +RD_0a RS2_00 4 1 +RD_0a RS2_01 7 1 +RD_0a RS2_02 8 1 +RD_0a RS2_03 13 1 +RD_0a RS2_04 4 1 +RD_0a RS2_05 5 1 +RD_0a RS2_06 4 1 +RD_0a RS2_07 4 1 +RD_0a RS2_08 2 1 +RD_0a RS2_09 6 1 +RD_0a RS2_0a 3 1 +RD_0a RS2_0b 1 1 +RD_0a RS2_0c 3 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 4 1 +RD_0a RS2_0f 3 1 +RD_0a RS2_10 3 1 +RD_0a RS2_11 9 1 +RD_0a RS2_12 7 1 +RD_0a RS2_13 7 1 +RD_0a RS2_14 7 1 +RD_0a RS2_15 6 1 +RD_0a RS2_16 1 1 +RD_0a RS2_17 6 1 +RD_0a RS2_18 3 1 +RD_0a RS2_19 7 1 +RD_0a RS2_1a 5 1 +RD_0a RS2_1b 5 1 +RD_0a RS2_1c 10 1 +RD_0a RS2_1d 2 1 +RD_0a RS2_1e 7 1 +RD_0a RS2_1f 7 1 +RD_0b RS2_00 5 1 +RD_0b RS2_01 5 1 +RD_0b RS2_02 5 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 9 1 +RD_0b RS2_05 1 1 +RD_0b RS2_06 4 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 4 1 +RD_0b RS2_09 9 1 +RD_0b RS2_0a 6 1 +RD_0b RS2_0b 10 1 +RD_0b RS2_0c 7 1 +RD_0b RS2_0d 5 1 +RD_0b RS2_0e 2 1 +RD_0b RS2_0f 2 1 +RD_0b RS2_10 6 1 +RD_0b RS2_11 4 1 +RD_0b RS2_12 9 1 +RD_0b RS2_13 7 1 +RD_0b RS2_14 8 1 +RD_0b RS2_15 3 1 +RD_0b RS2_16 3 1 +RD_0b RS2_17 4 1 +RD_0b RS2_18 6 1 +RD_0b RS2_1a 4 1 +RD_0b RS2_1b 6 1 +RD_0b RS2_1c 8 1 +RD_0b RS2_1d 2 1 +RD_0b RS2_1e 3 1 +RD_0b RS2_1f 5 1 +RD_0c RS2_00 6 1 +RD_0c RS2_01 5 1 +RD_0c RS2_02 3 1 +RD_0c RS2_03 6 1 +RD_0c RS2_04 2 1 +RD_0c RS2_05 8 1 +RD_0c RS2_06 6 1 +RD_0c RS2_07 5 1 +RD_0c RS2_08 2 1 +RD_0c RS2_09 8 1 +RD_0c RS2_0a 3 1 +RD_0c RS2_0b 7 1 +RD_0c RS2_0c 3 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 5 1 +RD_0c RS2_0f 11 1 +RD_0c RS2_10 4 1 +RD_0c RS2_11 12 1 +RD_0c RS2_12 7 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 3 1 +RD_0c RS2_15 1 1 +RD_0c RS2_16 3 1 +RD_0c RS2_17 10 1 +RD_0c RS2_18 7 1 +RD_0c RS2_19 3 1 +RD_0c RS2_1a 3 1 +RD_0c RS2_1b 7 1 +RD_0c RS2_1c 3 1 +RD_0c RS2_1d 7 1 +RD_0c RS2_1e 3 1 +RD_0c RS2_1f 5 1 +RD_0d RS2_00 5 1 +RD_0d RS2_01 4 1 +RD_0d RS2_02 6 1 +RD_0d RS2_03 6 1 +RD_0d RS2_04 2 1 +RD_0d RS2_05 7 1 +RD_0d RS2_06 9 1 +RD_0d RS2_07 1 1 +RD_0d RS2_08 3 1 +RD_0d RS2_09 5 1 +RD_0d RS2_0a 7 1 +RD_0d RS2_0b 7 1 +RD_0d RS2_0c 8 1 +RD_0d RS2_0d 6 1 +RD_0d RS2_0e 5 1 +RD_0d RS2_0f 5 1 +RD_0d RS2_10 7 1 +RD_0d RS2_11 4 1 +RD_0d RS2_12 5 1 +RD_0d RS2_13 5 1 +RD_0d RS2_14 5 1 +RD_0d RS2_15 6 1 +RD_0d RS2_16 3 1 +RD_0d RS2_17 3 1 +RD_0d RS2_18 7 1 +RD_0d RS2_19 5 1 +RD_0d RS2_1a 4 1 +RD_0d RS2_1b 6 1 +RD_0d RS2_1c 3 1 +RD_0d RS2_1d 2 1 +RD_0d RS2_1e 6 1 +RD_0d RS2_1f 8 1 +RD_0e RS2_00 5 1 +RD_0e RS2_01 9 1 +RD_0e RS2_02 3 1 +RD_0e RS2_03 7 1 +RD_0e RS2_04 4 1 +RD_0e RS2_05 3 1 +RD_0e RS2_06 5 1 +RD_0e RS2_07 6 1 +RD_0e RS2_08 1 1 +RD_0e RS2_09 3 1 +RD_0e RS2_0a 4 1 +RD_0e RS2_0b 12 1 +RD_0e RS2_0c 9 1 +RD_0e RS2_0d 7 1 +RD_0e RS2_0e 5 1 +RD_0e RS2_0f 6 1 +RD_0e RS2_10 11 1 +RD_0e RS2_12 3 1 +RD_0e RS2_13 2 1 +RD_0e RS2_14 4 1 +RD_0e RS2_15 7 1 +RD_0e RS2_16 3 1 +RD_0e RS2_17 5 1 +RD_0e RS2_18 2 1 +RD_0e RS2_19 5 1 +RD_0e RS2_1a 4 1 +RD_0e RS2_1b 3 1 +RD_0e RS2_1c 4 1 +RD_0e RS2_1d 8 1 +RD_0e RS2_1e 5 1 +RD_0e RS2_1f 6 1 +RD_0f RS2_00 4 1 +RD_0f RS2_01 7 1 +RD_0f RS2_02 10 1 +RD_0f RS2_03 11 1 +RD_0f RS2_04 4 1 +RD_0f RS2_05 5 1 +RD_0f RS2_06 5 1 +RD_0f RS2_07 5 1 +RD_0f RS2_08 1 1 +RD_0f RS2_09 6 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 5 1 +RD_0f RS2_0c 7 1 +RD_0f RS2_0d 5 1 +RD_0f RS2_0e 7 1 +RD_0f RS2_0f 6 1 +RD_0f RS2_10 6 1 +RD_0f RS2_11 3 1 +RD_0f RS2_12 5 1 +RD_0f RS2_13 3 1 +RD_0f RS2_14 6 1 +RD_0f RS2_15 3 1 +RD_0f RS2_16 8 1 +RD_0f RS2_17 6 1 +RD_0f RS2_18 8 1 +RD_0f RS2_19 6 1 +RD_0f RS2_1a 5 1 +RD_0f RS2_1b 2 1 +RD_0f RS2_1c 10 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 7 1 +RD_0f RS2_1f 8 1 +RD_10 RS2_00 5 1 +RD_10 RS2_01 5 1 +RD_10 RS2_02 6 1 +RD_10 RS2_03 7 1 +RD_10 RS2_04 8 1 +RD_10 RS2_05 4 1 +RD_10 RS2_06 7 1 +RD_10 RS2_07 2 1 +RD_10 RS2_08 4 1 +RD_10 RS2_09 8 1 +RD_10 RS2_0a 8 1 +RD_10 RS2_0b 9 1 +RD_10 RS2_0c 11 1 +RD_10 RS2_0d 6 1 +RD_10 RS2_0e 7 1 +RD_10 RS2_0f 8 1 +RD_10 RS2_10 6 1 +RD_10 RS2_11 6 1 +RD_10 RS2_12 4 1 +RD_10 RS2_13 4 1 +RD_10 RS2_14 3 1 +RD_10 RS2_15 8 1 +RD_10 RS2_16 7 1 +RD_10 RS2_17 2 1 +RD_10 RS2_18 4 1 +RD_10 RS2_19 2 1 +RD_10 RS2_1a 6 1 +RD_10 RS2_1b 4 1 +RD_10 RS2_1c 3 1 +RD_10 RS2_1d 11 1 +RD_10 RS2_1e 1 1 +RD_10 RS2_1f 5 1 +RD_11 RS2_00 2 1 +RD_11 RS2_01 4 1 +RD_11 RS2_02 4 1 +RD_11 RS2_03 4 1 +RD_11 RS2_04 4 1 +RD_11 RS2_05 3 1 +RD_11 RS2_06 7 1 +RD_11 RS2_07 4 1 +RD_11 RS2_08 1 1 +RD_11 RS2_09 8 1 +RD_11 RS2_0a 7 1 +RD_11 RS2_0b 3 1 +RD_11 RS2_0c 7 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 6 1 +RD_11 RS2_0f 8 1 +RD_11 RS2_10 7 1 +RD_11 RS2_11 11 1 +RD_11 RS2_12 5 1 +RD_11 RS2_13 7 1 +RD_11 RS2_14 10 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 5 1 +RD_11 RS2_17 7 1 +RD_11 RS2_18 3 1 +RD_11 RS2_19 6 1 +RD_11 RS2_1a 7 1 +RD_11 RS2_1b 3 1 +RD_11 RS2_1c 12 1 +RD_11 RS2_1d 4 1 +RD_11 RS2_1e 4 1 +RD_11 RS2_1f 6 1 +RD_12 RS2_00 6 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 10 1 +RD_12 RS2_03 4 1 +RD_12 RS2_04 3 1 +RD_12 RS2_05 6 1 +RD_12 RS2_07 3 1 +RD_12 RS2_08 4 1 +RD_12 RS2_09 3 1 +RD_12 RS2_0a 2 1 +RD_12 RS2_0b 4 1 +RD_12 RS2_0c 4 1 +RD_12 RS2_0d 6 1 +RD_12 RS2_0e 5 1 +RD_12 RS2_0f 6 1 +RD_12 RS2_10 1 1 +RD_12 RS2_11 11 1 +RD_12 RS2_12 6 1 +RD_12 RS2_13 3 1 +RD_12 RS2_14 8 1 +RD_12 RS2_15 7 1 +RD_12 RS2_16 4 1 +RD_12 RS2_17 2 1 +RD_12 RS2_18 7 1 +RD_12 RS2_19 3 1 +RD_12 RS2_1a 4 1 +RD_12 RS2_1b 3 1 +RD_12 RS2_1c 4 1 +RD_12 RS2_1d 4 1 +RD_12 RS2_1e 9 1 +RD_12 RS2_1f 7 1 +RD_13 RS2_00 3 1 +RD_13 RS2_01 5 1 +RD_13 RS2_02 3 1 +RD_13 RS2_03 6 1 +RD_13 RS2_04 7 1 +RD_13 RS2_05 6 1 +RD_13 RS2_06 9 1 +RD_13 RS2_08 1 1 +RD_13 RS2_09 8 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 5 1 +RD_13 RS2_0c 4 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 5 1 +RD_13 RS2_0f 4 1 +RD_13 RS2_10 5 1 +RD_13 RS2_11 4 1 +RD_13 RS2_12 6 1 +RD_13 RS2_13 4 1 +RD_13 RS2_14 7 1 +RD_13 RS2_15 4 1 +RD_13 RS2_16 6 1 +RD_13 RS2_17 4 1 +RD_13 RS2_18 4 1 +RD_13 RS2_19 4 1 +RD_13 RS2_1a 12 1 +RD_13 RS2_1b 10 1 +RD_13 RS2_1c 8 1 +RD_13 RS2_1d 8 1 +RD_13 RS2_1e 4 1 +RD_13 RS2_1f 4 1 +RD_14 RS2_00 6 1 +RD_14 RS2_01 6 1 +RD_14 RS2_02 7 1 +RD_14 RS2_03 3 1 +RD_14 RS2_04 5 1 +RD_14 RS2_05 5 1 +RD_14 RS2_06 8 1 +RD_14 RS2_07 6 1 +RD_14 RS2_08 6 1 +RD_14 RS2_09 4 1 +RD_14 RS2_0a 2 1 +RD_14 RS2_0b 5 1 +RD_14 RS2_0c 2 1 +RD_14 RS2_0d 2 1 +RD_14 RS2_0e 2 1 +RD_14 RS2_0f 4 1 +RD_14 RS2_10 6 1 +RD_14 RS2_11 5 1 +RD_14 RS2_12 9 1 +RD_14 RS2_13 4 1 +RD_14 RS2_14 4 1 +RD_14 RS2_15 7 1 +RD_14 RS2_16 7 1 +RD_14 RS2_17 4 1 +RD_14 RS2_18 4 1 +RD_14 RS2_19 3 1 +RD_14 RS2_1a 9 1 +RD_14 RS2_1b 5 1 +RD_14 RS2_1c 4 1 +RD_14 RS2_1d 7 1 +RD_14 RS2_1e 8 1 +RD_14 RS2_1f 4 1 +RD_15 RS2_00 9 1 +RD_15 RS2_01 7 1 +RD_15 RS2_02 4 1 +RD_15 RS2_03 7 1 +RD_15 RS2_04 10 1 +RD_15 RS2_05 1 1 +RD_15 RS2_06 7 1 +RD_15 RS2_07 10 1 +RD_15 RS2_08 5 1 +RD_15 RS2_09 8 1 +RD_15 RS2_0a 2 1 +RD_15 RS2_0b 2 1 +RD_15 RS2_0c 2 1 +RD_15 RS2_0d 5 1 +RD_15 RS2_0e 3 1 +RD_15 RS2_0f 5 1 +RD_15 RS2_10 8 1 +RD_15 RS2_11 4 1 +RD_15 RS2_12 9 1 +RD_15 RS2_13 6 1 +RD_15 RS2_14 7 1 +RD_15 RS2_15 4 1 +RD_15 RS2_16 7 1 +RD_15 RS2_17 4 1 +RD_15 RS2_18 4 1 +RD_15 RS2_19 4 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 11 1 +RD_15 RS2_1c 9 1 +RD_15 RS2_1d 5 1 +RD_15 RS2_1e 7 1 +RD_15 RS2_1f 4 1 +RD_16 RS2_00 5 1 +RD_16 RS2_01 9 1 +RD_16 RS2_02 4 1 +RD_16 RS2_03 2 1 +RD_16 RS2_04 9 1 +RD_16 RS2_05 3 1 +RD_16 RS2_06 5 1 +RD_16 RS2_07 10 1 +RD_16 RS2_08 3 1 +RD_16 RS2_09 1 1 +RD_16 RS2_0a 2 1 +RD_16 RS2_0b 1 1 +RD_16 RS2_0c 3 1 +RD_16 RS2_0d 3 1 +RD_16 RS2_0e 8 1 +RD_16 RS2_0f 5 1 +RD_16 RS2_10 7 1 +RD_16 RS2_11 7 1 +RD_16 RS2_12 4 1 +RD_16 RS2_13 8 1 +RD_16 RS2_14 8 1 +RD_16 RS2_15 8 1 +RD_16 RS2_16 6 1 +RD_16 RS2_17 7 1 +RD_16 RS2_18 5 1 +RD_16 RS2_19 1 1 +RD_16 RS2_1a 6 1 +RD_16 RS2_1b 6 1 +RD_16 RS2_1c 7 1 +RD_16 RS2_1d 6 1 +RD_16 RS2_1e 8 1 +RD_16 RS2_1f 6 1 +RD_17 RS2_00 6 1 +RD_17 RS2_01 4 1 +RD_17 RS2_02 2 1 +RD_17 RS2_03 9 1 +RD_17 RS2_04 2 1 +RD_17 RS2_05 2 1 +RD_17 RS2_06 5 1 +RD_17 RS2_07 4 1 +RD_17 RS2_08 12 1 +RD_17 RS2_09 2 1 +RD_17 RS2_0a 7 1 +RD_17 RS2_0b 10 1 +RD_17 RS2_0c 8 1 +RD_17 RS2_0d 5 1 +RD_17 RS2_0e 12 1 +RD_17 RS2_0f 5 1 +RD_17 RS2_10 2 1 +RD_17 RS2_11 3 1 +RD_17 RS2_12 5 1 +RD_17 RS2_13 6 1 +RD_17 RS2_14 3 1 +RD_17 RS2_15 3 1 +RD_17 RS2_16 4 1 +RD_17 RS2_17 5 1 +RD_17 RS2_18 3 1 +RD_17 RS2_19 11 1 +RD_17 RS2_1a 9 1 +RD_17 RS2_1b 8 1 +RD_17 RS2_1c 9 1 +RD_17 RS2_1d 3 1 +RD_17 RS2_1e 3 1 +RD_17 RS2_1f 5 1 +RD_18 RS2_00 8 1 +RD_18 RS2_01 7 1 +RD_18 RS2_02 2 1 +RD_18 RS2_03 6 1 +RD_18 RS2_04 3 1 +RD_18 RS2_05 9 1 +RD_18 RS2_06 4 1 +RD_18 RS2_07 5 1 +RD_18 RS2_08 4 1 +RD_18 RS2_09 5 1 +RD_18 RS2_0a 8 1 +RD_18 RS2_0b 3 1 +RD_18 RS2_0c 3 1 +RD_18 RS2_0d 2 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 4 1 +RD_18 RS2_10 8 1 +RD_18 RS2_11 1 1 +RD_18 RS2_12 5 1 +RD_18 RS2_13 4 1 +RD_18 RS2_14 8 1 +RD_18 RS2_15 3 1 +RD_18 RS2_16 6 1 +RD_18 RS2_17 2 1 +RD_18 RS2_18 9 1 +RD_18 RS2_19 5 1 +RD_18 RS2_1a 1 1 +RD_18 RS2_1b 6 1 +RD_18 RS2_1c 5 1 +RD_18 RS2_1d 6 1 +RD_18 RS2_1e 9 1 +RD_18 RS2_1f 6 1 +RD_19 RS2_00 5 1 +RD_19 RS2_01 6 1 +RD_19 RS2_02 3 1 +RD_19 RS2_03 8 1 +RD_19 RS2_04 6 1 +RD_19 RS2_05 3 1 +RD_19 RS2_06 2 1 +RD_19 RS2_07 3 1 +RD_19 RS2_08 5 1 +RD_19 RS2_09 2 1 +RD_19 RS2_0a 11 1 +RD_19 RS2_0b 3 1 +RD_19 RS2_0c 5 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 2 1 +RD_19 RS2_0f 3 1 +RD_19 RS2_10 7 1 +RD_19 RS2_11 1 1 +RD_19 RS2_12 7 1 +RD_19 RS2_13 6 1 +RD_19 RS2_14 7 1 +RD_19 RS2_15 2 1 +RD_19 RS2_16 5 1 +RD_19 RS2_17 10 1 +RD_19 RS2_18 5 1 +RD_19 RS2_19 6 1 +RD_19 RS2_1a 3 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 2 1 +RD_19 RS2_1d 3 1 +RD_19 RS2_1e 4 1 +RD_19 RS2_1f 4 1 +RD_1a RS2_00 6 1 +RD_1a RS2_01 4 1 +RD_1a RS2_02 6 1 +RD_1a RS2_03 4 1 +RD_1a RS2_04 3 1 +RD_1a RS2_05 2 1 +RD_1a RS2_06 6 1 +RD_1a RS2_07 5 1 +RD_1a RS2_08 4 1 +RD_1a RS2_09 5 1 +RD_1a RS2_0a 3 1 +RD_1a RS2_0b 5 1 +RD_1a RS2_0c 4 1 +RD_1a RS2_0d 7 1 +RD_1a RS2_0e 5 1 +RD_1a RS2_0f 4 1 +RD_1a RS2_10 5 1 +RD_1a RS2_11 1 1 +RD_1a RS2_12 6 1 +RD_1a RS2_13 6 1 +RD_1a RS2_14 3 1 +RD_1a RS2_15 5 1 +RD_1a RS2_16 3 1 +RD_1a RS2_17 6 1 +RD_1a RS2_18 3 1 +RD_1a RS2_19 4 1 +RD_1a RS2_1a 5 1 +RD_1a RS2_1b 1 1 +RD_1a RS2_1c 9 1 +RD_1a RS2_1d 3 1 +RD_1a RS2_1e 2 1 +RD_1a RS2_1f 3 1 +RD_1b RS2_00 6 1 +RD_1b RS2_01 9 1 +RD_1b RS2_02 3 1 +RD_1b RS2_03 6 1 +RD_1b RS2_04 5 1 +RD_1b RS2_05 5 1 +RD_1b RS2_07 6 1 +RD_1b RS2_08 5 1 +RD_1b RS2_09 4 1 +RD_1b RS2_0a 5 1 +RD_1b RS2_0b 9 1 +RD_1b RS2_0c 4 1 +RD_1b RS2_0d 6 1 +RD_1b RS2_0e 8 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 5 1 +RD_1b RS2_11 7 1 +RD_1b RS2_12 2 1 +RD_1b RS2_13 4 1 +RD_1b RS2_14 2 1 +RD_1b RS2_15 7 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 4 1 +RD_1b RS2_18 2 1 +RD_1b RS2_19 1 1 +RD_1b RS2_1a 3 1 +RD_1b RS2_1b 5 1 +RD_1b RS2_1c 2 1 +RD_1b RS2_1d 8 1 +RD_1b RS2_1e 7 1 +RD_1b RS2_1f 9 1 +RD_1c RS2_00 4 1 +RD_1c RS2_01 3 1 +RD_1c RS2_02 6 1 +RD_1c RS2_03 4 1 +RD_1c RS2_04 4 1 +RD_1c RS2_05 4 1 +RD_1c RS2_06 5 1 +RD_1c RS2_07 3 1 +RD_1c RS2_08 3 1 +RD_1c RS2_09 4 1 +RD_1c RS2_0a 5 1 +RD_1c RS2_0b 2 1 +RD_1c RS2_0c 4 1 +RD_1c RS2_0e 1 1 +RD_1c RS2_0f 4 1 +RD_1c RS2_10 4 1 +RD_1c RS2_11 2 1 +RD_1c RS2_12 5 1 +RD_1c RS2_13 6 1 +RD_1c RS2_14 9 1 +RD_1c RS2_15 11 1 +RD_1c RS2_16 4 1 +RD_1c RS2_17 8 1 +RD_1c RS2_18 5 1 +RD_1c RS2_19 5 1 +RD_1c RS2_1a 7 1 +RD_1c RS2_1b 3 1 +RD_1c RS2_1c 5 1 +RD_1c RS2_1d 3 1 +RD_1c RS2_1e 8 1 +RD_1c RS2_1f 7 1 +RD_1d RS2_00 6 1 +RD_1d RS2_01 3 1 +RD_1d RS2_02 5 1 +RD_1d RS2_03 1 1 +RD_1d RS2_04 11 1 +RD_1d RS2_05 5 1 +RD_1d RS2_06 7 1 +RD_1d RS2_07 8 1 +RD_1d RS2_08 3 1 +RD_1d RS2_09 6 1 +RD_1d RS2_0a 2 1 +RD_1d RS2_0b 6 1 +RD_1d RS2_0c 6 1 +RD_1d RS2_0d 7 1 +RD_1d RS2_0e 8 1 +RD_1d RS2_0f 6 1 +RD_1d RS2_10 8 1 +RD_1d RS2_11 9 1 +RD_1d RS2_12 5 1 +RD_1d RS2_13 7 1 +RD_1d RS2_14 10 1 +RD_1d RS2_15 4 1 +RD_1d RS2_16 5 1 +RD_1d RS2_17 6 1 +RD_1d RS2_18 2 1 +RD_1d RS2_19 3 1 +RD_1d RS2_1a 5 1 +RD_1d RS2_1b 2 1 +RD_1d RS2_1c 4 1 +RD_1d RS2_1d 4 1 +RD_1d RS2_1e 9 1 +RD_1d RS2_1f 4 1 +RD_1e RS2_00 2 1 +RD_1e RS2_01 4 1 +RD_1e RS2_02 3 1 +RD_1e RS2_03 3 1 +RD_1e RS2_04 6 1 +RD_1e RS2_05 4 1 +RD_1e RS2_06 3 1 +RD_1e RS2_07 5 1 +RD_1e RS2_08 5 1 +RD_1e RS2_09 5 1 +RD_1e RS2_0a 8 1 +RD_1e RS2_0b 4 1 +RD_1e RS2_0c 2 1 +RD_1e RS2_0d 2 1 +RD_1e RS2_0e 7 1 +RD_1e RS2_0f 3 1 +RD_1e RS2_10 2 1 +RD_1e RS2_11 11 1 +RD_1e RS2_12 10 1 +RD_1e RS2_13 6 1 +RD_1e RS2_14 6 1 +RD_1e RS2_15 9 1 +RD_1e RS2_16 6 1 +RD_1e RS2_17 3 1 +RD_1e RS2_18 4 1 +RD_1e RS2_19 8 1 +RD_1e RS2_1a 3 1 +RD_1e RS2_1b 2 1 +RD_1e RS2_1c 1 1 +RD_1e RS2_1d 1 1 +RD_1e RS2_1e 8 1 +RD_1e RS2_1f 6 1 +RD_1f RS2_00 2 1 +RD_1f RS2_01 2 1 +RD_1f RS2_02 4 1 +RD_1f RS2_03 11 1 +RD_1f RS2_04 7 1 +RD_1f RS2_05 5 1 +RD_1f RS2_06 4 1 +RD_1f RS2_07 6 1 +RD_1f RS2_08 3 1 +RD_1f RS2_09 8 1 +RD_1f RS2_0a 3 1 +RD_1f RS2_0b 9 1 +RD_1f RS2_0c 4 1 +RD_1f RS2_0d 4 1 +RD_1f RS2_0e 2 1 +RD_1f RS2_0f 1 1 +RD_1f RS2_10 7 1 +RD_1f RS2_11 2 1 +RD_1f RS2_12 4 1 +RD_1f RS2_13 7 1 +RD_1f RS2_14 4 1 +RD_1f RS2_15 3 1 +RD_1f RS2_16 5 1 +RD_1f RS2_17 6 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 9 1 +RD_1f RS2_1a 5 1 +RD_1f RS2_1b 3 1 +RD_1f RS2_1c 11 1 +RD_1f RS2_1d 6 1 +RD_1f RS2_1e 6 1 +RD_1f RS2_1f 7 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_double_add_rs2_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.78 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 16 2032 99.22 + + +Variables for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 10 1014 99.02 100 1 1 0 +cross_rd_rs2 1024 6 1018 99.41 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 163 1 +RD_01 183 1 +RD_02 175 1 +RD_03 178 1 +RD_04 161 1 +RD_05 167 1 +RD_06 141 1 +RD_07 173 1 +RD_08 150 1 +RD_09 158 1 +RD_0a 163 1 +RD_0b 173 1 +RD_0c 165 1 +RD_0d 170 1 +RD_0e 156 1 +RD_0f 143 1 +RD_10 157 1 +RD_11 182 1 +RD_12 160 1 +RD_13 162 1 +RD_14 164 1 +RD_15 191 1 +RD_16 186 1 +RD_17 173 1 +RD_18 155 1 +RD_19 132 1 +RD_1a 149 1 +RD_1b 173 1 +RD_1c 145 1 +RD_1d 186 1 +RD_1e 195 1 +RD_1f 161 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 176 1 +RS1_01 159 1 +RS1_02 152 1 +RS1_03 156 1 +RS1_04 170 1 +RS1_05 165 1 +RS1_06 188 1 +RS1_07 155 1 +RS1_08 158 1 +RS1_09 141 1 +RS1_0a 191 1 +RS1_0b 137 1 +RS1_0c 184 1 +RS1_0d 168 1 +RS1_0e 159 1 +RS1_0f 193 1 +RS1_10 172 1 +RS1_11 186 1 +RS1_12 170 1 +RS1_13 168 1 +RS1_14 136 1 +RS1_15 167 1 +RS1_16 171 1 +RS1_17 169 1 +RS1_18 144 1 +RS1_19 146 1 +RS1_1a 166 1 +RS1_1b 162 1 +RS1_1c 191 1 +RS1_1d 170 1 +RS1_1e 149 1 +RS1_1f 171 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 160 1 +RS2_01 155 1 +RS2_02 154 1 +RS2_03 154 1 +RS2_04 166 1 +RS2_05 152 1 +RS2_06 157 1 +RS2_07 181 1 +RS2_08 173 1 +RS2_09 206 1 +RS2_0a 169 1 +RS2_0b 171 1 +RS2_0c 138 1 +RS2_0d 173 1 +RS2_0e 166 1 +RS2_0f 175 1 +RS2_10 172 1 +RS2_11 178 1 +RS2_12 174 1 +RS2_13 167 1 +RS2_14 148 1 +RS2_15 171 1 +RS2_16 186 1 +RS2_17 149 1 +RS2_18 183 1 +RS2_19 165 1 +RS2_1a 158 1 +RS2_1b 151 1 +RS2_1c 160 1 +RS2_1d 168 1 +RS2_1e 165 1 +RS2_1f 145 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2497 1 +BIT30_1 1978 1 +BIT29_1 2026 1 +BIT28_1 2006 1 +BIT27_1 1914 1 +BIT26_1 1922 1 +BIT25_1 1908 1 +BIT24_1 1922 1 +BIT23_1 1877 1 +BIT22_1 1948 1 +BIT21_1 1931 1 +BIT20_1 1936 1 +BIT19_1 1919 1 +BIT18_1 1911 1 +BIT17_1 1890 1 +BIT16_1 2042 1 +BIT15_1 2200 1 +BIT14_1 2182 1 +BIT13_1 2040 1 +BIT12_1 2444 1 +BIT11_1 2393 1 +BIT10_1 2396 1 +BIT9_1 2174 1 +BIT8_1 1989 1 +BIT7_1 2248 1 +BIT6_1 1989 1 +BIT5_1 2006 1 +BIT4_1 2502 1 +BIT3_1 2498 1 +BIT2_1 2417 1 +BIT1_1 1998 1 +BIT0_1 1666 1 +BIT31_0 2792 1 +BIT30_0 3311 1 +BIT29_0 3263 1 +BIT28_0 3283 1 +BIT27_0 3375 1 +BIT26_0 3367 1 +BIT25_0 3381 1 +BIT24_0 3367 1 +BIT23_0 3412 1 +BIT22_0 3341 1 +BIT21_0 3358 1 +BIT20_0 3353 1 +BIT19_0 3370 1 +BIT18_0 3378 1 +BIT17_0 3399 1 +BIT16_0 3247 1 +BIT15_0 3089 1 +BIT14_0 3107 1 +BIT13_0 3249 1 +BIT12_0 2845 1 +BIT11_0 2896 1 +BIT10_0 2893 1 +BIT9_0 3115 1 +BIT8_0 3300 1 +BIT7_0 3041 1 +BIT6_0 3300 1 +BIT5_0 3283 1 +BIT4_0 2787 1 +BIT3_0 2791 1 +BIT2_0 2872 1 +BIT1_0 3291 1 +BIT0_0 3623 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2514 1 +BIT30_1 2011 1 +BIT29_1 1959 1 +BIT28_1 1933 1 +BIT27_1 1873 1 +BIT26_1 1924 1 +BIT25_1 1925 1 +BIT24_1 1912 1 +BIT23_1 1931 1 +BIT22_1 1890 1 +BIT21_1 1884 1 +BIT20_1 1901 1 +BIT19_1 1856 1 +BIT18_1 1930 1 +BIT17_1 1882 1 +BIT16_1 1949 1 +BIT15_1 2138 1 +BIT14_1 2124 1 +BIT13_1 2024 1 +BIT12_1 2286 1 +BIT11_1 2355 1 +BIT10_1 2327 1 +BIT9_1 2046 1 +BIT8_1 2007 1 +BIT7_1 2173 1 +BIT6_1 2010 1 +BIT5_1 1957 1 +BIT4_1 2425 1 +BIT3_1 2500 1 +BIT2_1 2439 1 +BIT1_1 1979 1 +BIT0_1 1745 1 +BIT31_0 2776 1 +BIT30_0 3279 1 +BIT29_0 3331 1 +BIT28_0 3357 1 +BIT27_0 3417 1 +BIT26_0 3366 1 +BIT25_0 3365 1 +BIT24_0 3378 1 +BIT23_0 3359 1 +BIT22_0 3400 1 +BIT21_0 3406 1 +BIT20_0 3389 1 +BIT19_0 3434 1 +BIT18_0 3360 1 +BIT17_0 3408 1 +BIT16_0 3341 1 +BIT15_0 3152 1 +BIT14_0 3166 1 +BIT13_0 3266 1 +BIT12_0 3004 1 +BIT11_0 2935 1 +BIT10_0 2963 1 +BIT9_0 3244 1 +BIT8_0 3283 1 +BIT7_0 3117 1 +BIT6_0 3280 1 +BIT5_0 3333 1 +BIT4_0 2865 1 +BIT3_0 2790 1 +BIT2_0 2851 1 +BIT1_0 3311 1 +BIT0_0 3545 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 10 1014 99.02 10 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_08] [RS1_07] 0 1 1 +[RD_09] [RS1_18] 0 1 1 +[RD_0d] [RS1_08] 0 1 1 +[RD_0e] [RS1_18] 0 1 1 +[RD_10] [RS1_09] 0 1 1 +[RD_11] [RS1_14] 0 1 1 +[RD_19] [RS1_08] 0 1 1 +[RD_1a] [RS1_05] 0 1 1 +[RD_1a] [RS1_1b] 0 1 1 +[RD_1d] [RS1_09] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 7 1 +RD_00 RS1_01 5 1 +RD_00 RS1_02 7 1 +RD_00 RS1_03 6 1 +RD_00 RS1_04 2 1 +RD_00 RS1_05 3 1 +RD_00 RS1_06 9 1 +RD_00 RS1_07 8 1 +RD_00 RS1_08 6 1 +RD_00 RS1_09 5 1 +RD_00 RS1_0a 3 1 +RD_00 RS1_0b 4 1 +RD_00 RS1_0c 6 1 +RD_00 RS1_0d 5 1 +RD_00 RS1_0e 6 1 +RD_00 RS1_0f 3 1 +RD_00 RS1_10 4 1 +RD_00 RS1_11 9 1 +RD_00 RS1_12 4 1 +RD_00 RS1_13 1 1 +RD_00 RS1_14 8 1 +RD_00 RS1_15 1 1 +RD_00 RS1_16 5 1 +RD_00 RS1_17 3 1 +RD_00 RS1_18 5 1 +RD_00 RS1_19 7 1 +RD_00 RS1_1a 8 1 +RD_00 RS1_1b 4 1 +RD_00 RS1_1c 6 1 +RD_00 RS1_1d 6 1 +RD_00 RS1_1e 3 1 +RD_00 RS1_1f 4 1 +RD_01 RS1_00 5 1 +RD_01 RS1_01 4 1 +RD_01 RS1_02 8 1 +RD_01 RS1_03 7 1 +RD_01 RS1_04 2 1 +RD_01 RS1_05 9 1 +RD_01 RS1_06 7 1 +RD_01 RS1_07 8 1 +RD_01 RS1_08 12 1 +RD_01 RS1_09 3 1 +RD_01 RS1_0a 4 1 +RD_01 RS1_0b 8 1 +RD_01 RS1_0c 9 1 +RD_01 RS1_0d 5 1 +RD_01 RS1_0e 4 1 +RD_01 RS1_0f 8 1 +RD_01 RS1_10 6 1 +RD_01 RS1_11 2 1 +RD_01 RS1_12 6 1 +RD_01 RS1_13 2 1 +RD_01 RS1_14 7 1 +RD_01 RS1_15 2 1 +RD_01 RS1_16 2 1 +RD_01 RS1_17 5 1 +RD_01 RS1_18 4 1 +RD_01 RS1_19 8 1 +RD_01 RS1_1a 8 1 +RD_01 RS1_1b 7 1 +RD_01 RS1_1c 5 1 +RD_01 RS1_1d 8 1 +RD_01 RS1_1e 4 1 +RD_01 RS1_1f 4 1 +RD_02 RS1_00 2 1 +RD_02 RS1_01 8 1 +RD_02 RS1_02 3 1 +RD_02 RS1_03 10 1 +RD_02 RS1_04 4 1 +RD_02 RS1_05 1 1 +RD_02 RS1_06 4 1 +RD_02 RS1_07 3 1 +RD_02 RS1_08 8 1 +RD_02 RS1_09 5 1 +RD_02 RS1_0a 7 1 +RD_02 RS1_0b 7 1 +RD_02 RS1_0c 11 1 +RD_02 RS1_0d 8 1 +RD_02 RS1_0e 6 1 +RD_02 RS1_0f 10 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 3 1 +RD_02 RS1_12 6 1 +RD_02 RS1_13 4 1 +RD_02 RS1_14 5 1 +RD_02 RS1_15 5 1 +RD_02 RS1_16 10 1 +RD_02 RS1_17 2 1 +RD_02 RS1_18 10 1 +RD_02 RS1_19 8 1 +RD_02 RS1_1a 1 1 +RD_02 RS1_1b 4 1 +RD_02 RS1_1c 9 1 +RD_02 RS1_1d 2 1 +RD_02 RS1_1e 1 1 +RD_02 RS1_1f 4 1 +RD_03 RS1_00 3 1 +RD_03 RS1_01 3 1 +RD_03 RS1_02 4 1 +RD_03 RS1_03 4 1 +RD_03 RS1_04 10 1 +RD_03 RS1_05 4 1 +RD_03 RS1_06 5 1 +RD_03 RS1_07 6 1 +RD_03 RS1_08 6 1 +RD_03 RS1_09 4 1 +RD_03 RS1_0a 7 1 +RD_03 RS1_0b 8 1 +RD_03 RS1_0c 3 1 +RD_03 RS1_0d 4 1 +RD_03 RS1_0e 4 1 +RD_03 RS1_0f 4 1 +RD_03 RS1_10 13 1 +RD_03 RS1_11 7 1 +RD_03 RS1_12 7 1 +RD_03 RS1_13 6 1 +RD_03 RS1_14 4 1 +RD_03 RS1_15 7 1 +RD_03 RS1_16 9 1 +RD_03 RS1_17 11 1 +RD_03 RS1_18 4 1 +RD_03 RS1_19 8 1 +RD_03 RS1_1a 3 1 +RD_03 RS1_1b 4 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 4 1 +RD_03 RS1_1e 2 1 +RD_03 RS1_1f 3 1 +RD_04 RS1_00 1 1 +RD_04 RS1_01 4 1 +RD_04 RS1_02 5 1 +RD_04 RS1_03 3 1 +RD_04 RS1_04 3 1 +RD_04 RS1_05 12 1 +RD_04 RS1_06 5 1 +RD_04 RS1_07 3 1 +RD_04 RS1_08 5 1 +RD_04 RS1_09 3 1 +RD_04 RS1_0a 5 1 +RD_04 RS1_0b 8 1 +RD_04 RS1_0c 4 1 +RD_04 RS1_0d 3 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 5 1 +RD_04 RS1_10 5 1 +RD_04 RS1_11 6 1 +RD_04 RS1_12 6 1 +RD_04 RS1_13 7 1 +RD_04 RS1_14 4 1 +RD_04 RS1_15 7 1 +RD_04 RS1_16 3 1 +RD_04 RS1_17 6 1 +RD_04 RS1_18 5 1 +RD_04 RS1_19 6 1 +RD_04 RS1_1a 3 1 +RD_04 RS1_1b 8 1 +RD_04 RS1_1c 5 1 +RD_04 RS1_1d 8 1 +RD_04 RS1_1e 3 1 +RD_04 RS1_1f 4 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 1 1 +RD_05 RS1_02 5 1 +RD_05 RS1_03 9 1 +RD_05 RS1_04 3 1 +RD_05 RS1_05 3 1 +RD_05 RS1_06 6 1 +RD_05 RS1_07 6 1 +RD_05 RS1_08 4 1 +RD_05 RS1_09 9 1 +RD_05 RS1_0a 4 1 +RD_05 RS1_0b 3 1 +RD_05 RS1_0c 5 1 +RD_05 RS1_0d 3 1 +RD_05 RS1_0e 2 1 +RD_05 RS1_0f 5 1 +RD_05 RS1_10 4 1 +RD_05 RS1_11 6 1 +RD_05 RS1_12 8 1 +RD_05 RS1_13 7 1 +RD_05 RS1_14 7 1 +RD_05 RS1_15 3 1 +RD_05 RS1_16 5 1 +RD_05 RS1_17 8 1 +RD_05 RS1_18 1 1 +RD_05 RS1_19 5 1 +RD_05 RS1_1a 5 1 +RD_05 RS1_1b 5 1 +RD_05 RS1_1c 8 1 +RD_05 RS1_1d 10 1 +RD_05 RS1_1e 4 1 +RD_05 RS1_1f 7 1 +RD_06 RS1_00 8 1 +RD_06 RS1_01 5 1 +RD_06 RS1_02 3 1 +RD_06 RS1_03 2 1 +RD_06 RS1_04 5 1 +RD_06 RS1_05 8 1 +RD_06 RS1_06 1 1 +RD_06 RS1_07 5 1 +RD_06 RS1_08 3 1 +RD_06 RS1_09 3 1 +RD_06 RS1_0a 9 1 +RD_06 RS1_0b 3 1 +RD_06 RS1_0c 2 1 +RD_06 RS1_0d 4 1 +RD_06 RS1_0e 6 1 +RD_06 RS1_0f 1 1 +RD_06 RS1_10 4 1 +RD_06 RS1_11 2 1 +RD_06 RS1_12 5 1 +RD_06 RS1_13 4 1 +RD_06 RS1_14 3 1 +RD_06 RS1_15 5 1 +RD_06 RS1_16 4 1 +RD_06 RS1_17 8 1 +RD_06 RS1_18 8 1 +RD_06 RS1_19 2 1 +RD_06 RS1_1a 7 1 +RD_06 RS1_1b 7 1 +RD_06 RS1_1c 4 1 +RD_06 RS1_1d 3 1 +RD_06 RS1_1e 6 1 +RD_06 RS1_1f 1 1 +RD_07 RS1_00 6 1 +RD_07 RS1_01 11 1 +RD_07 RS1_02 7 1 +RD_07 RS1_03 2 1 +RD_07 RS1_04 9 1 +RD_07 RS1_05 4 1 +RD_07 RS1_06 7 1 +RD_07 RS1_07 5 1 +RD_07 RS1_08 3 1 +RD_07 RS1_09 6 1 +RD_07 RS1_0a 4 1 +RD_07 RS1_0b 5 1 +RD_07 RS1_0c 9 1 +RD_07 RS1_0d 2 1 +RD_07 RS1_0e 3 1 +RD_07 RS1_0f 10 1 +RD_07 RS1_10 4 1 +RD_07 RS1_11 7 1 +RD_07 RS1_12 2 1 +RD_07 RS1_13 5 1 +RD_07 RS1_14 2 1 +RD_07 RS1_15 3 1 +RD_07 RS1_16 7 1 +RD_07 RS1_17 7 1 +RD_07 RS1_18 5 1 +RD_07 RS1_19 5 1 +RD_07 RS1_1a 6 1 +RD_07 RS1_1b 5 1 +RD_07 RS1_1c 5 1 +RD_07 RS1_1d 5 1 +RD_07 RS1_1e 3 1 +RD_07 RS1_1f 9 1 +RD_08 RS1_00 3 1 +RD_08 RS1_01 4 1 +RD_08 RS1_02 2 1 +RD_08 RS1_03 2 1 +RD_08 RS1_04 3 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 1 1 +RD_08 RS1_08 2 1 +RD_08 RS1_09 4 1 +RD_08 RS1_0a 5 1 +RD_08 RS1_0b 2 1 +RD_08 RS1_0c 5 1 +RD_08 RS1_0d 10 1 +RD_08 RS1_0e 4 1 +RD_08 RS1_0f 6 1 +RD_08 RS1_10 6 1 +RD_08 RS1_11 5 1 +RD_08 RS1_12 8 1 +RD_08 RS1_13 5 1 +RD_08 RS1_14 3 1 +RD_08 RS1_15 7 1 +RD_08 RS1_16 6 1 +RD_08 RS1_17 9 1 +RD_08 RS1_18 4 1 +RD_08 RS1_19 4 1 +RD_08 RS1_1a 10 1 +RD_08 RS1_1b 3 1 +RD_08 RS1_1c 8 1 +RD_08 RS1_1d 3 1 +RD_08 RS1_1e 6 1 +RD_08 RS1_1f 5 1 +RD_09 RS1_00 9 1 +RD_09 RS1_01 5 1 +RD_09 RS1_02 8 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 12 1 +RD_09 RS1_05 6 1 +RD_09 RS1_06 7 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 9 1 +RD_09 RS1_09 3 1 +RD_09 RS1_0a 6 1 +RD_09 RS1_0b 2 1 +RD_09 RS1_0c 10 1 +RD_09 RS1_0d 5 1 +RD_09 RS1_0e 2 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 5 1 +RD_09 RS1_12 8 1 +RD_09 RS1_13 4 1 +RD_09 RS1_14 2 1 +RD_09 RS1_15 4 1 +RD_09 RS1_16 2 1 +RD_09 RS1_17 7 1 +RD_09 RS1_19 1 1 +RD_09 RS1_1a 3 1 +RD_09 RS1_1b 5 1 +RD_09 RS1_1c 3 1 +RD_09 RS1_1d 3 1 +RD_09 RS1_1e 6 1 +RD_09 RS1_1f 1 1 +RD_0a RS1_00 7 1 +RD_0a RS1_01 11 1 +RD_0a RS1_02 9 1 +RD_0a RS1_03 7 1 +RD_0a RS1_04 3 1 +RD_0a RS1_05 3 1 +RD_0a RS1_06 4 1 +RD_0a RS1_07 3 1 +RD_0a RS1_08 6 1 +RD_0a RS1_09 3 1 +RD_0a RS1_0a 8 1 +RD_0a RS1_0b 5 1 +RD_0a RS1_0c 10 1 +RD_0a RS1_0d 3 1 +RD_0a RS1_0e 3 1 +RD_0a RS1_0f 6 1 +RD_0a RS1_10 2 1 +RD_0a RS1_11 7 1 +RD_0a RS1_12 2 1 +RD_0a RS1_13 7 1 +RD_0a RS1_14 5 1 +RD_0a RS1_15 3 1 +RD_0a RS1_16 9 1 +RD_0a RS1_17 3 1 +RD_0a RS1_18 4 1 +RD_0a RS1_19 1 1 +RD_0a RS1_1a 8 1 +RD_0a RS1_1b 2 1 +RD_0a RS1_1c 3 1 +RD_0a RS1_1d 4 1 +RD_0a RS1_1e 6 1 +RD_0a RS1_1f 6 1 +RD_0b RS1_00 8 1 +RD_0b RS1_01 7 1 +RD_0b RS1_02 1 1 +RD_0b RS1_03 8 1 +RD_0b RS1_04 2 1 +RD_0b RS1_05 2 1 +RD_0b RS1_06 5 1 +RD_0b RS1_07 4 1 +RD_0b RS1_08 5 1 +RD_0b RS1_09 3 1 +RD_0b RS1_0a 8 1 +RD_0b RS1_0b 10 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 6 1 +RD_0b RS1_0e 6 1 +RD_0b RS1_0f 4 1 +RD_0b RS1_10 1 1 +RD_0b RS1_11 6 1 +RD_0b RS1_12 5 1 +RD_0b RS1_13 2 1 +RD_0b RS1_14 8 1 +RD_0b RS1_15 6 1 +RD_0b RS1_16 8 1 +RD_0b RS1_17 4 1 +RD_0b RS1_18 9 1 +RD_0b RS1_19 4 1 +RD_0b RS1_1a 6 1 +RD_0b RS1_1b 6 1 +RD_0b RS1_1c 6 1 +RD_0b RS1_1d 4 1 +RD_0b RS1_1e 8 1 +RD_0b RS1_1f 5 1 +RD_0c RS1_00 6 1 +RD_0c RS1_01 5 1 +RD_0c RS1_02 1 1 +RD_0c RS1_03 5 1 +RD_0c RS1_04 3 1 +RD_0c RS1_05 3 1 +RD_0c RS1_06 4 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 2 1 +RD_0c RS1_09 5 1 +RD_0c RS1_0a 7 1 +RD_0c RS1_0b 5 1 +RD_0c RS1_0c 3 1 +RD_0c RS1_0d 3 1 +RD_0c RS1_0e 4 1 +RD_0c RS1_0f 8 1 +RD_0c RS1_10 9 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 1 1 +RD_0c RS1_13 10 1 +RD_0c RS1_14 2 1 +RD_0c RS1_15 6 1 +RD_0c RS1_16 7 1 +RD_0c RS1_17 7 1 +RD_0c RS1_18 5 1 +RD_0c RS1_19 5 1 +RD_0c RS1_1a 10 1 +RD_0c RS1_1b 5 1 +RD_0c RS1_1c 3 1 +RD_0c RS1_1d 8 1 +RD_0c RS1_1e 8 1 +RD_0c RS1_1f 9 1 +RD_0d RS1_00 4 1 +RD_0d RS1_01 4 1 +RD_0d RS1_02 1 1 +RD_0d RS1_03 4 1 +RD_0d RS1_04 7 1 +RD_0d RS1_05 9 1 +RD_0d RS1_06 12 1 +RD_0d RS1_07 5 1 +RD_0d RS1_09 2 1 +RD_0d RS1_0a 6 1 +RD_0d RS1_0b 6 1 +RD_0d RS1_0c 6 1 +RD_0d RS1_0d 2 1 +RD_0d RS1_0e 6 1 +RD_0d RS1_0f 2 1 +RD_0d RS1_10 5 1 +RD_0d RS1_11 4 1 +RD_0d RS1_12 2 1 +RD_0d RS1_13 8 1 +RD_0d RS1_14 8 1 +RD_0d RS1_15 3 1 +RD_0d RS1_16 7 1 +RD_0d RS1_17 3 1 +RD_0d RS1_18 7 1 +RD_0d RS1_19 3 1 +RD_0d RS1_1a 7 1 +RD_0d RS1_1b 8 1 +RD_0d RS1_1c 11 1 +RD_0d RS1_1d 10 1 +RD_0d RS1_1e 2 1 +RD_0d RS1_1f 6 1 +RD_0e RS1_00 5 1 +RD_0e RS1_01 2 1 +RD_0e RS1_02 1 1 +RD_0e RS1_03 9 1 +RD_0e RS1_04 6 1 +RD_0e RS1_05 7 1 +RD_0e RS1_06 14 1 +RD_0e RS1_07 3 1 +RD_0e RS1_08 3 1 +RD_0e RS1_09 7 1 +RD_0e RS1_0a 6 1 +RD_0e RS1_0b 3 1 +RD_0e RS1_0c 7 1 +RD_0e RS1_0d 6 1 +RD_0e RS1_0e 10 1 +RD_0e RS1_0f 1 1 +RD_0e RS1_10 6 1 +RD_0e RS1_11 2 1 +RD_0e RS1_12 8 1 +RD_0e RS1_13 6 1 +RD_0e RS1_14 3 1 +RD_0e RS1_15 7 1 +RD_0e RS1_16 5 1 +RD_0e RS1_17 6 1 +RD_0e RS1_19 5 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 2 1 +RD_0e RS1_1c 4 1 +RD_0e RS1_1d 3 1 +RD_0e RS1_1e 5 1 +RD_0e RS1_1f 2 1 +RD_0f RS1_00 6 1 +RD_0f RS1_01 3 1 +RD_0f RS1_02 1 1 +RD_0f RS1_03 2 1 +RD_0f RS1_04 6 1 +RD_0f RS1_05 6 1 +RD_0f RS1_06 3 1 +RD_0f RS1_07 2 1 +RD_0f RS1_08 2 1 +RD_0f RS1_09 1 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 6 1 +RD_0f RS1_0c 2 1 +RD_0f RS1_0d 7 1 +RD_0f RS1_0e 3 1 +RD_0f RS1_0f 6 1 +RD_0f RS1_10 7 1 +RD_0f RS1_11 10 1 +RD_0f RS1_12 10 1 +RD_0f RS1_13 3 1 +RD_0f RS1_14 6 1 +RD_0f RS1_15 4 1 +RD_0f RS1_16 6 1 +RD_0f RS1_17 6 1 +RD_0f RS1_18 2 1 +RD_0f RS1_19 6 1 +RD_0f RS1_1a 5 1 +RD_0f RS1_1b 3 1 +RD_0f RS1_1c 4 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 3 1 +RD_0f RS1_1f 4 1 +RD_10 RS1_00 9 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 6 1 +RD_10 RS1_03 7 1 +RD_10 RS1_04 7 1 +RD_10 RS1_05 2 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 10 1 +RD_10 RS1_08 2 1 +RD_10 RS1_0a 5 1 +RD_10 RS1_0b 1 1 +RD_10 RS1_0c 5 1 +RD_10 RS1_0d 5 1 +RD_10 RS1_0e 3 1 +RD_10 RS1_0f 6 1 +RD_10 RS1_10 8 1 +RD_10 RS1_11 6 1 +RD_10 RS1_12 4 1 +RD_10 RS1_13 5 1 +RD_10 RS1_14 1 1 +RD_10 RS1_15 6 1 +RD_10 RS1_16 3 1 +RD_10 RS1_17 7 1 +RD_10 RS1_18 3 1 +RD_10 RS1_19 7 1 +RD_10 RS1_1a 5 1 +RD_10 RS1_1b 4 1 +RD_10 RS1_1c 9 1 +RD_10 RS1_1d 7 1 +RD_10 RS1_1e 1 1 +RD_10 RS1_1f 7 1 +RD_11 RS1_00 14 1 +RD_11 RS1_01 4 1 +RD_11 RS1_02 4 1 +RD_11 RS1_03 3 1 +RD_11 RS1_04 12 1 +RD_11 RS1_05 8 1 +RD_11 RS1_06 6 1 +RD_11 RS1_07 1 1 +RD_11 RS1_08 10 1 +RD_11 RS1_09 6 1 +RD_11 RS1_0a 3 1 +RD_11 RS1_0b 3 1 +RD_11 RS1_0c 4 1 +RD_11 RS1_0d 9 1 +RD_11 RS1_0e 7 1 +RD_11 RS1_0f 4 1 +RD_11 RS1_10 4 1 +RD_11 RS1_11 5 1 +RD_11 RS1_12 3 1 +RD_11 RS1_13 9 1 +RD_11 RS1_15 5 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 2 1 +RD_11 RS1_18 6 1 +RD_11 RS1_19 5 1 +RD_11 RS1_1a 5 1 +RD_11 RS1_1b 7 1 +RD_11 RS1_1c 13 1 +RD_11 RS1_1d 6 1 +RD_11 RS1_1e 4 1 +RD_11 RS1_1f 6 1 +RD_12 RS1_00 6 1 +RD_12 RS1_01 5 1 +RD_12 RS1_02 5 1 +RD_12 RS1_03 3 1 +RD_12 RS1_04 4 1 +RD_12 RS1_05 3 1 +RD_12 RS1_06 2 1 +RD_12 RS1_07 6 1 +RD_12 RS1_08 5 1 +RD_12 RS1_09 6 1 +RD_12 RS1_0a 7 1 +RD_12 RS1_0b 3 1 +RD_12 RS1_0c 2 1 +RD_12 RS1_0d 9 1 +RD_12 RS1_0e 6 1 +RD_12 RS1_0f 6 1 +RD_12 RS1_10 6 1 +RD_12 RS1_11 8 1 +RD_12 RS1_12 7 1 +RD_12 RS1_13 6 1 +RD_12 RS1_14 1 1 +RD_12 RS1_15 6 1 +RD_12 RS1_16 2 1 +RD_12 RS1_17 6 1 +RD_12 RS1_18 2 1 +RD_12 RS1_19 5 1 +RD_12 RS1_1a 8 1 +RD_12 RS1_1b 7 1 +RD_12 RS1_1c 2 1 +RD_12 RS1_1d 4 1 +RD_12 RS1_1e 4 1 +RD_12 RS1_1f 8 1 +RD_13 RS1_00 9 1 +RD_13 RS1_01 1 1 +RD_13 RS1_02 4 1 +RD_13 RS1_03 3 1 +RD_13 RS1_04 4 1 +RD_13 RS1_05 5 1 +RD_13 RS1_06 8 1 +RD_13 RS1_07 6 1 +RD_13 RS1_08 6 1 +RD_13 RS1_09 4 1 +RD_13 RS1_0a 5 1 +RD_13 RS1_0b 4 1 +RD_13 RS1_0c 9 1 +RD_13 RS1_0d 6 1 +RD_13 RS1_0e 3 1 +RD_13 RS1_0f 4 1 +RD_13 RS1_10 9 1 +RD_13 RS1_11 3 1 +RD_13 RS1_12 2 1 +RD_13 RS1_13 6 1 +RD_13 RS1_14 6 1 +RD_13 RS1_15 7 1 +RD_13 RS1_16 4 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 3 1 +RD_13 RS1_19 3 1 +RD_13 RS1_1a 4 1 +RD_13 RS1_1b 7 1 +RD_13 RS1_1c 10 1 +RD_13 RS1_1d 5 1 +RD_13 RS1_1e 5 1 +RD_13 RS1_1f 3 1 +RD_14 RS1_00 3 1 +RD_14 RS1_01 6 1 +RD_14 RS1_02 7 1 +RD_14 RS1_03 2 1 +RD_14 RS1_04 2 1 +RD_14 RS1_05 7 1 +RD_14 RS1_06 3 1 +RD_14 RS1_07 3 1 +RD_14 RS1_08 7 1 +RD_14 RS1_09 5 1 +RD_14 RS1_0a 6 1 +RD_14 RS1_0b 3 1 +RD_14 RS1_0c 3 1 +RD_14 RS1_0d 3 1 +RD_14 RS1_0e 2 1 +RD_14 RS1_0f 6 1 +RD_14 RS1_10 5 1 +RD_14 RS1_11 13 1 +RD_14 RS1_12 6 1 +RD_14 RS1_13 2 1 +RD_14 RS1_14 8 1 +RD_14 RS1_15 6 1 +RD_14 RS1_16 5 1 +RD_14 RS1_17 4 1 +RD_14 RS1_18 6 1 +RD_14 RS1_19 5 1 +RD_14 RS1_1a 9 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 5 1 +RD_14 RS1_1d 3 1 +RD_14 RS1_1e 6 1 +RD_14 RS1_1f 9 1 +RD_15 RS1_00 4 1 +RD_15 RS1_01 6 1 +RD_15 RS1_02 1 1 +RD_15 RS1_03 4 1 +RD_15 RS1_04 8 1 +RD_15 RS1_05 8 1 +RD_15 RS1_06 7 1 +RD_15 RS1_07 6 1 +RD_15 RS1_08 6 1 +RD_15 RS1_09 5 1 +RD_15 RS1_0a 9 1 +RD_15 RS1_0b 3 1 +RD_15 RS1_0c 3 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 6 1 +RD_15 RS1_0f 9 1 +RD_15 RS1_10 3 1 +RD_15 RS1_11 4 1 +RD_15 RS1_12 10 1 +RD_15 RS1_13 4 1 +RD_15 RS1_14 4 1 +RD_15 RS1_15 9 1 +RD_15 RS1_16 13 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 7 1 +RD_15 RS1_19 3 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 11 1 +RD_15 RS1_1c 5 1 +RD_15 RS1_1d 8 1 +RD_15 RS1_1e 5 1 +RD_15 RS1_1f 5 1 +RD_16 RS1_00 7 1 +RD_16 RS1_01 3 1 +RD_16 RS1_02 12 1 +RD_16 RS1_03 10 1 +RD_16 RS1_04 6 1 +RD_16 RS1_05 3 1 +RD_16 RS1_06 8 1 +RD_16 RS1_07 4 1 +RD_16 RS1_08 3 1 +RD_16 RS1_09 3 1 +RD_16 RS1_0a 11 1 +RD_16 RS1_0b 6 1 +RD_16 RS1_0c 8 1 +RD_16 RS1_0d 8 1 +RD_16 RS1_0e 6 1 +RD_16 RS1_0f 7 1 +RD_16 RS1_10 6 1 +RD_16 RS1_11 3 1 +RD_16 RS1_12 7 1 +RD_16 RS1_13 6 1 +RD_16 RS1_14 5 1 +RD_16 RS1_15 3 1 +RD_16 RS1_16 4 1 +RD_16 RS1_17 5 1 +RD_16 RS1_18 2 1 +RD_16 RS1_19 6 1 +RD_16 RS1_1a 5 1 +RD_16 RS1_1b 4 1 +RD_16 RS1_1c 8 1 +RD_16 RS1_1d 5 1 +RD_16 RS1_1e 6 1 +RD_16 RS1_1f 6 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 5 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 7 1 +RD_17 RS1_04 8 1 +RD_17 RS1_05 4 1 +RD_17 RS1_06 7 1 +RD_17 RS1_07 2 1 +RD_17 RS1_08 6 1 +RD_17 RS1_09 6 1 +RD_17 RS1_0a 4 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 7 1 +RD_17 RS1_0d 4 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 7 1 +RD_17 RS1_10 9 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 3 1 +RD_17 RS1_13 4 1 +RD_17 RS1_14 4 1 +RD_17 RS1_15 7 1 +RD_17 RS1_16 2 1 +RD_17 RS1_17 3 1 +RD_17 RS1_18 4 1 +RD_17 RS1_19 6 1 +RD_17 RS1_1a 1 1 +RD_17 RS1_1b 7 1 +RD_17 RS1_1c 7 1 +RD_17 RS1_1d 6 1 +RD_17 RS1_1e 9 1 +RD_17 RS1_1f 8 1 +RD_18 RS1_00 5 1 +RD_18 RS1_01 2 1 +RD_18 RS1_02 9 1 +RD_18 RS1_03 6 1 +RD_18 RS1_04 4 1 +RD_18 RS1_05 7 1 +RD_18 RS1_06 8 1 +RD_18 RS1_07 3 1 +RD_18 RS1_08 3 1 +RD_18 RS1_09 2 1 +RD_18 RS1_0a 10 1 +RD_18 RS1_0b 3 1 +RD_18 RS1_0c 4 1 +RD_18 RS1_0d 8 1 +RD_18 RS1_0e 6 1 +RD_18 RS1_0f 7 1 +RD_18 RS1_10 8 1 +RD_18 RS1_11 2 1 +RD_18 RS1_12 1 1 +RD_18 RS1_13 3 1 +RD_18 RS1_14 6 1 +RD_18 RS1_15 6 1 +RD_18 RS1_16 4 1 +RD_18 RS1_17 7 1 +RD_18 RS1_18 5 1 +RD_18 RS1_19 2 1 +RD_18 RS1_1a 7 1 +RD_18 RS1_1b 4 1 +RD_18 RS1_1c 5 1 +RD_18 RS1_1d 5 1 +RD_18 RS1_1e 2 1 +RD_18 RS1_1f 1 1 +RD_19 RS1_00 5 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 3 1 +RD_19 RS1_03 1 1 +RD_19 RS1_04 1 1 +RD_19 RS1_05 3 1 +RD_19 RS1_06 5 1 +RD_19 RS1_07 2 1 +RD_19 RS1_09 5 1 +RD_19 RS1_0a 3 1 +RD_19 RS1_0b 2 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 5 1 +RD_19 RS1_0e 5 1 +RD_19 RS1_0f 7 1 +RD_19 RS1_10 5 1 +RD_19 RS1_11 7 1 +RD_19 RS1_12 6 1 +RD_19 RS1_13 4 1 +RD_19 RS1_14 4 1 +RD_19 RS1_15 7 1 +RD_19 RS1_16 6 1 +RD_19 RS1_17 2 1 +RD_19 RS1_18 3 1 +RD_19 RS1_19 5 1 +RD_19 RS1_1a 1 1 +RD_19 RS1_1b 6 1 +RD_19 RS1_1c 2 1 +RD_19 RS1_1d 8 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 5 1 +RD_1a RS1_00 2 1 +RD_1a RS1_01 7 1 +RD_1a RS1_02 3 1 +RD_1a RS1_03 6 1 +RD_1a RS1_04 8 1 +RD_1a RS1_06 8 1 +RD_1a RS1_07 5 1 +RD_1a RS1_08 6 1 +RD_1a RS1_09 4 1 +RD_1a RS1_0a 7 1 +RD_1a RS1_0b 3 1 +RD_1a RS1_0c 4 1 +RD_1a RS1_0d 3 1 +RD_1a RS1_0e 12 1 +RD_1a RS1_0f 5 1 +RD_1a RS1_10 1 1 +RD_1a RS1_11 6 1 +RD_1a RS1_12 9 1 +RD_1a RS1_13 2 1 +RD_1a RS1_14 2 1 +RD_1a RS1_15 7 1 +RD_1a RS1_16 4 1 +RD_1a RS1_17 2 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 3 1 +RD_1a RS1_1a 4 1 +RD_1a RS1_1c 6 1 +RD_1a RS1_1d 3 1 +RD_1a RS1_1e 5 1 +RD_1a RS1_1f 8 1 +RD_1b RS1_00 4 1 +RD_1b RS1_01 2 1 +RD_1b RS1_02 5 1 +RD_1b RS1_03 7 1 +RD_1b RS1_04 5 1 +RD_1b RS1_05 3 1 +RD_1b RS1_06 2 1 +RD_1b RS1_07 10 1 +RD_1b RS1_08 7 1 +RD_1b RS1_09 9 1 +RD_1b RS1_0a 5 1 +RD_1b RS1_0b 4 1 +RD_1b RS1_0c 7 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 7 1 +RD_1b RS1_0f 6 1 +RD_1b RS1_10 3 1 +RD_1b RS1_11 7 1 +RD_1b RS1_12 12 1 +RD_1b RS1_13 5 1 +RD_1b RS1_14 4 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 2 1 +RD_1b RS1_17 5 1 +RD_1b RS1_18 7 1 +RD_1b RS1_19 3 1 +RD_1b RS1_1a 5 1 +RD_1b RS1_1b 7 1 +RD_1b RS1_1c 3 1 +RD_1b RS1_1d 6 1 +RD_1b RS1_1e 6 1 +RD_1b RS1_1f 7 1 +RD_1c RS1_00 3 1 +RD_1c RS1_01 6 1 +RD_1c RS1_02 7 1 +RD_1c RS1_03 2 1 +RD_1c RS1_04 3 1 +RD_1c RS1_05 7 1 +RD_1c RS1_06 8 1 +RD_1c RS1_07 6 1 +RD_1c RS1_08 4 1 +RD_1c RS1_09 6 1 +RD_1c RS1_0a 4 1 +RD_1c RS1_0b 2 1 +RD_1c RS1_0c 2 1 +RD_1c RS1_0d 1 1 +RD_1c RS1_0e 3 1 +RD_1c RS1_0f 4 1 +RD_1c RS1_10 8 1 +RD_1c RS1_11 6 1 +RD_1c RS1_12 2 1 +RD_1c RS1_13 3 1 +RD_1c RS1_14 3 1 +RD_1c RS1_15 7 1 +RD_1c RS1_16 6 1 +RD_1c RS1_17 2 1 +RD_1c RS1_18 2 1 +RD_1c RS1_19 1 1 +RD_1c RS1_1a 1 1 +RD_1c RS1_1b 8 1 +RD_1c RS1_1c 6 1 +RD_1c RS1_1d 4 1 +RD_1c RS1_1e 9 1 +RD_1c RS1_1f 9 1 +RD_1d RS1_00 4 1 +RD_1d RS1_01 6 1 +RD_1d RS1_02 5 1 +RD_1d RS1_03 4 1 +RD_1d RS1_04 5 1 +RD_1d RS1_05 9 1 +RD_1d RS1_06 5 1 +RD_1d RS1_07 6 1 +RD_1d RS1_08 9 1 +RD_1d RS1_0a 5 1 +RD_1d RS1_0b 4 1 +RD_1d RS1_0c 10 1 +RD_1d RS1_0d 9 1 +RD_1d RS1_0e 2 1 +RD_1d RS1_0f 11 1 +RD_1d RS1_10 3 1 +RD_1d RS1_11 7 1 +RD_1d RS1_12 2 1 +RD_1d RS1_13 10 1 +RD_1d RS1_14 9 1 +RD_1d RS1_15 8 1 +RD_1d RS1_16 5 1 +RD_1d RS1_17 5 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 7 1 +RD_1d RS1_1a 7 1 +RD_1d RS1_1b 6 1 +RD_1d RS1_1c 3 1 +RD_1d RS1_1d 5 1 +RD_1d RS1_1e 5 1 +RD_1d RS1_1f 5 1 +RD_1e RS1_00 2 1 +RD_1e RS1_01 7 1 +RD_1e RS1_02 5 1 +RD_1e RS1_03 5 1 +RD_1e RS1_04 8 1 +RD_1e RS1_05 6 1 +RD_1e RS1_06 5 1 +RD_1e RS1_07 5 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 10 1 +RD_1e RS1_0a 8 1 +RD_1e RS1_0b 3 1 +RD_1e RS1_0c 10 1 +RD_1e RS1_0d 4 1 +RD_1e RS1_0e 6 1 +RD_1e RS1_0f 14 1 +RD_1e RS1_10 7 1 +RD_1e RS1_11 7 1 +RD_1e RS1_12 4 1 +RD_1e RS1_13 12 1 +RD_1e RS1_14 1 1 +RD_1e RS1_15 5 1 +RD_1e RS1_16 8 1 +RD_1e RS1_17 7 1 +RD_1e RS1_18 6 1 +RD_1e RS1_19 4 1 +RD_1e RS1_1a 4 1 +RD_1e RS1_1b 1 1 +RD_1e RS1_1c 7 1 +RD_1e RS1_1d 6 1 +RD_1e RS1_1e 6 1 +RD_1e RS1_1f 8 1 +RD_1f RS1_00 7 1 +RD_1f RS1_01 11 1 +RD_1f RS1_02 5 1 +RD_1f RS1_03 3 1 +RD_1f RS1_04 5 1 +RD_1f RS1_05 5 1 +RD_1f RS1_06 8 1 +RD_1f RS1_07 9 1 +RD_1f RS1_08 4 1 +RD_1f RS1_09 4 1 +RD_1f RS1_0a 7 1 +RD_1f RS1_0b 3 1 +RD_1f RS1_0c 1 1 +RD_1f RS1_0d 7 1 +RD_1f RS1_0e 5 1 +RD_1f RS1_0f 6 1 +RD_1f RS1_10 1 1 +RD_1f RS1_11 14 1 +RD_1f RS1_12 4 1 +RD_1f RS1_13 6 1 +RD_1f RS1_14 1 1 +RD_1f RS1_15 2 1 +RD_1f RS1_16 4 1 +RD_1f RS1_17 8 1 +RD_1f RS1_18 6 1 +RD_1f RS1_19 3 1 +RD_1f RS1_1a 4 1 +RD_1f RS1_1b 1 1 +RD_1f RS1_1c 9 1 +RD_1f RS1_1d 3 1 +RD_1f RS1_1e 3 1 +RD_1f RS1_1f 2 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 6 1018 99.41 6 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_02] [RS2_10] 0 1 1 +[RD_08] [RS2_0c] 0 1 1 +[RD_08] [RS2_1b] 0 1 1 +[RD_0f] [RS2_01] 0 1 1 +[RD_18] [RS2_13] 0 1 1 +[RD_19] [RS2_08] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 7 1 +RD_00 RS2_01 4 1 +RD_00 RS2_02 3 1 +RD_00 RS2_03 4 1 +RD_00 RS2_04 8 1 +RD_00 RS2_05 10 1 +RD_00 RS2_06 4 1 +RD_00 RS2_07 5 1 +RD_00 RS2_08 7 1 +RD_00 RS2_09 5 1 +RD_00 RS2_0a 6 1 +RD_00 RS2_0b 7 1 +RD_00 RS2_0c 2 1 +RD_00 RS2_0d 2 1 +RD_00 RS2_0e 5 1 +RD_00 RS2_0f 3 1 +RD_00 RS2_10 7 1 +RD_00 RS2_11 5 1 +RD_00 RS2_12 4 1 +RD_00 RS2_13 4 1 +RD_00 RS2_14 4 1 +RD_00 RS2_15 3 1 +RD_00 RS2_16 6 1 +RD_00 RS2_17 7 1 +RD_00 RS2_18 7 1 +RD_00 RS2_19 4 1 +RD_00 RS2_1a 2 1 +RD_00 RS2_1b 6 1 +RD_00 RS2_1c 4 1 +RD_00 RS2_1d 7 1 +RD_00 RS2_1e 6 1 +RD_00 RS2_1f 5 1 +RD_01 RS2_00 8 1 +RD_01 RS2_01 2 1 +RD_01 RS2_02 4 1 +RD_01 RS2_03 10 1 +RD_01 RS2_04 8 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 4 1 +RD_01 RS2_07 6 1 +RD_01 RS2_08 5 1 +RD_01 RS2_09 4 1 +RD_01 RS2_0a 2 1 +RD_01 RS2_0b 7 1 +RD_01 RS2_0c 6 1 +RD_01 RS2_0d 7 1 +RD_01 RS2_0e 2 1 +RD_01 RS2_0f 6 1 +RD_01 RS2_10 10 1 +RD_01 RS2_11 4 1 +RD_01 RS2_12 7 1 +RD_01 RS2_13 7 1 +RD_01 RS2_14 6 1 +RD_01 RS2_15 6 1 +RD_01 RS2_16 9 1 +RD_01 RS2_17 6 1 +RD_01 RS2_18 4 1 +RD_01 RS2_19 5 1 +RD_01 RS2_1a 12 1 +RD_01 RS2_1b 3 1 +RD_01 RS2_1c 2 1 +RD_01 RS2_1d 2 1 +RD_01 RS2_1e 4 1 +RD_01 RS2_1f 8 1 +RD_02 RS2_00 1 1 +RD_02 RS2_01 3 1 +RD_02 RS2_02 10 1 +RD_02 RS2_03 5 1 +RD_02 RS2_04 8 1 +RD_02 RS2_05 3 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 6 1 +RD_02 RS2_08 6 1 +RD_02 RS2_09 7 1 +RD_02 RS2_0a 6 1 +RD_02 RS2_0b 9 1 +RD_02 RS2_0c 6 1 +RD_02 RS2_0d 7 1 +RD_02 RS2_0e 4 1 +RD_02 RS2_0f 5 1 +RD_02 RS2_11 5 1 +RD_02 RS2_12 6 1 +RD_02 RS2_13 3 1 +RD_02 RS2_14 5 1 +RD_02 RS2_15 1 1 +RD_02 RS2_16 8 1 +RD_02 RS2_17 3 1 +RD_02 RS2_18 9 1 +RD_02 RS2_19 10 1 +RD_02 RS2_1a 6 1 +RD_02 RS2_1b 6 1 +RD_02 RS2_1c 3 1 +RD_02 RS2_1d 5 1 +RD_02 RS2_1e 5 1 +RD_02 RS2_1f 6 1 +RD_03 RS2_00 6 1 +RD_03 RS2_01 7 1 +RD_03 RS2_02 5 1 +RD_03 RS2_03 5 1 +RD_03 RS2_04 6 1 +RD_03 RS2_05 6 1 +RD_03 RS2_06 5 1 +RD_03 RS2_07 8 1 +RD_03 RS2_08 5 1 +RD_03 RS2_09 10 1 +RD_03 RS2_0a 5 1 +RD_03 RS2_0b 8 1 +RD_03 RS2_0c 6 1 +RD_03 RS2_0d 4 1 +RD_03 RS2_0e 4 1 +RD_03 RS2_0f 6 1 +RD_03 RS2_10 10 1 +RD_03 RS2_11 4 1 +RD_03 RS2_12 5 1 +RD_03 RS2_13 3 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 4 1 +RD_03 RS2_16 6 1 +RD_03 RS2_17 5 1 +RD_03 RS2_18 7 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1a 3 1 +RD_03 RS2_1b 8 1 +RD_03 RS2_1c 2 1 +RD_03 RS2_1d 8 1 +RD_03 RS2_1e 5 1 +RD_03 RS2_1f 3 1 +RD_04 RS2_00 6 1 +RD_04 RS2_01 2 1 +RD_04 RS2_02 9 1 +RD_04 RS2_03 6 1 +RD_04 RS2_04 5 1 +RD_04 RS2_05 7 1 +RD_04 RS2_06 2 1 +RD_04 RS2_07 10 1 +RD_04 RS2_08 2 1 +RD_04 RS2_09 9 1 +RD_04 RS2_0a 2 1 +RD_04 RS2_0b 6 1 +RD_04 RS2_0c 2 1 +RD_04 RS2_0d 5 1 +RD_04 RS2_0e 10 1 +RD_04 RS2_0f 3 1 +RD_04 RS2_10 3 1 +RD_04 RS2_11 2 1 +RD_04 RS2_12 3 1 +RD_04 RS2_13 3 1 +RD_04 RS2_14 2 1 +RD_04 RS2_15 2 1 +RD_04 RS2_16 5 1 +RD_04 RS2_17 5 1 +RD_04 RS2_18 7 1 +RD_04 RS2_19 6 1 +RD_04 RS2_1a 7 1 +RD_04 RS2_1b 2 1 +RD_04 RS2_1c 6 1 +RD_04 RS2_1d 5 1 +RD_04 RS2_1e 10 1 +RD_04 RS2_1f 7 1 +RD_05 RS2_00 8 1 +RD_05 RS2_01 9 1 +RD_05 RS2_02 2 1 +RD_05 RS2_03 5 1 +RD_05 RS2_04 3 1 +RD_05 RS2_05 6 1 +RD_05 RS2_06 3 1 +RD_05 RS2_07 7 1 +RD_05 RS2_08 5 1 +RD_05 RS2_09 12 1 +RD_05 RS2_0a 6 1 +RD_05 RS2_0b 9 1 +RD_05 RS2_0c 3 1 +RD_05 RS2_0d 6 1 +RD_05 RS2_0e 4 1 +RD_05 RS2_0f 6 1 +RD_05 RS2_10 7 1 +RD_05 RS2_11 3 1 +RD_05 RS2_12 4 1 +RD_05 RS2_13 5 1 +RD_05 RS2_14 5 1 +RD_05 RS2_15 4 1 +RD_05 RS2_16 8 1 +RD_05 RS2_17 3 1 +RD_05 RS2_18 5 1 +RD_05 RS2_19 5 1 +RD_05 RS2_1a 3 1 +RD_05 RS2_1b 4 1 +RD_05 RS2_1c 1 1 +RD_05 RS2_1d 7 1 +RD_05 RS2_1e 5 1 +RD_05 RS2_1f 4 1 +RD_06 RS2_00 2 1 +RD_06 RS2_01 5 1 +RD_06 RS2_02 6 1 +RD_06 RS2_03 5 1 +RD_06 RS2_04 3 1 +RD_06 RS2_05 4 1 +RD_06 RS2_06 4 1 +RD_06 RS2_07 5 1 +RD_06 RS2_08 6 1 +RD_06 RS2_09 2 1 +RD_06 RS2_0a 7 1 +RD_06 RS2_0b 4 1 +RD_06 RS2_0c 3 1 +RD_06 RS2_0d 4 1 +RD_06 RS2_0e 4 1 +RD_06 RS2_0f 7 1 +RD_06 RS2_10 1 1 +RD_06 RS2_11 6 1 +RD_06 RS2_12 4 1 +RD_06 RS2_13 11 1 +RD_06 RS2_14 3 1 +RD_06 RS2_15 6 1 +RD_06 RS2_16 4 1 +RD_06 RS2_17 2 1 +RD_06 RS2_18 2 1 +RD_06 RS2_19 5 1 +RD_06 RS2_1a 5 1 +RD_06 RS2_1b 3 1 +RD_06 RS2_1c 4 1 +RD_06 RS2_1d 7 1 +RD_06 RS2_1e 4 1 +RD_06 RS2_1f 3 1 +RD_07 RS2_00 5 1 +RD_07 RS2_01 2 1 +RD_07 RS2_02 7 1 +RD_07 RS2_03 2 1 +RD_07 RS2_04 6 1 +RD_07 RS2_05 5 1 +RD_07 RS2_06 2 1 +RD_07 RS2_07 9 1 +RD_07 RS2_08 8 1 +RD_07 RS2_09 4 1 +RD_07 RS2_0a 4 1 +RD_07 RS2_0b 6 1 +RD_07 RS2_0c 6 1 +RD_07 RS2_0d 5 1 +RD_07 RS2_0e 8 1 +RD_07 RS2_0f 6 1 +RD_07 RS2_10 5 1 +RD_07 RS2_11 8 1 +RD_07 RS2_12 6 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 4 1 +RD_07 RS2_15 7 1 +RD_07 RS2_16 5 1 +RD_07 RS2_17 5 1 +RD_07 RS2_18 3 1 +RD_07 RS2_19 3 1 +RD_07 RS2_1a 6 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 7 1 +RD_07 RS2_1d 8 1 +RD_07 RS2_1e 5 1 +RD_07 RS2_1f 5 1 +RD_08 RS2_00 10 1 +RD_08 RS2_01 12 1 +RD_08 RS2_02 6 1 +RD_08 RS2_03 2 1 +RD_08 RS2_04 6 1 +RD_08 RS2_05 1 1 +RD_08 RS2_06 3 1 +RD_08 RS2_07 5 1 +RD_08 RS2_08 5 1 +RD_08 RS2_09 2 1 +RD_08 RS2_0a 6 1 +RD_08 RS2_0b 5 1 +RD_08 RS2_0d 8 1 +RD_08 RS2_0e 3 1 +RD_08 RS2_0f 2 1 +RD_08 RS2_10 6 1 +RD_08 RS2_11 4 1 +RD_08 RS2_12 4 1 +RD_08 RS2_13 6 1 +RD_08 RS2_14 3 1 +RD_08 RS2_15 5 1 +RD_08 RS2_16 8 1 +RD_08 RS2_17 3 1 +RD_08 RS2_18 6 1 +RD_08 RS2_19 7 1 +RD_08 RS2_1a 5 1 +RD_08 RS2_1c 5 1 +RD_08 RS2_1d 4 1 +RD_08 RS2_1e 5 1 +RD_08 RS2_1f 3 1 +RD_09 RS2_00 3 1 +RD_09 RS2_01 4 1 +RD_09 RS2_02 1 1 +RD_09 RS2_03 4 1 +RD_09 RS2_04 5 1 +RD_09 RS2_05 3 1 +RD_09 RS2_06 4 1 +RD_09 RS2_07 5 1 +RD_09 RS2_08 4 1 +RD_09 RS2_09 6 1 +RD_09 RS2_0a 6 1 +RD_09 RS2_0b 1 1 +RD_09 RS2_0c 5 1 +RD_09 RS2_0d 4 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 3 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 2 1 +RD_09 RS2_12 1 1 +RD_09 RS2_13 13 1 +RD_09 RS2_14 4 1 +RD_09 RS2_15 7 1 +RD_09 RS2_16 6 1 +RD_09 RS2_17 7 1 +RD_09 RS2_18 10 1 +RD_09 RS2_19 4 1 +RD_09 RS2_1a 7 1 +RD_09 RS2_1b 6 1 +RD_09 RS2_1c 7 1 +RD_09 RS2_1d 2 1 +RD_09 RS2_1e 8 1 +RD_09 RS2_1f 7 1 +RD_0a RS2_00 5 1 +RD_0a RS2_01 4 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 3 1 +RD_0a RS2_04 5 1 +RD_0a RS2_05 1 1 +RD_0a RS2_06 2 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 8 1 +RD_0a RS2_09 7 1 +RD_0a RS2_0a 8 1 +RD_0a RS2_0b 5 1 +RD_0a RS2_0c 2 1 +RD_0a RS2_0d 4 1 +RD_0a RS2_0e 5 1 +RD_0a RS2_0f 7 1 +RD_0a RS2_10 3 1 +RD_0a RS2_11 3 1 +RD_0a RS2_12 10 1 +RD_0a RS2_13 8 1 +RD_0a RS2_14 3 1 +RD_0a RS2_15 9 1 +RD_0a RS2_16 4 1 +RD_0a RS2_17 4 1 +RD_0a RS2_18 5 1 +RD_0a RS2_19 9 1 +RD_0a RS2_1a 4 1 +RD_0a RS2_1b 4 1 +RD_0a RS2_1c 3 1 +RD_0a RS2_1d 5 1 +RD_0a RS2_1e 2 1 +RD_0a RS2_1f 12 1 +RD_0b RS2_00 2 1 +RD_0b RS2_01 7 1 +RD_0b RS2_02 3 1 +RD_0b RS2_03 4 1 +RD_0b RS2_04 3 1 +RD_0b RS2_05 5 1 +RD_0b RS2_06 5 1 +RD_0b RS2_07 4 1 +RD_0b RS2_08 9 1 +RD_0b RS2_09 9 1 +RD_0b RS2_0a 5 1 +RD_0b RS2_0b 8 1 +RD_0b RS2_0c 4 1 +RD_0b RS2_0d 7 1 +RD_0b RS2_0e 1 1 +RD_0b RS2_0f 6 1 +RD_0b RS2_10 5 1 +RD_0b RS2_11 6 1 +RD_0b RS2_12 7 1 +RD_0b RS2_13 8 1 +RD_0b RS2_14 4 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 7 1 +RD_0b RS2_17 2 1 +RD_0b RS2_18 4 1 +RD_0b RS2_19 4 1 +RD_0b RS2_1a 3 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 8 1 +RD_0b RS2_1d 7 1 +RD_0b RS2_1e 6 1 +RD_0b RS2_1f 8 1 +RD_0c RS2_00 3 1 +RD_0c RS2_01 3 1 +RD_0c RS2_02 6 1 +RD_0c RS2_03 3 1 +RD_0c RS2_04 7 1 +RD_0c RS2_05 4 1 +RD_0c RS2_06 7 1 +RD_0c RS2_07 7 1 +RD_0c RS2_08 9 1 +RD_0c RS2_09 12 1 +RD_0c RS2_0a 2 1 +RD_0c RS2_0b 5 1 +RD_0c RS2_0c 4 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 2 1 +RD_0c RS2_0f 7 1 +RD_0c RS2_10 3 1 +RD_0c RS2_11 7 1 +RD_0c RS2_12 2 1 +RD_0c RS2_13 7 1 +RD_0c RS2_14 7 1 +RD_0c RS2_15 5 1 +RD_0c RS2_16 6 1 +RD_0c RS2_17 4 1 +RD_0c RS2_18 6 1 +RD_0c RS2_19 6 1 +RD_0c RS2_1a 2 1 +RD_0c RS2_1b 5 1 +RD_0c RS2_1c 4 1 +RD_0c RS2_1d 6 1 +RD_0c RS2_1e 8 1 +RD_0c RS2_1f 3 1 +RD_0d RS2_00 6 1 +RD_0d RS2_01 3 1 +RD_0d RS2_02 5 1 +RD_0d RS2_03 3 1 +RD_0d RS2_04 5 1 +RD_0d RS2_05 6 1 +RD_0d RS2_06 7 1 +RD_0d RS2_07 2 1 +RD_0d RS2_08 6 1 +RD_0d RS2_09 10 1 +RD_0d RS2_0a 5 1 +RD_0d RS2_0b 5 1 +RD_0d RS2_0c 2 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 5 1 +RD_0d RS2_0f 3 1 +RD_0d RS2_10 11 1 +RD_0d RS2_11 5 1 +RD_0d RS2_12 6 1 +RD_0d RS2_13 7 1 +RD_0d RS2_14 2 1 +RD_0d RS2_15 3 1 +RD_0d RS2_16 11 1 +RD_0d RS2_17 9 1 +RD_0d RS2_18 7 1 +RD_0d RS2_19 2 1 +RD_0d RS2_1a 5 1 +RD_0d RS2_1b 5 1 +RD_0d RS2_1c 3 1 +RD_0d RS2_1d 5 1 +RD_0d RS2_1e 5 1 +RD_0d RS2_1f 4 1 +RD_0e RS2_00 4 1 +RD_0e RS2_01 3 1 +RD_0e RS2_02 1 1 +RD_0e RS2_03 8 1 +RD_0e RS2_04 11 1 +RD_0e RS2_05 2 1 +RD_0e RS2_06 5 1 +RD_0e RS2_07 3 1 +RD_0e RS2_08 11 1 +RD_0e RS2_09 7 1 +RD_0e RS2_0a 5 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 3 1 +RD_0e RS2_0d 6 1 +RD_0e RS2_0e 6 1 +RD_0e RS2_0f 3 1 +RD_0e RS2_10 1 1 +RD_0e RS2_11 3 1 +RD_0e RS2_12 5 1 +RD_0e RS2_13 4 1 +RD_0e RS2_14 4 1 +RD_0e RS2_15 7 1 +RD_0e RS2_16 6 1 +RD_0e RS2_17 8 1 +RD_0e RS2_18 4 1 +RD_0e RS2_19 9 1 +RD_0e RS2_1a 5 1 +RD_0e RS2_1b 4 1 +RD_0e RS2_1c 7 1 +RD_0e RS2_1d 2 1 +RD_0e RS2_1e 3 1 +RD_0e RS2_1f 3 1 +RD_0f RS2_00 7 1 +RD_0f RS2_02 1 1 +RD_0f RS2_03 1 1 +RD_0f RS2_04 1 1 +RD_0f RS2_05 4 1 +RD_0f RS2_06 7 1 +RD_0f RS2_07 4 1 +RD_0f RS2_08 6 1 +RD_0f RS2_09 4 1 +RD_0f RS2_0a 6 1 +RD_0f RS2_0b 4 1 +RD_0f RS2_0c 1 1 +RD_0f RS2_0d 3 1 +RD_0f RS2_0e 7 1 +RD_0f RS2_0f 10 1 +RD_0f RS2_10 2 1 +RD_0f RS2_11 10 1 +RD_0f RS2_12 4 1 +RD_0f RS2_13 5 1 +RD_0f RS2_14 3 1 +RD_0f RS2_15 2 1 +RD_0f RS2_16 10 1 +RD_0f RS2_17 3 1 +RD_0f RS2_18 7 1 +RD_0f RS2_19 2 1 +RD_0f RS2_1a 5 1 +RD_0f RS2_1b 7 1 +RD_0f RS2_1c 3 1 +RD_0f RS2_1d 5 1 +RD_0f RS2_1e 3 1 +RD_0f RS2_1f 6 1 +RD_10 RS2_00 7 1 +RD_10 RS2_01 7 1 +RD_10 RS2_02 4 1 +RD_10 RS2_03 6 1 +RD_10 RS2_04 2 1 +RD_10 RS2_05 2 1 +RD_10 RS2_06 5 1 +RD_10 RS2_07 7 1 +RD_10 RS2_08 3 1 +RD_10 RS2_09 9 1 +RD_10 RS2_0a 5 1 +RD_10 RS2_0b 3 1 +RD_10 RS2_0c 3 1 +RD_10 RS2_0d 3 1 +RD_10 RS2_0e 6 1 +RD_10 RS2_0f 4 1 +RD_10 RS2_10 5 1 +RD_10 RS2_11 10 1 +RD_10 RS2_12 5 1 +RD_10 RS2_13 5 1 +RD_10 RS2_14 4 1 +RD_10 RS2_15 5 1 +RD_10 RS2_16 7 1 +RD_10 RS2_17 4 1 +RD_10 RS2_18 9 1 +RD_10 RS2_19 4 1 +RD_10 RS2_1a 2 1 +RD_10 RS2_1b 3 1 +RD_10 RS2_1c 9 1 +RD_10 RS2_1d 5 1 +RD_10 RS2_1e 1 1 +RD_10 RS2_1f 3 1 +RD_11 RS2_00 1 1 +RD_11 RS2_01 9 1 +RD_11 RS2_02 12 1 +RD_11 RS2_03 4 1 +RD_11 RS2_04 3 1 +RD_11 RS2_05 9 1 +RD_11 RS2_06 2 1 +RD_11 RS2_07 8 1 +RD_11 RS2_08 4 1 +RD_11 RS2_09 5 1 +RD_11 RS2_0a 5 1 +RD_11 RS2_0b 6 1 +RD_11 RS2_0c 2 1 +RD_11 RS2_0d 4 1 +RD_11 RS2_0e 8 1 +RD_11 RS2_0f 4 1 +RD_11 RS2_10 9 1 +RD_11 RS2_11 10 1 +RD_11 RS2_12 7 1 +RD_11 RS2_13 2 1 +RD_11 RS2_14 5 1 +RD_11 RS2_15 5 1 +RD_11 RS2_16 7 1 +RD_11 RS2_17 4 1 +RD_11 RS2_18 2 1 +RD_11 RS2_19 6 1 +RD_11 RS2_1a 7 1 +RD_11 RS2_1b 6 1 +RD_11 RS2_1c 2 1 +RD_11 RS2_1d 13 1 +RD_11 RS2_1e 6 1 +RD_11 RS2_1f 5 1 +RD_12 RS2_00 5 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 2 1 +RD_12 RS2_03 7 1 +RD_12 RS2_04 3 1 +RD_12 RS2_05 10 1 +RD_12 RS2_06 9 1 +RD_12 RS2_07 2 1 +RD_12 RS2_08 4 1 +RD_12 RS2_09 10 1 +RD_12 RS2_0a 7 1 +RD_12 RS2_0b 2 1 +RD_12 RS2_0c 6 1 +RD_12 RS2_0d 7 1 +RD_12 RS2_0e 5 1 +RD_12 RS2_0f 6 1 +RD_12 RS2_10 2 1 +RD_12 RS2_11 4 1 +RD_12 RS2_12 5 1 +RD_12 RS2_13 6 1 +RD_12 RS2_14 5 1 +RD_12 RS2_15 9 1 +RD_12 RS2_16 6 1 +RD_12 RS2_17 4 1 +RD_12 RS2_18 4 1 +RD_12 RS2_19 6 1 +RD_12 RS2_1a 6 1 +RD_12 RS2_1b 6 1 +RD_12 RS2_1c 2 1 +RD_12 RS2_1d 2 1 +RD_12 RS2_1e 2 1 +RD_12 RS2_1f 2 1 +RD_13 RS2_00 5 1 +RD_13 RS2_01 6 1 +RD_13 RS2_02 4 1 +RD_13 RS2_03 4 1 +RD_13 RS2_04 8 1 +RD_13 RS2_05 3 1 +RD_13 RS2_06 2 1 +RD_13 RS2_07 6 1 +RD_13 RS2_08 8 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 3 1 +RD_13 RS2_0b 4 1 +RD_13 RS2_0c 3 1 +RD_13 RS2_0d 6 1 +RD_13 RS2_0e 4 1 +RD_13 RS2_0f 6 1 +RD_13 RS2_10 11 1 +RD_13 RS2_11 4 1 +RD_13 RS2_12 11 1 +RD_13 RS2_13 5 1 +RD_13 RS2_14 6 1 +RD_13 RS2_15 6 1 +RD_13 RS2_16 7 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 2 1 +RD_13 RS2_19 4 1 +RD_13 RS2_1a 4 1 +RD_13 RS2_1b 2 1 +RD_13 RS2_1c 7 1 +RD_13 RS2_1d 3 1 +RD_13 RS2_1e 5 1 +RD_13 RS2_1f 3 1 +RD_14 RS2_00 7 1 +RD_14 RS2_01 4 1 +RD_14 RS2_02 7 1 +RD_14 RS2_03 8 1 +RD_14 RS2_04 5 1 +RD_14 RS2_05 4 1 +RD_14 RS2_06 5 1 +RD_14 RS2_07 5 1 +RD_14 RS2_08 3 1 +RD_14 RS2_09 2 1 +RD_14 RS2_0a 5 1 +RD_14 RS2_0b 6 1 +RD_14 RS2_0c 7 1 +RD_14 RS2_0d 2 1 +RD_14 RS2_0e 7 1 +RD_14 RS2_0f 9 1 +RD_14 RS2_10 3 1 +RD_14 RS2_11 14 1 +RD_14 RS2_12 6 1 +RD_14 RS2_13 5 1 +RD_14 RS2_14 1 1 +RD_14 RS2_15 5 1 +RD_14 RS2_16 3 1 +RD_14 RS2_17 3 1 +RD_14 RS2_18 3 1 +RD_14 RS2_19 8 1 +RD_14 RS2_1a 5 1 +RD_14 RS2_1b 4 1 +RD_14 RS2_1c 5 1 +RD_14 RS2_1d 5 1 +RD_14 RS2_1e 5 1 +RD_14 RS2_1f 3 1 +RD_15 RS2_00 5 1 +RD_15 RS2_01 4 1 +RD_15 RS2_02 9 1 +RD_15 RS2_03 2 1 +RD_15 RS2_04 6 1 +RD_15 RS2_05 4 1 +RD_15 RS2_06 8 1 +RD_15 RS2_07 3 1 +RD_15 RS2_08 6 1 +RD_15 RS2_09 4 1 +RD_15 RS2_0a 4 1 +RD_15 RS2_0b 6 1 +RD_15 RS2_0c 3 1 +RD_15 RS2_0d 10 1 +RD_15 RS2_0e 7 1 +RD_15 RS2_0f 7 1 +RD_15 RS2_10 3 1 +RD_15 RS2_11 12 1 +RD_15 RS2_12 5 1 +RD_15 RS2_13 5 1 +RD_15 RS2_14 10 1 +RD_15 RS2_15 9 1 +RD_15 RS2_16 4 1 +RD_15 RS2_17 5 1 +RD_15 RS2_18 11 1 +RD_15 RS2_19 3 1 +RD_15 RS2_1a 8 1 +RD_15 RS2_1b 9 1 +RD_15 RS2_1c 2 1 +RD_15 RS2_1d 7 1 +RD_15 RS2_1e 9 1 +RD_15 RS2_1f 1 1 +RD_16 RS2_00 7 1 +RD_16 RS2_01 9 1 +RD_16 RS2_02 5 1 +RD_16 RS2_03 3 1 +RD_16 RS2_04 6 1 +RD_16 RS2_05 6 1 +RD_16 RS2_06 2 1 +RD_16 RS2_07 7 1 +RD_16 RS2_08 9 1 +RD_16 RS2_09 5 1 +RD_16 RS2_0a 7 1 +RD_16 RS2_0b 1 1 +RD_16 RS2_0c 9 1 +RD_16 RS2_0d 12 1 +RD_16 RS2_0e 2 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_10 10 1 +RD_16 RS2_11 5 1 +RD_16 RS2_12 2 1 +RD_16 RS2_13 5 1 +RD_16 RS2_14 6 1 +RD_16 RS2_15 3 1 +RD_16 RS2_16 4 1 +RD_16 RS2_17 7 1 +RD_16 RS2_18 8 1 +RD_16 RS2_19 7 1 +RD_16 RS2_1a 4 1 +RD_16 RS2_1b 7 1 +RD_16 RS2_1c 3 1 +RD_16 RS2_1d 4 1 +RD_16 RS2_1e 5 1 +RD_16 RS2_1f 8 1 +RD_17 RS2_00 4 1 +RD_17 RS2_01 4 1 +RD_17 RS2_02 3 1 +RD_17 RS2_03 5 1 +RD_17 RS2_04 4 1 +RD_17 RS2_05 6 1 +RD_17 RS2_06 5 1 +RD_17 RS2_07 7 1 +RD_17 RS2_08 5 1 +RD_17 RS2_09 6 1 +RD_17 RS2_0a 11 1 +RD_17 RS2_0b 4 1 +RD_17 RS2_0c 5 1 +RD_17 RS2_0d 2 1 +RD_17 RS2_0e 6 1 +RD_17 RS2_0f 10 1 +RD_17 RS2_10 9 1 +RD_17 RS2_11 4 1 +RD_17 RS2_12 8 1 +RD_17 RS2_13 5 1 +RD_17 RS2_14 5 1 +RD_17 RS2_15 10 1 +RD_17 RS2_16 6 1 +RD_17 RS2_17 2 1 +RD_17 RS2_18 2 1 +RD_17 RS2_19 3 1 +RD_17 RS2_1a 5 1 +RD_17 RS2_1b 6 1 +RD_17 RS2_1c 7 1 +RD_17 RS2_1d 6 1 +RD_17 RS2_1e 6 1 +RD_17 RS2_1f 2 1 +RD_18 RS2_00 7 1 +RD_18 RS2_01 6 1 +RD_18 RS2_02 9 1 +RD_18 RS2_03 5 1 +RD_18 RS2_04 5 1 +RD_18 RS2_05 6 1 +RD_18 RS2_06 6 1 +RD_18 RS2_07 3 1 +RD_18 RS2_08 3 1 +RD_18 RS2_09 6 1 +RD_18 RS2_0a 8 1 +RD_18 RS2_0b 12 1 +RD_18 RS2_0c 3 1 +RD_18 RS2_0d 3 1 +RD_18 RS2_0e 3 1 +RD_18 RS2_0f 6 1 +RD_18 RS2_10 7 1 +RD_18 RS2_11 3 1 +RD_18 RS2_12 3 1 +RD_18 RS2_14 6 1 +RD_18 RS2_15 7 1 +RD_18 RS2_16 6 1 +RD_18 RS2_17 5 1 +RD_18 RS2_18 6 1 +RD_18 RS2_19 2 1 +RD_18 RS2_1a 3 1 +RD_18 RS2_1b 3 1 +RD_18 RS2_1c 1 1 +RD_18 RS2_1d 4 1 +RD_18 RS2_1e 4 1 +RD_18 RS2_1f 4 1 +RD_19 RS2_00 6 1 +RD_19 RS2_01 4 1 +RD_19 RS2_02 1 1 +RD_19 RS2_03 6 1 +RD_19 RS2_04 3 1 +RD_19 RS2_05 4 1 +RD_19 RS2_06 4 1 +RD_19 RS2_07 5 1 +RD_19 RS2_09 3 1 +RD_19 RS2_0a 7 1 +RD_19 RS2_0b 5 1 +RD_19 RS2_0c 8 1 +RD_19 RS2_0d 3 1 +RD_19 RS2_0e 5 1 +RD_19 RS2_0f 3 1 +RD_19 RS2_10 1 1 +RD_19 RS2_11 5 1 +RD_19 RS2_12 12 1 +RD_19 RS2_13 2 1 +RD_19 RS2_14 5 1 +RD_19 RS2_15 3 1 +RD_19 RS2_16 3 1 +RD_19 RS2_17 4 1 +RD_19 RS2_18 5 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 1 1 +RD_19 RS2_1b 5 1 +RD_19 RS2_1c 2 1 +RD_19 RS2_1d 3 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 2 1 +RD_1a RS2_00 6 1 +RD_1a RS2_01 4 1 +RD_1a RS2_02 2 1 +RD_1a RS2_03 7 1 +RD_1a RS2_04 5 1 +RD_1a RS2_05 5 1 +RD_1a RS2_06 4 1 +RD_1a RS2_07 6 1 +RD_1a RS2_08 6 1 +RD_1a RS2_09 6 1 +RD_1a RS2_0a 2 1 +RD_1a RS2_0b 5 1 +RD_1a RS2_0c 6 1 +RD_1a RS2_0d 6 1 +RD_1a RS2_0e 4 1 +RD_1a RS2_0f 5 1 +RD_1a RS2_10 3 1 +RD_1a RS2_11 4 1 +RD_1a RS2_12 5 1 +RD_1a RS2_13 4 1 +RD_1a RS2_14 3 1 +RD_1a RS2_15 4 1 +RD_1a RS2_16 5 1 +RD_1a RS2_17 4 1 +RD_1a RS2_18 4 1 +RD_1a RS2_19 2 1 +RD_1a RS2_1a 2 1 +RD_1a RS2_1b 5 1 +RD_1a RS2_1c 10 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 3 1 +RD_1a RS2_1f 7 1 +RD_1b RS2_00 5 1 +RD_1b RS2_01 4 1 +RD_1b RS2_02 2 1 +RD_1b RS2_03 6 1 +RD_1b RS2_04 11 1 +RD_1b RS2_05 3 1 +RD_1b RS2_06 10 1 +RD_1b RS2_07 2 1 +RD_1b RS2_08 5 1 +RD_1b RS2_09 9 1 +RD_1b RS2_0a 6 1 +RD_1b RS2_0b 5 1 +RD_1b RS2_0c 5 1 +RD_1b RS2_0d 13 1 +RD_1b RS2_0e 9 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 10 1 +RD_1b RS2_11 4 1 +RD_1b RS2_12 3 1 +RD_1b RS2_13 4 1 +RD_1b RS2_14 4 1 +RD_1b RS2_15 4 1 +RD_1b RS2_16 6 1 +RD_1b RS2_17 5 1 +RD_1b RS2_18 5 1 +RD_1b RS2_19 4 1 +RD_1b RS2_1a 5 1 +RD_1b RS2_1b 6 1 +RD_1b RS2_1c 4 1 +RD_1b RS2_1d 2 1 +RD_1b RS2_1e 3 1 +RD_1b RS2_1f 4 1 +RD_1c RS2_00 2 1 +RD_1c RS2_01 5 1 +RD_1c RS2_02 4 1 +RD_1c RS2_03 1 1 +RD_1c RS2_04 3 1 +RD_1c RS2_05 2 1 +RD_1c RS2_06 4 1 +RD_1c RS2_07 11 1 +RD_1c RS2_08 5 1 +RD_1c RS2_09 6 1 +RD_1c RS2_0a 6 1 +RD_1c RS2_0b 3 1 +RD_1c RS2_0c 3 1 +RD_1c RS2_0d 8 1 +RD_1c RS2_0e 5 1 +RD_1c RS2_0f 2 1 +RD_1c RS2_10 6 1 +RD_1c RS2_11 5 1 +RD_1c RS2_12 3 1 +RD_1c RS2_13 1 1 +RD_1c RS2_14 3 1 +RD_1c RS2_15 8 1 +RD_1c RS2_16 5 1 +RD_1c RS2_17 5 1 +RD_1c RS2_18 5 1 +RD_1c RS2_19 4 1 +RD_1c RS2_1a 4 1 +RD_1c RS2_1b 4 1 +RD_1c RS2_1c 6 1 +RD_1c RS2_1d 4 1 +RD_1c RS2_1e 8 1 +RD_1c RS2_1f 4 1 +RD_1d RS2_00 4 1 +RD_1d RS2_01 1 1 +RD_1d RS2_02 6 1 +RD_1d RS2_03 8 1 +RD_1d RS2_04 5 1 +RD_1d RS2_05 4 1 +RD_1d RS2_06 4 1 +RD_1d RS2_07 11 1 +RD_1d RS2_08 4 1 +RD_1d RS2_09 4 1 +RD_1d RS2_0a 4 1 +RD_1d RS2_0b 8 1 +RD_1d RS2_0c 7 1 +RD_1d RS2_0d 3 1 +RD_1d RS2_0e 7 1 +RD_1d RS2_0f 6 1 +RD_1d RS2_10 7 1 +RD_1d RS2_11 7 1 +RD_1d RS2_12 6 1 +RD_1d RS2_13 4 1 +RD_1d RS2_14 4 1 +RD_1d RS2_15 7 1 +RD_1d RS2_16 4 1 +RD_1d RS2_17 8 1 +RD_1d RS2_18 4 1 +RD_1d RS2_19 6 1 +RD_1d RS2_1a 10 1 +RD_1d RS2_1b 5 1 +RD_1d RS2_1c 10 1 +RD_1d RS2_1d 10 1 +RD_1d RS2_1e 6 1 +RD_1d RS2_1f 2 1 +RD_1e RS2_00 5 1 +RD_1e RS2_01 8 1 +RD_1e RS2_02 5 1 +RD_1e RS2_03 9 1 +RD_1e RS2_04 4 1 +RD_1e RS2_05 7 1 +RD_1e RS2_06 9 1 +RD_1e RS2_07 4 1 +RD_1e RS2_08 3 1 +RD_1e RS2_09 7 1 +RD_1e RS2_0a 2 1 +RD_1e RS2_0b 6 1 +RD_1e RS2_0c 8 1 +RD_1e RS2_0d 2 1 +RD_1e RS2_0e 8 1 +RD_1e RS2_0f 5 1 +RD_1e RS2_10 1 1 +RD_1e RS2_11 7 1 +RD_1e RS2_12 7 1 +RD_1e RS2_13 7 1 +RD_1e RS2_14 14 1 +RD_1e RS2_15 4 1 +RD_1e RS2_16 3 1 +RD_1e RS2_17 7 1 +RD_1e RS2_18 10 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 9 1 +RD_1e RS2_1b 3 1 +RD_1e RS2_1c 6 1 +RD_1e RS2_1d 8 1 +RD_1e RS2_1e 5 1 +RD_1e RS2_1f 5 1 +RD_1f RS2_00 1 1 +RD_1f RS2_01 6 1 +RD_1f RS2_02 6 1 +RD_1f RS2_03 3 1 +RD_1f RS2_04 3 1 +RD_1f RS2_05 3 1 +RD_1f RS2_06 6 1 +RD_1f RS2_07 3 1 +RD_1f RS2_08 3 1 +RD_1f RS2_09 9 1 +RD_1f RS2_0a 6 1 +RD_1f RS2_0b 3 1 +RD_1f RS2_0c 5 1 +RD_1f RS2_0d 7 1 +RD_1f RS2_0e 6 1 +RD_1f RS2_0f 6 1 +RD_1f RS2_10 6 1 +RD_1f RS2_11 3 1 +RD_1f RS2_12 8 1 +RD_1f RS2_13 8 1 +RD_1f RS2_14 4 1 +RD_1f RS2_15 3 1 +RD_1f RS2_16 1 1 +RD_1f RS2_17 1 1 +RD_1f RS2_18 10 1 +RD_1f RS2_19 8 1 +RD_1f RS2_1a 3 1 +RD_1f RS2_1b 4 1 +RD_1f RS2_1c 15 1 +RD_1f RS2_1d 2 1 +RD_1f RS2_1e 6 1 +RD_1f RS2_1f 3 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_double_add_rs1_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.79 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 15 2033 99.27 + + +Variables for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 12 1012 98.83 100 1 1 0 +cross_rd_rs2 1024 3 1021 99.71 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 166 1 +RD_01 177 1 +RD_02 161 1 +RD_03 166 1 +RD_04 180 1 +RD_05 152 1 +RD_06 175 1 +RD_07 167 1 +RD_08 148 1 +RD_09 176 1 +RD_0a 171 1 +RD_0b 175 1 +RD_0c 143 1 +RD_0d 169 1 +RD_0e 154 1 +RD_0f 165 1 +RD_10 153 1 +RD_11 190 1 +RD_12 131 1 +RD_13 184 1 +RD_14 190 1 +RD_15 176 1 +RD_16 181 1 +RD_17 170 1 +RD_18 169 1 +RD_19 145 1 +RD_1a 168 1 +RD_1b 155 1 +RD_1c 161 1 +RD_1d 167 1 +RD_1e 182 1 +RD_1f 166 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 164 1 +RS1_01 156 1 +RS1_02 176 1 +RS1_03 153 1 +RS1_04 172 1 +RS1_05 166 1 +RS1_06 151 1 +RS1_07 181 1 +RS1_08 152 1 +RS1_09 140 1 +RS1_0a 150 1 +RS1_0b 166 1 +RS1_0c 171 1 +RS1_0d 190 1 +RS1_0e 168 1 +RS1_0f 168 1 +RS1_10 177 1 +RS1_11 159 1 +RS1_12 168 1 +RS1_13 177 1 +RS1_14 195 1 +RS1_15 167 1 +RS1_16 170 1 +RS1_17 168 1 +RS1_18 167 1 +RS1_19 160 1 +RS1_1a 146 1 +RS1_1b 180 1 +RS1_1c 166 1 +RS1_1d 171 1 +RS1_1e 165 1 +RS1_1f 173 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 178 1 +RS2_01 146 1 +RS2_02 181 1 +RS2_03 173 1 +RS2_04 170 1 +RS2_05 178 1 +RS2_06 153 1 +RS2_07 152 1 +RS2_08 160 1 +RS2_09 156 1 +RS2_0a 159 1 +RS2_0b 145 1 +RS2_0c 154 1 +RS2_0d 176 1 +RS2_0e 184 1 +RS2_0f 153 1 +RS2_10 177 1 +RS2_11 187 1 +RS2_12 135 1 +RS2_13 177 1 +RS2_14 161 1 +RS2_15 149 1 +RS2_16 169 1 +RS2_17 210 1 +RS2_18 178 1 +RS2_19 152 1 +RS2_1a 178 1 +RS2_1b 165 1 +RS2_1c 159 1 +RS2_1d 166 1 +RS2_1e 162 1 +RS2_1f 190 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2530 1 +BIT30_1 2002 1 +BIT29_1 2026 1 +BIT28_1 2025 1 +BIT27_1 1983 1 +BIT26_1 1953 1 +BIT25_1 1972 1 +BIT24_1 2023 1 +BIT23_1 2081 1 +BIT22_1 1966 1 +BIT21_1 1949 1 +BIT20_1 1909 1 +BIT19_1 1955 1 +BIT18_1 1960 1 +BIT17_1 1952 1 +BIT16_1 1965 1 +BIT15_1 2207 1 +BIT14_1 2172 1 +BIT13_1 2080 1 +BIT12_1 2355 1 +BIT11_1 2399 1 +BIT10_1 2351 1 +BIT9_1 2185 1 +BIT8_1 2104 1 +BIT7_1 2294 1 +BIT6_1 2039 1 +BIT5_1 2044 1 +BIT4_1 2434 1 +BIT3_1 2494 1 +BIT2_1 2420 1 +BIT1_1 2070 1 +BIT0_1 1745 1 +BIT31_0 2802 1 +BIT30_0 3330 1 +BIT29_0 3306 1 +BIT28_0 3307 1 +BIT27_0 3349 1 +BIT26_0 3379 1 +BIT25_0 3360 1 +BIT24_0 3309 1 +BIT23_0 3251 1 +BIT22_0 3366 1 +BIT21_0 3383 1 +BIT20_0 3423 1 +BIT19_0 3377 1 +BIT18_0 3372 1 +BIT17_0 3380 1 +BIT16_0 3367 1 +BIT15_0 3125 1 +BIT14_0 3160 1 +BIT13_0 3252 1 +BIT12_0 2977 1 +BIT11_0 2933 1 +BIT10_0 2981 1 +BIT9_0 3147 1 +BIT8_0 3228 1 +BIT7_0 3038 1 +BIT6_0 3293 1 +BIT5_0 3288 1 +BIT4_0 2898 1 +BIT3_0 2838 1 +BIT2_0 2912 1 +BIT1_0 3262 1 +BIT0_0 3587 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2545 1 +BIT30_1 2021 1 +BIT29_1 1987 1 +BIT28_1 2028 1 +BIT27_1 1901 1 +BIT26_1 1906 1 +BIT25_1 1949 1 +BIT24_1 1995 1 +BIT23_1 1922 1 +BIT22_1 1887 1 +BIT21_1 1924 1 +BIT20_1 1952 1 +BIT19_1 1915 1 +BIT18_1 1977 1 +BIT17_1 2009 1 +BIT16_1 2019 1 +BIT15_1 2187 1 +BIT14_1 2183 1 +BIT13_1 2124 1 +BIT12_1 2377 1 +BIT11_1 2397 1 +BIT10_1 2379 1 +BIT9_1 2180 1 +BIT8_1 2044 1 +BIT7_1 2287 1 +BIT6_1 2015 1 +BIT5_1 2047 1 +BIT4_1 2460 1 +BIT3_1 2533 1 +BIT2_1 2478 1 +BIT1_1 1968 1 +BIT0_1 1745 1 +BIT31_0 2788 1 +BIT30_0 3312 1 +BIT29_0 3346 1 +BIT28_0 3305 1 +BIT27_0 3432 1 +BIT26_0 3427 1 +BIT25_0 3384 1 +BIT24_0 3338 1 +BIT23_0 3411 1 +BIT22_0 3446 1 +BIT21_0 3409 1 +BIT20_0 3381 1 +BIT19_0 3418 1 +BIT18_0 3356 1 +BIT17_0 3324 1 +BIT16_0 3314 1 +BIT15_0 3146 1 +BIT14_0 3150 1 +BIT13_0 3209 1 +BIT12_0 2956 1 +BIT11_0 2936 1 +BIT10_0 2954 1 +BIT9_0 3153 1 +BIT8_0 3289 1 +BIT7_0 3046 1 +BIT6_0 3318 1 +BIT5_0 3286 1 +BIT4_0 2873 1 +BIT3_0 2800 1 +BIT2_0 2855 1 +BIT1_0 3365 1 +BIT0_0 3588 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 12 1012 98.83 12 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_03] [RS1_07] 0 1 1 +[RD_04] [RS1_0a] 0 1 1 +[RD_08] [RS1_09] 0 1 1 +[RD_0b] [RS1_00] 0 1 1 +[RD_10] [RS1_0a] 0 1 1 +[RD_12] [RS1_02] 0 1 1 +[RD_16] [RS1_16] 0 1 1 +[RD_17] [RS1_08] 0 1 1 +[RD_1a] [RS1_0d] 0 1 1 +[RD_1b] [RS1_0a] 0 1 1 +[RD_1d] [RS1_06] 0 1 1 +[RD_1f] [RS1_1f] 0 1 1 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 5 1 +RD_00 RS1_01 9 1 +RD_00 RS1_02 12 1 +RD_00 RS1_03 6 1 +RD_00 RS1_04 9 1 +RD_00 RS1_05 6 1 +RD_00 RS1_06 3 1 +RD_00 RS1_07 3 1 +RD_00 RS1_08 3 1 +RD_00 RS1_09 3 1 +RD_00 RS1_0a 8 1 +RD_00 RS1_0b 4 1 +RD_00 RS1_0c 4 1 +RD_00 RS1_0d 7 1 +RD_00 RS1_0e 1 1 +RD_00 RS1_0f 3 1 +RD_00 RS1_10 5 1 +RD_00 RS1_11 6 1 +RD_00 RS1_12 2 1 +RD_00 RS1_13 6 1 +RD_00 RS1_14 8 1 +RD_00 RS1_15 5 1 +RD_00 RS1_16 3 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 7 1 +RD_00 RS1_19 6 1 +RD_00 RS1_1a 2 1 +RD_00 RS1_1b 7 1 +RD_00 RS1_1c 2 1 +RD_00 RS1_1d 4 1 +RD_00 RS1_1e 3 1 +RD_00 RS1_1f 9 1 +RD_01 RS1_00 4 1 +RD_01 RS1_01 5 1 +RD_01 RS1_02 5 1 +RD_01 RS1_03 8 1 +RD_01 RS1_04 4 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 2 1 +RD_01 RS1_07 1 1 +RD_01 RS1_08 7 1 +RD_01 RS1_09 6 1 +RD_01 RS1_0a 7 1 +RD_01 RS1_0b 5 1 +RD_01 RS1_0c 4 1 +RD_01 RS1_0d 5 1 +RD_01 RS1_0e 5 1 +RD_01 RS1_0f 5 1 +RD_01 RS1_10 7 1 +RD_01 RS1_11 3 1 +RD_01 RS1_12 3 1 +RD_01 RS1_13 8 1 +RD_01 RS1_14 6 1 +RD_01 RS1_15 6 1 +RD_01 RS1_16 5 1 +RD_01 RS1_17 4 1 +RD_01 RS1_18 5 1 +RD_01 RS1_19 7 1 +RD_01 RS1_1a 11 1 +RD_01 RS1_1b 3 1 +RD_01 RS1_1c 8 1 +RD_01 RS1_1d 7 1 +RD_01 RS1_1e 8 1 +RD_01 RS1_1f 8 1 +RD_02 RS1_00 5 1 +RD_02 RS1_01 6 1 +RD_02 RS1_02 6 1 +RD_02 RS1_03 3 1 +RD_02 RS1_04 5 1 +RD_02 RS1_05 2 1 +RD_02 RS1_06 4 1 +RD_02 RS1_07 4 1 +RD_02 RS1_08 7 1 +RD_02 RS1_09 3 1 +RD_02 RS1_0a 8 1 +RD_02 RS1_0b 9 1 +RD_02 RS1_0c 7 1 +RD_02 RS1_0d 5 1 +RD_02 RS1_0e 3 1 +RD_02 RS1_0f 7 1 +RD_02 RS1_10 9 1 +RD_02 RS1_11 5 1 +RD_02 RS1_12 4 1 +RD_02 RS1_13 5 1 +RD_02 RS1_14 6 1 +RD_02 RS1_15 3 1 +RD_02 RS1_16 7 1 +RD_02 RS1_17 7 1 +RD_02 RS1_18 2 1 +RD_02 RS1_19 2 1 +RD_02 RS1_1a 4 1 +RD_02 RS1_1b 4 1 +RD_02 RS1_1c 4 1 +RD_02 RS1_1d 3 1 +RD_02 RS1_1e 5 1 +RD_02 RS1_1f 7 1 +RD_03 RS1_00 4 1 +RD_03 RS1_01 4 1 +RD_03 RS1_02 3 1 +RD_03 RS1_03 7 1 +RD_03 RS1_04 8 1 +RD_03 RS1_05 3 1 +RD_03 RS1_06 5 1 +RD_03 RS1_08 2 1 +RD_03 RS1_09 5 1 +RD_03 RS1_0a 8 1 +RD_03 RS1_0b 8 1 +RD_03 RS1_0c 4 1 +RD_03 RS1_0d 11 1 +RD_03 RS1_0e 7 1 +RD_03 RS1_0f 6 1 +RD_03 RS1_10 5 1 +RD_03 RS1_11 2 1 +RD_03 RS1_12 1 1 +RD_03 RS1_13 2 1 +RD_03 RS1_14 5 1 +RD_03 RS1_15 11 1 +RD_03 RS1_16 4 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 5 1 +RD_03 RS1_19 7 1 +RD_03 RS1_1a 5 1 +RD_03 RS1_1b 3 1 +RD_03 RS1_1c 7 1 +RD_03 RS1_1d 2 1 +RD_03 RS1_1e 11 1 +RD_03 RS1_1f 4 1 +RD_04 RS1_00 5 1 +RD_04 RS1_01 4 1 +RD_04 RS1_02 6 1 +RD_04 RS1_03 1 1 +RD_04 RS1_04 4 1 +RD_04 RS1_05 8 1 +RD_04 RS1_06 4 1 +RD_04 RS1_07 6 1 +RD_04 RS1_08 15 1 +RD_04 RS1_09 6 1 +RD_04 RS1_0b 6 1 +RD_04 RS1_0c 3 1 +RD_04 RS1_0d 2 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 11 1 +RD_04 RS1_10 4 1 +RD_04 RS1_11 10 1 +RD_04 RS1_12 9 1 +RD_04 RS1_13 4 1 +RD_04 RS1_14 10 1 +RD_04 RS1_15 3 1 +RD_04 RS1_16 3 1 +RD_04 RS1_17 8 1 +RD_04 RS1_18 5 1 +RD_04 RS1_19 8 1 +RD_04 RS1_1a 3 1 +RD_04 RS1_1b 6 1 +RD_04 RS1_1c 7 1 +RD_04 RS1_1d 7 1 +RD_04 RS1_1e 3 1 +RD_04 RS1_1f 3 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 3 1 +RD_05 RS1_02 7 1 +RD_05 RS1_03 3 1 +RD_05 RS1_04 3 1 +RD_05 RS1_05 3 1 +RD_05 RS1_06 3 1 +RD_05 RS1_07 8 1 +RD_05 RS1_08 2 1 +RD_05 RS1_09 1 1 +RD_05 RS1_0a 6 1 +RD_05 RS1_0b 7 1 +RD_05 RS1_0c 4 1 +RD_05 RS1_0d 9 1 +RD_05 RS1_0e 4 1 +RD_05 RS1_0f 2 1 +RD_05 RS1_10 8 1 +RD_05 RS1_11 7 1 +RD_05 RS1_12 2 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 7 1 +RD_05 RS1_15 2 1 +RD_05 RS1_16 3 1 +RD_05 RS1_17 3 1 +RD_05 RS1_18 3 1 +RD_05 RS1_19 8 1 +RD_05 RS1_1a 4 1 +RD_05 RS1_1b 5 1 +RD_05 RS1_1c 10 1 +RD_05 RS1_1d 7 1 +RD_05 RS1_1e 6 1 +RD_05 RS1_1f 2 1 +RD_06 RS1_00 10 1 +RD_06 RS1_01 10 1 +RD_06 RS1_02 5 1 +RD_06 RS1_03 2 1 +RD_06 RS1_04 2 1 +RD_06 RS1_05 8 1 +RD_06 RS1_06 8 1 +RD_06 RS1_07 2 1 +RD_06 RS1_08 7 1 +RD_06 RS1_09 6 1 +RD_06 RS1_0a 2 1 +RD_06 RS1_0b 6 1 +RD_06 RS1_0c 7 1 +RD_06 RS1_0d 7 1 +RD_06 RS1_0e 13 1 +RD_06 RS1_0f 1 1 +RD_06 RS1_10 2 1 +RD_06 RS1_11 9 1 +RD_06 RS1_12 4 1 +RD_06 RS1_13 5 1 +RD_06 RS1_14 1 1 +RD_06 RS1_15 8 1 +RD_06 RS1_16 2 1 +RD_06 RS1_17 10 1 +RD_06 RS1_18 4 1 +RD_06 RS1_19 6 1 +RD_06 RS1_1a 2 1 +RD_06 RS1_1b 8 1 +RD_06 RS1_1c 5 1 +RD_06 RS1_1d 4 1 +RD_06 RS1_1e 1 1 +RD_06 RS1_1f 8 1 +RD_07 RS1_00 2 1 +RD_07 RS1_01 9 1 +RD_07 RS1_02 6 1 +RD_07 RS1_03 1 1 +RD_07 RS1_04 7 1 +RD_07 RS1_05 4 1 +RD_07 RS1_06 2 1 +RD_07 RS1_07 9 1 +RD_07 RS1_08 3 1 +RD_07 RS1_09 5 1 +RD_07 RS1_0a 6 1 +RD_07 RS1_0b 4 1 +RD_07 RS1_0c 6 1 +RD_07 RS1_0d 3 1 +RD_07 RS1_0e 6 1 +RD_07 RS1_0f 9 1 +RD_07 RS1_10 9 1 +RD_07 RS1_11 4 1 +RD_07 RS1_12 10 1 +RD_07 RS1_13 4 1 +RD_07 RS1_14 11 1 +RD_07 RS1_15 4 1 +RD_07 RS1_16 3 1 +RD_07 RS1_17 4 1 +RD_07 RS1_18 2 1 +RD_07 RS1_19 4 1 +RD_07 RS1_1a 3 1 +RD_07 RS1_1b 5 1 +RD_07 RS1_1c 11 1 +RD_07 RS1_1d 2 1 +RD_07 RS1_1e 3 1 +RD_07 RS1_1f 6 1 +RD_08 RS1_00 6 1 +RD_08 RS1_01 3 1 +RD_08 RS1_02 4 1 +RD_08 RS1_03 2 1 +RD_08 RS1_04 7 1 +RD_08 RS1_05 7 1 +RD_08 RS1_06 7 1 +RD_08 RS1_07 8 1 +RD_08 RS1_08 2 1 +RD_08 RS1_0a 4 1 +RD_08 RS1_0b 6 1 +RD_08 RS1_0c 4 1 +RD_08 RS1_0d 9 1 +RD_08 RS1_0e 2 1 +RD_08 RS1_0f 3 1 +RD_08 RS1_10 10 1 +RD_08 RS1_11 2 1 +RD_08 RS1_12 4 1 +RD_08 RS1_13 5 1 +RD_08 RS1_14 6 1 +RD_08 RS1_15 4 1 +RD_08 RS1_16 3 1 +RD_08 RS1_17 4 1 +RD_08 RS1_18 1 1 +RD_08 RS1_19 2 1 +RD_08 RS1_1a 7 1 +RD_08 RS1_1b 3 1 +RD_08 RS1_1c 7 1 +RD_08 RS1_1d 6 1 +RD_08 RS1_1e 3 1 +RD_08 RS1_1f 7 1 +RD_09 RS1_00 7 1 +RD_09 RS1_01 3 1 +RD_09 RS1_02 2 1 +RD_09 RS1_03 6 1 +RD_09 RS1_04 10 1 +RD_09 RS1_05 4 1 +RD_09 RS1_06 6 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 8 1 +RD_09 RS1_09 1 1 +RD_09 RS1_0a 5 1 +RD_09 RS1_0b 1 1 +RD_09 RS1_0c 9 1 +RD_09 RS1_0d 9 1 +RD_09 RS1_0e 5 1 +RD_09 RS1_0f 8 1 +RD_09 RS1_10 2 1 +RD_09 RS1_11 6 1 +RD_09 RS1_12 5 1 +RD_09 RS1_13 6 1 +RD_09 RS1_14 2 1 +RD_09 RS1_15 3 1 +RD_09 RS1_16 6 1 +RD_09 RS1_17 6 1 +RD_09 RS1_18 9 1 +RD_09 RS1_19 2 1 +RD_09 RS1_1a 7 1 +RD_09 RS1_1b 6 1 +RD_09 RS1_1c 6 1 +RD_09 RS1_1d 4 1 +RD_09 RS1_1e 7 1 +RD_09 RS1_1f 9 1 +RD_0a RS1_00 4 1 +RD_0a RS1_01 5 1 +RD_0a RS1_02 3 1 +RD_0a RS1_03 5 1 +RD_0a RS1_04 4 1 +RD_0a RS1_05 1 1 +RD_0a RS1_06 7 1 +RD_0a RS1_07 3 1 +RD_0a RS1_08 8 1 +RD_0a RS1_09 3 1 +RD_0a RS1_0a 4 1 +RD_0a RS1_0b 4 1 +RD_0a RS1_0c 8 1 +RD_0a RS1_0d 4 1 +RD_0a RS1_0e 8 1 +RD_0a RS1_0f 11 1 +RD_0a RS1_10 7 1 +RD_0a RS1_11 7 1 +RD_0a RS1_12 5 1 +RD_0a RS1_13 7 1 +RD_0a RS1_14 8 1 +RD_0a RS1_15 4 1 +RD_0a RS1_16 6 1 +RD_0a RS1_17 7 1 +RD_0a RS1_18 5 1 +RD_0a RS1_19 5 1 +RD_0a RS1_1a 3 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 4 1 +RD_0a RS1_1d 7 1 +RD_0a RS1_1e 4 1 +RD_0a RS1_1f 7 1 +RD_0b RS1_01 5 1 +RD_0b RS1_02 10 1 +RD_0b RS1_03 4 1 +RD_0b RS1_04 4 1 +RD_0b RS1_05 13 1 +RD_0b RS1_06 8 1 +RD_0b RS1_07 3 1 +RD_0b RS1_08 8 1 +RD_0b RS1_09 6 1 +RD_0b RS1_0a 10 1 +RD_0b RS1_0b 7 1 +RD_0b RS1_0c 6 1 +RD_0b RS1_0d 2 1 +RD_0b RS1_0e 3 1 +RD_0b RS1_0f 4 1 +RD_0b RS1_10 6 1 +RD_0b RS1_11 10 1 +RD_0b RS1_12 2 1 +RD_0b RS1_13 3 1 +RD_0b RS1_14 7 1 +RD_0b RS1_15 5 1 +RD_0b RS1_16 9 1 +RD_0b RS1_17 7 1 +RD_0b RS1_18 7 1 +RD_0b RS1_19 2 1 +RD_0b RS1_1a 3 1 +RD_0b RS1_1b 5 1 +RD_0b RS1_1c 4 1 +RD_0b RS1_1d 6 1 +RD_0b RS1_1e 2 1 +RD_0b RS1_1f 4 1 +RD_0c RS1_00 6 1 +RD_0c RS1_01 3 1 +RD_0c RS1_02 5 1 +RD_0c RS1_03 4 1 +RD_0c RS1_04 4 1 +RD_0c RS1_05 5 1 +RD_0c RS1_06 2 1 +RD_0c RS1_07 11 1 +RD_0c RS1_08 1 1 +RD_0c RS1_09 3 1 +RD_0c RS1_0a 5 1 +RD_0c RS1_0b 4 1 +RD_0c RS1_0c 3 1 +RD_0c RS1_0d 6 1 +RD_0c RS1_0e 5 1 +RD_0c RS1_0f 3 1 +RD_0c RS1_10 2 1 +RD_0c RS1_11 6 1 +RD_0c RS1_12 7 1 +RD_0c RS1_13 2 1 +RD_0c RS1_14 3 1 +RD_0c RS1_15 9 1 +RD_0c RS1_16 4 1 +RD_0c RS1_17 2 1 +RD_0c RS1_18 1 1 +RD_0c RS1_19 8 1 +RD_0c RS1_1a 3 1 +RD_0c RS1_1b 3 1 +RD_0c RS1_1c 8 1 +RD_0c RS1_1d 5 1 +RD_0c RS1_1e 3 1 +RD_0c RS1_1f 7 1 +RD_0d RS1_00 6 1 +RD_0d RS1_01 2 1 +RD_0d RS1_02 9 1 +RD_0d RS1_03 3 1 +RD_0d RS1_04 3 1 +RD_0d RS1_05 8 1 +RD_0d RS1_06 6 1 +RD_0d RS1_07 4 1 +RD_0d RS1_08 1 1 +RD_0d RS1_09 7 1 +RD_0d RS1_0a 4 1 +RD_0d RS1_0b 8 1 +RD_0d RS1_0c 7 1 +RD_0d RS1_0d 6 1 +RD_0d RS1_0e 5 1 +RD_0d RS1_0f 3 1 +RD_0d RS1_10 6 1 +RD_0d RS1_11 4 1 +RD_0d RS1_12 11 1 +RD_0d RS1_13 1 1 +RD_0d RS1_14 6 1 +RD_0d RS1_15 3 1 +RD_0d RS1_16 4 1 +RD_0d RS1_17 5 1 +RD_0d RS1_18 13 1 +RD_0d RS1_19 3 1 +RD_0d RS1_1a 5 1 +RD_0d RS1_1b 5 1 +RD_0d RS1_1c 3 1 +RD_0d RS1_1d 9 1 +RD_0d RS1_1e 5 1 +RD_0d RS1_1f 4 1 +RD_0e RS1_00 6 1 +RD_0e RS1_01 2 1 +RD_0e RS1_02 8 1 +RD_0e RS1_03 3 1 +RD_0e RS1_04 5 1 +RD_0e RS1_05 4 1 +RD_0e RS1_06 3 1 +RD_0e RS1_07 3 1 +RD_0e RS1_08 2 1 +RD_0e RS1_09 3 1 +RD_0e RS1_0a 6 1 +RD_0e RS1_0b 3 1 +RD_0e RS1_0c 5 1 +RD_0e RS1_0d 13 1 +RD_0e RS1_0e 4 1 +RD_0e RS1_0f 8 1 +RD_0e RS1_10 5 1 +RD_0e RS1_11 2 1 +RD_0e RS1_12 4 1 +RD_0e RS1_13 7 1 +RD_0e RS1_14 8 1 +RD_0e RS1_15 3 1 +RD_0e RS1_16 6 1 +RD_0e RS1_17 4 1 +RD_0e RS1_18 10 1 +RD_0e RS1_19 5 1 +RD_0e RS1_1a 2 1 +RD_0e RS1_1b 6 1 +RD_0e RS1_1c 2 1 +RD_0e RS1_1d 3 1 +RD_0e RS1_1e 3 1 +RD_0e RS1_1f 6 1 +RD_0f RS1_00 11 1 +RD_0f RS1_01 7 1 +RD_0f RS1_02 3 1 +RD_0f RS1_03 5 1 +RD_0f RS1_04 5 1 +RD_0f RS1_05 4 1 +RD_0f RS1_06 3 1 +RD_0f RS1_07 11 1 +RD_0f RS1_08 4 1 +RD_0f RS1_09 2 1 +RD_0f RS1_0a 3 1 +RD_0f RS1_0b 6 1 +RD_0f RS1_0c 1 1 +RD_0f RS1_0d 10 1 +RD_0f RS1_0e 5 1 +RD_0f RS1_0f 4 1 +RD_0f RS1_10 6 1 +RD_0f RS1_11 7 1 +RD_0f RS1_12 10 1 +RD_0f RS1_13 5 1 +RD_0f RS1_14 5 1 +RD_0f RS1_15 5 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 2 1 +RD_0f RS1_18 3 1 +RD_0f RS1_19 3 1 +RD_0f RS1_1a 5 1 +RD_0f RS1_1b 7 1 +RD_0f RS1_1c 8 1 +RD_0f RS1_1d 5 1 +RD_0f RS1_1e 5 1 +RD_0f RS1_1f 2 1 +RD_10 RS1_00 8 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 4 1 +RD_10 RS1_03 3 1 +RD_10 RS1_04 4 1 +RD_10 RS1_05 5 1 +RD_10 RS1_06 2 1 +RD_10 RS1_07 8 1 +RD_10 RS1_08 2 1 +RD_10 RS1_09 8 1 +RD_10 RS1_0b 2 1 +RD_10 RS1_0c 6 1 +RD_10 RS1_0d 2 1 +RD_10 RS1_0e 10 1 +RD_10 RS1_0f 3 1 +RD_10 RS1_10 10 1 +RD_10 RS1_11 2 1 +RD_10 RS1_12 6 1 +RD_10 RS1_13 7 1 +RD_10 RS1_14 7 1 +RD_10 RS1_15 2 1 +RD_10 RS1_16 7 1 +RD_10 RS1_17 3 1 +RD_10 RS1_18 6 1 +RD_10 RS1_19 4 1 +RD_10 RS1_1a 6 1 +RD_10 RS1_1b 9 1 +RD_10 RS1_1c 5 1 +RD_10 RS1_1d 7 1 +RD_10 RS1_1e 2 1 +RD_10 RS1_1f 1 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 7 1 +RD_11 RS1_02 11 1 +RD_11 RS1_03 7 1 +RD_11 RS1_04 4 1 +RD_11 RS1_05 4 1 +RD_11 RS1_06 5 1 +RD_11 RS1_07 6 1 +RD_11 RS1_08 8 1 +RD_11 RS1_09 4 1 +RD_11 RS1_0a 2 1 +RD_11 RS1_0b 5 1 +RD_11 RS1_0c 6 1 +RD_11 RS1_0d 5 1 +RD_11 RS1_0e 7 1 +RD_11 RS1_0f 9 1 +RD_11 RS1_10 2 1 +RD_11 RS1_11 8 1 +RD_11 RS1_12 7 1 +RD_11 RS1_13 5 1 +RD_11 RS1_14 7 1 +RD_11 RS1_15 11 1 +RD_11 RS1_16 7 1 +RD_11 RS1_17 4 1 +RD_11 RS1_18 9 1 +RD_11 RS1_19 7 1 +RD_11 RS1_1a 7 1 +RD_11 RS1_1b 8 1 +RD_11 RS1_1c 3 1 +RD_11 RS1_1d 5 1 +RD_11 RS1_1e 5 1 +RD_11 RS1_1f 1 1 +RD_12 RS1_00 8 1 +RD_12 RS1_01 3 1 +RD_12 RS1_03 2 1 +RD_12 RS1_04 9 1 +RD_12 RS1_05 3 1 +RD_12 RS1_06 4 1 +RD_12 RS1_07 4 1 +RD_12 RS1_08 3 1 +RD_12 RS1_09 4 1 +RD_12 RS1_0a 1 1 +RD_12 RS1_0b 7 1 +RD_12 RS1_0c 5 1 +RD_12 RS1_0d 7 1 +RD_12 RS1_0e 1 1 +RD_12 RS1_0f 3 1 +RD_12 RS1_10 6 1 +RD_12 RS1_11 1 1 +RD_12 RS1_12 1 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 4 1 +RD_12 RS1_15 4 1 +RD_12 RS1_16 8 1 +RD_12 RS1_17 6 1 +RD_12 RS1_18 6 1 +RD_12 RS1_19 3 1 +RD_12 RS1_1a 6 1 +RD_12 RS1_1b 2 1 +RD_12 RS1_1c 2 1 +RD_12 RS1_1d 5 1 +RD_12 RS1_1e 4 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 5 1 +RD_13 RS1_01 4 1 +RD_13 RS1_02 7 1 +RD_13 RS1_03 10 1 +RD_13 RS1_04 7 1 +RD_13 RS1_05 3 1 +RD_13 RS1_06 5 1 +RD_13 RS1_07 8 1 +RD_13 RS1_08 4 1 +RD_13 RS1_09 2 1 +RD_13 RS1_0a 7 1 +RD_13 RS1_0b 2 1 +RD_13 RS1_0c 6 1 +RD_13 RS1_0d 5 1 +RD_13 RS1_0e 2 1 +RD_13 RS1_0f 3 1 +RD_13 RS1_10 5 1 +RD_13 RS1_11 5 1 +RD_13 RS1_12 14 1 +RD_13 RS1_13 9 1 +RD_13 RS1_14 7 1 +RD_13 RS1_15 4 1 +RD_13 RS1_16 8 1 +RD_13 RS1_17 4 1 +RD_13 RS1_18 1 1 +RD_13 RS1_19 8 1 +RD_13 RS1_1a 3 1 +RD_13 RS1_1b 8 1 +RD_13 RS1_1c 2 1 +RD_13 RS1_1d 12 1 +RD_13 RS1_1e 8 1 +RD_13 RS1_1f 6 1 +RD_14 RS1_00 6 1 +RD_14 RS1_01 7 1 +RD_14 RS1_02 4 1 +RD_14 RS1_03 8 1 +RD_14 RS1_04 6 1 +RD_14 RS1_05 9 1 +RD_14 RS1_06 4 1 +RD_14 RS1_07 13 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 6 1 +RD_14 RS1_0a 4 1 +RD_14 RS1_0b 5 1 +RD_14 RS1_0c 3 1 +RD_14 RS1_0d 6 1 +RD_14 RS1_0e 9 1 +RD_14 RS1_0f 7 1 +RD_14 RS1_10 4 1 +RD_14 RS1_11 4 1 +RD_14 RS1_12 1 1 +RD_14 RS1_13 7 1 +RD_14 RS1_14 2 1 +RD_14 RS1_15 9 1 +RD_14 RS1_16 2 1 +RD_14 RS1_17 10 1 +RD_14 RS1_18 4 1 +RD_14 RS1_19 8 1 +RD_14 RS1_1a 8 1 +RD_14 RS1_1b 6 1 +RD_14 RS1_1c 3 1 +RD_14 RS1_1d 6 1 +RD_14 RS1_1e 6 1 +RD_14 RS1_1f 8 1 +RD_15 RS1_00 6 1 +RD_15 RS1_01 6 1 +RD_15 RS1_02 3 1 +RD_15 RS1_03 6 1 +RD_15 RS1_04 5 1 +RD_15 RS1_05 10 1 +RD_15 RS1_06 5 1 +RD_15 RS1_07 4 1 +RD_15 RS1_08 5 1 +RD_15 RS1_09 7 1 +RD_15 RS1_0a 6 1 +RD_15 RS1_0b 5 1 +RD_15 RS1_0c 8 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 2 1 +RD_15 RS1_0f 10 1 +RD_15 RS1_10 4 1 +RD_15 RS1_11 2 1 +RD_15 RS1_12 5 1 +RD_15 RS1_13 8 1 +RD_15 RS1_14 5 1 +RD_15 RS1_15 4 1 +RD_15 RS1_16 6 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 2 1 +RD_15 RS1_19 4 1 +RD_15 RS1_1a 4 1 +RD_15 RS1_1b 7 1 +RD_15 RS1_1c 6 1 +RD_15 RS1_1d 3 1 +RD_15 RS1_1e 7 1 +RD_15 RS1_1f 10 1 +RD_16 RS1_00 3 1 +RD_16 RS1_01 6 1 +RD_16 RS1_02 11 1 +RD_16 RS1_03 8 1 +RD_16 RS1_04 2 1 +RD_16 RS1_05 3 1 +RD_16 RS1_06 4 1 +RD_16 RS1_07 4 1 +RD_16 RS1_08 7 1 +RD_16 RS1_09 6 1 +RD_16 RS1_0a 8 1 +RD_16 RS1_0b 4 1 +RD_16 RS1_0c 5 1 +RD_16 RS1_0d 8 1 +RD_16 RS1_0e 8 1 +RD_16 RS1_0f 4 1 +RD_16 RS1_10 2 1 +RD_16 RS1_11 6 1 +RD_16 RS1_12 4 1 +RD_16 RS1_13 4 1 +RD_16 RS1_14 8 1 +RD_16 RS1_15 5 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 10 1 +RD_16 RS1_19 6 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 7 1 +RD_16 RS1_1c 9 1 +RD_16 RS1_1d 4 1 +RD_16 RS1_1e 8 1 +RD_16 RS1_1f 5 1 +RD_17 RS1_00 6 1 +RD_17 RS1_01 3 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 4 1 +RD_17 RS1_04 6 1 +RD_17 RS1_05 5 1 +RD_17 RS1_06 7 1 +RD_17 RS1_07 13 1 +RD_17 RS1_09 3 1 +RD_17 RS1_0a 3 1 +RD_17 RS1_0b 6 1 +RD_17 RS1_0c 5 1 +RD_17 RS1_0d 6 1 +RD_17 RS1_0e 4 1 +RD_17 RS1_0f 4 1 +RD_17 RS1_10 6 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 5 1 +RD_17 RS1_13 10 1 +RD_17 RS1_14 5 1 +RD_17 RS1_15 3 1 +RD_17 RS1_16 6 1 +RD_17 RS1_17 5 1 +RD_17 RS1_18 3 1 +RD_17 RS1_19 3 1 +RD_17 RS1_1a 5 1 +RD_17 RS1_1b 4 1 +RD_17 RS1_1c 8 1 +RD_17 RS1_1d 8 1 +RD_17 RS1_1e 9 1 +RD_17 RS1_1f 5 1 +RD_18 RS1_00 5 1 +RD_18 RS1_01 3 1 +RD_18 RS1_02 5 1 +RD_18 RS1_03 3 1 +RD_18 RS1_04 9 1 +RD_18 RS1_05 5 1 +RD_18 RS1_06 5 1 +RD_18 RS1_07 4 1 +RD_18 RS1_08 6 1 +RD_18 RS1_09 4 1 +RD_18 RS1_0a 5 1 +RD_18 RS1_0b 1 1 +RD_18 RS1_0c 7 1 +RD_18 RS1_0d 7 1 +RD_18 RS1_0e 7 1 +RD_18 RS1_0f 1 1 +RD_18 RS1_10 3 1 +RD_18 RS1_11 8 1 +RD_18 RS1_12 6 1 +RD_18 RS1_13 5 1 +RD_18 RS1_14 5 1 +RD_18 RS1_15 4 1 +RD_18 RS1_16 8 1 +RD_18 RS1_17 2 1 +RD_18 RS1_18 10 1 +RD_18 RS1_19 5 1 +RD_18 RS1_1a 2 1 +RD_18 RS1_1b 3 1 +RD_18 RS1_1c 4 1 +RD_18 RS1_1d 9 1 +RD_18 RS1_1e 12 1 +RD_18 RS1_1f 6 1 +RD_19 RS1_00 3 1 +RD_19 RS1_01 4 1 +RD_19 RS1_02 6 1 +RD_19 RS1_03 4 1 +RD_19 RS1_04 8 1 +RD_19 RS1_05 3 1 +RD_19 RS1_06 5 1 +RD_19 RS1_07 4 1 +RD_19 RS1_08 2 1 +RD_19 RS1_09 3 1 +RD_19 RS1_0a 1 1 +RD_19 RS1_0b 3 1 +RD_19 RS1_0c 7 1 +RD_19 RS1_0d 6 1 +RD_19 RS1_0e 4 1 +RD_19 RS1_0f 7 1 +RD_19 RS1_10 3 1 +RD_19 RS1_11 4 1 +RD_19 RS1_12 7 1 +RD_19 RS1_13 3 1 +RD_19 RS1_14 8 1 +RD_19 RS1_15 5 1 +RD_19 RS1_16 8 1 +RD_19 RS1_17 7 1 +RD_19 RS1_18 2 1 +RD_19 RS1_19 4 1 +RD_19 RS1_1a 7 1 +RD_19 RS1_1b 1 1 +RD_19 RS1_1c 2 1 +RD_19 RS1_1d 3 1 +RD_19 RS1_1e 3 1 +RD_19 RS1_1f 8 1 +RD_1a RS1_00 2 1 +RD_1a RS1_01 6 1 +RD_1a RS1_02 7 1 +RD_1a RS1_03 7 1 +RD_1a RS1_04 5 1 +RD_1a RS1_05 6 1 +RD_1a RS1_06 4 1 +RD_1a RS1_07 4 1 +RD_1a RS1_08 5 1 +RD_1a RS1_09 9 1 +RD_1a RS1_0a 4 1 +RD_1a RS1_0b 6 1 +RD_1a RS1_0c 7 1 +RD_1a RS1_0e 8 1 +RD_1a RS1_0f 3 1 +RD_1a RS1_10 2 1 +RD_1a RS1_11 3 1 +RD_1a RS1_12 2 1 +RD_1a RS1_13 8 1 +RD_1a RS1_14 6 1 +RD_1a RS1_15 8 1 +RD_1a RS1_16 4 1 +RD_1a RS1_17 7 1 +RD_1a RS1_18 5 1 +RD_1a RS1_19 4 1 +RD_1a RS1_1a 2 1 +RD_1a RS1_1b 12 1 +RD_1a RS1_1c 5 1 +RD_1a RS1_1d 2 1 +RD_1a RS1_1e 7 1 +RD_1a RS1_1f 8 1 +RD_1b RS1_00 5 1 +RD_1b RS1_01 4 1 +RD_1b RS1_02 1 1 +RD_1b RS1_03 7 1 +RD_1b RS1_04 2 1 +RD_1b RS1_05 7 1 +RD_1b RS1_06 4 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 4 1 +RD_1b RS1_09 6 1 +RD_1b RS1_0b 10 1 +RD_1b RS1_0c 3 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 5 1 +RD_1b RS1_0f 4 1 +RD_1b RS1_10 6 1 +RD_1b RS1_11 7 1 +RD_1b RS1_12 6 1 +RD_1b RS1_13 4 1 +RD_1b RS1_14 4 1 +RD_1b RS1_15 5 1 +RD_1b RS1_16 4 1 +RD_1b RS1_17 3 1 +RD_1b RS1_18 8 1 +RD_1b RS1_19 1 1 +RD_1b RS1_1a 4 1 +RD_1b RS1_1b 13 1 +RD_1b RS1_1c 3 1 +RD_1b RS1_1d 9 1 +RD_1b RS1_1e 5 1 +RD_1b RS1_1f 2 1 +RD_1c RS1_00 3 1 +RD_1c RS1_01 1 1 +RD_1c RS1_02 5 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 7 1 +RD_1c RS1_05 6 1 +RD_1c RS1_06 13 1 +RD_1c RS1_07 7 1 +RD_1c RS1_08 5 1 +RD_1c RS1_09 6 1 +RD_1c RS1_0a 7 1 +RD_1c RS1_0b 4 1 +RD_1c RS1_0c 7 1 +RD_1c RS1_0d 3 1 +RD_1c RS1_0e 2 1 +RD_1c RS1_0f 5 1 +RD_1c RS1_10 8 1 +RD_1c RS1_11 3 1 +RD_1c RS1_12 6 1 +RD_1c RS1_13 5 1 +RD_1c RS1_14 5 1 +RD_1c RS1_15 3 1 +RD_1c RS1_16 7 1 +RD_1c RS1_17 5 1 +RD_1c RS1_18 1 1 +RD_1c RS1_19 9 1 +RD_1c RS1_1a 5 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 3 1 +RD_1c RS1_1d 1 1 +RD_1c RS1_1e 4 1 +RD_1c RS1_1f 5 1 +RD_1d RS1_00 6 1 +RD_1d RS1_01 5 1 +RD_1d RS1_02 4 1 +RD_1d RS1_03 6 1 +RD_1d RS1_04 4 1 +RD_1d RS1_05 4 1 +RD_1d RS1_07 2 1 +RD_1d RS1_08 10 1 +RD_1d RS1_09 5 1 +RD_1d RS1_0a 4 1 +RD_1d RS1_0b 6 1 +RD_1d RS1_0c 3 1 +RD_1d RS1_0d 6 1 +RD_1d RS1_0e 4 1 +RD_1d RS1_0f 4 1 +RD_1d RS1_10 9 1 +RD_1d RS1_11 6 1 +RD_1d RS1_12 3 1 +RD_1d RS1_13 8 1 +RD_1d RS1_14 9 1 +RD_1d RS1_15 9 1 +RD_1d RS1_16 6 1 +RD_1d RS1_17 6 1 +RD_1d RS1_18 5 1 +RD_1d RS1_19 4 1 +RD_1d RS1_1a 3 1 +RD_1d RS1_1b 5 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 6 1 +RD_1d RS1_1e 7 1 +RD_1d RS1_1f 3 1 +RD_1e RS1_00 5 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 5 1 +RD_1e RS1_03 4 1 +RD_1e RS1_04 8 1 +RD_1e RS1_05 2 1 +RD_1e RS1_06 6 1 +RD_1e RS1_07 6 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 5 1 +RD_1e RS1_0a 7 1 +RD_1e RS1_0b 6 1 +RD_1e RS1_0c 6 1 +RD_1e RS1_0d 6 1 +RD_1e RS1_0e 7 1 +RD_1e RS1_0f 6 1 +RD_1e RS1_10 11 1 +RD_1e RS1_11 1 1 +RD_1e RS1_12 10 1 +RD_1e RS1_13 4 1 +RD_1e RS1_14 5 1 +RD_1e RS1_15 7 1 +RD_1e RS1_16 7 1 +RD_1e RS1_17 4 1 +RD_1e RS1_18 5 1 +RD_1e RS1_19 6 1 +RD_1e RS1_1a 5 1 +RD_1e RS1_1b 6 1 +RD_1e RS1_1c 4 1 +RD_1e RS1_1d 9 1 +RD_1e RS1_1e 4 1 +RD_1e RS1_1f 8 1 +RD_1f RS1_00 2 1 +RD_1f RS1_01 12 1 +RD_1f RS1_02 4 1 +RD_1f RS1_03 6 1 +RD_1f RS1_04 2 1 +RD_1f RS1_05 6 1 +RD_1f RS1_06 5 1 +RD_1f RS1_07 8 1 +RD_1f RS1_08 2 1 +RD_1f RS1_09 2 1 +RD_1f RS1_0a 5 1 +RD_1f RS1_0b 6 1 +RD_1f RS1_0c 5 1 +RD_1f RS1_0d 4 1 +RD_1f RS1_0e 6 1 +RD_1f RS1_0f 7 1 +RD_1f RS1_10 3 1 +RD_1f RS1_11 4 1 +RD_1f RS1_12 2 1 +RD_1f RS1_13 11 1 +RD_1f RS1_14 9 1 +RD_1f RS1_15 6 1 +RD_1f RS1_16 11 1 +RD_1f RS1_17 4 1 +RD_1f RS1_18 8 1 +RD_1f RS1_19 6 1 +RD_1f RS1_1a 6 1 +RD_1f RS1_1b 5 1 +RD_1f RS1_1c 6 1 +RD_1f RS1_1d 1 1 +RD_1f RS1_1e 2 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 3 1021 99.71 3 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Uncovered bins + +cp_rd cp_rs2 COUNT AT LEAST NUMBER +[RD_00] [RS2_0d] 0 1 1 +[RD_06] [RS2_19] 0 1 1 +[RD_0e] [RS2_06] 0 1 1 + + +Covered bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 9 1 +RD_00 RS2_01 2 1 +RD_00 RS2_02 10 1 +RD_00 RS2_03 5 1 +RD_00 RS2_04 5 1 +RD_00 RS2_05 7 1 +RD_00 RS2_06 3 1 +RD_00 RS2_07 4 1 +RD_00 RS2_08 5 1 +RD_00 RS2_09 8 1 +RD_00 RS2_0a 10 1 +RD_00 RS2_0b 1 1 +RD_00 RS2_0c 3 1 +RD_00 RS2_0e 8 1 +RD_00 RS2_0f 7 1 +RD_00 RS2_10 3 1 +RD_00 RS2_11 8 1 +RD_00 RS2_12 3 1 +RD_00 RS2_13 4 1 +RD_00 RS2_14 3 1 +RD_00 RS2_15 5 1 +RD_00 RS2_16 4 1 +RD_00 RS2_17 7 1 +RD_00 RS2_18 3 1 +RD_00 RS2_19 5 1 +RD_00 RS2_1a 11 1 +RD_00 RS2_1b 4 1 +RD_00 RS2_1c 8 1 +RD_00 RS2_1d 2 1 +RD_00 RS2_1e 3 1 +RD_00 RS2_1f 6 1 +RD_01 RS2_00 7 1 +RD_01 RS2_01 8 1 +RD_01 RS2_02 5 1 +RD_01 RS2_03 2 1 +RD_01 RS2_04 4 1 +RD_01 RS2_05 5 1 +RD_01 RS2_06 5 1 +RD_01 RS2_07 8 1 +RD_01 RS2_08 4 1 +RD_01 RS2_09 4 1 +RD_01 RS2_0a 5 1 +RD_01 RS2_0b 3 1 +RD_01 RS2_0c 3 1 +RD_01 RS2_0d 10 1 +RD_01 RS2_0e 7 1 +RD_01 RS2_0f 7 1 +RD_01 RS2_10 7 1 +RD_01 RS2_11 3 1 +RD_01 RS2_12 3 1 +RD_01 RS2_13 4 1 +RD_01 RS2_14 6 1 +RD_01 RS2_15 6 1 +RD_01 RS2_16 7 1 +RD_01 RS2_17 6 1 +RD_01 RS2_18 5 1 +RD_01 RS2_19 5 1 +RD_01 RS2_1a 9 1 +RD_01 RS2_1b 5 1 +RD_01 RS2_1c 5 1 +RD_01 RS2_1d 5 1 +RD_01 RS2_1e 8 1 +RD_01 RS2_1f 6 1 +RD_02 RS2_00 6 1 +RD_02 RS2_01 7 1 +RD_02 RS2_02 9 1 +RD_02 RS2_03 6 1 +RD_02 RS2_04 3 1 +RD_02 RS2_05 3 1 +RD_02 RS2_06 4 1 +RD_02 RS2_07 1 1 +RD_02 RS2_08 3 1 +RD_02 RS2_09 1 1 +RD_02 RS2_0a 3 1 +RD_02 RS2_0b 4 1 +RD_02 RS2_0c 2 1 +RD_02 RS2_0d 4 1 +RD_02 RS2_0e 3 1 +RD_02 RS2_0f 8 1 +RD_02 RS2_10 7 1 +RD_02 RS2_11 5 1 +RD_02 RS2_12 7 1 +RD_02 RS2_13 2 1 +RD_02 RS2_14 3 1 +RD_02 RS2_15 6 1 +RD_02 RS2_16 10 1 +RD_02 RS2_17 8 1 +RD_02 RS2_18 8 1 +RD_02 RS2_19 2 1 +RD_02 RS2_1a 3 1 +RD_02 RS2_1b 10 1 +RD_02 RS2_1c 6 1 +RD_02 RS2_1d 4 1 +RD_02 RS2_1e 9 1 +RD_02 RS2_1f 4 1 +RD_03 RS2_00 1 1 +RD_03 RS2_01 4 1 +RD_03 RS2_02 5 1 +RD_03 RS2_03 4 1 +RD_03 RS2_04 7 1 +RD_03 RS2_05 5 1 +RD_03 RS2_06 6 1 +RD_03 RS2_07 4 1 +RD_03 RS2_08 5 1 +RD_03 RS2_09 4 1 +RD_03 RS2_0a 3 1 +RD_03 RS2_0b 5 1 +RD_03 RS2_0c 4 1 +RD_03 RS2_0d 12 1 +RD_03 RS2_0e 7 1 +RD_03 RS2_0f 2 1 +RD_03 RS2_10 7 1 +RD_03 RS2_11 7 1 +RD_03 RS2_12 4 1 +RD_03 RS2_13 10 1 +RD_03 RS2_14 4 1 +RD_03 RS2_15 2 1 +RD_03 RS2_16 8 1 +RD_03 RS2_17 11 1 +RD_03 RS2_18 6 1 +RD_03 RS2_19 5 1 +RD_03 RS2_1a 5 1 +RD_03 RS2_1b 4 1 +RD_03 RS2_1c 8 1 +RD_03 RS2_1d 3 1 +RD_03 RS2_1e 1 1 +RD_03 RS2_1f 3 1 +RD_04 RS2_00 9 1 +RD_04 RS2_01 4 1 +RD_04 RS2_02 3 1 +RD_04 RS2_03 5 1 +RD_04 RS2_04 5 1 +RD_04 RS2_05 9 1 +RD_04 RS2_06 12 1 +RD_04 RS2_07 4 1 +RD_04 RS2_08 2 1 +RD_04 RS2_09 2 1 +RD_04 RS2_0a 5 1 +RD_04 RS2_0b 9 1 +RD_04 RS2_0c 6 1 +RD_04 RS2_0d 4 1 +RD_04 RS2_0e 4 1 +RD_04 RS2_0f 8 1 +RD_04 RS2_10 5 1 +RD_04 RS2_11 4 1 +RD_04 RS2_12 8 1 +RD_04 RS2_13 11 1 +RD_04 RS2_14 6 1 +RD_04 RS2_15 3 1 +RD_04 RS2_16 6 1 +RD_04 RS2_17 5 1 +RD_04 RS2_18 7 1 +RD_04 RS2_19 8 1 +RD_04 RS2_1a 3 1 +RD_04 RS2_1b 5 1 +RD_04 RS2_1c 8 1 +RD_04 RS2_1d 4 1 +RD_04 RS2_1e 3 1 +RD_04 RS2_1f 3 1 +RD_05 RS2_00 8 1 +RD_05 RS2_01 7 1 +RD_05 RS2_02 3 1 +RD_05 RS2_03 3 1 +RD_05 RS2_04 2 1 +RD_05 RS2_05 7 1 +RD_05 RS2_06 8 1 +RD_05 RS2_07 5 1 +RD_05 RS2_08 4 1 +RD_05 RS2_09 2 1 +RD_05 RS2_0a 4 1 +RD_05 RS2_0b 2 1 +RD_05 RS2_0c 1 1 +RD_05 RS2_0d 3 1 +RD_05 RS2_0e 5 1 +RD_05 RS2_0f 4 1 +RD_05 RS2_10 6 1 +RD_05 RS2_11 1 1 +RD_05 RS2_12 3 1 +RD_05 RS2_13 7 1 +RD_05 RS2_14 8 1 +RD_05 RS2_15 6 1 +RD_05 RS2_16 6 1 +RD_05 RS2_17 5 1 +RD_05 RS2_18 6 1 +RD_05 RS2_19 4 1 +RD_05 RS2_1a 3 1 +RD_05 RS2_1b 2 1 +RD_05 RS2_1c 5 1 +RD_05 RS2_1d 6 1 +RD_05 RS2_1e 4 1 +RD_05 RS2_1f 12 1 +RD_06 RS2_00 9 1 +RD_06 RS2_01 4 1 +RD_06 RS2_02 6 1 +RD_06 RS2_03 6 1 +RD_06 RS2_04 7 1 +RD_06 RS2_05 4 1 +RD_06 RS2_06 11 1 +RD_06 RS2_07 4 1 +RD_06 RS2_08 5 1 +RD_06 RS2_09 10 1 +RD_06 RS2_0a 3 1 +RD_06 RS2_0b 4 1 +RD_06 RS2_0c 1 1 +RD_06 RS2_0d 7 1 +RD_06 RS2_0e 3 1 +RD_06 RS2_0f 4 1 +RD_06 RS2_10 9 1 +RD_06 RS2_11 2 1 +RD_06 RS2_12 5 1 +RD_06 RS2_13 3 1 +RD_06 RS2_14 8 1 +RD_06 RS2_15 3 1 +RD_06 RS2_16 5 1 +RD_06 RS2_17 14 1 +RD_06 RS2_18 7 1 +RD_06 RS2_1a 8 1 +RD_06 RS2_1b 2 1 +RD_06 RS2_1c 1 1 +RD_06 RS2_1d 7 1 +RD_06 RS2_1e 8 1 +RD_06 RS2_1f 5 1 +RD_07 RS2_00 1 1 +RD_07 RS2_01 7 1 +RD_07 RS2_02 13 1 +RD_07 RS2_03 3 1 +RD_07 RS2_04 2 1 +RD_07 RS2_05 5 1 +RD_07 RS2_06 6 1 +RD_07 RS2_07 2 1 +RD_07 RS2_08 2 1 +RD_07 RS2_09 3 1 +RD_07 RS2_0a 5 1 +RD_07 RS2_0b 5 1 +RD_07 RS2_0c 6 1 +RD_07 RS2_0d 7 1 +RD_07 RS2_0e 7 1 +RD_07 RS2_0f 3 1 +RD_07 RS2_10 4 1 +RD_07 RS2_11 6 1 +RD_07 RS2_12 3 1 +RD_07 RS2_13 5 1 +RD_07 RS2_14 8 1 +RD_07 RS2_15 5 1 +RD_07 RS2_16 2 1 +RD_07 RS2_17 11 1 +RD_07 RS2_18 8 1 +RD_07 RS2_19 8 1 +RD_07 RS2_1a 7 1 +RD_07 RS2_1b 6 1 +RD_07 RS2_1c 2 1 +RD_07 RS2_1d 1 1 +RD_07 RS2_1e 8 1 +RD_07 RS2_1f 6 1 +RD_08 RS2_00 2 1 +RD_08 RS2_01 4 1 +RD_08 RS2_02 7 1 +RD_08 RS2_03 5 1 +RD_08 RS2_04 7 1 +RD_08 RS2_05 5 1 +RD_08 RS2_06 3 1 +RD_08 RS2_07 4 1 +RD_08 RS2_08 5 1 +RD_08 RS2_09 6 1 +RD_08 RS2_0a 9 1 +RD_08 RS2_0b 3 1 +RD_08 RS2_0c 4 1 +RD_08 RS2_0d 5 1 +RD_08 RS2_0e 3 1 +RD_08 RS2_0f 7 1 +RD_08 RS2_10 5 1 +RD_08 RS2_11 7 1 +RD_08 RS2_12 1 1 +RD_08 RS2_13 7 1 +RD_08 RS2_14 4 1 +RD_08 RS2_15 3 1 +RD_08 RS2_16 3 1 +RD_08 RS2_17 2 1 +RD_08 RS2_18 2 1 +RD_08 RS2_19 7 1 +RD_08 RS2_1a 7 1 +RD_08 RS2_1b 5 1 +RD_08 RS2_1c 8 1 +RD_08 RS2_1d 3 1 +RD_08 RS2_1e 2 1 +RD_08 RS2_1f 3 1 +RD_09 RS2_00 5 1 +RD_09 RS2_01 5 1 +RD_09 RS2_02 5 1 +RD_09 RS2_03 6 1 +RD_09 RS2_04 8 1 +RD_09 RS2_05 4 1 +RD_09 RS2_06 4 1 +RD_09 RS2_07 4 1 +RD_09 RS2_08 5 1 +RD_09 RS2_09 8 1 +RD_09 RS2_0a 7 1 +RD_09 RS2_0b 7 1 +RD_09 RS2_0c 7 1 +RD_09 RS2_0d 2 1 +RD_09 RS2_0e 4 1 +RD_09 RS2_0f 3 1 +RD_09 RS2_10 5 1 +RD_09 RS2_11 4 1 +RD_09 RS2_12 9 1 +RD_09 RS2_13 14 1 +RD_09 RS2_14 3 1 +RD_09 RS2_15 6 1 +RD_09 RS2_16 7 1 +RD_09 RS2_17 4 1 +RD_09 RS2_18 5 1 +RD_09 RS2_19 5 1 +RD_09 RS2_1a 3 1 +RD_09 RS2_1b 4 1 +RD_09 RS2_1c 4 1 +RD_09 RS2_1d 7 1 +RD_09 RS2_1e 5 1 +RD_09 RS2_1f 7 1 +RD_0a RS2_00 7 1 +RD_0a RS2_01 2 1 +RD_0a RS2_02 1 1 +RD_0a RS2_03 2 1 +RD_0a RS2_04 4 1 +RD_0a RS2_05 6 1 +RD_0a RS2_06 4 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 5 1 +RD_0a RS2_09 6 1 +RD_0a RS2_0a 3 1 +RD_0a RS2_0b 12 1 +RD_0a RS2_0c 4 1 +RD_0a RS2_0d 14 1 +RD_0a RS2_0e 8 1 +RD_0a RS2_0f 5 1 +RD_0a RS2_10 4 1 +RD_0a RS2_11 7 1 +RD_0a RS2_12 6 1 +RD_0a RS2_13 3 1 +RD_0a RS2_14 1 1 +RD_0a RS2_15 1 1 +RD_0a RS2_16 8 1 +RD_0a RS2_17 13 1 +RD_0a RS2_18 4 1 +RD_0a RS2_19 6 1 +RD_0a RS2_1a 9 1 +RD_0a RS2_1b 2 1 +RD_0a RS2_1c 3 1 +RD_0a RS2_1d 6 1 +RD_0a RS2_1e 6 1 +RD_0a RS2_1f 4 1 +RD_0b RS2_00 1 1 +RD_0b RS2_01 2 1 +RD_0b RS2_02 8 1 +RD_0b RS2_03 6 1 +RD_0b RS2_04 14 1 +RD_0b RS2_05 10 1 +RD_0b RS2_06 3 1 +RD_0b RS2_07 2 1 +RD_0b RS2_08 2 1 +RD_0b RS2_09 6 1 +RD_0b RS2_0a 6 1 +RD_0b RS2_0b 1 1 +RD_0b RS2_0c 5 1 +RD_0b RS2_0d 4 1 +RD_0b RS2_0e 6 1 +RD_0b RS2_0f 9 1 +RD_0b RS2_10 2 1 +RD_0b RS2_11 7 1 +RD_0b RS2_12 4 1 +RD_0b RS2_13 5 1 +RD_0b RS2_14 4 1 +RD_0b RS2_15 7 1 +RD_0b RS2_16 9 1 +RD_0b RS2_17 3 1 +RD_0b RS2_18 7 1 +RD_0b RS2_19 5 1 +RD_0b RS2_1a 6 1 +RD_0b RS2_1b 4 1 +RD_0b RS2_1c 8 1 +RD_0b RS2_1d 5 1 +RD_0b RS2_1e 3 1 +RD_0b RS2_1f 11 1 +RD_0c RS2_00 4 1 +RD_0c RS2_01 3 1 +RD_0c RS2_02 2 1 +RD_0c RS2_03 3 1 +RD_0c RS2_04 8 1 +RD_0c RS2_05 6 1 +RD_0c RS2_06 5 1 +RD_0c RS2_07 2 1 +RD_0c RS2_08 6 1 +RD_0c RS2_09 1 1 +RD_0c RS2_0a 2 1 +RD_0c RS2_0b 4 1 +RD_0c RS2_0c 5 1 +RD_0c RS2_0d 3 1 +RD_0c RS2_0e 10 1 +RD_0c RS2_0f 4 1 +RD_0c RS2_10 5 1 +RD_0c RS2_11 6 1 +RD_0c RS2_12 3 1 +RD_0c RS2_13 4 1 +RD_0c RS2_14 2 1 +RD_0c RS2_15 4 1 +RD_0c RS2_16 5 1 +RD_0c RS2_17 4 1 +RD_0c RS2_18 5 1 +RD_0c RS2_19 5 1 +RD_0c RS2_1a 7 1 +RD_0c RS2_1b 6 1 +RD_0c RS2_1c 4 1 +RD_0c RS2_1d 3 1 +RD_0c RS2_1e 6 1 +RD_0c RS2_1f 6 1 +RD_0d RS2_00 6 1 +RD_0d RS2_01 7 1 +RD_0d RS2_02 6 1 +RD_0d RS2_03 3 1 +RD_0d RS2_04 2 1 +RD_0d RS2_05 8 1 +RD_0d RS2_06 6 1 +RD_0d RS2_07 3 1 +RD_0d RS2_08 3 1 +RD_0d RS2_09 3 1 +RD_0d RS2_0a 4 1 +RD_0d RS2_0b 2 1 +RD_0d RS2_0c 9 1 +RD_0d RS2_0d 5 1 +RD_0d RS2_0e 6 1 +RD_0d RS2_0f 2 1 +RD_0d RS2_10 7 1 +RD_0d RS2_11 7 1 +RD_0d RS2_12 3 1 +RD_0d RS2_13 5 1 +RD_0d RS2_14 10 1 +RD_0d RS2_15 2 1 +RD_0d RS2_16 5 1 +RD_0d RS2_17 11 1 +RD_0d RS2_18 4 1 +RD_0d RS2_19 9 1 +RD_0d RS2_1a 3 1 +RD_0d RS2_1b 5 1 +RD_0d RS2_1c 5 1 +RD_0d RS2_1d 5 1 +RD_0d RS2_1e 12 1 +RD_0d RS2_1f 1 1 +RD_0e RS2_00 6 1 +RD_0e RS2_01 4 1 +RD_0e RS2_02 2 1 +RD_0e RS2_03 4 1 +RD_0e RS2_04 10 1 +RD_0e RS2_05 6 1 +RD_0e RS2_07 1 1 +RD_0e RS2_08 3 1 +RD_0e RS2_09 3 1 +RD_0e RS2_0a 3 1 +RD_0e RS2_0b 2 1 +RD_0e RS2_0c 11 1 +RD_0e RS2_0d 8 1 +RD_0e RS2_0e 5 1 +RD_0e RS2_0f 2 1 +RD_0e RS2_10 4 1 +RD_0e RS2_11 7 1 +RD_0e RS2_12 5 1 +RD_0e RS2_13 4 1 +RD_0e RS2_14 2 1 +RD_0e RS2_15 8 1 +RD_0e RS2_16 5 1 +RD_0e RS2_17 8 1 +RD_0e RS2_18 6 1 +RD_0e RS2_19 3 1 +RD_0e RS2_1a 6 1 +RD_0e RS2_1b 6 1 +RD_0e RS2_1c 5 1 +RD_0e RS2_1d 8 1 +RD_0e RS2_1e 3 1 +RD_0e RS2_1f 4 1 +RD_0f RS2_00 5 1 +RD_0f RS2_01 6 1 +RD_0f RS2_02 5 1 +RD_0f RS2_03 6 1 +RD_0f RS2_04 4 1 +RD_0f RS2_05 10 1 +RD_0f RS2_06 7 1 +RD_0f RS2_07 4 1 +RD_0f RS2_08 9 1 +RD_0f RS2_09 8 1 +RD_0f RS2_0a 4 1 +RD_0f RS2_0b 6 1 +RD_0f RS2_0c 8 1 +RD_0f RS2_0d 5 1 +RD_0f RS2_0e 3 1 +RD_0f RS2_0f 2 1 +RD_0f RS2_10 6 1 +RD_0f RS2_11 4 1 +RD_0f RS2_12 1 1 +RD_0f RS2_13 4 1 +RD_0f RS2_14 5 1 +RD_0f RS2_15 7 1 +RD_0f RS2_16 1 1 +RD_0f RS2_17 2 1 +RD_0f RS2_18 5 1 +RD_0f RS2_19 4 1 +RD_0f RS2_1a 9 1 +RD_0f RS2_1b 5 1 +RD_0f RS2_1c 3 1 +RD_0f RS2_1d 6 1 +RD_0f RS2_1e 3 1 +RD_0f RS2_1f 8 1 +RD_10 RS2_00 8 1 +RD_10 RS2_01 2 1 +RD_10 RS2_02 4 1 +RD_10 RS2_03 8 1 +RD_10 RS2_04 6 1 +RD_10 RS2_05 5 1 +RD_10 RS2_06 7 1 +RD_10 RS2_07 2 1 +RD_10 RS2_08 4 1 +RD_10 RS2_09 7 1 +RD_10 RS2_0a 3 1 +RD_10 RS2_0b 6 1 +RD_10 RS2_0c 5 1 +RD_10 RS2_0d 3 1 +RD_10 RS2_0e 5 1 +RD_10 RS2_0f 6 1 +RD_10 RS2_10 6 1 +RD_10 RS2_11 4 1 +RD_10 RS2_12 2 1 +RD_10 RS2_13 2 1 +RD_10 RS2_14 6 1 +RD_10 RS2_15 4 1 +RD_10 RS2_16 3 1 +RD_10 RS2_17 5 1 +RD_10 RS2_18 7 1 +RD_10 RS2_19 3 1 +RD_10 RS2_1a 6 1 +RD_10 RS2_1b 7 1 +RD_10 RS2_1c 3 1 +RD_10 RS2_1d 8 1 +RD_10 RS2_1e 1 1 +RD_10 RS2_1f 5 1 +RD_11 RS2_00 6 1 +RD_11 RS2_01 4 1 +RD_11 RS2_02 6 1 +RD_11 RS2_03 6 1 +RD_11 RS2_04 4 1 +RD_11 RS2_05 7 1 +RD_11 RS2_06 2 1 +RD_11 RS2_07 7 1 +RD_11 RS2_08 5 1 +RD_11 RS2_09 5 1 +RD_11 RS2_0a 4 1 +RD_11 RS2_0b 6 1 +RD_11 RS2_0c 5 1 +RD_11 RS2_0d 6 1 +RD_11 RS2_0e 6 1 +RD_11 RS2_0f 6 1 +RD_11 RS2_10 4 1 +RD_11 RS2_11 9 1 +RD_11 RS2_12 5 1 +RD_11 RS2_13 9 1 +RD_11 RS2_14 10 1 +RD_11 RS2_15 4 1 +RD_11 RS2_16 5 1 +RD_11 RS2_17 8 1 +RD_11 RS2_18 12 1 +RD_11 RS2_19 4 1 +RD_11 RS2_1a 4 1 +RD_11 RS2_1b 10 1 +RD_11 RS2_1c 9 1 +RD_11 RS2_1d 3 1 +RD_11 RS2_1e 6 1 +RD_11 RS2_1f 3 1 +RD_12 RS2_00 4 1 +RD_12 RS2_01 4 1 +RD_12 RS2_02 7 1 +RD_12 RS2_03 8 1 +RD_12 RS2_04 3 1 +RD_12 RS2_05 3 1 +RD_12 RS2_06 2 1 +RD_12 RS2_07 3 1 +RD_12 RS2_08 6 1 +RD_12 RS2_09 3 1 +RD_12 RS2_0a 5 1 +RD_12 RS2_0b 4 1 +RD_12 RS2_0c 1 1 +RD_12 RS2_0d 4 1 +RD_12 RS2_0e 1 1 +RD_12 RS2_0f 3 1 +RD_12 RS2_10 5 1 +RD_12 RS2_11 4 1 +RD_12 RS2_12 2 1 +RD_12 RS2_13 3 1 +RD_12 RS2_14 2 1 +RD_12 RS2_15 5 1 +RD_12 RS2_16 2 1 +RD_12 RS2_17 3 1 +RD_12 RS2_18 4 1 +RD_12 RS2_19 8 1 +RD_12 RS2_1a 2 1 +RD_12 RS2_1b 6 1 +RD_12 RS2_1c 1 1 +RD_12 RS2_1d 10 1 +RD_12 RS2_1e 3 1 +RD_12 RS2_1f 10 1 +RD_13 RS2_00 4 1 +RD_13 RS2_01 4 1 +RD_13 RS2_02 9 1 +RD_13 RS2_03 9 1 +RD_13 RS2_04 3 1 +RD_13 RS2_05 5 1 +RD_13 RS2_06 8 1 +RD_13 RS2_07 8 1 +RD_13 RS2_08 5 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 16 1 +RD_13 RS2_0b 7 1 +RD_13 RS2_0c 9 1 +RD_13 RS2_0d 5 1 +RD_13 RS2_0e 3 1 +RD_13 RS2_0f 5 1 +RD_13 RS2_10 4 1 +RD_13 RS2_11 8 1 +RD_13 RS2_12 7 1 +RD_13 RS2_13 4 1 +RD_13 RS2_14 4 1 +RD_13 RS2_15 6 1 +RD_13 RS2_16 4 1 +RD_13 RS2_17 5 1 +RD_13 RS2_18 5 1 +RD_13 RS2_19 6 1 +RD_13 RS2_1a 6 1 +RD_13 RS2_1b 4 1 +RD_13 RS2_1c 5 1 +RD_13 RS2_1d 3 1 +RD_13 RS2_1e 5 1 +RD_13 RS2_1f 3 1 +RD_14 RS2_00 11 1 +RD_14 RS2_01 4 1 +RD_14 RS2_02 5 1 +RD_14 RS2_03 5 1 +RD_14 RS2_04 3 1 +RD_14 RS2_05 8 1 +RD_14 RS2_06 2 1 +RD_14 RS2_07 13 1 +RD_14 RS2_08 10 1 +RD_14 RS2_09 3 1 +RD_14 RS2_0a 3 1 +RD_14 RS2_0b 4 1 +RD_14 RS2_0c 8 1 +RD_14 RS2_0d 8 1 +RD_14 RS2_0e 9 1 +RD_14 RS2_0f 5 1 +RD_14 RS2_10 4 1 +RD_14 RS2_11 9 1 +RD_14 RS2_12 2 1 +RD_14 RS2_13 4 1 +RD_14 RS2_14 5 1 +RD_14 RS2_15 4 1 +RD_14 RS2_16 4 1 +RD_14 RS2_17 8 1 +RD_14 RS2_18 6 1 +RD_14 RS2_19 3 1 +RD_14 RS2_1a 10 1 +RD_14 RS2_1b 5 1 +RD_14 RS2_1c 5 1 +RD_14 RS2_1d 5 1 +RD_14 RS2_1e 6 1 +RD_14 RS2_1f 9 1 +RD_15 RS2_00 7 1 +RD_15 RS2_01 3 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 1 1 +RD_15 RS2_04 7 1 +RD_15 RS2_05 2 1 +RD_15 RS2_06 3 1 +RD_15 RS2_07 7 1 +RD_15 RS2_08 2 1 +RD_15 RS2_09 3 1 +RD_15 RS2_0a 7 1 +RD_15 RS2_0b 3 1 +RD_15 RS2_0c 6 1 +RD_15 RS2_0d 8 1 +RD_15 RS2_0e 9 1 +RD_15 RS2_0f 9 1 +RD_15 RS2_10 7 1 +RD_15 RS2_11 8 1 +RD_15 RS2_12 3 1 +RD_15 RS2_13 7 1 +RD_15 RS2_14 8 1 +RD_15 RS2_15 4 1 +RD_15 RS2_16 7 1 +RD_15 RS2_17 5 1 +RD_15 RS2_18 5 1 +RD_15 RS2_19 3 1 +RD_15 RS2_1a 4 1 +RD_15 RS2_1b 6 1 +RD_15 RS2_1c 4 1 +RD_15 RS2_1d 11 1 +RD_15 RS2_1e 4 1 +RD_15 RS2_1f 7 1 +RD_16 RS2_00 5 1 +RD_16 RS2_01 5 1 +RD_16 RS2_02 8 1 +RD_16 RS2_03 5 1 +RD_16 RS2_04 4 1 +RD_16 RS2_05 3 1 +RD_16 RS2_06 8 1 +RD_16 RS2_07 10 1 +RD_16 RS2_08 3 1 +RD_16 RS2_09 3 1 +RD_16 RS2_0a 7 1 +RD_16 RS2_0b 6 1 +RD_16 RS2_0c 6 1 +RD_16 RS2_0d 6 1 +RD_16 RS2_0e 10 1 +RD_16 RS2_0f 1 1 +RD_16 RS2_10 8 1 +RD_16 RS2_11 6 1 +RD_16 RS2_12 5 1 +RD_16 RS2_13 6 1 +RD_16 RS2_14 3 1 +RD_16 RS2_15 4 1 +RD_16 RS2_16 4 1 +RD_16 RS2_17 10 1 +RD_16 RS2_18 7 1 +RD_16 RS2_19 4 1 +RD_16 RS2_1a 6 1 +RD_16 RS2_1b 5 1 +RD_16 RS2_1c 6 1 +RD_16 RS2_1d 8 1 +RD_16 RS2_1e 5 1 +RD_16 RS2_1f 4 1 +RD_17 RS2_00 9 1 +RD_17 RS2_01 6 1 +RD_17 RS2_02 4 1 +RD_17 RS2_03 9 1 +RD_17 RS2_04 2 1 +RD_17 RS2_05 4 1 +RD_17 RS2_06 2 1 +RD_17 RS2_07 2 1 +RD_17 RS2_08 4 1 +RD_17 RS2_09 11 1 +RD_17 RS2_0a 8 1 +RD_17 RS2_0b 5 1 +RD_17 RS2_0c 1 1 +RD_17 RS2_0d 5 1 +RD_17 RS2_0e 7 1 +RD_17 RS2_0f 5 1 +RD_17 RS2_10 6 1 +RD_17 RS2_11 9 1 +RD_17 RS2_12 5 1 +RD_17 RS2_13 3 1 +RD_17 RS2_14 4 1 +RD_17 RS2_15 7 1 +RD_17 RS2_16 2 1 +RD_17 RS2_17 7 1 +RD_17 RS2_18 11 1 +RD_17 RS2_19 3 1 +RD_17 RS2_1a 3 1 +RD_17 RS2_1b 7 1 +RD_17 RS2_1c 2 1 +RD_17 RS2_1d 6 1 +RD_17 RS2_1e 6 1 +RD_17 RS2_1f 5 1 +RD_18 RS2_00 6 1 +RD_18 RS2_01 6 1 +RD_18 RS2_02 3 1 +RD_18 RS2_03 8 1 +RD_18 RS2_04 4 1 +RD_18 RS2_05 7 1 +RD_18 RS2_06 5 1 +RD_18 RS2_07 5 1 +RD_18 RS2_08 12 1 +RD_18 RS2_09 6 1 +RD_18 RS2_0a 3 1 +RD_18 RS2_0b 3 1 +RD_18 RS2_0c 6 1 +RD_18 RS2_0d 5 1 +RD_18 RS2_0e 6 1 +RD_18 RS2_0f 2 1 +RD_18 RS2_10 3 1 +RD_18 RS2_11 2 1 +RD_18 RS2_12 5 1 +RD_18 RS2_13 6 1 +RD_18 RS2_14 2 1 +RD_18 RS2_15 8 1 +RD_18 RS2_16 3 1 +RD_18 RS2_17 9 1 +RD_18 RS2_18 7 1 +RD_18 RS2_19 6 1 +RD_18 RS2_1a 3 1 +RD_18 RS2_1b 4 1 +RD_18 RS2_1c 5 1 +RD_18 RS2_1d 5 1 +RD_18 RS2_1e 4 1 +RD_18 RS2_1f 10 1 +RD_19 RS2_00 3 1 +RD_19 RS2_01 6 1 +RD_19 RS2_02 7 1 +RD_19 RS2_03 4 1 +RD_19 RS2_04 6 1 +RD_19 RS2_05 5 1 +RD_19 RS2_06 4 1 +RD_19 RS2_07 5 1 +RD_19 RS2_08 3 1 +RD_19 RS2_09 1 1 +RD_19 RS2_0a 2 1 +RD_19 RS2_0b 1 1 +RD_19 RS2_0c 1 1 +RD_19 RS2_0d 5 1 +RD_19 RS2_0e 7 1 +RD_19 RS2_0f 1 1 +RD_19 RS2_10 8 1 +RD_19 RS2_11 8 1 +RD_19 RS2_12 3 1 +RD_19 RS2_13 7 1 +RD_19 RS2_14 5 1 +RD_19 RS2_15 3 1 +RD_19 RS2_16 4 1 +RD_19 RS2_17 5 1 +RD_19 RS2_18 5 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 2 1 +RD_19 RS2_1b 3 1 +RD_19 RS2_1c 4 1 +RD_19 RS2_1d 6 1 +RD_19 RS2_1e 7 1 +RD_19 RS2_1f 9 1 +RD_1a RS2_00 2 1 +RD_1a RS2_01 3 1 +RD_1a RS2_02 3 1 +RD_1a RS2_03 10 1 +RD_1a RS2_04 6 1 +RD_1a RS2_05 4 1 +RD_1a RS2_06 3 1 +RD_1a RS2_07 7 1 +RD_1a RS2_08 11 1 +RD_1a RS2_09 3 1 +RD_1a RS2_0a 2 1 +RD_1a RS2_0b 2 1 +RD_1a RS2_0c 6 1 +RD_1a RS2_0d 7 1 +RD_1a RS2_0e 14 1 +RD_1a RS2_0f 5 1 +RD_1a RS2_10 4 1 +RD_1a RS2_11 7 1 +RD_1a RS2_12 3 1 +RD_1a RS2_13 3 1 +RD_1a RS2_14 6 1 +RD_1a RS2_15 2 1 +RD_1a RS2_16 6 1 +RD_1a RS2_17 14 1 +RD_1a RS2_18 1 1 +RD_1a RS2_19 3 1 +RD_1a RS2_1a 7 1 +RD_1a RS2_1b 8 1 +RD_1a RS2_1c 2 1 +RD_1a RS2_1d 3 1 +RD_1a RS2_1e 4 1 +RD_1a RS2_1f 7 1 +RD_1b RS2_00 4 1 +RD_1b RS2_01 6 1 +RD_1b RS2_02 8 1 +RD_1b RS2_03 7 1 +RD_1b RS2_04 4 1 +RD_1b RS2_05 4 1 +RD_1b RS2_06 7 1 +RD_1b RS2_07 5 1 +RD_1b RS2_08 1 1 +RD_1b RS2_09 2 1 +RD_1b RS2_0a 8 1 +RD_1b RS2_0b 5 1 +RD_1b RS2_0c 1 1 +RD_1b RS2_0d 3 1 +RD_1b RS2_0e 1 1 +RD_1b RS2_0f 5 1 +RD_1b RS2_10 6 1 +RD_1b RS2_11 5 1 +RD_1b RS2_12 4 1 +RD_1b RS2_13 10 1 +RD_1b RS2_14 6 1 +RD_1b RS2_15 3 1 +RD_1b RS2_16 4 1 +RD_1b RS2_17 1 1 +RD_1b RS2_18 2 1 +RD_1b RS2_19 6 1 +RD_1b RS2_1a 4 1 +RD_1b RS2_1b 4 1 +RD_1b RS2_1c 5 1 +RD_1b RS2_1d 8 1 +RD_1b RS2_1e 10 1 +RD_1b RS2_1f 6 1 +RD_1c RS2_00 5 1 +RD_1c RS2_01 2 1 +RD_1c RS2_02 6 1 +RD_1c RS2_03 9 1 +RD_1c RS2_04 10 1 +RD_1c RS2_05 10 1 +RD_1c RS2_06 1 1 +RD_1c RS2_07 3 1 +RD_1c RS2_08 8 1 +RD_1c RS2_09 5 1 +RD_1c RS2_0a 2 1 +RD_1c RS2_0b 6 1 +RD_1c RS2_0c 3 1 +RD_1c RS2_0d 3 1 +RD_1c RS2_0e 3 1 +RD_1c RS2_0f 7 1 +RD_1c RS2_10 6 1 +RD_1c RS2_11 7 1 +RD_1c RS2_12 4 1 +RD_1c RS2_13 3 1 +RD_1c RS2_14 2 1 +RD_1c RS2_15 3 1 +RD_1c RS2_16 9 1 +RD_1c RS2_17 5 1 +RD_1c RS2_18 6 1 +RD_1c RS2_19 4 1 +RD_1c RS2_1a 2 1 +RD_1c RS2_1b 5 1 +RD_1c RS2_1c 9 1 +RD_1c RS2_1d 3 1 +RD_1c RS2_1e 2 1 +RD_1c RS2_1f 8 1 +RD_1d RS2_00 6 1 +RD_1d RS2_01 3 1 +RD_1d RS2_02 3 1 +RD_1d RS2_03 4 1 +RD_1d RS2_04 6 1 +RD_1d RS2_05 5 1 +RD_1d RS2_06 2 1 +RD_1d RS2_07 8 1 +RD_1d RS2_08 6 1 +RD_1d RS2_09 9 1 +RD_1d RS2_0a 4 1 +RD_1d RS2_0b 7 1 +RD_1d RS2_0c 6 1 +RD_1d RS2_0d 8 1 +RD_1d RS2_0e 5 1 +RD_1d RS2_0f 4 1 +RD_1d RS2_10 6 1 +RD_1d RS2_11 7 1 +RD_1d RS2_12 5 1 +RD_1d RS2_13 5 1 +RD_1d RS2_14 9 1 +RD_1d RS2_15 6 1 +RD_1d RS2_16 9 1 +RD_1d RS2_17 3 1 +RD_1d RS2_18 8 1 +RD_1d RS2_19 3 1 +RD_1d RS2_1a 6 1 +RD_1d RS2_1b 4 1 +RD_1d RS2_1c 2 1 +RD_1d RS2_1d 1 1 +RD_1d RS2_1e 1 1 +RD_1d RS2_1f 6 1 +RD_1e RS2_00 5 1 +RD_1e RS2_01 7 1 +RD_1e RS2_02 4 1 +RD_1e RS2_03 5 1 +RD_1e RS2_04 6 1 +RD_1e RS2_05 5 1 +RD_1e RS2_06 5 1 +RD_1e RS2_07 6 1 +RD_1e RS2_08 5 1 +RD_1e RS2_09 8 1 +RD_1e RS2_0a 6 1 +RD_1e RS2_0b 8 1 +RD_1e RS2_0c 6 1 +RD_1e RS2_0d 5 1 +RD_1e RS2_0e 5 1 +RD_1e RS2_0f 4 1 +RD_1e RS2_10 6 1 +RD_1e RS2_11 8 1 +RD_1e RS2_12 3 1 +RD_1e RS2_13 5 1 +RD_1e RS2_14 7 1 +RD_1e RS2_15 2 1 +RD_1e RS2_16 3 1 +RD_1e RS2_17 5 1 +RD_1e RS2_18 3 1 +RD_1e RS2_19 9 1 +RD_1e RS2_1a 9 1 +RD_1e RS2_1b 7 1 +RD_1e RS2_1c 11 1 +RD_1e RS2_1d 5 1 +RD_1e RS2_1e 6 1 +RD_1e RS2_1f 3 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 5 1 +RD_1f RS2_02 8 1 +RD_1f RS2_03 6 1 +RD_1f RS2_04 4 1 +RD_1f RS2_05 1 1 +RD_1f RS2_06 5 1 +RD_1f RS2_07 4 1 +RD_1f RS2_08 7 1 +RD_1f RS2_09 7 1 +RD_1f RS2_0a 3 1 +RD_1f RS2_0b 2 1 +RD_1f RS2_0c 5 1 +RD_1f RS2_0d 2 1 +RD_1f RS2_0e 4 1 +RD_1f RS2_0f 8 1 +RD_1f RS2_10 8 1 +RD_1f RS2_11 1 1 +RD_1f RS2_12 9 1 +RD_1f RS2_13 8 1 +RD_1f RS2_14 5 1 +RD_1f RS2_15 10 1 +RD_1f RS2_16 9 1 +RD_1f RS2_17 3 1 +RD_1f RS2_18 1 1 +RD_1f RS2_19 1 1 +RD_1f RS2_1a 5 1 +RD_1f RS2_1b 5 1 +RD_1f RS2_1c 3 1 +RD_1f RS2_1d 6 1 +RD_1f RS2_1e 8 1 +RD_1f RS2_1f 6 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.85 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 +Crosses 2048 11 2037 99.46 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 1024 11 1013 98.93 100 1 1 0 +cross_rd_rs2 1024 0 1024 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +RD_00 345 1 +RD_01 336 1 +RD_02 314 1 +RD_03 337 1 +RD_04 303 1 +RD_05 298 1 +RD_06 369 1 +RD_07 319 1 +RD_08 320 1 +RD_09 335 1 +RD_0a 289 1 +RD_0b 332 1 +RD_0c 320 1 +RD_0d 333 1 +RD_0e 320 1 +RD_0f 343 1 +RD_10 336 1 +RD_11 306 1 +RD_12 306 1 +RD_13 340 1 +RD_14 304 1 +RD_15 359 1 +RD_16 342 1 +RD_17 345 1 +RD_18 305 1 +RD_19 312 1 +RD_1a 328 1 +RD_1b 342 1 +RD_1c 291 1 +RD_1d 346 1 +RD_1e 338 1 +RD_1f 325 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 310 1 +RS1_01 311 1 +RS1_02 294 1 +RS1_03 338 1 +RS1_04 332 1 +RS1_05 324 1 +RS1_06 344 1 +RS1_07 338 1 +RS1_08 306 1 +RS1_09 320 1 +RS1_0a 311 1 +RS1_0b 324 1 +RS1_0c 362 1 +RS1_0d 318 1 +RS1_0e 327 1 +RS1_0f 361 1 +RS1_10 354 1 +RS1_11 318 1 +RS1_12 333 1 +RS1_13 329 1 +RS1_14 302 1 +RS1_15 327 1 +RS1_16 340 1 +RS1_17 358 1 +RS1_18 331 1 +RS1_19 305 1 +RS1_1a 326 1 +RS1_1b 340 1 +RS1_1c 296 1 +RS1_1d 320 1 +RS1_1e 328 1 +RS1_1f 311 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 309 1 +RS2_01 295 1 +RS2_02 301 1 +RS2_03 327 1 +RS2_04 337 1 +RS2_05 316 1 +RS2_06 330 1 +RS2_07 338 1 +RS2_08 322 1 +RS2_09 342 1 +RS2_0a 330 1 +RS2_0b 316 1 +RS2_0c 321 1 +RS2_0d 322 1 +RS2_0e 322 1 +RS2_0f 338 1 +RS2_10 352 1 +RS2_11 323 1 +RS2_12 315 1 +RS2_13 323 1 +RS2_14 285 1 +RS2_15 346 1 +RS2_16 335 1 +RS2_17 334 1 +RS2_18 351 1 +RS2_19 362 1 +RS2_1a 297 1 +RS2_1b 320 1 +RS2_1c 338 1 +RS2_1d 338 1 +RS2_1e 327 1 +RS2_1f 326 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4717 1 +BIT30_1 4057 1 +BIT29_1 3994 1 +BIT28_1 4040 1 +BIT27_1 3859 1 +BIT26_1 3833 1 +BIT25_1 3949 1 +BIT24_1 3920 1 +BIT23_1 3885 1 +BIT22_1 3894 1 +BIT21_1 3835 1 +BIT20_1 3837 1 +BIT19_1 3827 1 +BIT18_1 3898 1 +BIT17_1 3887 1 +BIT16_1 4023 1 +BIT15_1 4277 1 +BIT14_1 4225 1 +BIT13_1 4136 1 +BIT12_1 4454 1 +BIT11_1 4552 1 +BIT10_1 4462 1 +BIT9_1 4226 1 +BIT8_1 4091 1 +BIT7_1 4263 1 +BIT6_1 4084 1 +BIT5_1 4105 1 +BIT4_1 4651 1 +BIT3_1 4657 1 +BIT2_1 4600 1 +BIT1_1 4027 1 +BIT0_1 3515 1 +BIT31_0 5719 1 +BIT30_0 6379 1 +BIT29_0 6442 1 +BIT28_0 6396 1 +BIT27_0 6577 1 +BIT26_0 6603 1 +BIT25_0 6487 1 +BIT24_0 6516 1 +BIT23_0 6551 1 +BIT22_0 6542 1 +BIT21_0 6601 1 +BIT20_0 6599 1 +BIT19_0 6609 1 +BIT18_0 6538 1 +BIT17_0 6549 1 +BIT16_0 6413 1 +BIT15_0 6159 1 +BIT14_0 6211 1 +BIT13_0 6300 1 +BIT12_0 5982 1 +BIT11_0 5884 1 +BIT10_0 5974 1 +BIT9_0 6210 1 +BIT8_0 6345 1 +BIT7_0 6173 1 +BIT6_0 6352 1 +BIT5_0 6331 1 +BIT4_0 5785 1 +BIT3_0 5779 1 +BIT2_0 5836 1 +BIT1_0 6409 1 +BIT0_0 6921 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5084 1 +BIT30_1 3940 1 +BIT29_1 3861 1 +BIT28_1 3864 1 +BIT27_1 3765 1 +BIT26_1 3747 1 +BIT25_1 3859 1 +BIT24_1 3779 1 +BIT23_1 3753 1 +BIT22_1 3857 1 +BIT21_1 3771 1 +BIT20_1 3714 1 +BIT19_1 3742 1 +BIT18_1 3762 1 +BIT17_1 3755 1 +BIT16_1 3853 1 +BIT15_1 4277 1 +BIT14_1 4182 1 +BIT13_1 4127 1 +BIT12_1 4601 1 +BIT11_1 4771 1 +BIT10_1 4673 1 +BIT9_1 4292 1 +BIT8_1 4011 1 +BIT7_1 4398 1 +BIT6_1 3940 1 +BIT5_1 3979 1 +BIT4_1 4817 1 +BIT3_1 4937 1 +BIT2_1 4717 1 +BIT1_1 3991 1 +BIT0_1 3299 1 +BIT31_0 5352 1 +BIT30_0 6496 1 +BIT29_0 6575 1 +BIT28_0 6572 1 +BIT27_0 6671 1 +BIT26_0 6689 1 +BIT25_0 6577 1 +BIT24_0 6657 1 +BIT23_0 6683 1 +BIT22_0 6579 1 +BIT21_0 6665 1 +BIT20_0 6722 1 +BIT19_0 6694 1 +BIT18_0 6674 1 +BIT17_0 6681 1 +BIT16_0 6583 1 +BIT15_0 6159 1 +BIT14_0 6254 1 +BIT13_0 6309 1 +BIT12_0 5835 1 +BIT11_0 5665 1 +BIT10_0 5763 1 +BIT9_0 6144 1 +BIT8_0 6425 1 +BIT7_0 6038 1 +BIT6_0 6496 1 +BIT5_0 6457 1 +BIT4_0 5619 1 +BIT3_0 5499 1 +BIT2_0 5719 1 +BIT1_0 6445 1 +BIT0_0 7137 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 11 1013 98.93 11 + + +Automatically Generated Cross Bins for cross_rd_rs1 + + +Uncovered bins + +cp_rd cp_rs1 COUNT AT LEAST NUMBER +[RD_08] [RS1_14] 0 1 1 +[RD_0b] [RS1_17] 0 1 1 +[RD_0c] [RS1_0f] 0 1 1 +[RD_0c] [RS1_18] 0 1 1 +[RD_11] [RS1_08] 0 1 1 +[RD_14] [RS1_0d] 0 1 1 +[RD_14] [RS1_1a] 0 1 1 +[RD_14] [RS1_1e] 0 1 1 +[RD_16] [RS1_19] 0 1 1 +[RD_1c] [RS1_19 , RS1_1a] -- -- 2 + + +Covered bins + +cp_rd cp_rs1 COUNT AT LEAST +RD_00 RS1_00 172 1 +RD_00 RS1_01 1 1 +RD_00 RS1_02 3 1 +RD_00 RS1_03 9 1 +RD_00 RS1_04 5 1 +RD_00 RS1_05 3 1 +RD_00 RS1_06 3 1 +RD_00 RS1_07 8 1 +RD_00 RS1_08 8 1 +RD_00 RS1_09 6 1 +RD_00 RS1_0a 7 1 +RD_00 RS1_0b 6 1 +RD_00 RS1_0c 7 1 +RD_00 RS1_0d 2 1 +RD_00 RS1_0e 5 1 +RD_00 RS1_0f 4 1 +RD_00 RS1_10 7 1 +RD_00 RS1_11 3 1 +RD_00 RS1_12 5 1 +RD_00 RS1_13 7 1 +RD_00 RS1_14 8 1 +RD_00 RS1_15 4 1 +RD_00 RS1_16 6 1 +RD_00 RS1_17 5 1 +RD_00 RS1_18 5 1 +RD_00 RS1_19 7 1 +RD_00 RS1_1a 4 1 +RD_00 RS1_1b 13 1 +RD_00 RS1_1c 4 1 +RD_00 RS1_1d 3 1 +RD_00 RS1_1e 7 1 +RD_00 RS1_1f 8 1 +RD_01 RS1_00 4 1 +RD_01 RS1_01 167 1 +RD_01 RS1_02 2 1 +RD_01 RS1_03 5 1 +RD_01 RS1_04 13 1 +RD_01 RS1_05 5 1 +RD_01 RS1_06 3 1 +RD_01 RS1_07 4 1 +RD_01 RS1_08 5 1 +RD_01 RS1_09 3 1 +RD_01 RS1_0a 9 1 +RD_01 RS1_0b 6 1 +RD_01 RS1_0c 6 1 +RD_01 RS1_0d 6 1 +RD_01 RS1_0e 9 1 +RD_01 RS1_0f 4 1 +RD_01 RS1_10 4 1 +RD_01 RS1_11 2 1 +RD_01 RS1_12 5 1 +RD_01 RS1_13 4 1 +RD_01 RS1_14 3 1 +RD_01 RS1_15 7 1 +RD_01 RS1_16 3 1 +RD_01 RS1_17 1 1 +RD_01 RS1_18 11 1 +RD_01 RS1_19 5 1 +RD_01 RS1_1a 7 1 +RD_01 RS1_1b 6 1 +RD_01 RS1_1c 5 1 +RD_01 RS1_1d 5 1 +RD_01 RS1_1e 8 1 +RD_01 RS1_1f 9 1 +RD_02 RS1_00 10 1 +RD_02 RS1_01 7 1 +RD_02 RS1_02 145 1 +RD_02 RS1_03 7 1 +RD_02 RS1_04 6 1 +RD_02 RS1_05 5 1 +RD_02 RS1_06 5 1 +RD_02 RS1_07 6 1 +RD_02 RS1_08 4 1 +RD_02 RS1_09 4 1 +RD_02 RS1_0a 7 1 +RD_02 RS1_0b 5 1 +RD_02 RS1_0c 11 1 +RD_02 RS1_0d 4 1 +RD_02 RS1_0e 6 1 +RD_02 RS1_0f 6 1 +RD_02 RS1_10 4 1 +RD_02 RS1_11 5 1 +RD_02 RS1_12 8 1 +RD_02 RS1_13 6 1 +RD_02 RS1_14 4 1 +RD_02 RS1_15 6 1 +RD_02 RS1_16 5 1 +RD_02 RS1_17 8 1 +RD_02 RS1_18 1 1 +RD_02 RS1_19 6 1 +RD_02 RS1_1a 4 1 +RD_02 RS1_1b 5 1 +RD_02 RS1_1c 6 1 +RD_02 RS1_1d 3 1 +RD_02 RS1_1e 4 1 +RD_02 RS1_1f 1 1 +RD_03 RS1_00 5 1 +RD_03 RS1_01 7 1 +RD_03 RS1_02 3 1 +RD_03 RS1_03 173 1 +RD_03 RS1_04 5 1 +RD_03 RS1_05 8 1 +RD_03 RS1_06 8 1 +RD_03 RS1_07 5 1 +RD_03 RS1_08 3 1 +RD_03 RS1_09 7 1 +RD_03 RS1_0a 1 1 +RD_03 RS1_0b 3 1 +RD_03 RS1_0c 8 1 +RD_03 RS1_0d 5 1 +RD_03 RS1_0e 4 1 +RD_03 RS1_0f 9 1 +RD_03 RS1_10 10 1 +RD_03 RS1_11 5 1 +RD_03 RS1_12 6 1 +RD_03 RS1_13 3 1 +RD_03 RS1_14 4 1 +RD_03 RS1_15 4 1 +RD_03 RS1_16 7 1 +RD_03 RS1_17 7 1 +RD_03 RS1_18 5 1 +RD_03 RS1_19 5 1 +RD_03 RS1_1a 5 1 +RD_03 RS1_1b 1 1 +RD_03 RS1_1c 2 1 +RD_03 RS1_1d 3 1 +RD_03 RS1_1e 8 1 +RD_03 RS1_1f 8 1 +RD_04 RS1_00 2 1 +RD_04 RS1_01 5 1 +RD_04 RS1_02 1 1 +RD_04 RS1_03 2 1 +RD_04 RS1_04 161 1 +RD_04 RS1_05 10 1 +RD_04 RS1_06 8 1 +RD_04 RS1_07 5 1 +RD_04 RS1_08 7 1 +RD_04 RS1_09 7 1 +RD_04 RS1_0a 9 1 +RD_04 RS1_0b 5 1 +RD_04 RS1_0c 3 1 +RD_04 RS1_0d 4 1 +RD_04 RS1_0e 6 1 +RD_04 RS1_0f 5 1 +RD_04 RS1_10 5 1 +RD_04 RS1_11 6 1 +RD_04 RS1_12 3 1 +RD_04 RS1_13 1 1 +RD_04 RS1_14 4 1 +RD_04 RS1_15 2 1 +RD_04 RS1_16 5 1 +RD_04 RS1_17 2 1 +RD_04 RS1_18 6 1 +RD_04 RS1_19 4 1 +RD_04 RS1_1a 4 1 +RD_04 RS1_1b 4 1 +RD_04 RS1_1c 6 1 +RD_04 RS1_1d 3 1 +RD_04 RS1_1e 4 1 +RD_04 RS1_1f 4 1 +RD_05 RS1_00 6 1 +RD_05 RS1_01 2 1 +RD_05 RS1_02 4 1 +RD_05 RS1_03 8 1 +RD_05 RS1_04 4 1 +RD_05 RS1_05 165 1 +RD_05 RS1_06 3 1 +RD_05 RS1_07 7 1 +RD_05 RS1_08 2 1 +RD_05 RS1_09 2 1 +RD_05 RS1_0a 2 1 +RD_05 RS1_0b 3 1 +RD_05 RS1_0c 2 1 +RD_05 RS1_0d 4 1 +RD_05 RS1_0e 5 1 +RD_05 RS1_0f 7 1 +RD_05 RS1_10 4 1 +RD_05 RS1_11 4 1 +RD_05 RS1_12 3 1 +RD_05 RS1_13 4 1 +RD_05 RS1_14 6 1 +RD_05 RS1_15 6 1 +RD_05 RS1_16 4 1 +RD_05 RS1_17 11 1 +RD_05 RS1_18 1 1 +RD_05 RS1_19 2 1 +RD_05 RS1_1a 8 1 +RD_05 RS1_1b 3 1 +RD_05 RS1_1c 2 1 +RD_05 RS1_1d 3 1 +RD_05 RS1_1e 5 1 +RD_05 RS1_1f 6 1 +RD_06 RS1_00 6 1 +RD_06 RS1_01 9 1 +RD_06 RS1_02 4 1 +RD_06 RS1_03 7 1 +RD_06 RS1_04 9 1 +RD_06 RS1_05 2 1 +RD_06 RS1_06 187 1 +RD_06 RS1_07 5 1 +RD_06 RS1_08 9 1 +RD_06 RS1_09 8 1 +RD_06 RS1_0a 6 1 +RD_06 RS1_0b 8 1 +RD_06 RS1_0c 5 1 +RD_06 RS1_0d 7 1 +RD_06 RS1_0e 10 1 +RD_06 RS1_0f 4 1 +RD_06 RS1_10 2 1 +RD_06 RS1_11 5 1 +RD_06 RS1_12 5 1 +RD_06 RS1_13 3 1 +RD_06 RS1_14 4 1 +RD_06 RS1_15 4 1 +RD_06 RS1_16 5 1 +RD_06 RS1_17 8 1 +RD_06 RS1_18 8 1 +RD_06 RS1_19 9 1 +RD_06 RS1_1a 5 1 +RD_06 RS1_1b 5 1 +RD_06 RS1_1c 5 1 +RD_06 RS1_1d 4 1 +RD_06 RS1_1e 6 1 +RD_06 RS1_1f 5 1 +RD_07 RS1_00 2 1 +RD_07 RS1_01 1 1 +RD_07 RS1_02 4 1 +RD_07 RS1_03 4 1 +RD_07 RS1_04 8 1 +RD_07 RS1_05 3 1 +RD_07 RS1_06 8 1 +RD_07 RS1_07 163 1 +RD_07 RS1_08 6 1 +RD_07 RS1_09 8 1 +RD_07 RS1_0a 4 1 +RD_07 RS1_0b 3 1 +RD_07 RS1_0c 5 1 +RD_07 RS1_0d 2 1 +RD_07 RS1_0e 5 1 +RD_07 RS1_0f 4 1 +RD_07 RS1_10 6 1 +RD_07 RS1_11 1 1 +RD_07 RS1_12 6 1 +RD_07 RS1_13 10 1 +RD_07 RS1_14 3 1 +RD_07 RS1_15 3 1 +RD_07 RS1_16 2 1 +RD_07 RS1_17 7 1 +RD_07 RS1_18 8 1 +RD_07 RS1_19 10 1 +RD_07 RS1_1a 2 1 +RD_07 RS1_1b 11 1 +RD_07 RS1_1c 8 1 +RD_07 RS1_1d 4 1 +RD_07 RS1_1e 6 1 +RD_07 RS1_1f 2 1 +RD_08 RS1_00 3 1 +RD_08 RS1_01 6 1 +RD_08 RS1_02 2 1 +RD_08 RS1_03 9 1 +RD_08 RS1_04 6 1 +RD_08 RS1_05 5 1 +RD_08 RS1_06 2 1 +RD_08 RS1_07 6 1 +RD_08 RS1_08 167 1 +RD_08 RS1_09 4 1 +RD_08 RS1_0a 2 1 +RD_08 RS1_0b 4 1 +RD_08 RS1_0c 6 1 +RD_08 RS1_0d 4 1 +RD_08 RS1_0e 9 1 +RD_08 RS1_0f 3 1 +RD_08 RS1_10 5 1 +RD_08 RS1_11 6 1 +RD_08 RS1_12 8 1 +RD_08 RS1_13 2 1 +RD_08 RS1_15 7 1 +RD_08 RS1_16 10 1 +RD_08 RS1_17 4 1 +RD_08 RS1_18 4 1 +RD_08 RS1_19 8 1 +RD_08 RS1_1a 8 1 +RD_08 RS1_1b 7 1 +RD_08 RS1_1c 3 1 +RD_08 RS1_1d 2 1 +RD_08 RS1_1e 6 1 +RD_08 RS1_1f 2 1 +RD_09 RS1_00 4 1 +RD_09 RS1_01 5 1 +RD_09 RS1_02 3 1 +RD_09 RS1_03 3 1 +RD_09 RS1_04 9 1 +RD_09 RS1_05 3 1 +RD_09 RS1_06 12 1 +RD_09 RS1_07 6 1 +RD_09 RS1_08 3 1 +RD_09 RS1_09 161 1 +RD_09 RS1_0a 2 1 +RD_09 RS1_0b 6 1 +RD_09 RS1_0c 4 1 +RD_09 RS1_0d 9 1 +RD_09 RS1_0e 6 1 +RD_09 RS1_0f 5 1 +RD_09 RS1_10 6 1 +RD_09 RS1_11 4 1 +RD_09 RS1_12 2 1 +RD_09 RS1_13 7 1 +RD_09 RS1_14 6 1 +RD_09 RS1_15 7 1 +RD_09 RS1_16 2 1 +RD_09 RS1_17 5 1 +RD_09 RS1_18 10 1 +RD_09 RS1_19 8 1 +RD_09 RS1_1a 6 1 +RD_09 RS1_1b 4 1 +RD_09 RS1_1c 4 1 +RD_09 RS1_1d 11 1 +RD_09 RS1_1e 5 1 +RD_09 RS1_1f 7 1 +RD_0a RS1_00 1 1 +RD_0a RS1_01 2 1 +RD_0a RS1_02 5 1 +RD_0a RS1_03 7 1 +RD_0a RS1_04 2 1 +RD_0a RS1_05 7 1 +RD_0a RS1_06 6 1 +RD_0a RS1_07 8 1 +RD_0a RS1_08 1 1 +RD_0a RS1_09 4 1 +RD_0a RS1_0a 152 1 +RD_0a RS1_0b 6 1 +RD_0a RS1_0c 5 1 +RD_0a RS1_0d 4 1 +RD_0a RS1_0e 7 1 +RD_0a RS1_0f 4 1 +RD_0a RS1_10 2 1 +RD_0a RS1_11 4 1 +RD_0a RS1_12 6 1 +RD_0a RS1_13 3 1 +RD_0a RS1_14 6 1 +RD_0a RS1_15 3 1 +RD_0a RS1_16 5 1 +RD_0a RS1_17 6 1 +RD_0a RS1_18 3 1 +RD_0a RS1_19 2 1 +RD_0a RS1_1a 6 1 +RD_0a RS1_1b 3 1 +RD_0a RS1_1c 6 1 +RD_0a RS1_1d 4 1 +RD_0a RS1_1e 7 1 +RD_0a RS1_1f 2 1 +RD_0b RS1_00 6 1 +RD_0b RS1_01 3 1 +RD_0b RS1_02 4 1 +RD_0b RS1_03 5 1 +RD_0b RS1_04 5 1 +RD_0b RS1_05 6 1 +RD_0b RS1_06 6 1 +RD_0b RS1_07 9 1 +RD_0b RS1_08 3 1 +RD_0b RS1_09 8 1 +RD_0b RS1_0a 6 1 +RD_0b RS1_0b 178 1 +RD_0b RS1_0c 2 1 +RD_0b RS1_0d 2 1 +RD_0b RS1_0e 7 1 +RD_0b RS1_0f 6 1 +RD_0b RS1_10 6 1 +RD_0b RS1_11 8 1 +RD_0b RS1_12 3 1 +RD_0b RS1_13 5 1 +RD_0b RS1_14 6 1 +RD_0b RS1_15 4 1 +RD_0b RS1_16 8 1 +RD_0b RS1_18 3 1 +RD_0b RS1_19 4 1 +RD_0b RS1_1a 12 1 +RD_0b RS1_1b 1 1 +RD_0b RS1_1c 2 1 +RD_0b RS1_1d 6 1 +RD_0b RS1_1e 5 1 +RD_0b RS1_1f 3 1 +RD_0c RS1_00 4 1 +RD_0c RS1_01 3 1 +RD_0c RS1_02 3 1 +RD_0c RS1_03 4 1 +RD_0c RS1_04 6 1 +RD_0c RS1_05 1 1 +RD_0c RS1_06 2 1 +RD_0c RS1_07 4 1 +RD_0c RS1_08 7 1 +RD_0c RS1_09 6 1 +RD_0c RS1_0a 9 1 +RD_0c RS1_0b 8 1 +RD_0c RS1_0c 170 1 +RD_0c RS1_0d 2 1 +RD_0c RS1_0e 4 1 +RD_0c RS1_10 6 1 +RD_0c RS1_11 2 1 +RD_0c RS1_12 9 1 +RD_0c RS1_13 6 1 +RD_0c RS1_14 4 1 +RD_0c RS1_15 5 1 +RD_0c RS1_16 5 1 +RD_0c RS1_17 8 1 +RD_0c RS1_19 9 1 +RD_0c RS1_1a 6 1 +RD_0c RS1_1b 5 1 +RD_0c RS1_1c 3 1 +RD_0c RS1_1d 8 1 +RD_0c RS1_1e 7 1 +RD_0c RS1_1f 4 1 +RD_0d RS1_00 3 1 +RD_0d RS1_01 7 1 +RD_0d RS1_02 4 1 +RD_0d RS1_03 8 1 +RD_0d RS1_04 6 1 +RD_0d RS1_05 12 1 +RD_0d RS1_06 7 1 +RD_0d RS1_07 11 1 +RD_0d RS1_08 3 1 +RD_0d RS1_09 5 1 +RD_0d RS1_0a 7 1 +RD_0d RS1_0b 1 1 +RD_0d RS1_0c 7 1 +RD_0d RS1_0d 168 1 +RD_0d RS1_0e 6 1 +RD_0d RS1_0f 10 1 +RD_0d RS1_10 6 1 +RD_0d RS1_11 5 1 +RD_0d RS1_12 6 1 +RD_0d RS1_13 4 1 +RD_0d RS1_14 1 1 +RD_0d RS1_15 6 1 +RD_0d RS1_16 2 1 +RD_0d RS1_17 6 1 +RD_0d RS1_18 2 1 +RD_0d RS1_19 6 1 +RD_0d RS1_1a 8 1 +RD_0d RS1_1b 6 1 +RD_0d RS1_1c 3 1 +RD_0d RS1_1d 2 1 +RD_0d RS1_1e 2 1 +RD_0d RS1_1f 3 1 +RD_0e RS1_00 4 1 +RD_0e RS1_01 5 1 +RD_0e RS1_02 3 1 +RD_0e RS1_03 8 1 +RD_0e RS1_04 5 1 +RD_0e RS1_05 3 1 +RD_0e RS1_06 5 1 +RD_0e RS1_07 4 1 +RD_0e RS1_08 8 1 +RD_0e RS1_09 9 1 +RD_0e RS1_0a 7 1 +RD_0e RS1_0b 6 1 +RD_0e RS1_0c 3 1 +RD_0e RS1_0d 3 1 +RD_0e RS1_0e 163 1 +RD_0e RS1_0f 2 1 +RD_0e RS1_10 4 1 +RD_0e RS1_11 6 1 +RD_0e RS1_12 3 1 +RD_0e RS1_13 11 1 +RD_0e RS1_14 2 1 +RD_0e RS1_15 5 1 +RD_0e RS1_16 6 1 +RD_0e RS1_17 7 1 +RD_0e RS1_18 9 1 +RD_0e RS1_19 1 1 +RD_0e RS1_1a 4 1 +RD_0e RS1_1b 3 1 +RD_0e RS1_1c 10 1 +RD_0e RS1_1d 2 1 +RD_0e RS1_1e 7 1 +RD_0e RS1_1f 2 1 +RD_0f RS1_00 4 1 +RD_0f RS1_01 8 1 +RD_0f RS1_02 4 1 +RD_0f RS1_03 3 1 +RD_0f RS1_04 3 1 +RD_0f RS1_05 1 1 +RD_0f RS1_06 4 1 +RD_0f RS1_07 2 1 +RD_0f RS1_08 7 1 +RD_0f RS1_09 4 1 +RD_0f RS1_0a 4 1 +RD_0f RS1_0b 3 1 +RD_0f RS1_0c 7 1 +RD_0f RS1_0d 7 1 +RD_0f RS1_0e 4 1 +RD_0f RS1_0f 187 1 +RD_0f RS1_10 8 1 +RD_0f RS1_11 10 1 +RD_0f RS1_12 7 1 +RD_0f RS1_13 4 1 +RD_0f RS1_14 3 1 +RD_0f RS1_15 3 1 +RD_0f RS1_16 3 1 +RD_0f RS1_17 10 1 +RD_0f RS1_18 5 1 +RD_0f RS1_19 2 1 +RD_0f RS1_1a 6 1 +RD_0f RS1_1b 4 1 +RD_0f RS1_1c 6 1 +RD_0f RS1_1d 8 1 +RD_0f RS1_1e 6 1 +RD_0f RS1_1f 6 1 +RD_10 RS1_00 2 1 +RD_10 RS1_01 2 1 +RD_10 RS1_02 9 1 +RD_10 RS1_03 3 1 +RD_10 RS1_04 12 1 +RD_10 RS1_05 7 1 +RD_10 RS1_06 4 1 +RD_10 RS1_07 9 1 +RD_10 RS1_08 3 1 +RD_10 RS1_09 6 1 +RD_10 RS1_0a 6 1 +RD_10 RS1_0b 7 1 +RD_10 RS1_0c 7 1 +RD_10 RS1_0d 3 1 +RD_10 RS1_0e 8 1 +RD_10 RS1_0f 3 1 +RD_10 RS1_10 186 1 +RD_10 RS1_11 7 1 +RD_10 RS1_12 6 1 +RD_10 RS1_13 7 1 +RD_10 RS1_14 3 1 +RD_10 RS1_15 3 1 +RD_10 RS1_16 5 1 +RD_10 RS1_17 2 1 +RD_10 RS1_18 6 1 +RD_10 RS1_19 2 1 +RD_10 RS1_1a 2 1 +RD_10 RS1_1b 1 1 +RD_10 RS1_1c 2 1 +RD_10 RS1_1d 5 1 +RD_10 RS1_1e 4 1 +RD_10 RS1_1f 4 1 +RD_11 RS1_00 4 1 +RD_11 RS1_01 2 1 +RD_11 RS1_02 5 1 +RD_11 RS1_03 2 1 +RD_11 RS1_04 4 1 +RD_11 RS1_05 3 1 +RD_11 RS1_06 7 1 +RD_11 RS1_07 3 1 +RD_11 RS1_09 3 1 +RD_11 RS1_0a 9 1 +RD_11 RS1_0b 7 1 +RD_11 RS1_0c 8 1 +RD_11 RS1_0d 4 1 +RD_11 RS1_0e 2 1 +RD_11 RS1_0f 2 1 +RD_11 RS1_10 7 1 +RD_11 RS1_11 168 1 +RD_11 RS1_12 5 1 +RD_11 RS1_13 3 1 +RD_11 RS1_14 1 1 +RD_11 RS1_15 4 1 +RD_11 RS1_16 4 1 +RD_11 RS1_17 8 1 +RD_11 RS1_18 5 1 +RD_11 RS1_19 2 1 +RD_11 RS1_1a 6 1 +RD_11 RS1_1b 9 1 +RD_11 RS1_1c 2 1 +RD_11 RS1_1d 4 1 +RD_11 RS1_1e 8 1 +RD_11 RS1_1f 5 1 +RD_12 RS1_00 2 1 +RD_12 RS1_01 5 1 +RD_12 RS1_02 3 1 +RD_12 RS1_03 5 1 +RD_12 RS1_04 5 1 +RD_12 RS1_05 4 1 +RD_12 RS1_06 7 1 +RD_12 RS1_07 4 1 +RD_12 RS1_08 4 1 +RD_12 RS1_09 2 1 +RD_12 RS1_0a 2 1 +RD_12 RS1_0b 5 1 +RD_12 RS1_0c 8 1 +RD_12 RS1_0d 4 1 +RD_12 RS1_0e 1 1 +RD_12 RS1_0f 2 1 +RD_12 RS1_10 2 1 +RD_12 RS1_11 8 1 +RD_12 RS1_12 174 1 +RD_12 RS1_13 5 1 +RD_12 RS1_14 2 1 +RD_12 RS1_15 2 1 +RD_12 RS1_16 2 1 +RD_12 RS1_17 6 1 +RD_12 RS1_18 11 1 +RD_12 RS1_19 3 1 +RD_12 RS1_1a 6 1 +RD_12 RS1_1b 6 1 +RD_12 RS1_1c 5 1 +RD_12 RS1_1d 4 1 +RD_12 RS1_1e 3 1 +RD_12 RS1_1f 4 1 +RD_13 RS1_00 7 1 +RD_13 RS1_01 4 1 +RD_13 RS1_02 10 1 +RD_13 RS1_03 6 1 +RD_13 RS1_04 5 1 +RD_13 RS1_05 6 1 +RD_13 RS1_06 11 1 +RD_13 RS1_07 3 1 +RD_13 RS1_08 8 1 +RD_13 RS1_09 5 1 +RD_13 RS1_0a 2 1 +RD_13 RS1_0b 3 1 +RD_13 RS1_0c 7 1 +RD_13 RS1_0d 7 1 +RD_13 RS1_0e 3 1 +RD_13 RS1_0f 7 1 +RD_13 RS1_10 4 1 +RD_13 RS1_11 3 1 +RD_13 RS1_12 4 1 +RD_13 RS1_13 170 1 +RD_13 RS1_14 6 1 +RD_13 RS1_15 9 1 +RD_13 RS1_16 7 1 +RD_13 RS1_17 7 1 +RD_13 RS1_18 5 1 +RD_13 RS1_19 7 1 +RD_13 RS1_1a 3 1 +RD_13 RS1_1b 8 1 +RD_13 RS1_1c 4 1 +RD_13 RS1_1d 2 1 +RD_13 RS1_1e 3 1 +RD_13 RS1_1f 4 1 +RD_14 RS1_00 7 1 +RD_14 RS1_01 5 1 +RD_14 RS1_02 5 1 +RD_14 RS1_03 6 1 +RD_14 RS1_04 3 1 +RD_14 RS1_05 5 1 +RD_14 RS1_06 2 1 +RD_14 RS1_07 6 1 +RD_14 RS1_08 5 1 +RD_14 RS1_09 3 1 +RD_14 RS1_0a 1 1 +RD_14 RS1_0b 3 1 +RD_14 RS1_0c 6 1 +RD_14 RS1_0e 4 1 +RD_14 RS1_0f 2 1 +RD_14 RS1_10 5 1 +RD_14 RS1_11 10 1 +RD_14 RS1_12 3 1 +RD_14 RS1_13 13 1 +RD_14 RS1_14 171 1 +RD_14 RS1_15 2 1 +RD_14 RS1_16 6 1 +RD_14 RS1_17 4 1 +RD_14 RS1_18 7 1 +RD_14 RS1_19 6 1 +RD_14 RS1_1b 4 1 +RD_14 RS1_1c 2 1 +RD_14 RS1_1d 3 1 +RD_14 RS1_1f 5 1 +RD_15 RS1_00 2 1 +RD_15 RS1_01 4 1 +RD_15 RS1_02 8 1 +RD_15 RS1_03 5 1 +RD_15 RS1_04 6 1 +RD_15 RS1_05 6 1 +RD_15 RS1_06 5 1 +RD_15 RS1_07 7 1 +RD_15 RS1_08 3 1 +RD_15 RS1_09 3 1 +RD_15 RS1_0a 8 1 +RD_15 RS1_0b 7 1 +RD_15 RS1_0c 6 1 +RD_15 RS1_0d 6 1 +RD_15 RS1_0e 8 1 +RD_15 RS1_0f 6 1 +RD_15 RS1_10 4 1 +RD_15 RS1_11 1 1 +RD_15 RS1_12 4 1 +RD_15 RS1_13 5 1 +RD_15 RS1_14 3 1 +RD_15 RS1_15 193 1 +RD_15 RS1_16 5 1 +RD_15 RS1_17 5 1 +RD_15 RS1_18 4 1 +RD_15 RS1_19 7 1 +RD_15 RS1_1a 6 1 +RD_15 RS1_1b 7 1 +RD_15 RS1_1c 7 1 +RD_15 RS1_1d 6 1 +RD_15 RS1_1e 10 1 +RD_15 RS1_1f 2 1 +RD_16 RS1_00 7 1 +RD_16 RS1_01 4 1 +RD_16 RS1_02 5 1 +RD_16 RS1_03 5 1 +RD_16 RS1_04 6 1 +RD_16 RS1_05 5 1 +RD_16 RS1_06 4 1 +RD_16 RS1_07 6 1 +RD_16 RS1_08 2 1 +RD_16 RS1_09 1 1 +RD_16 RS1_0a 7 1 +RD_16 RS1_0b 1 1 +RD_16 RS1_0c 4 1 +RD_16 RS1_0d 4 1 +RD_16 RS1_0e 4 1 +RD_16 RS1_0f 12 1 +RD_16 RS1_10 12 1 +RD_16 RS1_11 9 1 +RD_16 RS1_12 3 1 +RD_16 RS1_13 6 1 +RD_16 RS1_14 2 1 +RD_16 RS1_15 1 1 +RD_16 RS1_16 183 1 +RD_16 RS1_17 8 1 +RD_16 RS1_18 5 1 +RD_16 RS1_1a 4 1 +RD_16 RS1_1b 8 1 +RD_16 RS1_1c 3 1 +RD_16 RS1_1d 7 1 +RD_16 RS1_1e 5 1 +RD_16 RS1_1f 9 1 +RD_17 RS1_00 5 1 +RD_17 RS1_01 13 1 +RD_17 RS1_02 5 1 +RD_17 RS1_03 6 1 +RD_17 RS1_04 2 1 +RD_17 RS1_05 3 1 +RD_17 RS1_06 4 1 +RD_17 RS1_07 5 1 +RD_17 RS1_08 8 1 +RD_17 RS1_09 4 1 +RD_17 RS1_0a 4 1 +RD_17 RS1_0b 5 1 +RD_17 RS1_0c 7 1 +RD_17 RS1_0d 5 1 +RD_17 RS1_0e 5 1 +RD_17 RS1_0f 9 1 +RD_17 RS1_10 6 1 +RD_17 RS1_11 5 1 +RD_17 RS1_12 8 1 +RD_17 RS1_13 4 1 +RD_17 RS1_14 2 1 +RD_17 RS1_15 4 1 +RD_17 RS1_16 8 1 +RD_17 RS1_17 182 1 +RD_17 RS1_18 6 1 +RD_17 RS1_19 7 1 +RD_17 RS1_1a 1 1 +RD_17 RS1_1b 3 1 +RD_17 RS1_1c 4 1 +RD_17 RS1_1d 2 1 +RD_17 RS1_1e 5 1 +RD_17 RS1_1f 8 1 +RD_18 RS1_00 2 1 +RD_18 RS1_01 5 1 +RD_18 RS1_02 6 1 +RD_18 RS1_03 1 1 +RD_18 RS1_04 3 1 +RD_18 RS1_05 6 1 +RD_18 RS1_06 7 1 +RD_18 RS1_07 2 1 +RD_18 RS1_08 4 1 +RD_18 RS1_09 4 1 +RD_18 RS1_0a 6 1 +RD_18 RS1_0b 3 1 +RD_18 RS1_0c 7 1 +RD_18 RS1_0d 4 1 +RD_18 RS1_0e 3 1 +RD_18 RS1_0f 7 1 +RD_18 RS1_10 1 1 +RD_18 RS1_11 4 1 +RD_18 RS1_12 6 1 +RD_18 RS1_13 6 1 +RD_18 RS1_14 8 1 +RD_18 RS1_15 2 1 +RD_18 RS1_16 4 1 +RD_18 RS1_17 5 1 +RD_18 RS1_18 172 1 +RD_18 RS1_19 1 1 +RD_18 RS1_1a 2 1 +RD_18 RS1_1b 5 1 +RD_18 RS1_1c 4 1 +RD_18 RS1_1d 4 1 +RD_18 RS1_1e 2 1 +RD_18 RS1_1f 9 1 +RD_19 RS1_00 6 1 +RD_19 RS1_01 8 1 +RD_19 RS1_02 5 1 +RD_19 RS1_03 1 1 +RD_19 RS1_04 5 1 +RD_19 RS1_05 5 1 +RD_19 RS1_06 4 1 +RD_19 RS1_07 7 1 +RD_19 RS1_08 2 1 +RD_19 RS1_09 7 1 +RD_19 RS1_0a 3 1 +RD_19 RS1_0b 1 1 +RD_19 RS1_0c 2 1 +RD_19 RS1_0d 7 1 +RD_19 RS1_0e 4 1 +RD_19 RS1_0f 6 1 +RD_19 RS1_10 9 1 +RD_19 RS1_11 1 1 +RD_19 RS1_12 4 1 +RD_19 RS1_13 4 1 +RD_19 RS1_14 6 1 +RD_19 RS1_15 4 1 +RD_19 RS1_16 10 1 +RD_19 RS1_17 6 1 +RD_19 RS1_18 2 1 +RD_19 RS1_19 162 1 +RD_19 RS1_1a 10 1 +RD_19 RS1_1b 3 1 +RD_19 RS1_1c 5 1 +RD_19 RS1_1d 6 1 +RD_19 RS1_1e 5 1 +RD_19 RS1_1f 2 1 +RD_1a RS1_00 8 1 +RD_1a RS1_01 3 1 +RD_1a RS1_02 5 1 +RD_1a RS1_03 5 1 +RD_1a RS1_04 5 1 +RD_1a RS1_05 5 1 +RD_1a RS1_06 6 1 +RD_1a RS1_07 8 1 +RD_1a RS1_08 3 1 +RD_1a RS1_09 2 1 +RD_1a RS1_0a 5 1 +RD_1a RS1_0b 7 1 +RD_1a RS1_0c 10 1 +RD_1a RS1_0d 10 1 +RD_1a RS1_0e 3 1 +RD_1a RS1_0f 12 1 +RD_1a RS1_10 5 1 +RD_1a RS1_11 5 1 +RD_1a RS1_12 4 1 +RD_1a RS1_13 2 1 +RD_1a RS1_14 6 1 +RD_1a RS1_15 4 1 +RD_1a RS1_16 3 1 +RD_1a RS1_17 2 1 +RD_1a RS1_18 4 1 +RD_1a RS1_19 6 1 +RD_1a RS1_1a 169 1 +RD_1a RS1_1b 9 1 +RD_1a RS1_1c 4 1 +RD_1a RS1_1d 3 1 +RD_1a RS1_1e 1 1 +RD_1a RS1_1f 4 1 +RD_1b RS1_00 4 1 +RD_1b RS1_01 3 1 +RD_1b RS1_02 5 1 +RD_1b RS1_03 5 1 +RD_1b RS1_04 4 1 +RD_1b RS1_05 8 1 +RD_1b RS1_06 3 1 +RD_1b RS1_07 4 1 +RD_1b RS1_08 4 1 +RD_1b RS1_09 8 1 +RD_1b RS1_0a 4 1 +RD_1b RS1_0b 5 1 +RD_1b RS1_0c 11 1 +RD_1b RS1_0d 5 1 +RD_1b RS1_0e 9 1 +RD_1b RS1_0f 8 1 +RD_1b RS1_10 2 1 +RD_1b RS1_11 8 1 +RD_1b RS1_12 2 1 +RD_1b RS1_13 9 1 +RD_1b RS1_14 5 1 +RD_1b RS1_15 3 1 +RD_1b RS1_16 9 1 +RD_1b RS1_17 4 1 +RD_1b RS1_18 4 1 +RD_1b RS1_19 3 1 +RD_1b RS1_1a 5 1 +RD_1b RS1_1b 175 1 +RD_1b RS1_1c 4 1 +RD_1b RS1_1d 8 1 +RD_1b RS1_1e 6 1 +RD_1b RS1_1f 5 1 +RD_1c RS1_00 5 1 +RD_1c RS1_01 4 1 +RD_1c RS1_02 8 1 +RD_1c RS1_03 5 1 +RD_1c RS1_04 3 1 +RD_1c RS1_05 7 1 +RD_1c RS1_06 3 1 +RD_1c RS1_07 5 1 +RD_1c RS1_08 6 1 +RD_1c RS1_09 5 1 +RD_1c RS1_0a 3 1 +RD_1c RS1_0b 7 1 +RD_1c RS1_0c 4 1 +RD_1c RS1_0d 4 1 +RD_1c RS1_0e 4 1 +RD_1c RS1_0f 3 1 +RD_1c RS1_10 4 1 +RD_1c RS1_11 2 1 +RD_1c RS1_12 4 1 +RD_1c RS1_13 6 1 +RD_1c RS1_14 8 1 +RD_1c RS1_15 4 1 +RD_1c RS1_16 5 1 +RD_1c RS1_17 3 1 +RD_1c RS1_18 3 1 +RD_1c RS1_1b 5 1 +RD_1c RS1_1c 159 1 +RD_1c RS1_1d 4 1 +RD_1c RS1_1e 2 1 +RD_1c RS1_1f 6 1 +RD_1d RS1_00 6 1 +RD_1d RS1_01 5 1 +RD_1d RS1_02 8 1 +RD_1d RS1_03 6 1 +RD_1d RS1_04 7 1 +RD_1d RS1_05 5 1 +RD_1d RS1_06 3 1 +RD_1d RS1_07 9 1 +RD_1d RS1_08 4 1 +RD_1d RS1_09 3 1 +RD_1d RS1_0a 3 1 +RD_1d RS1_0b 6 1 +RD_1d RS1_0c 3 1 +RD_1d RS1_0d 10 1 +RD_1d RS1_0e 2 1 +RD_1d RS1_0f 8 1 +RD_1d RS1_10 10 1 +RD_1d RS1_11 5 1 +RD_1d RS1_12 8 1 +RD_1d RS1_13 1 1 +RD_1d RS1_14 4 1 +RD_1d RS1_15 4 1 +RD_1d RS1_16 6 1 +RD_1d RS1_17 6 1 +RD_1d RS1_18 3 1 +RD_1d RS1_19 2 1 +RD_1d RS1_1a 5 1 +RD_1d RS1_1b 6 1 +RD_1d RS1_1c 5 1 +RD_1d RS1_1d 185 1 +RD_1d RS1_1e 4 1 +RD_1d RS1_1f 4 1 +RD_1e RS1_00 4 1 +RD_1e RS1_01 3 1 +RD_1e RS1_02 6 1 +RD_1e RS1_03 5 1 +RD_1e RS1_04 5 1 +RD_1e RS1_05 5 1 +RD_1e RS1_06 1 1 +RD_1e RS1_07 5 1 +RD_1e RS1_08 4 1 +RD_1e RS1_09 14 1 +RD_1e RS1_0a 5 1 +RD_1e RS1_0b 2 1 +RD_1e RS1_0c 12 1 +RD_1e RS1_0d 5 1 +RD_1e RS1_0e 2 1 +RD_1e RS1_0f 7 1 +RD_1e RS1_10 6 1 +RD_1e RS1_11 3 1 +RD_1e RS1_12 6 1 +RD_1e RS1_13 3 1 +RD_1e RS1_14 6 1 +RD_1e RS1_15 7 1 +RD_1e RS1_16 2 1 +RD_1e RS1_17 7 1 +RD_1e RS1_18 8 1 +RD_1e RS1_19 4 1 +RD_1e RS1_1a 3 1 +RD_1e RS1_1b 5 1 +RD_1e RS1_1c 8 1 +RD_1e RS1_1d 3 1 +RD_1e RS1_1e 175 1 +RD_1e RS1_1f 7 1 +RD_1f RS1_00 3 1 +RD_1f RS1_01 3 1 +RD_1f RS1_02 7 1 +RD_1f RS1_03 10 1 +RD_1f RS1_04 4 1 +RD_1f RS1_05 5 1 +RD_1f RS1_06 4 1 +RD_1f RS1_07 2 1 +RD_1f RS1_08 3 1 +RD_1f RS1_09 4 1 +RD_1f RS1_0a 9 1 +RD_1f RS1_0b 4 1 +RD_1f RS1_0c 9 1 +RD_1f RS1_0d 7 1 +RD_1f RS1_0e 9 1 +RD_1f RS1_0f 7 1 +RD_1f RS1_10 6 1 +RD_1f RS1_11 3 1 +RD_1f RS1_12 7 1 +RD_1f RS1_13 5 1 +RD_1f RS1_14 5 1 +RD_1f RS1_15 5 1 +RD_1f RS1_16 3 1 +RD_1f RS1_17 8 1 +RD_1f RS1_18 5 1 +RD_1f RS1_19 5 1 +RD_1f RS1_1a 9 1 +RD_1f RS1_1b 5 1 +RD_1f RS1_1c 3 1 +RD_1f RS1_1d 3 1 +RD_1f RS1_1e 2 1 +RD_1f RS1_1f 161 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs2 + + +Samples crossed: cp_rd cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 0 1024 100.00 + + +Automatically Generated Cross Bins for cross_rd_rs2 + + +Bins + +cp_rd cp_rs2 COUNT AT LEAST +RD_00 RS2_00 8 1 +RD_00 RS2_01 8 1 +RD_00 RS2_02 16 1 +RD_00 RS2_03 7 1 +RD_00 RS2_04 8 1 +RD_00 RS2_05 12 1 +RD_00 RS2_06 11 1 +RD_00 RS2_07 10 1 +RD_00 RS2_08 13 1 +RD_00 RS2_09 7 1 +RD_00 RS2_0a 12 1 +RD_00 RS2_0b 10 1 +RD_00 RS2_0c 16 1 +RD_00 RS2_0d 11 1 +RD_00 RS2_0e 12 1 +RD_00 RS2_0f 11 1 +RD_00 RS2_10 10 1 +RD_00 RS2_11 13 1 +RD_00 RS2_12 8 1 +RD_00 RS2_13 8 1 +RD_00 RS2_14 7 1 +RD_00 RS2_15 15 1 +RD_00 RS2_16 22 1 +RD_00 RS2_17 13 1 +RD_00 RS2_18 6 1 +RD_00 RS2_19 7 1 +RD_00 RS2_1a 17 1 +RD_00 RS2_1b 12 1 +RD_00 RS2_1c 11 1 +RD_00 RS2_1d 13 1 +RD_00 RS2_1e 4 1 +RD_00 RS2_1f 7 1 +RD_01 RS2_00 19 1 +RD_01 RS2_01 6 1 +RD_01 RS2_02 8 1 +RD_01 RS2_03 3 1 +RD_01 RS2_04 10 1 +RD_01 RS2_05 7 1 +RD_01 RS2_06 4 1 +RD_01 RS2_07 14 1 +RD_01 RS2_08 9 1 +RD_01 RS2_09 11 1 +RD_01 RS2_0a 8 1 +RD_01 RS2_0b 13 1 +RD_01 RS2_0c 8 1 +RD_01 RS2_0d 10 1 +RD_01 RS2_0e 13 1 +RD_01 RS2_0f 17 1 +RD_01 RS2_10 14 1 +RD_01 RS2_11 8 1 +RD_01 RS2_12 11 1 +RD_01 RS2_13 11 1 +RD_01 RS2_14 5 1 +RD_01 RS2_15 11 1 +RD_01 RS2_16 10 1 +RD_01 RS2_17 9 1 +RD_01 RS2_18 14 1 +RD_01 RS2_19 12 1 +RD_01 RS2_1a 9 1 +RD_01 RS2_1b 13 1 +RD_01 RS2_1c 12 1 +RD_01 RS2_1d 12 1 +RD_01 RS2_1e 11 1 +RD_01 RS2_1f 14 1 +RD_02 RS2_00 7 1 +RD_02 RS2_01 8 1 +RD_02 RS2_02 8 1 +RD_02 RS2_03 16 1 +RD_02 RS2_04 4 1 +RD_02 RS2_05 9 1 +RD_02 RS2_06 8 1 +RD_02 RS2_07 13 1 +RD_02 RS2_08 16 1 +RD_02 RS2_09 10 1 +RD_02 RS2_0a 9 1 +RD_02 RS2_0b 14 1 +RD_02 RS2_0c 7 1 +RD_02 RS2_0d 12 1 +RD_02 RS2_0e 16 1 +RD_02 RS2_0f 13 1 +RD_02 RS2_10 9 1 +RD_02 RS2_11 12 1 +RD_02 RS2_12 11 1 +RD_02 RS2_13 9 1 +RD_02 RS2_14 7 1 +RD_02 RS2_15 10 1 +RD_02 RS2_16 7 1 +RD_02 RS2_17 7 1 +RD_02 RS2_18 11 1 +RD_02 RS2_19 13 1 +RD_02 RS2_1a 8 1 +RD_02 RS2_1b 6 1 +RD_02 RS2_1c 8 1 +RD_02 RS2_1d 11 1 +RD_02 RS2_1e 4 1 +RD_02 RS2_1f 11 1 +RD_03 RS2_00 17 1 +RD_03 RS2_01 6 1 +RD_03 RS2_02 12 1 +RD_03 RS2_03 11 1 +RD_03 RS2_04 6 1 +RD_03 RS2_05 10 1 +RD_03 RS2_06 8 1 +RD_03 RS2_07 12 1 +RD_03 RS2_08 8 1 +RD_03 RS2_09 8 1 +RD_03 RS2_0a 12 1 +RD_03 RS2_0b 7 1 +RD_03 RS2_0c 13 1 +RD_03 RS2_0d 8 1 +RD_03 RS2_0e 14 1 +RD_03 RS2_0f 16 1 +RD_03 RS2_10 8 1 +RD_03 RS2_11 9 1 +RD_03 RS2_12 13 1 +RD_03 RS2_13 13 1 +RD_03 RS2_14 10 1 +RD_03 RS2_15 11 1 +RD_03 RS2_16 9 1 +RD_03 RS2_17 10 1 +RD_03 RS2_18 21 1 +RD_03 RS2_19 9 1 +RD_03 RS2_1a 10 1 +RD_03 RS2_1b 11 1 +RD_03 RS2_1c 6 1 +RD_03 RS2_1d 12 1 +RD_03 RS2_1e 9 1 +RD_03 RS2_1f 8 1 +RD_04 RS2_00 10 1 +RD_04 RS2_01 7 1 +RD_04 RS2_02 8 1 +RD_04 RS2_03 8 1 +RD_04 RS2_04 14 1 +RD_04 RS2_05 6 1 +RD_04 RS2_06 7 1 +RD_04 RS2_07 13 1 +RD_04 RS2_08 8 1 +RD_04 RS2_09 12 1 +RD_04 RS2_0a 5 1 +RD_04 RS2_0b 14 1 +RD_04 RS2_0c 13 1 +RD_04 RS2_0d 8 1 +RD_04 RS2_0e 9 1 +RD_04 RS2_0f 13 1 +RD_04 RS2_10 6 1 +RD_04 RS2_11 10 1 +RD_04 RS2_12 10 1 +RD_04 RS2_13 15 1 +RD_04 RS2_14 3 1 +RD_04 RS2_15 9 1 +RD_04 RS2_16 11 1 +RD_04 RS2_17 4 1 +RD_04 RS2_18 10 1 +RD_04 RS2_19 11 1 +RD_04 RS2_1a 13 1 +RD_04 RS2_1b 8 1 +RD_04 RS2_1c 7 1 +RD_04 RS2_1d 10 1 +RD_04 RS2_1e 16 1 +RD_04 RS2_1f 5 1 +RD_05 RS2_00 3 1 +RD_05 RS2_01 9 1 +RD_05 RS2_02 10 1 +RD_05 RS2_03 11 1 +RD_05 RS2_04 11 1 +RD_05 RS2_05 5 1 +RD_05 RS2_06 12 1 +RD_05 RS2_07 9 1 +RD_05 RS2_08 7 1 +RD_05 RS2_09 10 1 +RD_05 RS2_0a 8 1 +RD_05 RS2_0b 9 1 +RD_05 RS2_0c 5 1 +RD_05 RS2_0d 3 1 +RD_05 RS2_0e 9 1 +RD_05 RS2_0f 12 1 +RD_05 RS2_10 9 1 +RD_05 RS2_11 11 1 +RD_05 RS2_12 14 1 +RD_05 RS2_13 9 1 +RD_05 RS2_14 10 1 +RD_05 RS2_15 9 1 +RD_05 RS2_16 9 1 +RD_05 RS2_17 12 1 +RD_05 RS2_18 10 1 +RD_05 RS2_19 17 1 +RD_05 RS2_1a 10 1 +RD_05 RS2_1b 9 1 +RD_05 RS2_1c 6 1 +RD_05 RS2_1d 11 1 +RD_05 RS2_1e 11 1 +RD_05 RS2_1f 8 1 +RD_06 RS2_00 13 1 +RD_06 RS2_01 12 1 +RD_06 RS2_02 8 1 +RD_06 RS2_03 7 1 +RD_06 RS2_04 16 1 +RD_06 RS2_05 15 1 +RD_06 RS2_06 9 1 +RD_06 RS2_07 11 1 +RD_06 RS2_08 6 1 +RD_06 RS2_09 13 1 +RD_06 RS2_0a 15 1 +RD_06 RS2_0b 12 1 +RD_06 RS2_0c 9 1 +RD_06 RS2_0d 10 1 +RD_06 RS2_0e 7 1 +RD_06 RS2_0f 10 1 +RD_06 RS2_10 7 1 +RD_06 RS2_11 7 1 +RD_06 RS2_12 7 1 +RD_06 RS2_13 18 1 +RD_06 RS2_14 11 1 +RD_06 RS2_15 17 1 +RD_06 RS2_16 13 1 +RD_06 RS2_17 7 1 +RD_06 RS2_18 12 1 +RD_06 RS2_19 15 1 +RD_06 RS2_1a 13 1 +RD_06 RS2_1b 14 1 +RD_06 RS2_1c 13 1 +RD_06 RS2_1d 19 1 +RD_06 RS2_1e 10 1 +RD_06 RS2_1f 13 1 +RD_07 RS2_00 5 1 +RD_07 RS2_01 8 1 +RD_07 RS2_02 11 1 +RD_07 RS2_03 13 1 +RD_07 RS2_04 14 1 +RD_07 RS2_05 13 1 +RD_07 RS2_06 7 1 +RD_07 RS2_07 8 1 +RD_07 RS2_08 7 1 +RD_07 RS2_09 10 1 +RD_07 RS2_0a 6 1 +RD_07 RS2_0b 7 1 +RD_07 RS2_0c 12 1 +RD_07 RS2_0d 9 1 +RD_07 RS2_0e 13 1 +RD_07 RS2_0f 7 1 +RD_07 RS2_10 15 1 +RD_07 RS2_11 11 1 +RD_07 RS2_12 7 1 +RD_07 RS2_13 11 1 +RD_07 RS2_14 16 1 +RD_07 RS2_15 9 1 +RD_07 RS2_16 8 1 +RD_07 RS2_17 14 1 +RD_07 RS2_18 12 1 +RD_07 RS2_19 14 1 +RD_07 RS2_1a 9 1 +RD_07 RS2_1b 10 1 +RD_07 RS2_1c 6 1 +RD_07 RS2_1d 9 1 +RD_07 RS2_1e 5 1 +RD_07 RS2_1f 13 1 +RD_08 RS2_00 11 1 +RD_08 RS2_01 7 1 +RD_08 RS2_02 9 1 +RD_08 RS2_03 9 1 +RD_08 RS2_04 10 1 +RD_08 RS2_05 10 1 +RD_08 RS2_06 7 1 +RD_08 RS2_07 15 1 +RD_08 RS2_08 7 1 +RD_08 RS2_09 10 1 +RD_08 RS2_0a 15 1 +RD_08 RS2_0b 8 1 +RD_08 RS2_0c 13 1 +RD_08 RS2_0d 10 1 +RD_08 RS2_0e 10 1 +RD_08 RS2_0f 16 1 +RD_08 RS2_10 9 1 +RD_08 RS2_11 6 1 +RD_08 RS2_12 12 1 +RD_08 RS2_13 10 1 +RD_08 RS2_14 9 1 +RD_08 RS2_15 7 1 +RD_08 RS2_16 7 1 +RD_08 RS2_17 10 1 +RD_08 RS2_18 10 1 +RD_08 RS2_19 18 1 +RD_08 RS2_1a 7 1 +RD_08 RS2_1b 9 1 +RD_08 RS2_1c 7 1 +RD_08 RS2_1d 9 1 +RD_08 RS2_1e 10 1 +RD_08 RS2_1f 13 1 +RD_09 RS2_00 8 1 +RD_09 RS2_01 5 1 +RD_09 RS2_02 13 1 +RD_09 RS2_03 10 1 +RD_09 RS2_04 13 1 +RD_09 RS2_05 7 1 +RD_09 RS2_06 3 1 +RD_09 RS2_07 9 1 +RD_09 RS2_08 11 1 +RD_09 RS2_09 15 1 +RD_09 RS2_0a 7 1 +RD_09 RS2_0b 10 1 +RD_09 RS2_0c 19 1 +RD_09 RS2_0d 7 1 +RD_09 RS2_0e 11 1 +RD_09 RS2_0f 15 1 +RD_09 RS2_10 10 1 +RD_09 RS2_11 7 1 +RD_09 RS2_12 15 1 +RD_09 RS2_13 6 1 +RD_09 RS2_14 14 1 +RD_09 RS2_15 10 1 +RD_09 RS2_16 15 1 +RD_09 RS2_17 8 1 +RD_09 RS2_18 9 1 +RD_09 RS2_19 14 1 +RD_09 RS2_1a 8 1 +RD_09 RS2_1b 13 1 +RD_09 RS2_1c 9 1 +RD_09 RS2_1d 12 1 +RD_09 RS2_1e 13 1 +RD_09 RS2_1f 9 1 +RD_0a RS2_00 6 1 +RD_0a RS2_01 10 1 +RD_0a RS2_02 4 1 +RD_0a RS2_03 15 1 +RD_0a RS2_04 7 1 +RD_0a RS2_05 10 1 +RD_0a RS2_06 9 1 +RD_0a RS2_07 5 1 +RD_0a RS2_08 12 1 +RD_0a RS2_09 13 1 +RD_0a RS2_0a 5 1 +RD_0a RS2_0b 7 1 +RD_0a RS2_0c 4 1 +RD_0a RS2_0d 9 1 +RD_0a RS2_0e 7 1 +RD_0a RS2_0f 9 1 +RD_0a RS2_10 9 1 +RD_0a RS2_11 3 1 +RD_0a RS2_12 8 1 +RD_0a RS2_13 16 1 +RD_0a RS2_14 9 1 +RD_0a RS2_15 11 1 +RD_0a RS2_16 12 1 +RD_0a RS2_17 10 1 +RD_0a RS2_18 17 1 +RD_0a RS2_19 14 1 +RD_0a RS2_1a 3 1 +RD_0a RS2_1b 6 1 +RD_0a RS2_1c 6 1 +RD_0a RS2_1d 16 1 +RD_0a RS2_1e 12 1 +RD_0a RS2_1f 5 1 +RD_0b RS2_00 9 1 +RD_0b RS2_01 11 1 +RD_0b RS2_02 7 1 +RD_0b RS2_03 9 1 +RD_0b RS2_04 14 1 +RD_0b RS2_05 10 1 +RD_0b RS2_06 7 1 +RD_0b RS2_07 7 1 +RD_0b RS2_08 10 1 +RD_0b RS2_09 16 1 +RD_0b RS2_0a 7 1 +RD_0b RS2_0b 7 1 +RD_0b RS2_0c 12 1 +RD_0b RS2_0d 6 1 +RD_0b RS2_0e 9 1 +RD_0b RS2_0f 7 1 +RD_0b RS2_10 16 1 +RD_0b RS2_11 7 1 +RD_0b RS2_12 13 1 +RD_0b RS2_13 8 1 +RD_0b RS2_14 9 1 +RD_0b RS2_15 8 1 +RD_0b RS2_16 16 1 +RD_0b RS2_17 7 1 +RD_0b RS2_18 14 1 +RD_0b RS2_19 15 1 +RD_0b RS2_1a 20 1 +RD_0b RS2_1b 8 1 +RD_0b RS2_1c 14 1 +RD_0b RS2_1d 6 1 +RD_0b RS2_1e 10 1 +RD_0b RS2_1f 13 1 +RD_0c RS2_00 3 1 +RD_0c RS2_01 12 1 +RD_0c RS2_02 6 1 +RD_0c RS2_03 9 1 +RD_0c RS2_04 11 1 +RD_0c RS2_05 9 1 +RD_0c RS2_06 12 1 +RD_0c RS2_07 12 1 +RD_0c RS2_08 10 1 +RD_0c RS2_09 9 1 +RD_0c RS2_0a 10 1 +RD_0c RS2_0b 7 1 +RD_0c RS2_0c 8 1 +RD_0c RS2_0d 11 1 +RD_0c RS2_0e 11 1 +RD_0c RS2_0f 11 1 +RD_0c RS2_10 7 1 +RD_0c RS2_11 7 1 +RD_0c RS2_12 10 1 +RD_0c RS2_13 11 1 +RD_0c RS2_14 13 1 +RD_0c RS2_15 16 1 +RD_0c RS2_16 9 1 +RD_0c RS2_17 9 1 +RD_0c RS2_18 14 1 +RD_0c RS2_19 9 1 +RD_0c RS2_1a 13 1 +RD_0c RS2_1b 12 1 +RD_0c RS2_1c 11 1 +RD_0c RS2_1d 10 1 +RD_0c RS2_1e 10 1 +RD_0c RS2_1f 8 1 +RD_0d RS2_00 13 1 +RD_0d RS2_01 6 1 +RD_0d RS2_02 8 1 +RD_0d RS2_03 13 1 +RD_0d RS2_04 12 1 +RD_0d RS2_05 11 1 +RD_0d RS2_06 6 1 +RD_0d RS2_07 10 1 +RD_0d RS2_08 12 1 +RD_0d RS2_09 10 1 +RD_0d RS2_0a 11 1 +RD_0d RS2_0b 10 1 +RD_0d RS2_0c 12 1 +RD_0d RS2_0d 7 1 +RD_0d RS2_0e 9 1 +RD_0d RS2_0f 17 1 +RD_0d RS2_10 14 1 +RD_0d RS2_11 13 1 +RD_0d RS2_12 9 1 +RD_0d RS2_13 3 1 +RD_0d RS2_14 10 1 +RD_0d RS2_15 12 1 +RD_0d RS2_16 10 1 +RD_0d RS2_17 15 1 +RD_0d RS2_18 6 1 +RD_0d RS2_19 13 1 +RD_0d RS2_1a 6 1 +RD_0d RS2_1b 9 1 +RD_0d RS2_1c 10 1 +RD_0d RS2_1d 14 1 +RD_0d RS2_1e 15 1 +RD_0d RS2_1f 7 1 +RD_0e RS2_00 9 1 +RD_0e RS2_01 6 1 +RD_0e RS2_02 7 1 +RD_0e RS2_03 11 1 +RD_0e RS2_04 10 1 +RD_0e RS2_05 6 1 +RD_0e RS2_06 10 1 +RD_0e RS2_07 9 1 +RD_0e RS2_08 13 1 +RD_0e RS2_09 14 1 +RD_0e RS2_0a 13 1 +RD_0e RS2_0b 3 1 +RD_0e RS2_0c 12 1 +RD_0e RS2_0d 15 1 +RD_0e RS2_0e 7 1 +RD_0e RS2_0f 6 1 +RD_0e RS2_10 20 1 +RD_0e RS2_11 16 1 +RD_0e RS2_12 8 1 +RD_0e RS2_13 15 1 +RD_0e RS2_14 6 1 +RD_0e RS2_15 14 1 +RD_0e RS2_16 8 1 +RD_0e RS2_17 15 1 +RD_0e RS2_18 14 1 +RD_0e RS2_19 11 1 +RD_0e RS2_1a 5 1 +RD_0e RS2_1b 6 1 +RD_0e RS2_1c 10 1 +RD_0e RS2_1d 7 1 +RD_0e RS2_1e 7 1 +RD_0e RS2_1f 7 1 +RD_0f RS2_00 14 1 +RD_0f RS2_01 15 1 +RD_0f RS2_02 11 1 +RD_0f RS2_03 12 1 +RD_0f RS2_04 11 1 +RD_0f RS2_05 8 1 +RD_0f RS2_06 16 1 +RD_0f RS2_07 9 1 +RD_0f RS2_08 11 1 +RD_0f RS2_09 13 1 +RD_0f RS2_0a 8 1 +RD_0f RS2_0b 9 1 +RD_0f RS2_0c 11 1 +RD_0f RS2_0d 11 1 +RD_0f RS2_0e 10 1 +RD_0f RS2_0f 12 1 +RD_0f RS2_10 11 1 +RD_0f RS2_11 11 1 +RD_0f RS2_12 11 1 +RD_0f RS2_13 12 1 +RD_0f RS2_14 1 1 +RD_0f RS2_15 8 1 +RD_0f RS2_16 9 1 +RD_0f RS2_17 15 1 +RD_0f RS2_18 10 1 +RD_0f RS2_19 7 1 +RD_0f RS2_1a 11 1 +RD_0f RS2_1b 7 1 +RD_0f RS2_1c 15 1 +RD_0f RS2_1d 13 1 +RD_0f RS2_1e 7 1 +RD_0f RS2_1f 14 1 +RD_10 RS2_00 14 1 +RD_10 RS2_01 9 1 +RD_10 RS2_02 5 1 +RD_10 RS2_03 8 1 +RD_10 RS2_04 10 1 +RD_10 RS2_05 10 1 +RD_10 RS2_06 12 1 +RD_10 RS2_07 16 1 +RD_10 RS2_08 11 1 +RD_10 RS2_09 12 1 +RD_10 RS2_0a 17 1 +RD_10 RS2_0b 13 1 +RD_10 RS2_0c 7 1 +RD_10 RS2_0d 13 1 +RD_10 RS2_0e 9 1 +RD_10 RS2_0f 5 1 +RD_10 RS2_10 17 1 +RD_10 RS2_11 9 1 +RD_10 RS2_12 8 1 +RD_10 RS2_13 9 1 +RD_10 RS2_14 11 1 +RD_10 RS2_15 14 1 +RD_10 RS2_16 6 1 +RD_10 RS2_17 13 1 +RD_10 RS2_18 7 1 +RD_10 RS2_19 13 1 +RD_10 RS2_1a 7 1 +RD_10 RS2_1b 16 1 +RD_10 RS2_1c 12 1 +RD_10 RS2_1d 9 1 +RD_10 RS2_1e 6 1 +RD_10 RS2_1f 8 1 +RD_11 RS2_00 12 1 +RD_11 RS2_01 8 1 +RD_11 RS2_02 8 1 +RD_11 RS2_03 7 1 +RD_11 RS2_04 5 1 +RD_11 RS2_05 12 1 +RD_11 RS2_06 12 1 +RD_11 RS2_07 11 1 +RD_11 RS2_08 12 1 +RD_11 RS2_09 8 1 +RD_11 RS2_0a 9 1 +RD_11 RS2_0b 17 1 +RD_11 RS2_0c 9 1 +RD_11 RS2_0d 9 1 +RD_11 RS2_0e 17 1 +RD_11 RS2_0f 3 1 +RD_11 RS2_10 12 1 +RD_11 RS2_11 6 1 +RD_11 RS2_12 8 1 +RD_11 RS2_13 5 1 +RD_11 RS2_14 7 1 +RD_11 RS2_15 8 1 +RD_11 RS2_16 14 1 +RD_11 RS2_17 6 1 +RD_11 RS2_18 6 1 +RD_11 RS2_19 14 1 +RD_11 RS2_1a 11 1 +RD_11 RS2_1b 10 1 +RD_11 RS2_1c 12 1 +RD_11 RS2_1d 8 1 +RD_11 RS2_1e 13 1 +RD_11 RS2_1f 7 1 +RD_12 RS2_00 6 1 +RD_12 RS2_01 8 1 +RD_12 RS2_02 14 1 +RD_12 RS2_03 7 1 +RD_12 RS2_04 12 1 +RD_12 RS2_05 8 1 +RD_12 RS2_06 9 1 +RD_12 RS2_07 14 1 +RD_12 RS2_08 14 1 +RD_12 RS2_09 10 1 +RD_12 RS2_0a 10 1 +RD_12 RS2_0b 6 1 +RD_12 RS2_0c 5 1 +RD_12 RS2_0d 17 1 +RD_12 RS2_0e 4 1 +RD_12 RS2_0f 5 1 +RD_12 RS2_10 6 1 +RD_12 RS2_11 13 1 +RD_12 RS2_12 13 1 +RD_12 RS2_13 8 1 +RD_12 RS2_14 7 1 +RD_12 RS2_15 8 1 +RD_12 RS2_16 15 1 +RD_12 RS2_17 12 1 +RD_12 RS2_18 3 1 +RD_12 RS2_19 12 1 +RD_12 RS2_1a 4 1 +RD_12 RS2_1b 11 1 +RD_12 RS2_1c 11 1 +RD_12 RS2_1d 12 1 +RD_12 RS2_1e 8 1 +RD_12 RS2_1f 14 1 +RD_13 RS2_00 11 1 +RD_13 RS2_01 15 1 +RD_13 RS2_02 11 1 +RD_13 RS2_03 13 1 +RD_13 RS2_04 15 1 +RD_13 RS2_05 13 1 +RD_13 RS2_06 9 1 +RD_13 RS2_07 10 1 +RD_13 RS2_08 6 1 +RD_13 RS2_09 5 1 +RD_13 RS2_0a 12 1 +RD_13 RS2_0b 13 1 +RD_13 RS2_0c 8 1 +RD_13 RS2_0d 14 1 +RD_13 RS2_0e 13 1 +RD_13 RS2_0f 8 1 +RD_13 RS2_10 12 1 +RD_13 RS2_11 13 1 +RD_13 RS2_12 12 1 +RD_13 RS2_13 4 1 +RD_13 RS2_14 10 1 +RD_13 RS2_15 11 1 +RD_13 RS2_16 8 1 +RD_13 RS2_17 8 1 +RD_13 RS2_18 16 1 +RD_13 RS2_19 8 1 +RD_13 RS2_1a 12 1 +RD_13 RS2_1b 9 1 +RD_13 RS2_1c 7 1 +RD_13 RS2_1d 8 1 +RD_13 RS2_1e 12 1 +RD_13 RS2_1f 14 1 +RD_14 RS2_00 9 1 +RD_14 RS2_01 10 1 +RD_14 RS2_02 12 1 +RD_14 RS2_03 7 1 +RD_14 RS2_04 4 1 +RD_14 RS2_05 12 1 +RD_14 RS2_06 17 1 +RD_14 RS2_07 8 1 +RD_14 RS2_08 13 1 +RD_14 RS2_09 5 1 +RD_14 RS2_0a 16 1 +RD_14 RS2_0b 11 1 +RD_14 RS2_0c 12 1 +RD_14 RS2_0d 8 1 +RD_14 RS2_0e 3 1 +RD_14 RS2_0f 7 1 +RD_14 RS2_10 8 1 +RD_14 RS2_11 8 1 +RD_14 RS2_12 11 1 +RD_14 RS2_13 12 1 +RD_14 RS2_14 12 1 +RD_14 RS2_15 10 1 +RD_14 RS2_16 6 1 +RD_14 RS2_17 13 1 +RD_14 RS2_18 7 1 +RD_14 RS2_19 8 1 +RD_14 RS2_1a 9 1 +RD_14 RS2_1b 9 1 +RD_14 RS2_1c 8 1 +RD_14 RS2_1d 12 1 +RD_14 RS2_1e 6 1 +RD_14 RS2_1f 11 1 +RD_15 RS2_00 4 1 +RD_15 RS2_01 3 1 +RD_15 RS2_02 6 1 +RD_15 RS2_03 11 1 +RD_15 RS2_04 22 1 +RD_15 RS2_05 15 1 +RD_15 RS2_06 14 1 +RD_15 RS2_07 15 1 +RD_15 RS2_08 7 1 +RD_15 RS2_09 18 1 +RD_15 RS2_0a 16 1 +RD_15 RS2_0b 14 1 +RD_15 RS2_0c 13 1 +RD_15 RS2_0d 13 1 +RD_15 RS2_0e 23 1 +RD_15 RS2_0f 14 1 +RD_15 RS2_10 12 1 +RD_15 RS2_11 14 1 +RD_15 RS2_12 5 1 +RD_15 RS2_13 9 1 +RD_15 RS2_14 5 1 +RD_15 RS2_15 4 1 +RD_15 RS2_16 14 1 +RD_15 RS2_17 3 1 +RD_15 RS2_18 11 1 +RD_15 RS2_19 8 1 +RD_15 RS2_1a 6 1 +RD_15 RS2_1b 12 1 +RD_15 RS2_1c 14 1 +RD_15 RS2_1d 14 1 +RD_15 RS2_1e 11 1 +RD_15 RS2_1f 9 1 +RD_16 RS2_00 9 1 +RD_16 RS2_01 8 1 +RD_16 RS2_02 12 1 +RD_16 RS2_03 8 1 +RD_16 RS2_04 8 1 +RD_16 RS2_05 12 1 +RD_16 RS2_06 15 1 +RD_16 RS2_07 7 1 +RD_16 RS2_08 13 1 +RD_16 RS2_09 13 1 +RD_16 RS2_0a 16 1 +RD_16 RS2_0b 8 1 +RD_16 RS2_0c 9 1 +RD_16 RS2_0d 10 1 +RD_16 RS2_0e 6 1 +RD_16 RS2_0f 8 1 +RD_16 RS2_10 11 1 +RD_16 RS2_11 13 1 +RD_16 RS2_12 5 1 +RD_16 RS2_13 13 1 +RD_16 RS2_14 7 1 +RD_16 RS2_15 8 1 +RD_16 RS2_16 12 1 +RD_16 RS2_17 11 1 +RD_16 RS2_18 14 1 +RD_16 RS2_19 13 1 +RD_16 RS2_1a 4 1 +RD_16 RS2_1b 12 1 +RD_16 RS2_1c 20 1 +RD_16 RS2_1d 12 1 +RD_16 RS2_1e 13 1 +RD_16 RS2_1f 12 1 +RD_17 RS2_00 13 1 +RD_17 RS2_01 17 1 +RD_17 RS2_02 10 1 +RD_17 RS2_03 14 1 +RD_17 RS2_04 13 1 +RD_17 RS2_05 12 1 +RD_17 RS2_06 8 1 +RD_17 RS2_07 8 1 +RD_17 RS2_08 13 1 +RD_17 RS2_09 10 1 +RD_17 RS2_0a 9 1 +RD_17 RS2_0b 13 1 +RD_17 RS2_0c 11 1 +RD_17 RS2_0d 7 1 +RD_17 RS2_0e 13 1 +RD_17 RS2_0f 11 1 +RD_17 RS2_10 13 1 +RD_17 RS2_11 7 1 +RD_17 RS2_12 11 1 +RD_17 RS2_13 9 1 +RD_17 RS2_14 10 1 +RD_17 RS2_15 8 1 +RD_17 RS2_16 2 1 +RD_17 RS2_17 13 1 +RD_17 RS2_18 11 1 +RD_17 RS2_19 14 1 +RD_17 RS2_1a 8 1 +RD_17 RS2_1b 9 1 +RD_17 RS2_1c 9 1 +RD_17 RS2_1d 13 1 +RD_17 RS2_1e 17 1 +RD_17 RS2_1f 9 1 +RD_18 RS2_00 5 1 +RD_18 RS2_01 8 1 +RD_18 RS2_02 11 1 +RD_18 RS2_03 8 1 +RD_18 RS2_04 8 1 +RD_18 RS2_05 5 1 +RD_18 RS2_06 11 1 +RD_18 RS2_07 10 1 +RD_18 RS2_08 3 1 +RD_18 RS2_09 5 1 +RD_18 RS2_0a 8 1 +RD_18 RS2_0b 6 1 +RD_18 RS2_0c 9 1 +RD_18 RS2_0d 11 1 +RD_18 RS2_0e 8 1 +RD_18 RS2_0f 11 1 +RD_18 RS2_10 18 1 +RD_18 RS2_11 8 1 +RD_18 RS2_12 13 1 +RD_18 RS2_13 16 1 +RD_18 RS2_14 13 1 +RD_18 RS2_15 9 1 +RD_18 RS2_16 5 1 +RD_18 RS2_17 8 1 +RD_18 RS2_18 8 1 +RD_18 RS2_19 12 1 +RD_18 RS2_1a 10 1 +RD_18 RS2_1b 12 1 +RD_18 RS2_1c 11 1 +RD_18 RS2_1d 11 1 +RD_18 RS2_1e 13 1 +RD_18 RS2_1f 11 1 +RD_19 RS2_00 14 1 +RD_19 RS2_01 9 1 +RD_19 RS2_02 10 1 +RD_19 RS2_03 9 1 +RD_19 RS2_04 8 1 +RD_19 RS2_05 8 1 +RD_19 RS2_06 11 1 +RD_19 RS2_07 16 1 +RD_19 RS2_08 10 1 +RD_19 RS2_09 11 1 +RD_19 RS2_0a 11 1 +RD_19 RS2_0b 15 1 +RD_19 RS2_0c 10 1 +RD_19 RS2_0d 12 1 +RD_19 RS2_0e 6 1 +RD_19 RS2_0f 10 1 +RD_19 RS2_10 9 1 +RD_19 RS2_11 9 1 +RD_19 RS2_12 5 1 +RD_19 RS2_13 9 1 +RD_19 RS2_14 8 1 +RD_19 RS2_15 9 1 +RD_19 RS2_16 12 1 +RD_19 RS2_17 19 1 +RD_19 RS2_18 9 1 +RD_19 RS2_19 5 1 +RD_19 RS2_1a 7 1 +RD_19 RS2_1b 8 1 +RD_19 RS2_1c 6 1 +RD_19 RS2_1d 10 1 +RD_19 RS2_1e 10 1 +RD_19 RS2_1f 7 1 +RD_1a RS2_00 9 1 +RD_1a RS2_01 10 1 +RD_1a RS2_02 10 1 +RD_1a RS2_03 11 1 +RD_1a RS2_04 7 1 +RD_1a RS2_05 14 1 +RD_1a RS2_06 17 1 +RD_1a RS2_07 6 1 +RD_1a RS2_08 12 1 +RD_1a RS2_09 12 1 +RD_1a RS2_0a 15 1 +RD_1a RS2_0b 6 1 +RD_1a RS2_0c 7 1 +RD_1a RS2_0d 9 1 +RD_1a RS2_0e 10 1 +RD_1a RS2_0f 8 1 +RD_1a RS2_10 12 1 +RD_1a RS2_11 17 1 +RD_1a RS2_12 8 1 +RD_1a RS2_13 7 1 +RD_1a RS2_14 6 1 +RD_1a RS2_15 18 1 +RD_1a RS2_16 9 1 +RD_1a RS2_17 3 1 +RD_1a RS2_18 16 1 +RD_1a RS2_19 12 1 +RD_1a RS2_1a 12 1 +RD_1a RS2_1b 11 1 +RD_1a RS2_1c 13 1 +RD_1a RS2_1d 5 1 +RD_1a RS2_1e 8 1 +RD_1a RS2_1f 8 1 +RD_1b RS2_00 11 1 +RD_1b RS2_01 16 1 +RD_1b RS2_02 7 1 +RD_1b RS2_03 7 1 +RD_1b RS2_04 14 1 +RD_1b RS2_05 14 1 +RD_1b RS2_06 8 1 +RD_1b RS2_07 9 1 +RD_1b RS2_08 11 1 +RD_1b RS2_09 15 1 +RD_1b RS2_0a 10 1 +RD_1b RS2_0b 15 1 +RD_1b RS2_0c 10 1 +RD_1b RS2_0d 12 1 +RD_1b RS2_0e 10 1 +RD_1b RS2_0f 9 1 +RD_1b RS2_10 6 1 +RD_1b RS2_11 13 1 +RD_1b RS2_12 12 1 +RD_1b RS2_13 9 1 +RD_1b RS2_14 9 1 +RD_1b RS2_15 15 1 +RD_1b RS2_16 12 1 +RD_1b RS2_17 12 1 +RD_1b RS2_18 11 1 +RD_1b RS2_19 5 1 +RD_1b RS2_1a 9 1 +RD_1b RS2_1b 5 1 +RD_1b RS2_1c 12 1 +RD_1b RS2_1d 7 1 +RD_1b RS2_1e 17 1 +RD_1b RS2_1f 10 1 +RD_1c RS2_00 9 1 +RD_1c RS2_01 10 1 +RD_1c RS2_02 11 1 +RD_1c RS2_03 9 1 +RD_1c RS2_04 9 1 +RD_1c RS2_05 6 1 +RD_1c RS2_06 11 1 +RD_1c RS2_07 11 1 +RD_1c RS2_08 12 1 +RD_1c RS2_09 8 1 +RD_1c RS2_0a 7 1 +RD_1c RS2_0b 7 1 +RD_1c RS2_0c 7 1 +RD_1c RS2_0d 8 1 +RD_1c RS2_0e 7 1 +RD_1c RS2_0f 4 1 +RD_1c RS2_10 9 1 +RD_1c RS2_11 7 1 +RD_1c RS2_12 9 1 +RD_1c RS2_13 10 1 +RD_1c RS2_14 9 1 +RD_1c RS2_15 10 1 +RD_1c RS2_16 12 1 +RD_1c RS2_17 9 1 +RD_1c RS2_18 16 1 +RD_1c RS2_19 8 1 +RD_1c RS2_1a 6 1 +RD_1c RS2_1b 12 1 +RD_1c RS2_1c 10 1 +RD_1c RS2_1d 10 1 +RD_1c RS2_1e 13 1 +RD_1c RS2_1f 5 1 +RD_1d RS2_00 9 1 +RD_1d RS2_01 10 1 +RD_1d RS2_02 15 1 +RD_1d RS2_03 12 1 +RD_1d RS2_04 9 1 +RD_1d RS2_05 11 1 +RD_1d RS2_06 15 1 +RD_1d RS2_07 11 1 +RD_1d RS2_08 9 1 +RD_1d RS2_09 11 1 +RD_1d RS2_0a 7 1 +RD_1d RS2_0b 10 1 +RD_1d RS2_0c 11 1 +RD_1d RS2_0d 7 1 +RD_1d RS2_0e 7 1 +RD_1d RS2_0f 21 1 +RD_1d RS2_10 11 1 +RD_1d RS2_11 11 1 +RD_1d RS2_12 10 1 +RD_1d RS2_13 7 1 +RD_1d RS2_14 9 1 +RD_1d RS2_15 12 1 +RD_1d RS2_16 10 1 +RD_1d RS2_17 13 1 +RD_1d RS2_18 10 1 +RD_1d RS2_19 13 1 +RD_1d RS2_1a 7 1 +RD_1d RS2_1b 12 1 +RD_1d RS2_1c 13 1 +RD_1d RS2_1d 8 1 +RD_1d RS2_1e 10 1 +RD_1d RS2_1f 15 1 +RD_1e RS2_00 12 1 +RD_1e RS2_01 6 1 +RD_1e RS2_02 6 1 +RD_1e RS2_03 12 1 +RD_1e RS2_04 13 1 +RD_1e RS2_05 4 1 +RD_1e RS2_06 12 1 +RD_1e RS2_07 8 1 +RD_1e RS2_08 8 1 +RD_1e RS2_09 9 1 +RD_1e RS2_0a 10 1 +RD_1e RS2_0b 8 1 +RD_1e RS2_0c 7 1 +RD_1e RS2_0d 18 1 +RD_1e RS2_0e 9 1 +RD_1e RS2_0f 13 1 +RD_1e RS2_10 14 1 +RD_1e RS2_11 15 1 +RD_1e RS2_12 9 1 +RD_1e RS2_13 11 1 +RD_1e RS2_14 11 1 +RD_1e RS2_15 15 1 +RD_1e RS2_16 14 1 +RD_1e RS2_17 12 1 +RD_1e RS2_18 12 1 +RD_1e RS2_19 7 1 +RD_1e RS2_1a 11 1 +RD_1e RS2_1b 9 1 +RD_1e RS2_1c 11 1 +RD_1e RS2_1d 7 1 +RD_1e RS2_1e 12 1 +RD_1e RS2_1f 13 1 +RD_1f RS2_00 7 1 +RD_1f RS2_01 12 1 +RD_1f RS2_02 7 1 +RD_1f RS2_03 20 1 +RD_1f RS2_04 9 1 +RD_1f RS2_05 12 1 +RD_1f RS2_06 13 1 +RD_1f RS2_07 12 1 +RD_1f RS2_08 8 1 +RD_1f RS2_09 9 1 +RD_1f RS2_0a 6 1 +RD_1f RS2_0b 7 1 +RD_1f RS2_0c 12 1 +RD_1f RS2_0d 7 1 +RD_1f RS2_0e 10 1 +RD_1f RS2_0f 9 1 +RD_1f RS2_10 8 1 +RD_1f RS2_11 9 1 +RD_1f RS2_12 9 1 +RD_1f RS2_13 10 1 +RD_1f RS2_14 11 1 +RD_1f RS2_15 10 1 +RD_1f RS2_16 9 1 +RD_1f RS2_17 14 1 +RD_1f RS2_18 4 1 +RD_1f RS2_19 11 1 +RD_1f RS2_1a 12 1 +RD_1f RS2_1b 10 1 +RD_1f RS2_1c 18 1 +RD_1f RS2_1d 8 1 +RD_1f RS2_1e 4 1 +RD_1f RS2_1f 18 1 + + +Group : uvma_isacov_pkg::cg_rtype(withChksum=546157500) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype(withChksum=546157500) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.80 99.80 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +21 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 95.83 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bset_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_and_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_or_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_xor_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_divu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mul_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mulhu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_remu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_andn_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_max_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_maxu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_min_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_minu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_orn_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_rol_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_ror_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_xnor_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbc_clmul_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbc_clmulr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bclr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_binv_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bset_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 95.83 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 1 357 95.45 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 1 1 50.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 573 1 +auto[1] 535 1 +auto[2] 563 1 +auto[3] 563 1 +auto[4] 541 1 +auto[5] 553 1 +auto[6] 547 1 +auto[7] 530 1 +auto[8] 539 1 +auto[9] 566 1 +auto[10] 564 1 +auto[11] 562 1 +auto[12] 577 1 +auto[13] 544 1 +auto[14] 574 1 +auto[15] 557 1 +auto[16] 557 1 +auto[17] 537 1 +auto[18] 580 1 +auto[19] 556 1 +auto[20] 601 1 +auto[21] 533 1 +auto[22] 554 1 +auto[23] 528 1 +auto[24] 557 1 +auto[25] 563 1 +auto[26] 536 1 +auto[27] 579 1 +auto[28] 523 1 +auto[29] 573 1 +auto[30] 573 1 +auto[31] 540 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 586 1 +auto[1] 565 1 +auto[2] 530 1 +auto[3] 556 1 +auto[4] 564 1 +auto[5] 544 1 +auto[6] 540 1 +auto[7] 563 1 +auto[8] 545 1 +auto[9] 521 1 +auto[10] 561 1 +auto[11] 567 1 +auto[12] 539 1 +auto[13] 538 1 +auto[14] 557 1 +auto[15] 570 1 +auto[16] 564 1 +auto[17] 524 1 +auto[18] 548 1 +auto[19] 592 1 +auto[20] 535 1 +auto[21] 559 1 +auto[22] 532 1 +auto[23] 593 1 +auto[24] 595 1 +auto[25] 539 1 +auto[26] 554 1 +auto[27] 566 1 +auto[28] 556 1 +auto[29] 537 1 +auto[30] 578 1 +auto[31] 560 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 623 1 +auto[1] 608 1 +auto[2] 514 1 +auto[3] 614 1 +auto[4] 519 1 +auto[5] 533 1 +auto[6] 559 1 +auto[7] 553 1 +auto[8] 542 1 +auto[9] 530 1 +auto[10] 547 1 +auto[11] 546 1 +auto[12] 549 1 +auto[13] 533 1 +auto[14] 622 1 +auto[15] 543 1 +auto[16] 560 1 +auto[17] 568 1 +auto[18] 558 1 +auto[19] 540 1 +auto[20] 540 1 +auto[21] 543 1 +auto[22] 480 1 +auto[23] 518 1 +auto[24] 543 1 +auto[25] 567 1 +auto[26] 579 1 +auto[27] 596 1 +auto[28] 573 1 +auto[29] 510 1 +auto[30] 580 1 +auto[31] 588 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 59 1 +RD_02 66 1 +RD_03 69 1 +RD_04 60 1 +RD_05 64 1 +RD_06 64 1 +RD_07 67 1 +RD_08 54 1 +RD_09 55 1 +RD_0a 65 1 +RD_0b 60 1 +RD_0c 65 1 +RD_0d 68 1 +RD_0e 69 1 +RD_0f 77 1 +RD_10 61 1 +RD_11 74 1 +RD_12 80 1 +RD_13 71 1 +RD_14 59 1 +RD_15 71 1 +RD_16 60 1 +RD_17 58 1 +RD_18 74 1 +RD_19 56 1 +RD_1a 75 1 +RD_1b 77 1 +RD_1c 63 1 +RD_1d 71 1 +RD_1e 83 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 78 1 +RD_01 62 1 +RD_02 65 1 +RD_03 71 1 +RD_04 67 1 +RD_05 60 1 +RD_06 64 1 +RD_07 63 1 +RD_08 59 1 +RD_09 57 1 +RD_0a 72 1 +RD_0b 60 1 +RD_0c 55 1 +RD_0d 65 1 +RD_0e 68 1 +RD_0f 71 1 +RD_10 61 1 +RD_11 68 1 +RD_12 77 1 +RD_13 62 1 +RD_14 67 1 +RD_15 70 1 +RD_16 59 1 +RD_17 56 1 +RD_18 71 1 +RD_19 57 1 +RD_1a 79 1 +RD_1b 74 1 +RD_1c 66 1 +RD_1d 65 1 +RD_1e 81 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6259 1 +auto_NON_ZERO 11519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6084 1 +auto_NON_ZERO 11694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 1 1 50.00 + + +Automatically Generated Bins for cp_rd_value + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +auto_ZERO 0 1 1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_NON_ZERO 17778 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5396 1 +BIT30_1 3519 1 +BIT29_1 3521 1 +BIT28_1 3524 1 +BIT27_1 3440 1 +BIT26_1 3439 1 +BIT25_1 3387 1 +BIT24_1 3395 1 +BIT23_1 3449 1 +BIT22_1 3358 1 +BIT21_1 3339 1 +BIT20_1 3410 1 +BIT19_1 3427 1 +BIT18_1 3428 1 +BIT17_1 3442 1 +BIT16_1 3619 1 +BIT15_1 4369 1 +BIT14_1 4264 1 +BIT13_1 4543 1 +BIT12_1 4325 1 +BIT11_1 4780 1 +BIT10_1 4784 1 +BIT9_1 4311 1 +BIT8_1 3733 1 +BIT7_1 4683 1 +BIT6_1 4102 1 +BIT5_1 4233 1 +BIT4_1 5464 1 +BIT3_1 5421 1 +BIT2_1 5367 1 +BIT1_1 4183 1 +BIT0_1 5022 1 +BIT31_0 12382 1 +BIT30_0 14259 1 +BIT29_0 14257 1 +BIT28_0 14254 1 +BIT27_0 14338 1 +BIT26_0 14339 1 +BIT25_0 14391 1 +BIT24_0 14383 1 +BIT23_0 14329 1 +BIT22_0 14420 1 +BIT21_0 14439 1 +BIT20_0 14368 1 +BIT19_0 14351 1 +BIT18_0 14350 1 +BIT17_0 14336 1 +BIT16_0 14159 1 +BIT15_0 13409 1 +BIT14_0 13514 1 +BIT13_0 13235 1 +BIT12_0 13453 1 +BIT11_0 12998 1 +BIT10_0 12994 1 +BIT9_0 13467 1 +BIT8_0 14045 1 +BIT7_0 13095 1 +BIT6_0 13676 1 +BIT5_0 13545 1 +BIT4_0 12314 1 +BIT3_0 12357 1 +BIT2_0 12411 1 +BIT1_0 13595 1 +BIT0_0 12756 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5449 1 +BIT30_1 3661 1 +BIT29_1 3627 1 +BIT28_1 3659 1 +BIT27_1 3494 1 +BIT26_1 3528 1 +BIT25_1 3458 1 +BIT24_1 3532 1 +BIT23_1 3507 1 +BIT22_1 3458 1 +BIT21_1 3482 1 +BIT20_1 3472 1 +BIT19_1 3512 1 +BIT18_1 3570 1 +BIT17_1 3508 1 +BIT16_1 3660 1 +BIT15_1 4515 1 +BIT14_1 4317 1 +BIT13_1 4651 1 +BIT12_1 4317 1 +BIT11_1 4835 1 +BIT10_1 4894 1 +BIT9_1 4364 1 +BIT8_1 3828 1 +BIT7_1 4726 1 +BIT6_1 4151 1 +BIT5_1 4358 1 +BIT4_1 5494 1 +BIT3_1 5545 1 +BIT2_1 5416 1 +BIT1_1 4363 1 +BIT0_1 5009 1 +BIT31_0 12329 1 +BIT30_0 14117 1 +BIT29_0 14151 1 +BIT28_0 14119 1 +BIT27_0 14284 1 +BIT26_0 14250 1 +BIT25_0 14320 1 +BIT24_0 14246 1 +BIT23_0 14271 1 +BIT22_0 14320 1 +BIT21_0 14296 1 +BIT20_0 14306 1 +BIT19_0 14266 1 +BIT18_0 14208 1 +BIT17_0 14270 1 +BIT16_0 14118 1 +BIT15_0 13263 1 +BIT14_0 13461 1 +BIT13_0 13127 1 +BIT12_0 13461 1 +BIT11_0 12943 1 +BIT10_0 12884 1 +BIT9_0 13414 1 +BIT8_0 13950 1 +BIT7_0 13052 1 +BIT6_0 13627 1 +BIT5_0 13420 1 +BIT4_0 12284 1 +BIT3_0 12233 1 +BIT2_0 12362 1 +BIT1_0 13415 1 +BIT0_0 12769 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6145 1 +BIT30_1 3749 1 +BIT29_1 3669 1 +BIT28_1 4573 1 +BIT27_1 3570 1 +BIT26_1 3581 1 +BIT25_1 3511 1 +BIT24_1 3597 1 +BIT23_1 3591 1 +BIT22_1 3504 1 +BIT21_1 3491 1 +BIT20_1 3568 1 +BIT19_1 3528 1 +BIT18_1 3560 1 +BIT17_1 3574 1 +BIT16_1 3900 1 +BIT15_1 4506 1 +BIT14_1 4385 1 +BIT13_1 4663 1 +BIT12_1 4468 1 +BIT11_1 4919 1 +BIT10_1 4948 1 +BIT9_1 4459 1 +BIT8_1 3949 1 +BIT7_1 4796 1 +BIT6_1 4279 1 +BIT5_1 4348 1 +BIT4_1 5640 1 +BIT3_1 5598 1 +BIT2_1 5597 1 +BIT1_1 5145 1 +BIT0_1 11253 1 +BIT31_0 11633 1 +BIT30_0 14029 1 +BIT29_0 14109 1 +BIT28_0 13205 1 +BIT27_0 14208 1 +BIT26_0 14197 1 +BIT25_0 14267 1 +BIT24_0 14181 1 +BIT23_0 14187 1 +BIT22_0 14274 1 +BIT21_0 14287 1 +BIT20_0 14210 1 +BIT19_0 14250 1 +BIT18_0 14218 1 +BIT17_0 14204 1 +BIT16_0 13878 1 +BIT15_0 13272 1 +BIT14_0 13393 1 +BIT13_0 13115 1 +BIT12_0 13310 1 +BIT11_0 12859 1 +BIT10_0 12830 1 +BIT9_0 13319 1 +BIT8_0 13829 1 +BIT7_0 12982 1 +BIT6_0 13499 1 +BIT5_0 13430 1 +BIT4_0 12138 1 +BIT3_0 12180 1 +BIT2_0 12181 1 +BIT1_0 12633 1 +BIT0_0 6525 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2960 1 +auto_ZERO auto_NON_ZERO 3299 1 +auto_NON_ZERO auto_ZERO 3124 1 +auto_NON_ZERO auto_NON_ZERO 8395 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_and_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_and_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_and_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_and_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 627 1 +auto[1] 742 1 +auto[2] 2447 1 +auto[3] 611 1 +auto[4] 3177 1 +auto[5] 3655 1 +auto[6] 2683 1 +auto[7] 2382 1 +auto[8] 2630 1 +auto[9] 1733 1 +auto[10] 2374 1 +auto[11] 2562 1 +auto[12] 2587 1 +auto[13] 1915 1 +auto[14] 2180 1 +auto[15] 1839 1 +auto[16] 2488 1 +auto[17] 2687 1 +auto[18] 2668 1 +auto[19] 2735 1 +auto[20] 2511 1 +auto[21] 3200 1 +auto[22] 2161 1 +auto[23] 1983 1 +auto[24] 2757 1 +auto[25] 2650 1 +auto[26] 2884 1 +auto[27] 2262 1 +auto[28] 2637 1 +auto[29] 2545 1 +auto[30] 3429 1 +auto[31] 2641 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 686 1 +auto[1] 626 1 +auto[2] 2800 1 +auto[3] 727 1 +auto[4] 2189 1 +auto[5] 2341 1 +auto[6] 2118 1 +auto[7] 1582 1 +auto[8] 1762 1 +auto[9] 2499 1 +auto[10] 2361 1 +auto[11] 2706 1 +auto[12] 2624 1 +auto[13] 1923 1 +auto[14] 1671 1 +auto[15] 2359 1 +auto[16] 3065 1 +auto[17] 2638 1 +auto[18] 2364 1 +auto[19] 2086 1 +auto[20] 2838 1 +auto[21] 2516 1 +auto[22] 2801 1 +auto[23] 2717 1 +auto[24] 2640 1 +auto[25] 3698 1 +auto[26] 2672 1 +auto[27] 2796 1 +auto[28] 3203 1 +auto[29] 2978 1 +auto[30] 2943 1 +auto[31] 3453 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 674 1 +auto[1] 658 1 +auto[2] 2518 1 +auto[3] 651 1 +auto[4] 3141 1 +auto[5] 3701 1 +auto[6] 2720 1 +auto[7] 2399 1 +auto[8] 2674 1 +auto[9] 1731 1 +auto[10] 2563 1 +auto[11] 2519 1 +auto[12] 2531 1 +auto[13] 1841 1 +auto[14] 2232 1 +auto[15] 1841 1 +auto[16] 2455 1 +auto[17] 2664 1 +auto[18] 2669 1 +auto[19] 2717 1 +auto[20] 2545 1 +auto[21] 3239 1 +auto[22] 2214 1 +auto[23] 1972 1 +auto[24] 2732 1 +auto[25] 2727 1 +auto[26] 2916 1 +auto[27] 2274 1 +auto[28] 2636 1 +auto[29] 2418 1 +auto[30] 3288 1 +auto[31] 2522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 67 1 +RD_01 65 1 +RD_02 1886 1 +RD_03 71 1 +RD_04 2599 1 +RD_05 3108 1 +RD_06 2114 1 +RD_07 1795 1 +RD_08 2105 1 +RD_09 1173 1 +RD_0a 1817 1 +RD_0b 2015 1 +RD_0c 1944 1 +RD_0d 1175 1 +RD_0e 1615 1 +RD_0f 1318 1 +RD_10 1930 1 +RD_11 2126 1 +RD_12 2101 1 +RD_13 2170 1 +RD_14 1965 1 +RD_15 2656 1 +RD_16 1620 1 +RD_17 1417 1 +RD_18 2167 1 +RD_19 2077 1 +RD_1a 2271 1 +RD_1b 1682 1 +RD_1c 2092 1 +RD_1d 1868 1 +RD_1e 2767 1 +RD_1f 2044 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 67 1 +RD_01 74 1 +RD_02 60 1 +RD_03 56 1 +RD_04 73 1 +RD_05 62 1 +RD_06 54 1 +RD_07 68 1 +RD_08 7 1 +RD_09 14 1 +RD_0a 14 1 +RD_0b 13 1 +RD_0c 18 1 +RD_0d 10 1 +RD_0e 11 1 +RD_0f 15 1 +RD_10 61 1 +RD_11 73 1 +RD_12 66 1 +RD_13 62 1 +RD_14 76 1 +RD_15 63 1 +RD_16 73 1 +RD_17 60 1 +RD_18 63 1 +RD_19 76 1 +RD_1a 72 1 +RD_1b 77 1 +RD_1c 57 1 +RD_1d 63 1 +RD_1e 75 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8789 1 +auto_NON_ZERO 67593 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6930 1 +auto_NON_ZERO 69452 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 16096 1 +auto_NON_ZERO 60286 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6101 1 +BIT30_1 3910 1 +BIT29_1 3890 1 +BIT28_1 3945 1 +BIT27_1 3834 1 +BIT26_1 3797 1 +BIT25_1 3683 1 +BIT24_1 3719 1 +BIT23_1 3771 1 +BIT22_1 3857 1 +BIT21_1 3851 1 +BIT20_1 3878 1 +BIT19_1 3867 1 +BIT18_1 3956 1 +BIT17_1 3833 1 +BIT16_1 4005 1 +BIT15_1 4968 1 +BIT14_1 4816 1 +BIT13_1 5051 1 +BIT12_1 4912 1 +BIT11_1 5453 1 +BIT10_1 5527 1 +BIT9_1 4829 1 +BIT8_1 4387 1 +BIT7_1 24554 1 +BIT6_1 26164 1 +BIT5_1 38135 1 +BIT4_1 33501 1 +BIT3_1 15302 1 +BIT2_1 11018 1 +BIT1_1 56120 1 +BIT0_1 51688 1 +BIT31_0 70281 1 +BIT30_0 72472 1 +BIT29_0 72492 1 +BIT28_0 72437 1 +BIT27_0 72548 1 +BIT26_0 72585 1 +BIT25_0 72699 1 +BIT24_0 72663 1 +BIT23_0 72611 1 +BIT22_0 72525 1 +BIT21_0 72531 1 +BIT20_0 72504 1 +BIT19_0 72515 1 +BIT18_0 72426 1 +BIT17_0 72549 1 +BIT16_0 72377 1 +BIT15_0 71414 1 +BIT14_0 71566 1 +BIT13_0 71331 1 +BIT12_0 71470 1 +BIT11_0 70929 1 +BIT10_0 70855 1 +BIT9_0 71553 1 +BIT8_0 71995 1 +BIT7_0 51828 1 +BIT6_0 50218 1 +BIT5_0 38247 1 +BIT4_0 42881 1 +BIT3_0 61080 1 +BIT2_0 65364 1 +BIT1_0 20262 1 +BIT0_0 24694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6128 1 +BIT30_1 3997 1 +BIT29_1 3992 1 +BIT28_1 3979 1 +BIT27_1 3972 1 +BIT26_1 3981 1 +BIT25_1 3845 1 +BIT24_1 3844 1 +BIT23_1 3931 1 +BIT22_1 3925 1 +BIT21_1 3879 1 +BIT20_1 3824 1 +BIT19_1 4003 1 +BIT18_1 3779 1 +BIT17_1 3789 1 +BIT16_1 4082 1 +BIT15_1 5104 1 +BIT14_1 4911 1 +BIT13_1 5148 1 +BIT12_1 4954 1 +BIT11_1 5392 1 +BIT10_1 5406 1 +BIT9_1 4882 1 +BIT8_1 4310 1 +BIT7_1 5289 1 +BIT6_1 4572 1 +BIT5_1 4770 1 +BIT4_1 6026 1 +BIT3_1 6089 1 +BIT2_1 6053 1 +BIT1_1 60837 1 +BIT0_1 61857 1 +BIT31_0 70254 1 +BIT30_0 72385 1 +BIT29_0 72390 1 +BIT28_0 72403 1 +BIT27_0 72410 1 +BIT26_0 72401 1 +BIT25_0 72537 1 +BIT24_0 72538 1 +BIT23_0 72451 1 +BIT22_0 72457 1 +BIT21_0 72503 1 +BIT20_0 72558 1 +BIT19_0 72379 1 +BIT18_0 72603 1 +BIT17_0 72593 1 +BIT16_0 72300 1 +BIT15_0 71278 1 +BIT14_0 71471 1 +BIT13_0 71234 1 +BIT12_0 71428 1 +BIT11_0 70990 1 +BIT10_0 70976 1 +BIT9_0 71500 1 +BIT8_0 72072 1 +BIT7_0 71093 1 +BIT6_0 71810 1 +BIT5_0 71612 1 +BIT4_0 70356 1 +BIT3_0 70293 1 +BIT2_0 70329 1 +BIT1_0 15545 1 +BIT0_0 14525 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2388 1 +BIT30_1 1150 1 +BIT29_1 1148 1 +BIT28_1 1148 1 +BIT27_1 1099 1 +BIT26_1 1101 1 +BIT25_1 1069 1 +BIT24_1 1044 1 +BIT23_1 1064 1 +BIT22_1 1101 1 +BIT21_1 1047 1 +BIT20_1 1076 1 +BIT19_1 1112 1 +BIT18_1 1097 1 +BIT17_1 1050 1 +BIT16_1 1188 1 +BIT15_1 1559 1 +BIT14_1 1541 1 +BIT13_1 1677 1 +BIT12_1 1592 1 +BIT11_1 1855 1 +BIT10_1 1841 1 +BIT9_1 1548 1 +BIT8_1 1351 1 +BIT7_1 1800 1 +BIT6_1 1454 1 +BIT5_1 1532 1 +BIT4_1 2226 1 +BIT3_1 2289 1 +BIT2_1 2246 1 +BIT1_1 52833 1 +BIT0_1 48086 1 +BIT31_0 73994 1 +BIT30_0 75232 1 +BIT29_0 75234 1 +BIT28_0 75234 1 +BIT27_0 75283 1 +BIT26_0 75281 1 +BIT25_0 75313 1 +BIT24_0 75338 1 +BIT23_0 75318 1 +BIT22_0 75281 1 +BIT21_0 75335 1 +BIT20_0 75306 1 +BIT19_0 75270 1 +BIT18_0 75285 1 +BIT17_0 75332 1 +BIT16_0 75194 1 +BIT15_0 74823 1 +BIT14_0 74841 1 +BIT13_0 74705 1 +BIT12_0 74790 1 +BIT11_0 74527 1 +BIT10_0 74541 1 +BIT9_0 74834 1 +BIT8_0 75031 1 +BIT7_0 74582 1 +BIT6_0 74928 1 +BIT5_0 74850 1 +BIT4_0 74156 1 +BIT3_0 74093 1 +BIT2_0 74136 1 +BIT1_0 23549 1 +BIT0_0 28296 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3251 1 +auto_ZERO auto_NON_ZERO 5538 1 +auto_NON_ZERO auto_ZERO 3679 1 +auto_NON_ZERO auto_NON_ZERO 63914 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_or_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_or_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_or_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_or_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 641 1 +auto[1] 729 1 +auto[2] 648 1 +auto[3] 650 1 +auto[4] 604 1 +auto[5] 686 1 +auto[6] 675 1 +auto[7] 615 1 +auto[8] 589 1 +auto[9] 600 1 +auto[10] 562 1 +auto[11] 578 1 +auto[12] 579 1 +auto[13] 572 1 +auto[14] 552 1 +auto[15] 563 1 +auto[16] 638 1 +auto[17] 625 1 +auto[18] 730 1 +auto[19] 644 1 +auto[20] 629 1 +auto[21] 643 1 +auto[22] 622 1 +auto[23] 619 1 +auto[24] 642 1 +auto[25] 660 1 +auto[26] 618 1 +auto[27] 641 1 +auto[28] 638 1 +auto[29] 610 1 +auto[30] 613 1 +auto[31] 646 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 614 1 +auto[1] 736 1 +auto[2] 623 1 +auto[3] 623 1 +auto[4] 621 1 +auto[5] 637 1 +auto[6] 644 1 +auto[7] 593 1 +auto[8] 641 1 +auto[9] 554 1 +auto[10] 596 1 +auto[11] 592 1 +auto[12] 596 1 +auto[13] 564 1 +auto[14] 566 1 +auto[15] 566 1 +auto[16] 617 1 +auto[17] 626 1 +auto[18] 672 1 +auto[19] 609 1 +auto[20] 630 1 +auto[21] 723 1 +auto[22] 590 1 +auto[23] 655 1 +auto[24] 620 1 +auto[25] 615 1 +auto[26] 673 1 +auto[27] 667 1 +auto[28] 652 1 +auto[29] 639 1 +auto[30] 651 1 +auto[31] 656 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 709 1 +auto[1] 623 1 +auto[2] 602 1 +auto[3] 674 1 +auto[4] 614 1 +auto[5] 634 1 +auto[6] 647 1 +auto[7] 611 1 +auto[8] 613 1 +auto[9] 558 1 +auto[10] 541 1 +auto[11] 579 1 +auto[12] 613 1 +auto[13] 596 1 +auto[14] 621 1 +auto[15] 530 1 +auto[16] 644 1 +auto[17] 658 1 +auto[18] 712 1 +auto[19] 603 1 +auto[20] 658 1 +auto[21] 704 1 +auto[22] 627 1 +auto[23] 615 1 +auto[24] 617 1 +auto[25] 714 1 +auto[26] 645 1 +auto[27] 612 1 +auto[28] 647 1 +auto[29] 640 1 +auto[30] 597 1 +auto[31] 603 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 53 1 +RD_02 73 1 +RD_03 70 1 +RD_04 69 1 +RD_05 62 1 +RD_06 69 1 +RD_07 70 1 +RD_08 17 1 +RD_09 22 1 +RD_0a 19 1 +RD_0b 13 1 +RD_0c 16 1 +RD_0d 17 1 +RD_0e 18 1 +RD_0f 15 1 +RD_10 77 1 +RD_11 63 1 +RD_12 67 1 +RD_13 60 1 +RD_14 60 1 +RD_15 69 1 +RD_16 58 1 +RD_17 60 1 +RD_18 72 1 +RD_19 97 1 +RD_1a 64 1 +RD_1b 52 1 +RD_1c 66 1 +RD_1d 68 1 +RD_1e 46 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 55 1 +RD_02 57 1 +RD_03 69 1 +RD_04 66 1 +RD_05 64 1 +RD_06 78 1 +RD_07 63 1 +RD_08 10 1 +RD_09 10 1 +RD_0a 11 1 +RD_0b 17 1 +RD_0c 17 1 +RD_0d 15 1 +RD_0e 20 1 +RD_0f 16 1 +RD_10 84 1 +RD_11 64 1 +RD_12 67 1 +RD_13 59 1 +RD_14 71 1 +RD_15 76 1 +RD_16 60 1 +RD_17 58 1 +RD_18 69 1 +RD_19 84 1 +RD_1a 61 1 +RD_1b 53 1 +RD_1c 61 1 +RD_1d 69 1 +RD_1e 68 1 +RD_1f 61 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6880 1 +auto_NON_ZERO 13181 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6724 1 +auto_NON_ZERO 13337 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3030 1 +auto_NON_ZERO 17031 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6310 1 +BIT30_1 4073 1 +BIT29_1 4053 1 +BIT28_1 4059 1 +BIT27_1 3942 1 +BIT26_1 3914 1 +BIT25_1 3889 1 +BIT24_1 3884 1 +BIT23_1 3817 1 +BIT22_1 3950 1 +BIT21_1 3948 1 +BIT20_1 3974 1 +BIT19_1 3944 1 +BIT18_1 4012 1 +BIT17_1 3839 1 +BIT16_1 4126 1 +BIT15_1 5036 1 +BIT14_1 4803 1 +BIT13_1 5214 1 +BIT12_1 5019 1 +BIT11_1 5443 1 +BIT10_1 5501 1 +BIT9_1 4918 1 +BIT8_1 4288 1 +BIT7_1 5293 1 +BIT6_1 4628 1 +BIT5_1 4868 1 +BIT4_1 6207 1 +BIT3_1 6193 1 +BIT2_1 6226 1 +BIT1_1 4879 1 +BIT0_1 5572 1 +BIT31_0 13751 1 +BIT30_0 15988 1 +BIT29_0 16008 1 +BIT28_0 16002 1 +BIT27_0 16119 1 +BIT26_0 16147 1 +BIT25_0 16172 1 +BIT24_0 16177 1 +BIT23_0 16244 1 +BIT22_0 16111 1 +BIT21_0 16113 1 +BIT20_0 16087 1 +BIT19_0 16117 1 +BIT18_0 16049 1 +BIT17_0 16222 1 +BIT16_0 15935 1 +BIT15_0 15025 1 +BIT14_0 15258 1 +BIT13_0 14847 1 +BIT12_0 15042 1 +BIT11_0 14618 1 +BIT10_0 14560 1 +BIT9_0 15143 1 +BIT8_0 15773 1 +BIT7_0 14768 1 +BIT6_0 15433 1 +BIT5_0 15193 1 +BIT4_0 13854 1 +BIT3_0 13868 1 +BIT2_0 13835 1 +BIT1_0 15182 1 +BIT0_0 14489 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6371 1 +BIT30_1 4218 1 +BIT29_1 4160 1 +BIT28_1 4262 1 +BIT27_1 4113 1 +BIT26_1 4095 1 +BIT25_1 3958 1 +BIT24_1 4059 1 +BIT23_1 3956 1 +BIT22_1 4184 1 +BIT21_1 4137 1 +BIT20_1 4092 1 +BIT19_1 4174 1 +BIT18_1 4191 1 +BIT17_1 4020 1 +BIT16_1 4284 1 +BIT15_1 5157 1 +BIT14_1 5056 1 +BIT13_1 5221 1 +BIT12_1 5182 1 +BIT11_1 5642 1 +BIT10_1 5643 1 +BIT9_1 4987 1 +BIT8_1 4399 1 +BIT7_1 5376 1 +BIT6_1 4714 1 +BIT5_1 4820 1 +BIT4_1 6283 1 +BIT3_1 6402 1 +BIT2_1 6355 1 +BIT1_1 4993 1 +BIT0_1 5683 1 +BIT31_0 13690 1 +BIT30_0 15843 1 +BIT29_0 15901 1 +BIT28_0 15799 1 +BIT27_0 15948 1 +BIT26_0 15966 1 +BIT25_0 16103 1 +BIT24_0 16002 1 +BIT23_0 16105 1 +BIT22_0 15877 1 +BIT21_0 15924 1 +BIT20_0 15969 1 +BIT19_0 15887 1 +BIT18_0 15870 1 +BIT17_0 16041 1 +BIT16_0 15777 1 +BIT15_0 14904 1 +BIT14_0 15005 1 +BIT13_0 14840 1 +BIT12_0 14879 1 +BIT11_0 14419 1 +BIT10_0 14418 1 +BIT9_0 15074 1 +BIT8_0 15662 1 +BIT7_0 14685 1 +BIT6_0 15347 1 +BIT5_0 15241 1 +BIT4_0 13778 1 +BIT3_0 13659 1 +BIT2_0 13706 1 +BIT1_0 15068 1 +BIT0_0 14378 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 10240 1 +BIT30_1 7071 1 +BIT29_1 7065 1 +BIT28_1 7110 1 +BIT27_1 6912 1 +BIT26_1 6884 1 +BIT25_1 6764 1 +BIT24_1 6823 1 +BIT23_1 6738 1 +BIT22_1 6929 1 +BIT21_1 6912 1 +BIT20_1 6886 1 +BIT19_1 6935 1 +BIT18_1 6979 1 +BIT17_1 6766 1 +BIT16_1 7161 1 +BIT15_1 8532 1 +BIT14_1 8341 1 +BIT13_1 8700 1 +BIT12_1 8465 1 +BIT11_1 9206 1 +BIT10_1 9245 1 +BIT9_1 8384 1 +BIT8_1 7386 1 +BIT7_1 8775 1 +BIT6_1 7857 1 +BIT5_1 8143 1 +BIT4_1 10108 1 +BIT3_1 10196 1 +BIT2_1 10166 1 +BIT1_1 8258 1 +BIT0_1 9278 1 +BIT31_0 9821 1 +BIT30_0 12990 1 +BIT29_0 12996 1 +BIT28_0 12951 1 +BIT27_0 13149 1 +BIT26_0 13177 1 +BIT25_0 13297 1 +BIT24_0 13238 1 +BIT23_0 13323 1 +BIT22_0 13132 1 +BIT21_0 13149 1 +BIT20_0 13175 1 +BIT19_0 13126 1 +BIT18_0 13082 1 +BIT17_0 13295 1 +BIT16_0 12900 1 +BIT15_0 11529 1 +BIT14_0 11720 1 +BIT13_0 11361 1 +BIT12_0 11596 1 +BIT11_0 10855 1 +BIT10_0 10816 1 +BIT9_0 11677 1 +BIT8_0 12675 1 +BIT7_0 11286 1 +BIT6_0 12204 1 +BIT5_0 11918 1 +BIT4_0 9953 1 +BIT3_0 9865 1 +BIT2_0 9895 1 +BIT1_0 11803 1 +BIT0_0 10783 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3030 1 +auto_ZERO auto_NON_ZERO 3850 1 +auto_NON_ZERO auto_ZERO 3694 1 +auto_NON_ZERO auto_NON_ZERO 9487 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_xor_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_xor_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_xor_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_xor_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 615 1 +auto[1] 562 1 +auto[2] 687 1 +auto[3] 604 1 +auto[4] 649 1 +auto[5] 678 1 +auto[6] 694 1 +auto[7] 650 1 +auto[8] 539 1 +auto[9] 558 1 +auto[10] 615 1 +auto[11] 564 1 +auto[12] 546 1 +auto[13] 602 1 +auto[14] 580 1 +auto[15] 588 1 +auto[16] 678 1 +auto[17] 622 1 +auto[18] 728 1 +auto[19] 610 1 +auto[20] 758 1 +auto[21] 644 1 +auto[22] 645 1 +auto[23] 646 1 +auto[24] 696 1 +auto[25] 616 1 +auto[26] 582 1 +auto[27] 589 1 +auto[28] 602 1 +auto[29] 628 1 +auto[30] 609 1 +auto[31] 682 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 638 1 +auto[1] 641 1 +auto[2] 645 1 +auto[3] 627 1 +auto[4] 614 1 +auto[5] 612 1 +auto[6] 643 1 +auto[7] 598 1 +auto[8] 584 1 +auto[9] 652 1 +auto[10] 609 1 +auto[11] 579 1 +auto[12] 620 1 +auto[13] 616 1 +auto[14] 574 1 +auto[15] 622 1 +auto[16] 628 1 +auto[17] 640 1 +auto[18] 668 1 +auto[19] 622 1 +auto[20] 659 1 +auto[21] 619 1 +auto[22] 554 1 +auto[23] 601 1 +auto[24] 653 1 +auto[25] 638 1 +auto[26] 607 1 +auto[27] 646 1 +auto[28] 611 1 +auto[29] 772 1 +auto[30] 584 1 +auto[31] 690 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 676 1 +auto[1] 686 1 +auto[2] 591 1 +auto[3] 664 1 +auto[4] 628 1 +auto[5] 633 1 +auto[6] 744 1 +auto[7] 583 1 +auto[8] 616 1 +auto[9] 595 1 +auto[10] 644 1 +auto[11] 663 1 +auto[12] 590 1 +auto[13] 585 1 +auto[14] 534 1 +auto[15] 473 1 +auto[16] 592 1 +auto[17] 608 1 +auto[18] 568 1 +auto[19] 643 1 +auto[20] 655 1 +auto[21] 727 1 +auto[22] 573 1 +auto[23] 611 1 +auto[24] 622 1 +auto[25] 627 1 +auto[26] 654 1 +auto[27] 617 1 +auto[28] 623 1 +auto[29] 785 1 +auto[30] 636 1 +auto[31] 620 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 74 1 +RD_01 68 1 +RD_02 59 1 +RD_03 63 1 +RD_04 73 1 +RD_05 63 1 +RD_06 75 1 +RD_07 66 1 +RD_08 15 1 +RD_09 26 1 +RD_0a 26 1 +RD_0b 17 1 +RD_0c 12 1 +RD_0d 15 1 +RD_0e 9 1 +RD_0f 7 1 +RD_10 58 1 +RD_11 65 1 +RD_12 66 1 +RD_13 72 1 +RD_14 67 1 +RD_15 61 1 +RD_16 59 1 +RD_17 58 1 +RD_18 66 1 +RD_19 65 1 +RD_1a 66 1 +RD_1b 57 1 +RD_1c 65 1 +RD_1d 60 1 +RD_1e 75 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 85 1 +RD_02 66 1 +RD_03 61 1 +RD_04 65 1 +RD_05 75 1 +RD_06 116 1 +RD_07 64 1 +RD_08 20 1 +RD_09 9 1 +RD_0a 18 1 +RD_0b 6 1 +RD_0c 17 1 +RD_0d 15 1 +RD_0e 12 1 +RD_0f 11 1 +RD_10 54 1 +RD_11 62 1 +RD_12 66 1 +RD_13 78 1 +RD_14 71 1 +RD_15 69 1 +RD_16 59 1 +RD_17 74 1 +RD_18 71 1 +RD_19 60 1 +RD_1a 65 1 +RD_1b 55 1 +RD_1c 63 1 +RD_1d 165 1 +RD_1e 71 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6748 1 +auto_NON_ZERO 13318 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6984 1 +auto_NON_ZERO 13082 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4221 1 +auto_NON_ZERO 15845 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6476 1 +BIT30_1 4121 1 +BIT29_1 4061 1 +BIT28_1 4125 1 +BIT27_1 3937 1 +BIT26_1 3880 1 +BIT25_1 3863 1 +BIT24_1 3935 1 +BIT23_1 3846 1 +BIT22_1 3899 1 +BIT21_1 3925 1 +BIT20_1 3883 1 +BIT19_1 3861 1 +BIT18_1 3981 1 +BIT17_1 3875 1 +BIT16_1 4200 1 +BIT15_1 5166 1 +BIT14_1 4965 1 +BIT13_1 5353 1 +BIT12_1 4986 1 +BIT11_1 5575 1 +BIT10_1 5661 1 +BIT9_1 4819 1 +BIT8_1 4404 1 +BIT7_1 5288 1 +BIT6_1 4529 1 +BIT5_1 4778 1 +BIT4_1 6313 1 +BIT3_1 6339 1 +BIT2_1 6228 1 +BIT1_1 4851 1 +BIT0_1 5579 1 +BIT31_0 13590 1 +BIT30_0 15945 1 +BIT29_0 16005 1 +BIT28_0 15941 1 +BIT27_0 16129 1 +BIT26_0 16186 1 +BIT25_0 16203 1 +BIT24_0 16131 1 +BIT23_0 16220 1 +BIT22_0 16167 1 +BIT21_0 16141 1 +BIT20_0 16183 1 +BIT19_0 16205 1 +BIT18_0 16085 1 +BIT17_0 16191 1 +BIT16_0 15866 1 +BIT15_0 14900 1 +BIT14_0 15101 1 +BIT13_0 14713 1 +BIT12_0 15080 1 +BIT11_0 14491 1 +BIT10_0 14405 1 +BIT9_0 15247 1 +BIT8_0 15662 1 +BIT7_0 14778 1 +BIT6_0 15537 1 +BIT5_0 15288 1 +BIT4_0 13753 1 +BIT3_0 13727 1 +BIT2_0 13838 1 +BIT1_0 15215 1 +BIT0_0 14487 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6214 1 +BIT30_1 4156 1 +BIT29_1 4096 1 +BIT28_1 4141 1 +BIT27_1 3993 1 +BIT26_1 3972 1 +BIT25_1 3833 1 +BIT24_1 3915 1 +BIT23_1 3887 1 +BIT22_1 3860 1 +BIT21_1 3867 1 +BIT20_1 3854 1 +BIT19_1 3934 1 +BIT18_1 3869 1 +BIT17_1 3832 1 +BIT16_1 4084 1 +BIT15_1 4968 1 +BIT14_1 4843 1 +BIT13_1 5157 1 +BIT12_1 4992 1 +BIT11_1 5453 1 +BIT10_1 5497 1 +BIT9_1 4814 1 +BIT8_1 4187 1 +BIT7_1 5166 1 +BIT6_1 4548 1 +BIT5_1 4736 1 +BIT4_1 6032 1 +BIT3_1 5999 1 +BIT2_1 6009 1 +BIT1_1 4823 1 +BIT0_1 5659 1 +BIT31_0 13852 1 +BIT30_0 15910 1 +BIT29_0 15970 1 +BIT28_0 15925 1 +BIT27_0 16073 1 +BIT26_0 16094 1 +BIT25_0 16233 1 +BIT24_0 16151 1 +BIT23_0 16179 1 +BIT22_0 16206 1 +BIT21_0 16199 1 +BIT20_0 16212 1 +BIT19_0 16132 1 +BIT18_0 16197 1 +BIT17_0 16234 1 +BIT16_0 15982 1 +BIT15_0 15098 1 +BIT14_0 15223 1 +BIT13_0 14909 1 +BIT12_0 15074 1 +BIT11_0 14613 1 +BIT10_0 14569 1 +BIT9_0 15252 1 +BIT8_0 15879 1 +BIT7_0 14900 1 +BIT6_0 15518 1 +BIT5_0 15330 1 +BIT4_0 14034 1 +BIT3_0 14067 1 +BIT2_0 14057 1 +BIT1_0 15243 1 +BIT0_0 14407 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 7766 1 +BIT30_1 5849 1 +BIT29_1 5807 1 +BIT28_1 5926 1 +BIT27_1 5730 1 +BIT26_1 5674 1 +BIT25_1 5572 1 +BIT24_1 5650 1 +BIT23_1 5583 1 +BIT22_1 5613 1 +BIT21_1 5618 1 +BIT20_1 5649 1 +BIT19_1 5635 1 +BIT18_1 5648 1 +BIT17_1 5603 1 +BIT16_1 5906 1 +BIT15_1 6758 1 +BIT14_1 6728 1 +BIT13_1 6968 1 +BIT12_1 6656 1 +BIT11_1 7094 1 +BIT10_1 7264 1 +BIT9_1 6645 1 +BIT8_1 6043 1 +BIT7_1 6924 1 +BIT6_1 6261 1 +BIT5_1 6558 1 +BIT4_1 7665 1 +BIT3_1 7740 1 +BIT2_1 7717 1 +BIT1_1 6468 1 +BIT0_1 7188 1 +BIT31_0 12300 1 +BIT30_0 14217 1 +BIT29_0 14259 1 +BIT28_0 14140 1 +BIT27_0 14336 1 +BIT26_0 14392 1 +BIT25_0 14494 1 +BIT24_0 14416 1 +BIT23_0 14483 1 +BIT22_0 14453 1 +BIT21_0 14448 1 +BIT20_0 14417 1 +BIT19_0 14431 1 +BIT18_0 14418 1 +BIT17_0 14463 1 +BIT16_0 14160 1 +BIT15_0 13308 1 +BIT14_0 13338 1 +BIT13_0 13098 1 +BIT12_0 13410 1 +BIT11_0 12972 1 +BIT10_0 12802 1 +BIT9_0 13421 1 +BIT8_0 14023 1 +BIT7_0 13142 1 +BIT6_0 13805 1 +BIT5_0 13508 1 +BIT4_0 12401 1 +BIT3_0 12326 1 +BIT2_0 12349 1 +BIT1_0 13598 1 +BIT0_0 12878 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3078 1 +auto_ZERO auto_NON_ZERO 3670 1 +auto_NON_ZERO auto_ZERO 3906 1 +auto_NON_ZERO auto_NON_ZERO 9412 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_divu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_divu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_divu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_divu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 611 1 +auto[1] 622 1 +auto[2] 580 1 +auto[3] 718 1 +auto[4] 793 1 +auto[5] 581 1 +auto[6] 686 1 +auto[7] 613 1 +auto[8] 624 1 +auto[9] 612 1 +auto[10] 733 1 +auto[11] 731 1 +auto[12] 656 1 +auto[13] 626 1 +auto[14] 627 1 +auto[15] 615 1 +auto[16] 585 1 +auto[17] 725 1 +auto[18] 656 1 +auto[19] 729 1 +auto[20] 599 1 +auto[21] 731 1 +auto[22] 625 1 +auto[23] 675 1 +auto[24] 639 1 +auto[25] 597 1 +auto[26] 676 1 +auto[27] 767 1 +auto[28] 630 1 +auto[29] 602 1 +auto[30] 689 1 +auto[31] 608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 669 1 +auto[1] 632 1 +auto[2] 661 1 +auto[3] 624 1 +auto[4] 639 1 +auto[5] 721 1 +auto[6] 625 1 +auto[7] 648 1 +auto[8] 648 1 +auto[9] 637 1 +auto[10] 576 1 +auto[11] 595 1 +auto[12] 584 1 +auto[13] 731 1 +auto[14] 685 1 +auto[15] 650 1 +auto[16] 695 1 +auto[17] 626 1 +auto[18] 654 1 +auto[19] 671 1 +auto[20] 621 1 +auto[21] 714 1 +auto[22] 652 1 +auto[23] 654 1 +auto[24] 568 1 +auto[25] 617 1 +auto[26] 666 1 +auto[27] 629 1 +auto[28] 715 1 +auto[29] 829 1 +auto[30] 636 1 +auto[31] 689 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 659 1 +auto[1] 668 1 +auto[2] 577 1 +auto[3] 654 1 +auto[4] 747 1 +auto[5] 629 1 +auto[6] 645 1 +auto[7] 615 1 +auto[8] 725 1 +auto[9] 593 1 +auto[10] 728 1 +auto[11] 634 1 +auto[12] 691 1 +auto[13] 592 1 +auto[14] 567 1 +auto[15] 779 1 +auto[16] 602 1 +auto[17] 620 1 +auto[18] 714 1 +auto[19] 632 1 +auto[20] 645 1 +auto[21] 617 1 +auto[22] 703 1 +auto[23] 650 1 +auto[24] 583 1 +auto[25] 794 1 +auto[26] 630 1 +auto[27] 609 1 +auto[28] 652 1 +auto[29] 802 1 +auto[30] 613 1 +auto[31] 592 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 83 1 +RD_01 67 1 +RD_02 50 1 +RD_03 64 1 +RD_04 79 1 +RD_05 67 1 +RD_06 74 1 +RD_07 75 1 +RD_08 60 1 +RD_09 66 1 +RD_0a 53 1 +RD_0b 75 1 +RD_0c 71 1 +RD_0d 70 1 +RD_0e 72 1 +RD_0f 65 1 +RD_10 56 1 +RD_11 57 1 +RD_12 90 1 +RD_13 68 1 +RD_14 69 1 +RD_15 66 1 +RD_16 62 1 +RD_17 75 1 +RD_18 69 1 +RD_19 71 1 +RD_1a 60 1 +RD_1b 61 1 +RD_1c 65 1 +RD_1d 68 1 +RD_1e 88 1 +RD_1f 58 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 80 1 +RD_01 69 1 +RD_02 57 1 +RD_03 71 1 +RD_04 74 1 +RD_05 62 1 +RD_06 70 1 +RD_07 78 1 +RD_08 53 1 +RD_09 66 1 +RD_0a 59 1 +RD_0b 76 1 +RD_0c 68 1 +RD_0d 73 1 +RD_0e 80 1 +RD_0f 67 1 +RD_10 57 1 +RD_11 54 1 +RD_12 63 1 +RD_13 79 1 +RD_14 74 1 +RD_15 71 1 +RD_16 74 1 +RD_17 79 1 +RD_18 62 1 +RD_19 66 1 +RD_1a 58 1 +RD_1b 56 1 +RD_1c 69 1 +RD_1d 65 1 +RD_1e 93 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7356 1 +auto_NON_ZERO 13605 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7425 1 +auto_NON_ZERO 13536 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8043 1 +auto_NON_ZERO 12918 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6340 1 +BIT30_1 4113 1 +BIT29_1 4118 1 +BIT28_1 4102 1 +BIT27_1 3997 1 +BIT26_1 3970 1 +BIT25_1 3985 1 +BIT24_1 3897 1 +BIT23_1 3984 1 +BIT22_1 3974 1 +BIT21_1 3931 1 +BIT20_1 4007 1 +BIT19_1 4060 1 +BIT18_1 4031 1 +BIT17_1 3912 1 +BIT16_1 4218 1 +BIT15_1 4985 1 +BIT14_1 5020 1 +BIT13_1 5228 1 +BIT12_1 4987 1 +BIT11_1 5520 1 +BIT10_1 5606 1 +BIT9_1 4894 1 +BIT8_1 4411 1 +BIT7_1 5437 1 +BIT6_1 4798 1 +BIT5_1 4879 1 +BIT4_1 6193 1 +BIT3_1 6271 1 +BIT2_1 6102 1 +BIT1_1 4952 1 +BIT0_1 5806 1 +BIT31_0 14621 1 +BIT30_0 16848 1 +BIT29_0 16843 1 +BIT28_0 16859 1 +BIT27_0 16964 1 +BIT26_0 16991 1 +BIT25_0 16976 1 +BIT24_0 17064 1 +BIT23_0 16977 1 +BIT22_0 16987 1 +BIT21_0 17030 1 +BIT20_0 16954 1 +BIT19_0 16901 1 +BIT18_0 16930 1 +BIT17_0 17049 1 +BIT16_0 16743 1 +BIT15_0 15976 1 +BIT14_0 15941 1 +BIT13_0 15733 1 +BIT12_0 15974 1 +BIT11_0 15441 1 +BIT10_0 15355 1 +BIT9_0 16067 1 +BIT8_0 16550 1 +BIT7_0 15524 1 +BIT6_0 16163 1 +BIT5_0 16082 1 +BIT4_0 14768 1 +BIT3_0 14690 1 +BIT2_0 14859 1 +BIT1_0 16009 1 +BIT0_0 15155 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6423 1 +BIT30_1 4207 1 +BIT29_1 4170 1 +BIT28_1 4213 1 +BIT27_1 4142 1 +BIT26_1 4071 1 +BIT25_1 3951 1 +BIT24_1 3961 1 +BIT23_1 4071 1 +BIT22_1 4021 1 +BIT21_1 4103 1 +BIT20_1 3899 1 +BIT19_1 4065 1 +BIT18_1 4078 1 +BIT17_1 4036 1 +BIT16_1 4224 1 +BIT15_1 5233 1 +BIT14_1 5187 1 +BIT13_1 5362 1 +BIT12_1 5183 1 +BIT11_1 5585 1 +BIT10_1 5698 1 +BIT9_1 4852 1 +BIT8_1 4375 1 +BIT7_1 5380 1 +BIT6_1 4821 1 +BIT5_1 4839 1 +BIT4_1 6234 1 +BIT3_1 6295 1 +BIT2_1 6224 1 +BIT1_1 5068 1 +BIT0_1 5854 1 +BIT31_0 14538 1 +BIT30_0 16754 1 +BIT29_0 16791 1 +BIT28_0 16748 1 +BIT27_0 16819 1 +BIT26_0 16890 1 +BIT25_0 17010 1 +BIT24_0 17000 1 +BIT23_0 16890 1 +BIT22_0 16940 1 +BIT21_0 16858 1 +BIT20_0 17062 1 +BIT19_0 16896 1 +BIT18_0 16883 1 +BIT17_0 16925 1 +BIT16_0 16737 1 +BIT15_0 15728 1 +BIT14_0 15774 1 +BIT13_0 15599 1 +BIT12_0 15778 1 +BIT11_0 15376 1 +BIT10_0 15263 1 +BIT9_0 16109 1 +BIT8_0 16586 1 +BIT7_0 15581 1 +BIT6_0 16140 1 +BIT5_0 16122 1 +BIT4_0 14727 1 +BIT3_0 14666 1 +BIT2_0 14737 1 +BIT1_0 15893 1 +BIT0_0 15107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 7711 1 +BIT30_1 7689 1 +BIT29_1 7696 1 +BIT28_1 7768 1 +BIT27_1 7854 1 +BIT26_1 7875 1 +BIT25_1 7952 1 +BIT24_1 8002 1 +BIT23_1 8034 1 +BIT22_1 8032 1 +BIT21_1 8085 1 +BIT20_1 8129 1 +BIT19_1 8136 1 +BIT18_1 8149 1 +BIT17_1 8144 1 +BIT16_1 8227 1 +BIT15_1 8310 1 +BIT14_1 8307 1 +BIT13_1 8360 1 +BIT12_1 8394 1 +BIT11_1 8390 1 +BIT10_1 8427 1 +BIT9_1 8434 1 +BIT8_1 8484 1 +BIT7_1 8552 1 +BIT6_1 8499 1 +BIT5_1 8514 1 +BIT4_1 8665 1 +BIT3_1 8733 1 +BIT2_1 8812 1 +BIT1_1 8911 1 +BIT0_1 11296 1 +BIT31_0 13250 1 +BIT30_0 13272 1 +BIT29_0 13265 1 +BIT28_0 13193 1 +BIT27_0 13107 1 +BIT26_0 13086 1 +BIT25_0 13009 1 +BIT24_0 12959 1 +BIT23_0 12927 1 +BIT22_0 12929 1 +BIT21_0 12876 1 +BIT20_0 12832 1 +BIT19_0 12825 1 +BIT18_0 12812 1 +BIT17_0 12817 1 +BIT16_0 12734 1 +BIT15_0 12651 1 +BIT14_0 12654 1 +BIT13_0 12601 1 +BIT12_0 12567 1 +BIT11_0 12571 1 +BIT10_0 12534 1 +BIT9_0 12527 1 +BIT8_0 12477 1 +BIT7_0 12409 1 +BIT6_0 12462 1 +BIT5_0 12447 1 +BIT4_0 12296 1 +BIT3_0 12228 1 +BIT2_0 12149 1 +BIT1_0 12050 1 +BIT0_0 9665 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3529 1 +auto_ZERO auto_NON_ZERO 3827 1 +auto_NON_ZERO auto_ZERO 3896 1 +auto_NON_ZERO auto_NON_ZERO 9709 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mul_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mul_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mul_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mul_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 773 1 +auto[1] 641 1 +auto[2] 632 1 +auto[3] 773 1 +auto[4] 667 1 +auto[5] 679 1 +auto[6] 630 1 +auto[7] 624 1 +auto[8] 560 1 +auto[9] 616 1 +auto[10] 578 1 +auto[11] 694 1 +auto[12] 759 1 +auto[13] 635 1 +auto[14] 607 1 +auto[15] 630 1 +auto[16] 634 1 +auto[17] 659 1 +auto[18] 653 1 +auto[19] 580 1 +auto[20] 643 1 +auto[21] 624 1 +auto[22] 635 1 +auto[23] 644 1 +auto[24] 744 1 +auto[25] 667 1 +auto[26] 655 1 +auto[27] 684 1 +auto[28] 668 1 +auto[29] 688 1 +auto[30] 735 1 +auto[31] 640 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 650 1 +auto[1] 623 1 +auto[2] 634 1 +auto[3] 647 1 +auto[4] 762 1 +auto[5] 626 1 +auto[6] 648 1 +auto[7] 683 1 +auto[8] 559 1 +auto[9] 720 1 +auto[10] 586 1 +auto[11] 684 1 +auto[12] 626 1 +auto[13] 687 1 +auto[14] 611 1 +auto[15] 593 1 +auto[16] 595 1 +auto[17] 675 1 +auto[18] 649 1 +auto[19] 636 1 +auto[20] 617 1 +auto[21] 697 1 +auto[22] 697 1 +auto[23] 618 1 +auto[24] 898 1 +auto[25] 648 1 +auto[26] 626 1 +auto[27] 727 1 +auto[28] 692 1 +auto[29] 642 1 +auto[30] 676 1 +auto[31] 619 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 791 1 +auto[1] 678 1 +auto[2] 600 1 +auto[3] 696 1 +auto[4] 772 1 +auto[5] 715 1 +auto[6] 714 1 +auto[7] 637 1 +auto[8] 568 1 +auto[9] 645 1 +auto[10] 614 1 +auto[11] 586 1 +auto[12] 541 1 +auto[13] 597 1 +auto[14] 766 1 +auto[15] 616 1 +auto[16] 605 1 +auto[17] 663 1 +auto[18] 710 1 +auto[19] 647 1 +auto[20] 621 1 +auto[21] 700 1 +auto[22] 655 1 +auto[23] 593 1 +auto[24] 616 1 +auto[25] 579 1 +auto[26] 621 1 +auto[27] 756 1 +auto[28] 823 1 +auto[29] 665 1 +auto[30] 614 1 +auto[31] 647 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 78 1 +RD_01 70 1 +RD_02 62 1 +RD_03 80 1 +RD_04 82 1 +RD_05 66 1 +RD_06 76 1 +RD_07 80 1 +RD_08 10 1 +RD_09 10 1 +RD_0a 8 1 +RD_0b 18 1 +RD_0c 14 1 +RD_0d 12 1 +RD_0e 12 1 +RD_0f 11 1 +RD_10 57 1 +RD_11 86 1 +RD_12 71 1 +RD_13 64 1 +RD_14 58 1 +RD_15 59 1 +RD_16 81 1 +RD_17 60 1 +RD_18 66 1 +RD_19 53 1 +RD_1a 62 1 +RD_1b 80 1 +RD_1c 76 1 +RD_1d 90 1 +RD_1e 61 1 +RD_1f 70 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 64 1 +RD_02 55 1 +RD_03 72 1 +RD_04 83 1 +RD_05 69 1 +RD_06 66 1 +RD_07 74 1 +RD_08 20 1 +RD_09 13 1 +RD_0a 17 1 +RD_0b 16 1 +RD_0c 15 1 +RD_0d 20 1 +RD_0e 19 1 +RD_0f 16 1 +RD_10 60 1 +RD_11 82 1 +RD_12 65 1 +RD_13 76 1 +RD_14 55 1 +RD_15 69 1 +RD_16 91 1 +RD_17 62 1 +RD_18 74 1 +RD_19 56 1 +RD_1a 71 1 +RD_1b 73 1 +RD_1c 87 1 +RD_1d 85 1 +RD_1e 59 1 +RD_1f 70 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7197 1 +auto_NON_ZERO 13854 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7122 1 +auto_NON_ZERO 13929 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11608 1 +auto_NON_ZERO 9443 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6713 1 +BIT30_1 4433 1 +BIT29_1 4449 1 +BIT28_1 4464 1 +BIT27_1 4321 1 +BIT26_1 4278 1 +BIT25_1 4238 1 +BIT24_1 4276 1 +BIT23_1 4267 1 +BIT22_1 4249 1 +BIT21_1 4271 1 +BIT20_1 4237 1 +BIT19_1 4227 1 +BIT18_1 4195 1 +BIT17_1 4258 1 +BIT16_1 4419 1 +BIT15_1 5278 1 +BIT14_1 5288 1 +BIT13_1 5599 1 +BIT12_1 5244 1 +BIT11_1 5873 1 +BIT10_1 5910 1 +BIT9_1 5172 1 +BIT8_1 4735 1 +BIT7_1 5566 1 +BIT6_1 5027 1 +BIT5_1 5199 1 +BIT4_1 6517 1 +BIT3_1 6492 1 +BIT2_1 6458 1 +BIT1_1 5213 1 +BIT0_1 5894 1 +BIT31_0 14338 1 +BIT30_0 16618 1 +BIT29_0 16602 1 +BIT28_0 16587 1 +BIT27_0 16730 1 +BIT26_0 16773 1 +BIT25_0 16813 1 +BIT24_0 16775 1 +BIT23_0 16784 1 +BIT22_0 16802 1 +BIT21_0 16780 1 +BIT20_0 16814 1 +BIT19_0 16824 1 +BIT18_0 16856 1 +BIT17_0 16793 1 +BIT16_0 16632 1 +BIT15_0 15773 1 +BIT14_0 15763 1 +BIT13_0 15452 1 +BIT12_0 15807 1 +BIT11_0 15178 1 +BIT10_0 15141 1 +BIT9_0 15879 1 +BIT8_0 16316 1 +BIT7_0 15485 1 +BIT6_0 16024 1 +BIT5_0 15852 1 +BIT4_0 14534 1 +BIT3_0 14559 1 +BIT2_0 14593 1 +BIT1_0 15838 1 +BIT0_0 15157 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6671 1 +BIT30_1 4253 1 +BIT29_1 4237 1 +BIT28_1 4201 1 +BIT27_1 4062 1 +BIT26_1 3994 1 +BIT25_1 4001 1 +BIT24_1 3991 1 +BIT23_1 4031 1 +BIT22_1 3991 1 +BIT21_1 4094 1 +BIT20_1 4019 1 +BIT19_1 4081 1 +BIT18_1 4119 1 +BIT17_1 3912 1 +BIT16_1 4326 1 +BIT15_1 5307 1 +BIT14_1 5274 1 +BIT13_1 5598 1 +BIT12_1 5260 1 +BIT11_1 5824 1 +BIT10_1 5794 1 +BIT9_1 5026 1 +BIT8_1 4557 1 +BIT7_1 5668 1 +BIT6_1 4856 1 +BIT5_1 5137 1 +BIT4_1 6568 1 +BIT3_1 6557 1 +BIT2_1 6484 1 +BIT1_1 5119 1 +BIT0_1 5854 1 +BIT31_0 14380 1 +BIT30_0 16798 1 +BIT29_0 16814 1 +BIT28_0 16850 1 +BIT27_0 16989 1 +BIT26_0 17057 1 +BIT25_0 17050 1 +BIT24_0 17060 1 +BIT23_0 17020 1 +BIT22_0 17060 1 +BIT21_0 16957 1 +BIT20_0 17032 1 +BIT19_0 16970 1 +BIT18_0 16932 1 +BIT17_0 17139 1 +BIT16_0 16725 1 +BIT15_0 15744 1 +BIT14_0 15777 1 +BIT13_0 15453 1 +BIT12_0 15791 1 +BIT11_0 15227 1 +BIT10_0 15257 1 +BIT9_0 16025 1 +BIT8_0 16494 1 +BIT7_0 15383 1 +BIT6_0 16195 1 +BIT5_0 15914 1 +BIT4_0 14483 1 +BIT3_0 14494 1 +BIT2_0 14567 1 +BIT1_0 15932 1 +BIT0_0 15197 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4001 1 +BIT30_1 3685 1 +BIT29_1 3763 1 +BIT28_1 3636 1 +BIT27_1 3777 1 +BIT26_1 3785 1 +BIT25_1 3742 1 +BIT24_1 3708 1 +BIT23_1 3770 1 +BIT22_1 3820 1 +BIT21_1 3768 1 +BIT20_1 3759 1 +BIT19_1 3919 1 +BIT18_1 3923 1 +BIT17_1 3986 1 +BIT16_1 3980 1 +BIT15_1 3865 1 +BIT14_1 3877 1 +BIT13_1 3889 1 +BIT12_1 3856 1 +BIT11_1 3697 1 +BIT10_1 3474 1 +BIT9_1 3577 1 +BIT8_1 3597 1 +BIT7_1 3548 1 +BIT6_1 3416 1 +BIT5_1 3347 1 +BIT4_1 3402 1 +BIT3_1 3196 1 +BIT2_1 2980 1 +BIT1_1 1856 1 +BIT0_1 2082 1 +BIT31_0 17050 1 +BIT30_0 17366 1 +BIT29_0 17288 1 +BIT28_0 17415 1 +BIT27_0 17274 1 +BIT26_0 17266 1 +BIT25_0 17309 1 +BIT24_0 17343 1 +BIT23_0 17281 1 +BIT22_0 17231 1 +BIT21_0 17283 1 +BIT20_0 17292 1 +BIT19_0 17132 1 +BIT18_0 17128 1 +BIT17_0 17065 1 +BIT16_0 17071 1 +BIT15_0 17186 1 +BIT14_0 17174 1 +BIT13_0 17162 1 +BIT12_0 17195 1 +BIT11_0 17354 1 +BIT10_0 17577 1 +BIT9_0 17474 1 +BIT8_0 17454 1 +BIT7_0 17503 1 +BIT6_0 17635 1 +BIT5_0 17704 1 +BIT4_0 17649 1 +BIT3_0 17855 1 +BIT2_0 18071 1 +BIT1_0 19195 1 +BIT0_0 18969 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3127 1 +auto_ZERO auto_NON_ZERO 4070 1 +auto_NON_ZERO auto_ZERO 3995 1 +auto_NON_ZERO auto_NON_ZERO 9859 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mulhu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 644 1 +auto[1] 652 1 +auto[2] 635 1 +auto[3] 631 1 +auto[4] 655 1 +auto[5] 560 1 +auto[6] 628 1 +auto[7] 615 1 +auto[8] 597 1 +auto[9] 614 1 +auto[10] 637 1 +auto[11] 610 1 +auto[12] 655 1 +auto[13] 603 1 +auto[14] 653 1 +auto[15] 633 1 +auto[16] 726 1 +auto[17] 626 1 +auto[18] 609 1 +auto[19] 685 1 +auto[20] 660 1 +auto[21] 667 1 +auto[22] 632 1 +auto[23] 614 1 +auto[24] 650 1 +auto[25] 690 1 +auto[26] 618 1 +auto[27] 722 1 +auto[28] 621 1 +auto[29] 622 1 +auto[30] 743 1 +auto[31] 598 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 594 1 +auto[1] 602 1 +auto[2] 629 1 +auto[3] 687 1 +auto[4] 637 1 +auto[5] 613 1 +auto[6] 650 1 +auto[7] 644 1 +auto[8] 649 1 +auto[9] 637 1 +auto[10] 675 1 +auto[11] 601 1 +auto[12] 738 1 +auto[13] 626 1 +auto[14] 631 1 +auto[15] 577 1 +auto[16] 604 1 +auto[17] 624 1 +auto[18] 610 1 +auto[19] 665 1 +auto[20] 713 1 +auto[21] 635 1 +auto[22] 653 1 +auto[23] 598 1 +auto[24] 663 1 +auto[25] 634 1 +auto[26] 633 1 +auto[27] 613 1 +auto[28] 645 1 +auto[29] 679 1 +auto[30] 667 1 +auto[31] 679 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 699 1 +auto[1] 726 1 +auto[2] 570 1 +auto[3] 656 1 +auto[4] 626 1 +auto[5] 582 1 +auto[6] 629 1 +auto[7] 648 1 +auto[8] 769 1 +auto[9] 686 1 +auto[10] 663 1 +auto[11] 576 1 +auto[12] 674 1 +auto[13] 698 1 +auto[14] 643 1 +auto[15] 606 1 +auto[16] 593 1 +auto[17] 613 1 +auto[18] 644 1 +auto[19] 642 1 +auto[20] 584 1 +auto[21] 629 1 +auto[22] 646 1 +auto[23] 617 1 +auto[24] 589 1 +auto[25] 651 1 +auto[26] 652 1 +auto[27] 588 1 +auto[28] 622 1 +auto[29] 655 1 +auto[30] 685 1 +auto[31] 644 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 62 1 +RD_02 55 1 +RD_03 83 1 +RD_04 71 1 +RD_05 48 1 +RD_06 54 1 +RD_07 72 1 +RD_08 73 1 +RD_09 68 1 +RD_0a 74 1 +RD_0b 56 1 +RD_0c 66 1 +RD_0d 68 1 +RD_0e 73 1 +RD_0f 66 1 +RD_10 70 1 +RD_11 61 1 +RD_12 67 1 +RD_13 93 1 +RD_14 55 1 +RD_15 74 1 +RD_16 67 1 +RD_17 59 1 +RD_18 63 1 +RD_19 71 1 +RD_1a 69 1 +RD_1b 60 1 +RD_1c 75 1 +RD_1d 66 1 +RD_1e 64 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 61 1 +RD_01 59 1 +RD_02 58 1 +RD_03 85 1 +RD_04 70 1 +RD_05 50 1 +RD_06 57 1 +RD_07 71 1 +RD_08 67 1 +RD_09 59 1 +RD_0a 68 1 +RD_0b 50 1 +RD_0c 73 1 +RD_0d 70 1 +RD_0e 64 1 +RD_0f 69 1 +RD_10 62 1 +RD_11 62 1 +RD_12 67 1 +RD_13 89 1 +RD_14 54 1 +RD_15 76 1 +RD_16 63 1 +RD_17 58 1 +RD_18 58 1 +RD_19 73 1 +RD_1a 65 1 +RD_1b 62 1 +RD_1c 72 1 +RD_1d 66 1 +RD_1e 69 1 +RD_1f 73 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7046 1 +auto_NON_ZERO 13459 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7207 1 +auto_NON_ZERO 13298 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 13561 1 +auto_NON_ZERO 6944 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6314 1 +BIT30_1 4131 1 +BIT29_1 4123 1 +BIT28_1 4170 1 +BIT27_1 3953 1 +BIT26_1 4031 1 +BIT25_1 4056 1 +BIT24_1 3931 1 +BIT23_1 3943 1 +BIT22_1 3958 1 +BIT21_1 3915 1 +BIT20_1 3983 1 +BIT19_1 3951 1 +BIT18_1 4021 1 +BIT17_1 3981 1 +BIT16_1 4166 1 +BIT15_1 5015 1 +BIT14_1 4928 1 +BIT13_1 5171 1 +BIT12_1 4957 1 +BIT11_1 5501 1 +BIT10_1 5373 1 +BIT9_1 4786 1 +BIT8_1 4317 1 +BIT7_1 5142 1 +BIT6_1 4608 1 +BIT5_1 4764 1 +BIT4_1 6245 1 +BIT3_1 6246 1 +BIT2_1 6213 1 +BIT1_1 4865 1 +BIT0_1 5884 1 +BIT31_0 14191 1 +BIT30_0 16374 1 +BIT29_0 16382 1 +BIT28_0 16335 1 +BIT27_0 16552 1 +BIT26_0 16474 1 +BIT25_0 16449 1 +BIT24_0 16574 1 +BIT23_0 16562 1 +BIT22_0 16547 1 +BIT21_0 16590 1 +BIT20_0 16522 1 +BIT19_0 16554 1 +BIT18_0 16484 1 +BIT17_0 16524 1 +BIT16_0 16339 1 +BIT15_0 15490 1 +BIT14_0 15577 1 +BIT13_0 15334 1 +BIT12_0 15548 1 +BIT11_0 15004 1 +BIT10_0 15132 1 +BIT9_0 15719 1 +BIT8_0 16188 1 +BIT7_0 15363 1 +BIT6_0 15897 1 +BIT5_0 15741 1 +BIT4_0 14260 1 +BIT3_0 14259 1 +BIT2_0 14292 1 +BIT1_0 15640 1 +BIT0_0 14621 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6404 1 +BIT30_1 4147 1 +BIT29_1 4042 1 +BIT28_1 4094 1 +BIT27_1 3964 1 +BIT26_1 3998 1 +BIT25_1 3984 1 +BIT24_1 3952 1 +BIT23_1 3943 1 +BIT22_1 3888 1 +BIT21_1 3917 1 +BIT20_1 4010 1 +BIT19_1 3952 1 +BIT18_1 4044 1 +BIT17_1 3962 1 +BIT16_1 4135 1 +BIT15_1 5094 1 +BIT14_1 4960 1 +BIT13_1 5343 1 +BIT12_1 5099 1 +BIT11_1 5562 1 +BIT10_1 5686 1 +BIT9_1 4985 1 +BIT8_1 4377 1 +BIT7_1 5504 1 +BIT6_1 4536 1 +BIT5_1 4799 1 +BIT4_1 6308 1 +BIT3_1 6313 1 +BIT2_1 6218 1 +BIT1_1 4829 1 +BIT0_1 5684 1 +BIT31_0 14101 1 +BIT30_0 16358 1 +BIT29_0 16463 1 +BIT28_0 16411 1 +BIT27_0 16541 1 +BIT26_0 16507 1 +BIT25_0 16521 1 +BIT24_0 16553 1 +BIT23_0 16562 1 +BIT22_0 16617 1 +BIT21_0 16588 1 +BIT20_0 16495 1 +BIT19_0 16553 1 +BIT18_0 16461 1 +BIT17_0 16543 1 +BIT16_0 16370 1 +BIT15_0 15411 1 +BIT14_0 15545 1 +BIT13_0 15162 1 +BIT12_0 15406 1 +BIT11_0 14943 1 +BIT10_0 14819 1 +BIT9_0 15520 1 +BIT8_0 16128 1 +BIT7_0 15001 1 +BIT6_0 15969 1 +BIT5_0 15706 1 +BIT4_0 14197 1 +BIT3_0 14192 1 +BIT2_0 14287 1 +BIT1_0 15676 1 +BIT0_0 14821 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1499 1 +BIT30_1 2151 1 +BIT29_1 1731 1 +BIT28_1 1809 1 +BIT27_1 1773 1 +BIT26_1 1723 1 +BIT25_1 1818 1 +BIT24_1 1764 1 +BIT23_1 1756 1 +BIT22_1 1816 1 +BIT21_1 1787 1 +BIT20_1 1802 1 +BIT19_1 1772 1 +BIT18_1 1814 1 +BIT17_1 1925 1 +BIT16_1 1975 1 +BIT15_1 2306 1 +BIT14_1 2392 1 +BIT13_1 2572 1 +BIT12_1 2631 1 +BIT11_1 2910 1 +BIT10_1 2900 1 +BIT9_1 2679 1 +BIT8_1 2704 1 +BIT7_1 2844 1 +BIT6_1 2935 1 +BIT5_1 2940 1 +BIT4_1 3516 1 +BIT3_1 3666 1 +BIT2_1 3624 1 +BIT1_1 3677 1 +BIT0_1 3416 1 +BIT31_0 19006 1 +BIT30_0 18354 1 +BIT29_0 18774 1 +BIT28_0 18696 1 +BIT27_0 18732 1 +BIT26_0 18782 1 +BIT25_0 18687 1 +BIT24_0 18741 1 +BIT23_0 18749 1 +BIT22_0 18689 1 +BIT21_0 18718 1 +BIT20_0 18703 1 +BIT19_0 18733 1 +BIT18_0 18691 1 +BIT17_0 18580 1 +BIT16_0 18530 1 +BIT15_0 18199 1 +BIT14_0 18113 1 +BIT13_0 17933 1 +BIT12_0 17874 1 +BIT11_0 17595 1 +BIT10_0 17605 1 +BIT9_0 17826 1 +BIT8_0 17801 1 +BIT7_0 17661 1 +BIT6_0 17570 1 +BIT5_0 17565 1 +BIT4_0 16989 1 +BIT3_0 16839 1 +BIT2_0 16881 1 +BIT1_0 16828 1 +BIT0_0 17089 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3320 1 +auto_ZERO auto_NON_ZERO 3726 1 +auto_NON_ZERO auto_ZERO 3887 1 +auto_NON_ZERO auto_NON_ZERO 9572 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_remu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_remu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_remu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_remu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 609 1 +auto[1] 622 1 +auto[2] 641 1 +auto[3] 658 1 +auto[4] 657 1 +auto[5] 668 1 +auto[6] 677 1 +auto[7] 682 1 +auto[8] 630 1 +auto[9] 629 1 +auto[10] 647 1 +auto[11] 638 1 +auto[12] 658 1 +auto[13] 613 1 +auto[14] 645 1 +auto[15] 756 1 +auto[16] 752 1 +auto[17] 640 1 +auto[18] 625 1 +auto[19] 619 1 +auto[20] 695 1 +auto[21] 687 1 +auto[22] 603 1 +auto[23] 714 1 +auto[24] 562 1 +auto[25] 648 1 +auto[26] 691 1 +auto[27] 714 1 +auto[28] 677 1 +auto[29] 653 1 +auto[30] 799 1 +auto[31] 627 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 634 1 +auto[1] 647 1 +auto[2] 643 1 +auto[3] 683 1 +auto[4] 663 1 +auto[5] 597 1 +auto[6] 670 1 +auto[7] 598 1 +auto[8] 679 1 +auto[9] 611 1 +auto[10] 681 1 +auto[11] 725 1 +auto[12] 653 1 +auto[13] 592 1 +auto[14] 644 1 +auto[15] 656 1 +auto[16] 643 1 +auto[17] 662 1 +auto[18] 668 1 +auto[19] 672 1 +auto[20] 658 1 +auto[21] 626 1 +auto[22] 714 1 +auto[23] 731 1 +auto[24] 781 1 +auto[25] 649 1 +auto[26] 650 1 +auto[27] 653 1 +auto[28] 652 1 +auto[29] 721 1 +auto[30] 654 1 +auto[31] 626 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 726 1 +auto[1] 660 1 +auto[2] 601 1 +auto[3] 702 1 +auto[4] 657 1 +auto[5] 677 1 +auto[6] 626 1 +auto[7] 626 1 +auto[8] 721 1 +auto[9] 695 1 +auto[10] 728 1 +auto[11] 656 1 +auto[12] 643 1 +auto[13] 618 1 +auto[14] 704 1 +auto[15] 655 1 +auto[16] 696 1 +auto[17] 657 1 +auto[18] 613 1 +auto[19] 618 1 +auto[20] 647 1 +auto[21] 669 1 +auto[22] 695 1 +auto[23] 667 1 +auto[24] 621 1 +auto[25] 605 1 +auto[26] 751 1 +auto[27] 651 1 +auto[28] 646 1 +auto[29] 611 1 +auto[30] 666 1 +auto[31] 628 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 64 1 +RD_02 71 1 +RD_03 67 1 +RD_04 68 1 +RD_05 75 1 +RD_06 81 1 +RD_07 58 1 +RD_08 68 1 +RD_09 57 1 +RD_0a 83 1 +RD_0b 66 1 +RD_0c 80 1 +RD_0d 61 1 +RD_0e 76 1 +RD_0f 72 1 +RD_10 66 1 +RD_11 69 1 +RD_12 67 1 +RD_13 63 1 +RD_14 74 1 +RD_15 69 1 +RD_16 73 1 +RD_17 71 1 +RD_18 59 1 +RD_19 68 1 +RD_1a 63 1 +RD_1b 79 1 +RD_1c 67 1 +RD_1d 63 1 +RD_1e 65 1 +RD_1f 59 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 61 1 +RD_02 67 1 +RD_03 65 1 +RD_04 69 1 +RD_05 61 1 +RD_06 79 1 +RD_07 60 1 +RD_08 67 1 +RD_09 63 1 +RD_0a 90 1 +RD_0b 61 1 +RD_0c 74 1 +RD_0d 58 1 +RD_0e 73 1 +RD_0f 71 1 +RD_10 76 1 +RD_11 65 1 +RD_12 74 1 +RD_13 54 1 +RD_14 74 1 +RD_15 73 1 +RD_16 77 1 +RD_17 69 1 +RD_18 63 1 +RD_19 71 1 +RD_1a 73 1 +RD_1b 60 1 +RD_1c 64 1 +RD_1d 64 1 +RD_1e 66 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7253 1 +auto_NON_ZERO 13883 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7422 1 +auto_NON_ZERO 13714 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9383 1 +auto_NON_ZERO 11753 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6354 1 +BIT30_1 4183 1 +BIT29_1 4132 1 +BIT28_1 4106 1 +BIT27_1 4057 1 +BIT26_1 4061 1 +BIT25_1 3978 1 +BIT24_1 3967 1 +BIT23_1 4113 1 +BIT22_1 4033 1 +BIT21_1 3991 1 +BIT20_1 4014 1 +BIT19_1 4047 1 +BIT18_1 4084 1 +BIT17_1 4006 1 +BIT16_1 4215 1 +BIT15_1 5275 1 +BIT14_1 5045 1 +BIT13_1 5403 1 +BIT12_1 5119 1 +BIT11_1 5665 1 +BIT10_1 5677 1 +BIT9_1 4973 1 +BIT8_1 4496 1 +BIT7_1 5314 1 +BIT6_1 4866 1 +BIT5_1 4881 1 +BIT4_1 6214 1 +BIT3_1 6278 1 +BIT2_1 6271 1 +BIT1_1 4988 1 +BIT0_1 5890 1 +BIT31_0 14782 1 +BIT30_0 16953 1 +BIT29_0 17004 1 +BIT28_0 17030 1 +BIT27_0 17079 1 +BIT26_0 17075 1 +BIT25_0 17158 1 +BIT24_0 17169 1 +BIT23_0 17023 1 +BIT22_0 17103 1 +BIT21_0 17145 1 +BIT20_0 17122 1 +BIT19_0 17089 1 +BIT18_0 17052 1 +BIT17_0 17130 1 +BIT16_0 16921 1 +BIT15_0 15861 1 +BIT14_0 16091 1 +BIT13_0 15733 1 +BIT12_0 16017 1 +BIT11_0 15471 1 +BIT10_0 15459 1 +BIT9_0 16163 1 +BIT8_0 16640 1 +BIT7_0 15822 1 +BIT6_0 16270 1 +BIT5_0 16255 1 +BIT4_0 14922 1 +BIT3_0 14858 1 +BIT2_0 14865 1 +BIT1_0 16148 1 +BIT0_0 15246 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6539 1 +BIT30_1 4147 1 +BIT29_1 4154 1 +BIT28_1 4127 1 +BIT27_1 3995 1 +BIT26_1 3964 1 +BIT25_1 3920 1 +BIT24_1 3961 1 +BIT23_1 3884 1 +BIT22_1 3901 1 +BIT21_1 3884 1 +BIT20_1 3893 1 +BIT19_1 3904 1 +BIT18_1 3936 1 +BIT17_1 3902 1 +BIT16_1 4136 1 +BIT15_1 5049 1 +BIT14_1 5143 1 +BIT13_1 5394 1 +BIT12_1 4963 1 +BIT11_1 5772 1 +BIT10_1 5821 1 +BIT9_1 4946 1 +BIT8_1 4400 1 +BIT7_1 5507 1 +BIT6_1 4708 1 +BIT5_1 4889 1 +BIT4_1 6314 1 +BIT3_1 6444 1 +BIT2_1 6441 1 +BIT1_1 4887 1 +BIT0_1 5769 1 +BIT31_0 14597 1 +BIT30_0 16989 1 +BIT29_0 16982 1 +BIT28_0 17009 1 +BIT27_0 17141 1 +BIT26_0 17172 1 +BIT25_0 17216 1 +BIT24_0 17175 1 +BIT23_0 17252 1 +BIT22_0 17235 1 +BIT21_0 17252 1 +BIT20_0 17243 1 +BIT19_0 17232 1 +BIT18_0 17200 1 +BIT17_0 17234 1 +BIT16_0 17000 1 +BIT15_0 16087 1 +BIT14_0 15993 1 +BIT13_0 15742 1 +BIT12_0 16173 1 +BIT11_0 15364 1 +BIT10_0 15315 1 +BIT9_0 16190 1 +BIT8_0 16736 1 +BIT7_0 15629 1 +BIT6_0 16428 1 +BIT5_0 16247 1 +BIT4_0 14822 1 +BIT3_0 14692 1 +BIT2_0 14695 1 +BIT1_0 16249 1 +BIT0_0 15367 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2675 1 +BIT30_1 2117 1 +BIT29_1 2259 1 +BIT28_1 2259 1 +BIT27_1 2313 1 +BIT26_1 2413 1 +BIT25_1 2339 1 +BIT24_1 2352 1 +BIT23_1 2499 1 +BIT22_1 2394 1 +BIT21_1 2444 1 +BIT20_1 2480 1 +BIT19_1 2544 1 +BIT18_1 2589 1 +BIT17_1 2538 1 +BIT16_1 2634 1 +BIT15_1 3291 1 +BIT14_1 3270 1 +BIT13_1 3561 1 +BIT12_1 3355 1 +BIT11_1 3694 1 +BIT10_1 3761 1 +BIT9_1 3524 1 +BIT8_1 3335 1 +BIT7_1 3903 1 +BIT6_1 3830 1 +BIT5_1 3822 1 +BIT4_1 4740 1 +BIT3_1 4917 1 +BIT2_1 5062 1 +BIT1_1 4239 1 +BIT0_1 5072 1 +BIT31_0 18461 1 +BIT30_0 19019 1 +BIT29_0 18877 1 +BIT28_0 18877 1 +BIT27_0 18823 1 +BIT26_0 18723 1 +BIT25_0 18797 1 +BIT24_0 18784 1 +BIT23_0 18637 1 +BIT22_0 18742 1 +BIT21_0 18692 1 +BIT20_0 18656 1 +BIT19_0 18592 1 +BIT18_0 18547 1 +BIT17_0 18598 1 +BIT16_0 18502 1 +BIT15_0 17845 1 +BIT14_0 17866 1 +BIT13_0 17575 1 +BIT12_0 17781 1 +BIT11_0 17442 1 +BIT10_0 17375 1 +BIT9_0 17612 1 +BIT8_0 17801 1 +BIT7_0 17233 1 +BIT6_0 17306 1 +BIT5_0 17314 1 +BIT4_0 16396 1 +BIT3_0 16219 1 +BIT2_0 16074 1 +BIT1_0 16897 1 +BIT0_0 16064 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3388 1 +auto_ZERO auto_NON_ZERO 3865 1 +auto_NON_ZERO auto_ZERO 4034 1 +auto_NON_ZERO auto_NON_ZERO 9849 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_andn_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 584 1 +auto[1] 597 1 +auto[2] 566 1 +auto[3] 522 1 +auto[4] 587 1 +auto[5] 519 1 +auto[6] 548 1 +auto[7] 588 1 +auto[8] 541 1 +auto[9] 560 1 +auto[10] 564 1 +auto[11] 488 1 +auto[12] 550 1 +auto[13] 502 1 +auto[14] 568 1 +auto[15] 551 1 +auto[16] 548 1 +auto[17] 558 1 +auto[18] 519 1 +auto[19] 561 1 +auto[20] 592 1 +auto[21] 535 1 +auto[22] 596 1 +auto[23] 512 1 +auto[24] 531 1 +auto[25] 548 1 +auto[26] 557 1 +auto[27] 598 1 +auto[28] 529 1 +auto[29] 527 1 +auto[30] 501 1 +auto[31] 519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 589 1 +auto[2] 522 1 +auto[3] 571 1 +auto[4] 542 1 +auto[5] 542 1 +auto[6] 550 1 +auto[7] 548 1 +auto[8] 536 1 +auto[9] 512 1 +auto[10] 561 1 +auto[11] 548 1 +auto[12] 555 1 +auto[13] 541 1 +auto[14] 577 1 +auto[15] 536 1 +auto[16] 578 1 +auto[17] 532 1 +auto[18] 528 1 +auto[19] 486 1 +auto[20] 568 1 +auto[21] 541 1 +auto[22] 560 1 +auto[23] 535 1 +auto[24] 532 1 +auto[25] 558 1 +auto[26] 550 1 +auto[27] 569 1 +auto[28] 575 1 +auto[29] 524 1 +auto[30] 554 1 +auto[31] 564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 585 1 +auto[1] 566 1 +auto[2] 495 1 +auto[3] 591 1 +auto[4] 564 1 +auto[5] 547 1 +auto[6] 524 1 +auto[7] 556 1 +auto[8] 554 1 +auto[9] 571 1 +auto[10] 565 1 +auto[11] 540 1 +auto[12] 534 1 +auto[13] 516 1 +auto[14] 537 1 +auto[15] 521 1 +auto[16] 557 1 +auto[17] 559 1 +auto[18] 574 1 +auto[19] 549 1 +auto[20] 540 1 +auto[21] 547 1 +auto[22] 559 1 +auto[23] 578 1 +auto[24] 571 1 +auto[25] 571 1 +auto[26] 515 1 +auto[27] 539 1 +auto[28] 541 1 +auto[29] 538 1 +auto[30] 508 1 +auto[31] 554 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 57 1 +RD_01 81 1 +RD_02 60 1 +RD_03 66 1 +RD_04 67 1 +RD_05 64 1 +RD_06 67 1 +RD_07 55 1 +RD_08 66 1 +RD_09 73 1 +RD_0a 72 1 +RD_0b 52 1 +RD_0c 64 1 +RD_0d 58 1 +RD_0e 67 1 +RD_0f 70 1 +RD_10 73 1 +RD_11 64 1 +RD_12 46 1 +RD_13 63 1 +RD_14 60 1 +RD_15 65 1 +RD_16 65 1 +RD_17 65 1 +RD_18 67 1 +RD_19 65 1 +RD_1a 43 1 +RD_1b 59 1 +RD_1c 52 1 +RD_1d 72 1 +RD_1e 57 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 57 1 +RD_01 81 1 +RD_02 62 1 +RD_03 69 1 +RD_04 71 1 +RD_05 61 1 +RD_06 63 1 +RD_07 59 1 +RD_08 63 1 +RD_09 66 1 +RD_0a 69 1 +RD_0b 53 1 +RD_0c 65 1 +RD_0d 50 1 +RD_0e 68 1 +RD_0f 67 1 +RD_10 74 1 +RD_11 64 1 +RD_12 49 1 +RD_13 62 1 +RD_14 65 1 +RD_15 70 1 +RD_16 68 1 +RD_17 62 1 +RD_18 64 1 +RD_19 62 1 +RD_1a 55 1 +RD_1b 67 1 +RD_1c 54 1 +RD_1d 66 1 +RD_1e 65 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6082 1 +auto_NON_ZERO 11484 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6154 1 +auto_NON_ZERO 11412 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8302 1 +auto_NON_ZERO 9264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5231 1 +BIT30_1 3512 1 +BIT29_1 3477 1 +BIT28_1 3502 1 +BIT27_1 3345 1 +BIT26_1 3367 1 +BIT25_1 3297 1 +BIT24_1 3322 1 +BIT23_1 3230 1 +BIT22_1 3363 1 +BIT21_1 3288 1 +BIT20_1 3329 1 +BIT19_1 3326 1 +BIT18_1 3315 1 +BIT17_1 3374 1 +BIT16_1 3534 1 +BIT15_1 4231 1 +BIT14_1 4222 1 +BIT13_1 4425 1 +BIT12_1 4220 1 +BIT11_1 4649 1 +BIT10_1 4733 1 +BIT9_1 4122 1 +BIT8_1 3673 1 +BIT7_1 4509 1 +BIT6_1 4080 1 +BIT5_1 4213 1 +BIT4_1 5297 1 +BIT3_1 5373 1 +BIT2_1 5353 1 +BIT1_1 4287 1 +BIT0_1 4958 1 +BIT31_0 12335 1 +BIT30_0 14054 1 +BIT29_0 14089 1 +BIT28_0 14064 1 +BIT27_0 14221 1 +BIT26_0 14199 1 +BIT25_0 14269 1 +BIT24_0 14244 1 +BIT23_0 14336 1 +BIT22_0 14203 1 +BIT21_0 14278 1 +BIT20_0 14237 1 +BIT19_0 14240 1 +BIT18_0 14251 1 +BIT17_0 14192 1 +BIT16_0 14032 1 +BIT15_0 13335 1 +BIT14_0 13344 1 +BIT13_0 13141 1 +BIT12_0 13346 1 +BIT11_0 12917 1 +BIT10_0 12833 1 +BIT9_0 13444 1 +BIT8_0 13893 1 +BIT7_0 13057 1 +BIT6_0 13486 1 +BIT5_0 13353 1 +BIT4_0 12269 1 +BIT3_0 12193 1 +BIT2_0 12213 1 +BIT1_0 13279 1 +BIT0_0 12608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5254 1 +BIT30_1 3481 1 +BIT29_1 3471 1 +BIT28_1 3497 1 +BIT27_1 3344 1 +BIT26_1 3372 1 +BIT25_1 3320 1 +BIT24_1 3342 1 +BIT23_1 3311 1 +BIT22_1 3329 1 +BIT21_1 3347 1 +BIT20_1 3317 1 +BIT19_1 3358 1 +BIT18_1 3348 1 +BIT17_1 3341 1 +BIT16_1 3545 1 +BIT15_1 4286 1 +BIT14_1 4167 1 +BIT13_1 4401 1 +BIT12_1 4262 1 +BIT11_1 4573 1 +BIT10_1 4660 1 +BIT9_1 4208 1 +BIT8_1 3713 1 +BIT7_1 4394 1 +BIT6_1 3994 1 +BIT5_1 4116 1 +BIT4_1 5229 1 +BIT3_1 5406 1 +BIT2_1 5300 1 +BIT1_1 4232 1 +BIT0_1 4811 1 +BIT31_0 12312 1 +BIT30_0 14085 1 +BIT29_0 14095 1 +BIT28_0 14069 1 +BIT27_0 14222 1 +BIT26_0 14194 1 +BIT25_0 14246 1 +BIT24_0 14224 1 +BIT23_0 14255 1 +BIT22_0 14237 1 +BIT21_0 14219 1 +BIT20_0 14249 1 +BIT19_0 14208 1 +BIT18_0 14218 1 +BIT17_0 14225 1 +BIT16_0 14021 1 +BIT15_0 13280 1 +BIT14_0 13399 1 +BIT13_0 13165 1 +BIT12_0 13304 1 +BIT11_0 12993 1 +BIT10_0 12906 1 +BIT9_0 13358 1 +BIT8_0 13853 1 +BIT7_0 13172 1 +BIT6_0 13572 1 +BIT5_0 13450 1 +BIT4_0 12337 1 +BIT3_0 12160 1 +BIT2_0 12266 1 +BIT1_0 13334 1 +BIT0_0 12755 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3150 1 +BIT30_1 2451 1 +BIT29_1 2427 1 +BIT28_1 2416 1 +BIT27_1 2321 1 +BIT26_1 2375 1 +BIT25_1 2290 1 +BIT24_1 2330 1 +BIT23_1 2247 1 +BIT22_1 2361 1 +BIT21_1 2305 1 +BIT20_1 2352 1 +BIT19_1 2336 1 +BIT18_1 2298 1 +BIT17_1 2375 1 +BIT16_1 2446 1 +BIT15_1 2783 1 +BIT14_1 2834 1 +BIT13_1 2915 1 +BIT12_1 2771 1 +BIT11_1 3024 1 +BIT10_1 3120 1 +BIT9_1 2721 1 +BIT8_1 2479 1 +BIT7_1 2968 1 +BIT6_1 2745 1 +BIT5_1 2800 1 +BIT4_1 3265 1 +BIT3_1 3286 1 +BIT2_1 3243 1 +BIT1_1 2836 1 +BIT0_1 3074 1 +BIT31_0 14416 1 +BIT30_0 15115 1 +BIT29_0 15139 1 +BIT28_0 15150 1 +BIT27_0 15245 1 +BIT26_0 15191 1 +BIT25_0 15276 1 +BIT24_0 15236 1 +BIT23_0 15319 1 +BIT22_0 15205 1 +BIT21_0 15261 1 +BIT20_0 15214 1 +BIT19_0 15230 1 +BIT18_0 15268 1 +BIT17_0 15191 1 +BIT16_0 15120 1 +BIT15_0 14783 1 +BIT14_0 14732 1 +BIT13_0 14651 1 +BIT12_0 14795 1 +BIT11_0 14542 1 +BIT10_0 14446 1 +BIT9_0 14845 1 +BIT8_0 15087 1 +BIT7_0 14598 1 +BIT6_0 14821 1 +BIT5_0 14766 1 +BIT4_0 14301 1 +BIT3_0 14280 1 +BIT2_0 14323 1 +BIT1_0 14730 1 +BIT0_0 14492 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2889 1 +auto_ZERO auto_NON_ZERO 3193 1 +auto_NON_ZERO auto_ZERO 3265 1 +auto_NON_ZERO auto_NON_ZERO 8219 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_max_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 522 1 +auto[2] 533 1 +auto[3] 563 1 +auto[4] 618 1 +auto[5] 549 1 +auto[6] 564 1 +auto[7] 517 1 +auto[8] 521 1 +auto[9] 599 1 +auto[10] 534 1 +auto[11] 582 1 +auto[12] 581 1 +auto[13] 525 1 +auto[14] 582 1 +auto[15] 524 1 +auto[16] 544 1 +auto[17] 538 1 +auto[18] 556 1 +auto[19] 534 1 +auto[20] 532 1 +auto[21] 501 1 +auto[22] 515 1 +auto[23] 562 1 +auto[24] 547 1 +auto[25] 577 1 +auto[26] 551 1 +auto[27] 554 1 +auto[28] 569 1 +auto[29] 541 1 +auto[30] 535 1 +auto[31] 555 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 564 1 +auto[1] 546 1 +auto[2] 558 1 +auto[3] 539 1 +auto[4] 514 1 +auto[5] 580 1 +auto[6] 557 1 +auto[7] 541 1 +auto[8] 526 1 +auto[9] 542 1 +auto[10] 553 1 +auto[11] 544 1 +auto[12] 566 1 +auto[13] 547 1 +auto[14] 561 1 +auto[15] 559 1 +auto[16] 565 1 +auto[17] 532 1 +auto[18] 532 1 +auto[19] 555 1 +auto[20] 551 1 +auto[21] 523 1 +auto[22] 526 1 +auto[23] 501 1 +auto[24] 567 1 +auto[25] 557 1 +auto[26] 600 1 +auto[27] 562 1 +auto[28] 576 1 +auto[29] 538 1 +auto[30] 554 1 +auto[31] 571 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 573 1 +auto[2] 462 1 +auto[3] 593 1 +auto[4] 518 1 +auto[5] 562 1 +auto[6] 603 1 +auto[7] 516 1 +auto[8] 593 1 +auto[9] 544 1 +auto[10] 571 1 +auto[11] 571 1 +auto[12] 547 1 +auto[13] 597 1 +auto[14] 587 1 +auto[15] 534 1 +auto[16] 532 1 +auto[17] 533 1 +auto[18] 561 1 +auto[19] 570 1 +auto[20] 505 1 +auto[21] 560 1 +auto[22] 500 1 +auto[23] 560 1 +auto[24] 535 1 +auto[25] 557 1 +auto[26] 588 1 +auto[27] 558 1 +auto[28] 494 1 +auto[29] 561 1 +auto[30] 521 1 +auto[31] 519 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 66 1 +RD_01 62 1 +RD_02 68 1 +RD_03 74 1 +RD_04 65 1 +RD_05 84 1 +RD_06 71 1 +RD_07 52 1 +RD_08 60 1 +RD_09 60 1 +RD_0a 76 1 +RD_0b 83 1 +RD_0c 68 1 +RD_0d 68 1 +RD_0e 81 1 +RD_0f 61 1 +RD_10 60 1 +RD_11 58 1 +RD_12 63 1 +RD_13 67 1 +RD_14 60 1 +RD_15 56 1 +RD_16 53 1 +RD_17 75 1 +RD_18 59 1 +RD_19 76 1 +RD_1a 75 1 +RD_1b 66 1 +RD_1c 65 1 +RD_1d 65 1 +RD_1e 61 1 +RD_1f 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 71 1 +RD_02 64 1 +RD_03 64 1 +RD_04 69 1 +RD_05 79 1 +RD_06 74 1 +RD_07 68 1 +RD_08 63 1 +RD_09 60 1 +RD_0a 79 1 +RD_0b 80 1 +RD_0c 63 1 +RD_0d 68 1 +RD_0e 82 1 +RD_0f 56 1 +RD_10 68 1 +RD_11 57 1 +RD_12 73 1 +RD_13 69 1 +RD_14 63 1 +RD_15 62 1 +RD_16 52 1 +RD_17 70 1 +RD_18 58 1 +RD_19 74 1 +RD_1a 71 1 +RD_1b 69 1 +RD_1c 69 1 +RD_1d 65 1 +RD_1e 57 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6177 1 +auto_NON_ZERO 11430 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6226 1 +auto_NON_ZERO 11381 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5921 1 +auto_NON_ZERO 11686 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5240 1 +BIT30_1 3548 1 +BIT29_1 3491 1 +BIT28_1 3524 1 +BIT27_1 3351 1 +BIT26_1 3416 1 +BIT25_1 3331 1 +BIT24_1 3344 1 +BIT23_1 3346 1 +BIT22_1 3386 1 +BIT21_1 3348 1 +BIT20_1 3396 1 +BIT19_1 3405 1 +BIT18_1 3332 1 +BIT17_1 3346 1 +BIT16_1 3605 1 +BIT15_1 4339 1 +BIT14_1 4262 1 +BIT13_1 4495 1 +BIT12_1 4343 1 +BIT11_1 4728 1 +BIT10_1 4747 1 +BIT9_1 4300 1 +BIT8_1 3742 1 +BIT7_1 4603 1 +BIT6_1 4048 1 +BIT5_1 4249 1 +BIT4_1 5369 1 +BIT3_1 5453 1 +BIT2_1 5300 1 +BIT1_1 4380 1 +BIT0_1 4968 1 +BIT31_0 12367 1 +BIT30_0 14059 1 +BIT29_0 14116 1 +BIT28_0 14083 1 +BIT27_0 14256 1 +BIT26_0 14191 1 +BIT25_0 14276 1 +BIT24_0 14263 1 +BIT23_0 14261 1 +BIT22_0 14221 1 +BIT21_0 14259 1 +BIT20_0 14211 1 +BIT19_0 14202 1 +BIT18_0 14275 1 +BIT17_0 14261 1 +BIT16_0 14002 1 +BIT15_0 13268 1 +BIT14_0 13345 1 +BIT13_0 13112 1 +BIT12_0 13264 1 +BIT11_0 12879 1 +BIT10_0 12860 1 +BIT9_0 13307 1 +BIT8_0 13865 1 +BIT7_0 13004 1 +BIT6_0 13559 1 +BIT5_0 13358 1 +BIT4_0 12238 1 +BIT3_0 12154 1 +BIT2_0 12307 1 +BIT1_0 13227 1 +BIT0_0 12639 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5236 1 +BIT30_1 3461 1 +BIT29_1 3397 1 +BIT28_1 3474 1 +BIT27_1 3331 1 +BIT26_1 3370 1 +BIT25_1 3305 1 +BIT24_1 3373 1 +BIT23_1 3350 1 +BIT22_1 3307 1 +BIT21_1 3325 1 +BIT20_1 3273 1 +BIT19_1 3302 1 +BIT18_1 3346 1 +BIT17_1 3325 1 +BIT16_1 3516 1 +BIT15_1 4188 1 +BIT14_1 4188 1 +BIT13_1 4352 1 +BIT12_1 4245 1 +BIT11_1 4698 1 +BIT10_1 4689 1 +BIT9_1 4180 1 +BIT8_1 3678 1 +BIT7_1 4417 1 +BIT6_1 3882 1 +BIT5_1 4109 1 +BIT4_1 5324 1 +BIT3_1 5341 1 +BIT2_1 5314 1 +BIT1_1 4182 1 +BIT0_1 4842 1 +BIT31_0 12371 1 +BIT30_0 14146 1 +BIT29_0 14210 1 +BIT28_0 14133 1 +BIT27_0 14276 1 +BIT26_0 14237 1 +BIT25_0 14302 1 +BIT24_0 14234 1 +BIT23_0 14257 1 +BIT22_0 14300 1 +BIT21_0 14282 1 +BIT20_0 14334 1 +BIT19_0 14305 1 +BIT18_0 14261 1 +BIT17_0 14282 1 +BIT16_0 14091 1 +BIT15_0 13419 1 +BIT14_0 13419 1 +BIT13_0 13255 1 +BIT12_0 13362 1 +BIT11_0 12909 1 +BIT10_0 12918 1 +BIT9_0 13427 1 +BIT8_0 13929 1 +BIT7_0 13190 1 +BIT6_0 13725 1 +BIT5_0 13498 1 +BIT4_0 12283 1 +BIT3_0 12266 1 +BIT2_0 12293 1 +BIT1_0 13425 1 +BIT0_0 12765 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2012 1 +BIT30_1 2686 1 +BIT29_1 2582 1 +BIT28_1 2684 1 +BIT27_1 2556 1 +BIT26_1 2654 1 +BIT25_1 2602 1 +BIT24_1 2674 1 +BIT23_1 2642 1 +BIT22_1 2707 1 +BIT21_1 2700 1 +BIT20_1 2662 1 +BIT19_1 2725 1 +BIT18_1 2704 1 +BIT17_1 2727 1 +BIT16_1 2938 1 +BIT15_1 3248 1 +BIT14_1 3309 1 +BIT13_1 3366 1 +BIT12_1 3406 1 +BIT11_1 3214 1 +BIT10_1 3390 1 +BIT9_1 3397 1 +BIT8_1 3310 1 +BIT7_1 3862 1 +BIT6_1 3892 1 +BIT5_1 4200 1 +BIT4_1 4536 1 +BIT3_1 4618 1 +BIT2_1 4502 1 +BIT1_1 4284 1 +BIT0_1 5290 1 +BIT31_0 15595 1 +BIT30_0 14921 1 +BIT29_0 15025 1 +BIT28_0 14923 1 +BIT27_0 15051 1 +BIT26_0 14953 1 +BIT25_0 15005 1 +BIT24_0 14933 1 +BIT23_0 14965 1 +BIT22_0 14900 1 +BIT21_0 14907 1 +BIT20_0 14945 1 +BIT19_0 14882 1 +BIT18_0 14903 1 +BIT17_0 14880 1 +BIT16_0 14669 1 +BIT15_0 14359 1 +BIT14_0 14298 1 +BIT13_0 14241 1 +BIT12_0 14201 1 +BIT11_0 14393 1 +BIT10_0 14217 1 +BIT9_0 14210 1 +BIT8_0 14297 1 +BIT7_0 13745 1 +BIT6_0 13715 1 +BIT5_0 13407 1 +BIT4_0 13071 1 +BIT3_0 12989 1 +BIT2_0 13105 1 +BIT1_0 13323 1 +BIT0_0 12317 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2989 1 +auto_ZERO auto_NON_ZERO 3188 1 +auto_NON_ZERO auto_ZERO 3237 1 +auto_NON_ZERO auto_NON_ZERO 8193 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_maxu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 547 1 +auto[1] 600 1 +auto[2] 542 1 +auto[3] 541 1 +auto[4] 571 1 +auto[5] 540 1 +auto[6] 542 1 +auto[7] 530 1 +auto[8] 533 1 +auto[9] 551 1 +auto[10] 547 1 +auto[11] 551 1 +auto[12] 585 1 +auto[13] 582 1 +auto[14] 558 1 +auto[15] 558 1 +auto[16] 598 1 +auto[17] 523 1 +auto[18] 515 1 +auto[19] 547 1 +auto[20] 583 1 +auto[21] 561 1 +auto[22] 576 1 +auto[23] 563 1 +auto[24] 602 1 +auto[25] 498 1 +auto[26] 533 1 +auto[27] 552 1 +auto[28] 571 1 +auto[29] 518 1 +auto[30] 518 1 +auto[31] 554 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 574 1 +auto[1] 559 1 +auto[2] 543 1 +auto[3] 570 1 +auto[4] 539 1 +auto[5] 542 1 +auto[6] 555 1 +auto[7] 567 1 +auto[8] 523 1 +auto[9] 562 1 +auto[10] 575 1 +auto[11] 622 1 +auto[12] 574 1 +auto[13] 556 1 +auto[14] 581 1 +auto[15] 555 1 +auto[16] 532 1 +auto[17] 551 1 +auto[18] 541 1 +auto[19] 568 1 +auto[20] 514 1 +auto[21] 549 1 +auto[22] 531 1 +auto[23] 568 1 +auto[24] 567 1 +auto[25] 522 1 +auto[26] 591 1 +auto[27] 505 1 +auto[28] 566 1 +auto[29] 531 1 +auto[30] 529 1 +auto[31] 528 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 534 1 +auto[1] 600 1 +auto[2] 521 1 +auto[3] 581 1 +auto[4] 561 1 +auto[5] 504 1 +auto[6] 518 1 +auto[7] 596 1 +auto[8] 540 1 +auto[9] 521 1 +auto[10] 541 1 +auto[11] 577 1 +auto[12] 544 1 +auto[13] 511 1 +auto[14] 570 1 +auto[15] 539 1 +auto[16] 545 1 +auto[17] 583 1 +auto[18] 544 1 +auto[19] 609 1 +auto[20] 523 1 +auto[21] 575 1 +auto[22] 625 1 +auto[23] 535 1 +auto[24] 547 1 +auto[25] 527 1 +auto[26] 529 1 +auto[27] 548 1 +auto[28] 547 1 +auto[29] 571 1 +auto[30] 557 1 +auto[31] 567 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 67 1 +RD_01 67 1 +RD_02 66 1 +RD_03 70 1 +RD_04 65 1 +RD_05 61 1 +RD_06 54 1 +RD_07 70 1 +RD_08 62 1 +RD_09 54 1 +RD_0a 69 1 +RD_0b 82 1 +RD_0c 75 1 +RD_0d 64 1 +RD_0e 75 1 +RD_0f 69 1 +RD_10 72 1 +RD_11 66 1 +RD_12 70 1 +RD_13 66 1 +RD_14 62 1 +RD_15 84 1 +RD_16 54 1 +RD_17 64 1 +RD_18 72 1 +RD_19 63 1 +RD_1a 61 1 +RD_1b 61 1 +RD_1c 68 1 +RD_1d 69 1 +RD_1e 64 1 +RD_1f 63 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 59 1 +RD_02 63 1 +RD_03 72 1 +RD_04 66 1 +RD_05 62 1 +RD_06 64 1 +RD_07 72 1 +RD_08 60 1 +RD_09 60 1 +RD_0a 68 1 +RD_0b 77 1 +RD_0c 78 1 +RD_0d 66 1 +RD_0e 71 1 +RD_0f 77 1 +RD_10 67 1 +RD_11 55 1 +RD_12 71 1 +RD_13 68 1 +RD_14 56 1 +RD_15 79 1 +RD_16 55 1 +RD_17 63 1 +RD_18 76 1 +RD_19 68 1 +RD_1a 68 1 +RD_1b 61 1 +RD_1c 77 1 +RD_1d 68 1 +RD_1e 62 1 +RD_1f 58 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6157 1 +auto_NON_ZERO 11533 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6281 1 +auto_NON_ZERO 11409 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2985 1 +auto_NON_ZERO 14705 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5353 1 +BIT30_1 3538 1 +BIT29_1 3559 1 +BIT28_1 3601 1 +BIT27_1 3403 1 +BIT26_1 3451 1 +BIT25_1 3379 1 +BIT24_1 3345 1 +BIT23_1 3336 1 +BIT22_1 3443 1 +BIT21_1 3360 1 +BIT20_1 3389 1 +BIT19_1 3336 1 +BIT18_1 3460 1 +BIT17_1 3356 1 +BIT16_1 3568 1 +BIT15_1 4353 1 +BIT14_1 4257 1 +BIT13_1 4469 1 +BIT12_1 4297 1 +BIT11_1 4834 1 +BIT10_1 4859 1 +BIT9_1 4222 1 +BIT8_1 3735 1 +BIT7_1 4665 1 +BIT6_1 3991 1 +BIT5_1 4201 1 +BIT4_1 5360 1 +BIT3_1 5577 1 +BIT2_1 5496 1 +BIT1_1 4211 1 +BIT0_1 4948 1 +BIT31_0 12337 1 +BIT30_0 14152 1 +BIT29_0 14131 1 +BIT28_0 14089 1 +BIT27_0 14287 1 +BIT26_0 14239 1 +BIT25_0 14311 1 +BIT24_0 14345 1 +BIT23_0 14354 1 +BIT22_0 14247 1 +BIT21_0 14330 1 +BIT20_0 14301 1 +BIT19_0 14354 1 +BIT18_0 14230 1 +BIT17_0 14334 1 +BIT16_0 14122 1 +BIT15_0 13337 1 +BIT14_0 13433 1 +BIT13_0 13221 1 +BIT12_0 13393 1 +BIT11_0 12856 1 +BIT10_0 12831 1 +BIT9_0 13468 1 +BIT8_0 13955 1 +BIT7_0 13025 1 +BIT6_0 13699 1 +BIT5_0 13489 1 +BIT4_0 12330 1 +BIT3_0 12113 1 +BIT2_0 12194 1 +BIT1_0 13479 1 +BIT0_0 12742 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5229 1 +BIT30_1 3426 1 +BIT29_1 3405 1 +BIT28_1 3417 1 +BIT27_1 3325 1 +BIT26_1 3327 1 +BIT25_1 3274 1 +BIT24_1 3323 1 +BIT23_1 3228 1 +BIT22_1 3305 1 +BIT21_1 3260 1 +BIT20_1 3260 1 +BIT19_1 3268 1 +BIT18_1 3307 1 +BIT17_1 3286 1 +BIT16_1 3509 1 +BIT15_1 4285 1 +BIT14_1 4178 1 +BIT13_1 4378 1 +BIT12_1 4249 1 +BIT11_1 4668 1 +BIT10_1 4781 1 +BIT9_1 4177 1 +BIT8_1 3682 1 +BIT7_1 4554 1 +BIT6_1 4000 1 +BIT5_1 4135 1 +BIT4_1 5352 1 +BIT3_1 5395 1 +BIT2_1 5300 1 +BIT1_1 4265 1 +BIT0_1 4894 1 +BIT31_0 12461 1 +BIT30_0 14264 1 +BIT29_0 14285 1 +BIT28_0 14273 1 +BIT27_0 14365 1 +BIT26_0 14363 1 +BIT25_0 14416 1 +BIT24_0 14367 1 +BIT23_0 14462 1 +BIT22_0 14385 1 +BIT21_0 14430 1 +BIT20_0 14430 1 +BIT19_0 14422 1 +BIT18_0 14383 1 +BIT17_0 14404 1 +BIT16_0 14181 1 +BIT15_0 13405 1 +BIT14_0 13512 1 +BIT13_0 13312 1 +BIT12_0 13441 1 +BIT11_0 13022 1 +BIT10_0 12909 1 +BIT9_0 13513 1 +BIT8_0 14008 1 +BIT7_0 13136 1 +BIT6_0 13690 1 +BIT5_0 13555 1 +BIT4_0 12338 1 +BIT3_0 12295 1 +BIT2_0 12390 1 +BIT1_0 13425 1 +BIT0_0 12796 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8529 1 +BIT30_1 5704 1 +BIT29_1 5683 1 +BIT28_1 5707 1 +BIT27_1 5483 1 +BIT26_1 5511 1 +BIT25_1 5398 1 +BIT24_1 5362 1 +BIT23_1 5290 1 +BIT22_1 5426 1 +BIT21_1 5328 1 +BIT20_1 5348 1 +BIT19_1 5322 1 +BIT18_1 5447 1 +BIT17_1 5348 1 +BIT16_1 5648 1 +BIT15_1 6785 1 +BIT14_1 6518 1 +BIT13_1 6850 1 +BIT12_1 6596 1 +BIT11_1 7298 1 +BIT10_1 7320 1 +BIT9_1 6313 1 +BIT8_1 5590 1 +BIT7_1 6829 1 +BIT6_1 5757 1 +BIT5_1 5921 1 +BIT4_1 7542 1 +BIT3_1 7669 1 +BIT2_1 7532 1 +BIT1_1 5749 1 +BIT0_1 6157 1 +BIT31_0 9161 1 +BIT30_0 11986 1 +BIT29_0 12007 1 +BIT28_0 11983 1 +BIT27_0 12207 1 +BIT26_0 12179 1 +BIT25_0 12292 1 +BIT24_0 12328 1 +BIT23_0 12400 1 +BIT22_0 12264 1 +BIT21_0 12362 1 +BIT20_0 12342 1 +BIT19_0 12368 1 +BIT18_0 12243 1 +BIT17_0 12342 1 +BIT16_0 12042 1 +BIT15_0 10905 1 +BIT14_0 11172 1 +BIT13_0 10840 1 +BIT12_0 11094 1 +BIT11_0 10392 1 +BIT10_0 10370 1 +BIT9_0 11377 1 +BIT8_0 12100 1 +BIT7_0 10861 1 +BIT6_0 11933 1 +BIT5_0 11769 1 +BIT4_0 10148 1 +BIT3_0 10021 1 +BIT2_0 10158 1 +BIT1_0 11941 1 +BIT0_0 11533 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2985 1 +auto_ZERO auto_NON_ZERO 3172 1 +auto_NON_ZERO auto_ZERO 3296 1 +auto_NON_ZERO auto_NON_ZERO 8237 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_min_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 570 1 +auto[1] 557 1 +auto[2] 530 1 +auto[3] 550 1 +auto[4] 591 1 +auto[5] 556 1 +auto[6] 533 1 +auto[7] 610 1 +auto[8] 548 1 +auto[9] 524 1 +auto[10] 559 1 +auto[11] 549 1 +auto[12] 568 1 +auto[13] 530 1 +auto[14] 570 1 +auto[15] 531 1 +auto[16] 557 1 +auto[17] 555 1 +auto[18] 552 1 +auto[19] 557 1 +auto[20] 593 1 +auto[21] 511 1 +auto[22] 524 1 +auto[23] 539 1 +auto[24] 561 1 +auto[25] 533 1 +auto[26] 539 1 +auto[27] 538 1 +auto[28] 529 1 +auto[29] 523 1 +auto[30] 528 1 +auto[31] 594 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 478 1 +auto[1] 557 1 +auto[2] 563 1 +auto[3] 543 1 +auto[4] 517 1 +auto[5] 573 1 +auto[6] 526 1 +auto[7] 512 1 +auto[8] 536 1 +auto[9] 553 1 +auto[10] 584 1 +auto[11] 548 1 +auto[12] 526 1 +auto[13] 535 1 +auto[14] 571 1 +auto[15] 529 1 +auto[16] 554 1 +auto[17] 568 1 +auto[18] 560 1 +auto[19] 557 1 +auto[20] 567 1 +auto[21] 578 1 +auto[22] 572 1 +auto[23] 544 1 +auto[24] 555 1 +auto[25] 558 1 +auto[26] 585 1 +auto[27] 536 1 +auto[28] 584 1 +auto[29] 531 1 +auto[30] 539 1 +auto[31] 570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 567 1 +auto[1] 624 1 +auto[2] 516 1 +auto[3] 539 1 +auto[4] 546 1 +auto[5] 527 1 +auto[6] 595 1 +auto[7] 546 1 +auto[8] 536 1 +auto[9] 511 1 +auto[10] 540 1 +auto[11] 556 1 +auto[12] 539 1 +auto[13] 559 1 +auto[14] 530 1 +auto[15] 563 1 +auto[16] 563 1 +auto[17] 537 1 +auto[18] 537 1 +auto[19] 561 1 +auto[20] 549 1 +auto[21] 558 1 +auto[22] 561 1 +auto[23] 552 1 +auto[24] 528 1 +auto[25] 549 1 +auto[26] 516 1 +auto[27] 543 1 +auto[28] 540 1 +auto[29] 577 1 +auto[30] 571 1 +auto[31] 573 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 70 1 +RD_01 63 1 +RD_02 61 1 +RD_03 51 1 +RD_04 65 1 +RD_05 67 1 +RD_06 56 1 +RD_07 74 1 +RD_08 60 1 +RD_09 64 1 +RD_0a 70 1 +RD_0b 50 1 +RD_0c 68 1 +RD_0d 68 1 +RD_0e 54 1 +RD_0f 51 1 +RD_10 62 1 +RD_11 66 1 +RD_12 58 1 +RD_13 58 1 +RD_14 85 1 +RD_15 58 1 +RD_16 62 1 +RD_17 64 1 +RD_18 72 1 +RD_19 64 1 +RD_1a 64 1 +RD_1b 52 1 +RD_1c 69 1 +RD_1d 77 1 +RD_1e 61 1 +RD_1f 84 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 62 1 +RD_02 61 1 +RD_03 54 1 +RD_04 58 1 +RD_05 75 1 +RD_06 60 1 +RD_07 65 1 +RD_08 71 1 +RD_09 64 1 +RD_0a 68 1 +RD_0b 58 1 +RD_0c 64 1 +RD_0d 67 1 +RD_0e 57 1 +RD_0f 47 1 +RD_10 56 1 +RD_11 62 1 +RD_12 67 1 +RD_13 60 1 +RD_14 77 1 +RD_15 62 1 +RD_16 67 1 +RD_17 63 1 +RD_18 80 1 +RD_19 66 1 +RD_1a 63 1 +RD_1b 47 1 +RD_1c 61 1 +RD_1d 71 1 +RD_1e 65 1 +RD_1f 76 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6120 1 +auto_NON_ZERO 11489 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6048 1 +auto_NON_ZERO 11561 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6339 1 +auto_NON_ZERO 11270 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5329 1 +BIT30_1 3501 1 +BIT29_1 3468 1 +BIT28_1 3508 1 +BIT27_1 3366 1 +BIT26_1 3378 1 +BIT25_1 3305 1 +BIT24_1 3329 1 +BIT23_1 3370 1 +BIT22_1 3339 1 +BIT21_1 3318 1 +BIT20_1 3336 1 +BIT19_1 3335 1 +BIT18_1 3363 1 +BIT17_1 3326 1 +BIT16_1 3578 1 +BIT15_1 4330 1 +BIT14_1 4253 1 +BIT13_1 4421 1 +BIT12_1 4224 1 +BIT11_1 4695 1 +BIT10_1 4769 1 +BIT9_1 4234 1 +BIT8_1 3733 1 +BIT7_1 4621 1 +BIT6_1 4061 1 +BIT5_1 4179 1 +BIT4_1 5326 1 +BIT3_1 5404 1 +BIT2_1 5385 1 +BIT1_1 4349 1 +BIT0_1 4895 1 +BIT31_0 12280 1 +BIT30_0 14108 1 +BIT29_0 14141 1 +BIT28_0 14101 1 +BIT27_0 14243 1 +BIT26_0 14231 1 +BIT25_0 14304 1 +BIT24_0 14280 1 +BIT23_0 14239 1 +BIT22_0 14270 1 +BIT21_0 14291 1 +BIT20_0 14273 1 +BIT19_0 14274 1 +BIT18_0 14246 1 +BIT17_0 14283 1 +BIT16_0 14031 1 +BIT15_0 13279 1 +BIT14_0 13356 1 +BIT13_0 13188 1 +BIT12_0 13385 1 +BIT11_0 12914 1 +BIT10_0 12840 1 +BIT9_0 13375 1 +BIT8_0 13876 1 +BIT7_0 12988 1 +BIT6_0 13548 1 +BIT5_0 13430 1 +BIT4_0 12283 1 +BIT3_0 12205 1 +BIT2_0 12224 1 +BIT1_0 13260 1 +BIT0_0 12714 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5316 1 +BIT30_1 3472 1 +BIT29_1 3465 1 +BIT28_1 3479 1 +BIT27_1 3364 1 +BIT26_1 3325 1 +BIT25_1 3287 1 +BIT24_1 3356 1 +BIT23_1 3286 1 +BIT22_1 3332 1 +BIT21_1 3287 1 +BIT20_1 3291 1 +BIT19_1 3283 1 +BIT18_1 3295 1 +BIT17_1 3270 1 +BIT16_1 3518 1 +BIT15_1 4316 1 +BIT14_1 4239 1 +BIT13_1 4513 1 +BIT12_1 4205 1 +BIT11_1 4766 1 +BIT10_1 4844 1 +BIT9_1 4335 1 +BIT8_1 3719 1 +BIT7_1 4537 1 +BIT6_1 4022 1 +BIT5_1 4230 1 +BIT4_1 5354 1 +BIT3_1 5368 1 +BIT2_1 5484 1 +BIT1_1 4348 1 +BIT0_1 4890 1 +BIT31_0 12293 1 +BIT30_0 14137 1 +BIT29_0 14144 1 +BIT28_0 14130 1 +BIT27_0 14245 1 +BIT26_0 14284 1 +BIT25_0 14322 1 +BIT24_0 14253 1 +BIT23_0 14323 1 +BIT22_0 14277 1 +BIT21_0 14322 1 +BIT20_0 14318 1 +BIT19_0 14326 1 +BIT18_0 14314 1 +BIT17_0 14339 1 +BIT16_0 14091 1 +BIT15_0 13293 1 +BIT14_0 13370 1 +BIT13_0 13096 1 +BIT12_0 13404 1 +BIT11_0 12843 1 +BIT10_0 12765 1 +BIT9_0 13274 1 +BIT8_0 13890 1 +BIT7_0 13072 1 +BIT6_0 13587 1 +BIT5_0 13379 1 +BIT4_0 12255 1 +BIT3_0 12241 1 +BIT2_0 12125 1 +BIT1_0 13261 1 +BIT0_0 12719 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8578 1 +BIT30_1 4303 1 +BIT29_1 4308 1 +BIT28_1 4273 1 +BIT27_1 4103 1 +BIT26_1 4028 1 +BIT25_1 3987 1 +BIT24_1 3991 1 +BIT23_1 4003 1 +BIT22_1 3926 1 +BIT21_1 3920 1 +BIT20_1 3936 1 +BIT19_1 3903 1 +BIT18_1 3915 1 +BIT17_1 3890 1 +BIT16_1 4143 1 +BIT15_1 5250 1 +BIT14_1 5121 1 +BIT13_1 5506 1 +BIT12_1 4991 1 +BIT11_1 6179 1 +BIT10_1 6087 1 +BIT9_1 5155 1 +BIT8_1 4132 1 +BIT7_1 5177 1 +BIT6_1 4178 1 +BIT5_1 4205 1 +BIT4_1 6101 1 +BIT3_1 6183 1 +BIT2_1 6217 1 +BIT1_1 4275 1 +BIT0_1 4454 1 +BIT31_0 9031 1 +BIT30_0 13306 1 +BIT29_0 13301 1 +BIT28_0 13336 1 +BIT27_0 13506 1 +BIT26_0 13581 1 +BIT25_0 13622 1 +BIT24_0 13618 1 +BIT23_0 13606 1 +BIT22_0 13683 1 +BIT21_0 13689 1 +BIT20_0 13673 1 +BIT19_0 13706 1 +BIT18_0 13694 1 +BIT17_0 13719 1 +BIT16_0 13466 1 +BIT15_0 12359 1 +BIT14_0 12488 1 +BIT13_0 12103 1 +BIT12_0 12618 1 +BIT11_0 11430 1 +BIT10_0 11522 1 +BIT9_0 12454 1 +BIT8_0 13477 1 +BIT7_0 12432 1 +BIT6_0 13431 1 +BIT5_0 13404 1 +BIT4_0 11508 1 +BIT3_0 11426 1 +BIT2_0 11392 1 +BIT1_0 13334 1 +BIT0_0 13155 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2847 1 +auto_ZERO auto_NON_ZERO 3273 1 +auto_NON_ZERO auto_ZERO 3201 1 +auto_NON_ZERO auto_NON_ZERO 8288 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_minu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 563 1 +auto[1] 560 1 +auto[2] 539 1 +auto[3] 531 1 +auto[4] 550 1 +auto[5] 523 1 +auto[6] 570 1 +auto[7] 540 1 +auto[8] 528 1 +auto[9] 553 1 +auto[10] 567 1 +auto[11] 515 1 +auto[12] 554 1 +auto[13] 529 1 +auto[14] 544 1 +auto[15] 545 1 +auto[16] 589 1 +auto[17] 522 1 +auto[18] 504 1 +auto[19] 561 1 +auto[20] 512 1 +auto[21] 530 1 +auto[22] 559 1 +auto[23] 546 1 +auto[24] 565 1 +auto[25] 535 1 +auto[26] 536 1 +auto[27] 561 1 +auto[28] 506 1 +auto[29] 532 1 +auto[30] 547 1 +auto[31] 532 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 562 1 +auto[1] 565 1 +auto[2] 575 1 +auto[3] 551 1 +auto[4] 532 1 +auto[5] 522 1 +auto[6] 507 1 +auto[7] 529 1 +auto[8] 588 1 +auto[9] 543 1 +auto[10] 548 1 +auto[11] 525 1 +auto[12] 580 1 +auto[13] 532 1 +auto[14] 508 1 +auto[15] 540 1 +auto[16] 540 1 +auto[17] 567 1 +auto[18] 531 1 +auto[19] 530 1 +auto[20] 475 1 +auto[21] 598 1 +auto[22] 600 1 +auto[23] 555 1 +auto[24] 546 1 +auto[25] 517 1 +auto[26] 544 1 +auto[27] 533 1 +auto[28] 543 1 +auto[29] 538 1 +auto[30] 481 1 +auto[31] 543 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 609 1 +auto[1] 635 1 +auto[2] 488 1 +auto[3] 560 1 +auto[4] 503 1 +auto[5] 504 1 +auto[6] 522 1 +auto[7] 547 1 +auto[8] 514 1 +auto[9] 517 1 +auto[10] 534 1 +auto[11] 526 1 +auto[12] 536 1 +auto[13] 518 1 +auto[14] 558 1 +auto[15] 543 1 +auto[16] 553 1 +auto[17] 588 1 +auto[18] 545 1 +auto[19] 583 1 +auto[20] 511 1 +auto[21] 534 1 +auto[22] 563 1 +auto[23] 543 1 +auto[24] 528 1 +auto[25] 581 1 +auto[26] 537 1 +auto[27] 548 1 +auto[28] 501 1 +auto[29] 521 1 +auto[30] 531 1 +auto[31] 567 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 74 1 +RD_02 64 1 +RD_03 66 1 +RD_04 52 1 +RD_05 60 1 +RD_06 56 1 +RD_07 57 1 +RD_08 66 1 +RD_09 54 1 +RD_0a 74 1 +RD_0b 49 1 +RD_0c 71 1 +RD_0d 73 1 +RD_0e 59 1 +RD_0f 72 1 +RD_10 79 1 +RD_11 67 1 +RD_12 57 1 +RD_13 74 1 +RD_14 52 1 +RD_15 58 1 +RD_16 66 1 +RD_17 51 1 +RD_18 76 1 +RD_19 62 1 +RD_1a 73 1 +RD_1b 49 1 +RD_1c 56 1 +RD_1d 58 1 +RD_1e 66 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 87 1 +RD_01 81 1 +RD_02 68 1 +RD_03 66 1 +RD_04 46 1 +RD_05 59 1 +RD_06 52 1 +RD_07 64 1 +RD_08 72 1 +RD_09 59 1 +RD_0a 72 1 +RD_0b 48 1 +RD_0c 69 1 +RD_0d 70 1 +RD_0e 63 1 +RD_0f 75 1 +RD_10 71 1 +RD_11 63 1 +RD_12 60 1 +RD_13 74 1 +RD_14 52 1 +RD_15 67 1 +RD_16 64 1 +RD_17 58 1 +RD_18 79 1 +RD_19 60 1 +RD_1a 75 1 +RD_1b 64 1 +RD_1c 56 1 +RD_1d 64 1 +RD_1e 58 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6112 1 +auto_NON_ZERO 11236 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5999 1 +auto_NON_ZERO 11349 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9158 1 +auto_NON_ZERO 8190 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5226 1 +BIT30_1 3505 1 +BIT29_1 3448 1 +BIT28_1 3416 1 +BIT27_1 3316 1 +BIT26_1 3349 1 +BIT25_1 3322 1 +BIT24_1 3356 1 +BIT23_1 3268 1 +BIT22_1 3335 1 +BIT21_1 3300 1 +BIT20_1 3360 1 +BIT19_1 3333 1 +BIT18_1 3301 1 +BIT17_1 3364 1 +BIT16_1 3496 1 +BIT15_1 4286 1 +BIT14_1 4180 1 +BIT13_1 4443 1 +BIT12_1 4214 1 +BIT11_1 4665 1 +BIT10_1 4671 1 +BIT9_1 4231 1 +BIT8_1 3664 1 +BIT7_1 4537 1 +BIT6_1 3977 1 +BIT5_1 4146 1 +BIT4_1 5294 1 +BIT3_1 5335 1 +BIT2_1 5323 1 +BIT1_1 4223 1 +BIT0_1 4798 1 +BIT31_0 12122 1 +BIT30_0 13843 1 +BIT29_0 13900 1 +BIT28_0 13932 1 +BIT27_0 14032 1 +BIT26_0 13999 1 +BIT25_0 14026 1 +BIT24_0 13992 1 +BIT23_0 14080 1 +BIT22_0 14013 1 +BIT21_0 14048 1 +BIT20_0 13988 1 +BIT19_0 14015 1 +BIT18_0 14047 1 +BIT17_0 13984 1 +BIT16_0 13852 1 +BIT15_0 13062 1 +BIT14_0 13168 1 +BIT13_0 12905 1 +BIT12_0 13134 1 +BIT11_0 12683 1 +BIT10_0 12677 1 +BIT9_0 13117 1 +BIT8_0 13684 1 +BIT7_0 12811 1 +BIT6_0 13371 1 +BIT5_0 13202 1 +BIT4_0 12054 1 +BIT3_0 12013 1 +BIT2_0 12025 1 +BIT1_0 13125 1 +BIT0_0 12550 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5431 1 +BIT30_1 3551 1 +BIT29_1 3513 1 +BIT28_1 3508 1 +BIT27_1 3418 1 +BIT26_1 3443 1 +BIT25_1 3408 1 +BIT24_1 3392 1 +BIT23_1 3392 1 +BIT22_1 3374 1 +BIT21_1 3357 1 +BIT20_1 3399 1 +BIT19_1 3358 1 +BIT18_1 3364 1 +BIT17_1 3323 1 +BIT16_1 3606 1 +BIT15_1 4364 1 +BIT14_1 4288 1 +BIT13_1 4455 1 +BIT12_1 4352 1 +BIT11_1 4754 1 +BIT10_1 4805 1 +BIT9_1 4259 1 +BIT8_1 3750 1 +BIT7_1 4677 1 +BIT6_1 4100 1 +BIT5_1 4269 1 +BIT4_1 5338 1 +BIT3_1 5432 1 +BIT2_1 5429 1 +BIT1_1 4271 1 +BIT0_1 4980 1 +BIT31_0 11917 1 +BIT30_0 13797 1 +BIT29_0 13835 1 +BIT28_0 13840 1 +BIT27_0 13930 1 +BIT26_0 13905 1 +BIT25_0 13940 1 +BIT24_0 13956 1 +BIT23_0 13956 1 +BIT22_0 13974 1 +BIT21_0 13991 1 +BIT20_0 13949 1 +BIT19_0 13990 1 +BIT18_0 13984 1 +BIT17_0 14025 1 +BIT16_0 13742 1 +BIT15_0 12984 1 +BIT14_0 13060 1 +BIT13_0 12893 1 +BIT12_0 12996 1 +BIT11_0 12594 1 +BIT10_0 12543 1 +BIT9_0 13089 1 +BIT8_0 13598 1 +BIT7_0 12671 1 +BIT6_0 13248 1 +BIT5_0 13079 1 +BIT4_0 12010 1 +BIT3_0 11916 1 +BIT2_0 11919 1 +BIT1_0 13077 1 +BIT0_0 12368 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2158 1 +BIT30_1 1332 1 +BIT29_1 1312 1 +BIT28_1 1344 1 +BIT27_1 1307 1 +BIT26_1 1345 1 +BIT25_1 1335 1 +BIT24_1 1327 1 +BIT23_1 1337 1 +BIT22_1 1395 1 +BIT21_1 1330 1 +BIT20_1 1405 1 +BIT19_1 1380 1 +BIT18_1 1361 1 +BIT17_1 1350 1 +BIT16_1 1530 1 +BIT15_1 1939 1 +BIT14_1 2026 1 +BIT13_1 2037 1 +BIT12_1 2064 1 +BIT11_1 2244 1 +BIT10_1 2337 1 +BIT9_1 2165 1 +BIT8_1 1855 1 +BIT7_1 2474 1 +BIT6_1 2375 1 +BIT5_1 2495 1 +BIT4_1 3196 1 +BIT3_1 3320 1 +BIT2_1 3395 1 +BIT1_1 2802 1 +BIT0_1 3677 1 +BIT31_0 15190 1 +BIT30_0 16016 1 +BIT29_0 16036 1 +BIT28_0 16004 1 +BIT27_0 16041 1 +BIT26_0 16003 1 +BIT25_0 16013 1 +BIT24_0 16021 1 +BIT23_0 16011 1 +BIT22_0 15953 1 +BIT21_0 16018 1 +BIT20_0 15943 1 +BIT19_0 15968 1 +BIT18_0 15987 1 +BIT17_0 15998 1 +BIT16_0 15818 1 +BIT15_0 15409 1 +BIT14_0 15322 1 +BIT13_0 15311 1 +BIT12_0 15284 1 +BIT11_0 15104 1 +BIT10_0 15011 1 +BIT9_0 15183 1 +BIT8_0 15493 1 +BIT7_0 14874 1 +BIT6_0 14973 1 +BIT5_0 14853 1 +BIT4_0 14152 1 +BIT3_0 14028 1 +BIT2_0 13953 1 +BIT1_0 14546 1 +BIT0_0 13671 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2953 1 +auto_ZERO auto_NON_ZERO 3159 1 +auto_NON_ZERO auto_ZERO 3046 1 +auto_NON_ZERO auto_NON_ZERO 8190 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_orn_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 549 1 +auto[1] 580 1 +auto[2] 565 1 +auto[3] 535 1 +auto[4] 581 1 +auto[5] 544 1 +auto[6] 545 1 +auto[7] 613 1 +auto[8] 601 1 +auto[9] 595 1 +auto[10] 560 1 +auto[11] 515 1 +auto[12] 565 1 +auto[13] 507 1 +auto[14] 560 1 +auto[15] 605 1 +auto[16] 563 1 +auto[17] 537 1 +auto[18] 533 1 +auto[19] 557 1 +auto[20] 537 1 +auto[21] 546 1 +auto[22] 563 1 +auto[23] 528 1 +auto[24] 563 1 +auto[25] 579 1 +auto[26] 567 1 +auto[27] 533 1 +auto[28] 554 1 +auto[29] 584 1 +auto[30] 550 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 574 1 +auto[1] 610 1 +auto[2] 556 1 +auto[3] 535 1 +auto[4] 558 1 +auto[5] 549 1 +auto[6] 646 1 +auto[7] 537 1 +auto[8] 586 1 +auto[9] 554 1 +auto[10] 579 1 +auto[11] 484 1 +auto[12] 574 1 +auto[13] 602 1 +auto[14] 534 1 +auto[15] 536 1 +auto[16] 576 1 +auto[17] 550 1 +auto[18] 546 1 +auto[19] 567 1 +auto[20] 563 1 +auto[21] 520 1 +auto[22] 615 1 +auto[23] 548 1 +auto[24] 519 1 +auto[25] 590 1 +auto[26] 542 1 +auto[27] 539 1 +auto[28] 541 1 +auto[29] 562 1 +auto[30] 538 1 +auto[31] 567 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 590 1 +auto[1] 635 1 +auto[2] 531 1 +auto[3] 595 1 +auto[4] 534 1 +auto[5] 557 1 +auto[6] 522 1 +auto[7] 577 1 +auto[8] 584 1 +auto[9] 526 1 +auto[10] 566 1 +auto[11] 537 1 +auto[12] 636 1 +auto[13] 549 1 +auto[14] 528 1 +auto[15] 552 1 +auto[16] 566 1 +auto[17] 534 1 +auto[18] 562 1 +auto[19] 501 1 +auto[20] 534 1 +auto[21] 601 1 +auto[22] 556 1 +auto[23] 546 1 +auto[24] 537 1 +auto[25] 608 1 +auto[26] 549 1 +auto[27] 556 1 +auto[28] 498 1 +auto[29] 591 1 +auto[30] 556 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 68 1 +RD_01 73 1 +RD_02 55 1 +RD_03 70 1 +RD_04 59 1 +RD_05 75 1 +RD_06 67 1 +RD_07 63 1 +RD_08 78 1 +RD_09 62 1 +RD_0a 64 1 +RD_0b 64 1 +RD_0c 78 1 +RD_0d 64 1 +RD_0e 64 1 +RD_0f 71 1 +RD_10 71 1 +RD_11 64 1 +RD_12 64 1 +RD_13 50 1 +RD_14 57 1 +RD_15 65 1 +RD_16 74 1 +RD_17 61 1 +RD_18 61 1 +RD_19 79 1 +RD_1a 58 1 +RD_1b 69 1 +RD_1c 50 1 +RD_1d 74 1 +RD_1e 71 1 +RD_1f 73 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 73 1 +RD_01 75 1 +RD_02 55 1 +RD_03 68 1 +RD_04 67 1 +RD_05 74 1 +RD_06 63 1 +RD_07 64 1 +RD_08 80 1 +RD_09 62 1 +RD_0a 65 1 +RD_0b 59 1 +RD_0c 75 1 +RD_0d 62 1 +RD_0e 64 1 +RD_0f 65 1 +RD_10 75 1 +RD_11 66 1 +RD_12 57 1 +RD_13 58 1 +RD_14 67 1 +RD_15 57 1 +RD_16 67 1 +RD_17 46 1 +RD_18 52 1 +RD_19 73 1 +RD_1a 58 1 +RD_1b 58 1 +RD_1c 58 1 +RD_1d 84 1 +RD_1e 74 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6296 1 +auto_NON_ZERO 11601 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6245 1 +auto_NON_ZERO 11652 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 210 1 +auto_NON_ZERO 17687 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5372 1 +BIT30_1 3563 1 +BIT29_1 3559 1 +BIT28_1 3579 1 +BIT27_1 3440 1 +BIT26_1 3484 1 +BIT25_1 3359 1 +BIT24_1 3423 1 +BIT23_1 3342 1 +BIT22_1 3431 1 +BIT21_1 3434 1 +BIT20_1 3422 1 +BIT19_1 3426 1 +BIT18_1 3423 1 +BIT17_1 3420 1 +BIT16_1 3589 1 +BIT15_1 4287 1 +BIT14_1 4278 1 +BIT13_1 4508 1 +BIT12_1 4197 1 +BIT11_1 4729 1 +BIT10_1 4867 1 +BIT9_1 4263 1 +BIT8_1 3775 1 +BIT7_1 4687 1 +BIT6_1 4119 1 +BIT5_1 4285 1 +BIT4_1 5428 1 +BIT3_1 5501 1 +BIT2_1 5372 1 +BIT1_1 4385 1 +BIT0_1 5016 1 +BIT31_0 12525 1 +BIT30_0 14334 1 +BIT29_0 14338 1 +BIT28_0 14318 1 +BIT27_0 14457 1 +BIT26_0 14413 1 +BIT25_0 14538 1 +BIT24_0 14474 1 +BIT23_0 14555 1 +BIT22_0 14466 1 +BIT21_0 14463 1 +BIT20_0 14475 1 +BIT19_0 14471 1 +BIT18_0 14474 1 +BIT17_0 14477 1 +BIT16_0 14308 1 +BIT15_0 13610 1 +BIT14_0 13619 1 +BIT13_0 13389 1 +BIT12_0 13700 1 +BIT11_0 13168 1 +BIT10_0 13030 1 +BIT9_0 13634 1 +BIT8_0 14122 1 +BIT7_0 13210 1 +BIT6_0 13778 1 +BIT5_0 13612 1 +BIT4_0 12469 1 +BIT3_0 12396 1 +BIT2_0 12525 1 +BIT1_0 13512 1 +BIT0_0 12881 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5401 1 +BIT30_1 3628 1 +BIT29_1 3494 1 +BIT28_1 3611 1 +BIT27_1 3363 1 +BIT26_1 3451 1 +BIT25_1 3388 1 +BIT24_1 3468 1 +BIT23_1 3370 1 +BIT22_1 3458 1 +BIT21_1 3394 1 +BIT20_1 3446 1 +BIT19_1 3428 1 +BIT18_1 3451 1 +BIT17_1 3426 1 +BIT16_1 3506 1 +BIT15_1 4357 1 +BIT14_1 4302 1 +BIT13_1 4536 1 +BIT12_1 4292 1 +BIT11_1 4797 1 +BIT10_1 4829 1 +BIT9_1 4293 1 +BIT8_1 3723 1 +BIT7_1 4627 1 +BIT6_1 4137 1 +BIT5_1 4301 1 +BIT4_1 5332 1 +BIT3_1 5391 1 +BIT2_1 5457 1 +BIT1_1 4400 1 +BIT0_1 5006 1 +BIT31_0 12496 1 +BIT30_0 14269 1 +BIT29_0 14403 1 +BIT28_0 14286 1 +BIT27_0 14534 1 +BIT26_0 14446 1 +BIT25_0 14509 1 +BIT24_0 14429 1 +BIT23_0 14527 1 +BIT22_0 14439 1 +BIT21_0 14503 1 +BIT20_0 14451 1 +BIT19_0 14469 1 +BIT18_0 14446 1 +BIT17_0 14471 1 +BIT16_0 14391 1 +BIT15_0 13540 1 +BIT14_0 13595 1 +BIT13_0 13361 1 +BIT12_0 13605 1 +BIT11_0 13100 1 +BIT10_0 13068 1 +BIT9_0 13604 1 +BIT8_0 14174 1 +BIT7_0 13270 1 +BIT6_0 13760 1 +BIT5_0 13596 1 +BIT4_0 12565 1 +BIT3_0 12506 1 +BIT2_0 12440 1 +BIT1_0 13497 1 +BIT0_0 12891 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 14663 1 +BIT30_1 15402 1 +BIT29_1 15493 1 +BIT28_1 15400 1 +BIT27_1 15549 1 +BIT26_1 15526 1 +BIT25_1 15540 1 +BIT24_1 15470 1 +BIT23_1 15534 1 +BIT22_1 15473 1 +BIT21_1 15517 1 +BIT20_1 15483 1 +BIT19_1 15475 1 +BIT18_1 15451 1 +BIT17_1 15461 1 +BIT16_1 15450 1 +BIT15_1 14987 1 +BIT14_1 15020 1 +BIT13_1 14947 1 +BIT12_1 15024 1 +BIT11_1 14819 1 +BIT10_1 14800 1 +BIT9_1 15039 1 +BIT8_1 15358 1 +BIT7_1 14947 1 +BIT6_1 15130 1 +BIT5_1 14994 1 +BIT4_1 14632 1 +BIT3_1 14658 1 +BIT2_1 14574 1 +BIT1_1 15022 1 +BIT0_1 14743 1 +BIT31_0 3234 1 +BIT30_0 2495 1 +BIT29_0 2404 1 +BIT28_0 2497 1 +BIT27_0 2348 1 +BIT26_0 2371 1 +BIT25_0 2357 1 +BIT24_0 2427 1 +BIT23_0 2363 1 +BIT22_0 2424 1 +BIT21_0 2380 1 +BIT20_0 2414 1 +BIT19_0 2422 1 +BIT18_0 2446 1 +BIT17_0 2436 1 +BIT16_0 2447 1 +BIT15_0 2910 1 +BIT14_0 2877 1 +BIT13_0 2950 1 +BIT12_0 2873 1 +BIT11_0 3078 1 +BIT10_0 3097 1 +BIT9_0 2858 1 +BIT8_0 2539 1 +BIT7_0 2950 1 +BIT6_0 2767 1 +BIT5_0 2903 1 +BIT4_0 3265 1 +BIT3_0 3239 1 +BIT2_0 3323 1 +BIT1_0 2875 1 +BIT0_0 3154 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2986 1 +auto_ZERO auto_NON_ZERO 3310 1 +auto_NON_ZERO auto_ZERO 3259 1 +auto_NON_ZERO auto_NON_ZERO 8342 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_rol_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 576 1 +auto[1] 557 1 +auto[2] 553 1 +auto[3] 582 1 +auto[4] 558 1 +auto[5] 521 1 +auto[6] 559 1 +auto[7] 488 1 +auto[8] 559 1 +auto[9] 541 1 +auto[10] 555 1 +auto[11] 533 1 +auto[12] 569 1 +auto[13] 536 1 +auto[14] 539 1 +auto[15] 582 1 +auto[16] 564 1 +auto[17] 574 1 +auto[18] 552 1 +auto[19] 544 1 +auto[20] 552 1 +auto[21] 541 1 +auto[22] 538 1 +auto[23] 524 1 +auto[24] 524 1 +auto[25] 520 1 +auto[26] 556 1 +auto[27] 604 1 +auto[28] 554 1 +auto[29] 574 1 +auto[30] 564 1 +auto[31] 546 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 549 1 +auto[1] 581 1 +auto[2] 534 1 +auto[3] 560 1 +auto[4] 561 1 +auto[5] 507 1 +auto[6] 585 1 +auto[7] 561 1 +auto[8] 556 1 +auto[9] 557 1 +auto[10] 554 1 +auto[11] 529 1 +auto[12] 580 1 +auto[13] 498 1 +auto[14] 585 1 +auto[15] 568 1 +auto[16] 546 1 +auto[17] 531 1 +auto[18] 567 1 +auto[19] 535 1 +auto[20] 498 1 +auto[21] 513 1 +auto[22] 563 1 +auto[23] 557 1 +auto[24] 590 1 +auto[25] 585 1 +auto[26] 524 1 +auto[27] 546 1 +auto[28] 566 1 +auto[29] 549 1 +auto[30] 525 1 +auto[31] 579 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 635 1 +auto[1] 603 1 +auto[2] 495 1 +auto[3] 500 1 +auto[4] 517 1 +auto[5] 518 1 +auto[6] 576 1 +auto[7] 567 1 +auto[8] 504 1 +auto[9] 562 1 +auto[10] 483 1 +auto[11] 592 1 +auto[12] 561 1 +auto[13] 537 1 +auto[14] 576 1 +auto[15] 571 1 +auto[16] 555 1 +auto[17] 526 1 +auto[18] 576 1 +auto[19] 541 1 +auto[20] 486 1 +auto[21] 547 1 +auto[22] 538 1 +auto[23] 577 1 +auto[24] 539 1 +auto[25] 550 1 +auto[26] 565 1 +auto[27] 606 1 +auto[28] 567 1 +auto[29] 543 1 +auto[30] 570 1 +auto[31] 556 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 86 1 +RD_01 66 1 +RD_02 60 1 +RD_03 77 1 +RD_04 60 1 +RD_05 59 1 +RD_06 79 1 +RD_07 69 1 +RD_08 54 1 +RD_09 72 1 +RD_0a 59 1 +RD_0b 70 1 +RD_0c 67 1 +RD_0d 63 1 +RD_0e 59 1 +RD_0f 74 1 +RD_10 59 1 +RD_11 69 1 +RD_12 66 1 +RD_13 61 1 +RD_14 55 1 +RD_15 65 1 +RD_16 61 1 +RD_17 69 1 +RD_18 68 1 +RD_19 64 1 +RD_1a 65 1 +RD_1b 74 1 +RD_1c 71 1 +RD_1d 57 1 +RD_1e 77 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 73 1 +RD_01 62 1 +RD_02 60 1 +RD_03 71 1 +RD_04 59 1 +RD_05 61 1 +RD_06 76 1 +RD_07 64 1 +RD_08 56 1 +RD_09 69 1 +RD_0a 56 1 +RD_0b 68 1 +RD_0c 68 1 +RD_0d 75 1 +RD_0e 69 1 +RD_0f 80 1 +RD_10 66 1 +RD_11 67 1 +RD_12 70 1 +RD_13 60 1 +RD_14 54 1 +RD_15 65 1 +RD_16 74 1 +RD_17 68 1 +RD_18 68 1 +RD_19 62 1 +RD_1a 67 1 +RD_1b 72 1 +RD_1c 61 1 +RD_1d 58 1 +RD_1e 68 1 +RD_1f 80 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6208 1 +auto_NON_ZERO 11431 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6246 1 +auto_NON_ZERO 11393 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6208 1 +auto_NON_ZERO 11431 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5300 1 +BIT30_1 3569 1 +BIT29_1 3483 1 +BIT28_1 3550 1 +BIT27_1 3380 1 +BIT26_1 3395 1 +BIT25_1 3363 1 +BIT24_1 3357 1 +BIT23_1 3317 1 +BIT22_1 3305 1 +BIT21_1 3317 1 +BIT20_1 3337 1 +BIT19_1 3371 1 +BIT18_1 3365 1 +BIT17_1 3309 1 +BIT16_1 3539 1 +BIT15_1 4344 1 +BIT14_1 4195 1 +BIT13_1 4454 1 +BIT12_1 4269 1 +BIT11_1 4744 1 +BIT10_1 4681 1 +BIT9_1 4297 1 +BIT8_1 3686 1 +BIT7_1 4570 1 +BIT6_1 4030 1 +BIT5_1 4180 1 +BIT4_1 5366 1 +BIT3_1 5447 1 +BIT2_1 5370 1 +BIT1_1 4334 1 +BIT0_1 4992 1 +BIT31_0 12339 1 +BIT30_0 14070 1 +BIT29_0 14156 1 +BIT28_0 14089 1 +BIT27_0 14259 1 +BIT26_0 14244 1 +BIT25_0 14276 1 +BIT24_0 14282 1 +BIT23_0 14322 1 +BIT22_0 14334 1 +BIT21_0 14322 1 +BIT20_0 14302 1 +BIT19_0 14268 1 +BIT18_0 14274 1 +BIT17_0 14330 1 +BIT16_0 14100 1 +BIT15_0 13295 1 +BIT14_0 13444 1 +BIT13_0 13185 1 +BIT12_0 13370 1 +BIT11_0 12895 1 +BIT10_0 12958 1 +BIT9_0 13342 1 +BIT8_0 13953 1 +BIT7_0 13069 1 +BIT6_0 13609 1 +BIT5_0 13459 1 +BIT4_0 12273 1 +BIT3_0 12192 1 +BIT2_0 12269 1 +BIT1_0 13305 1 +BIT0_0 12647 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5232 1 +BIT30_1 3447 1 +BIT29_1 3382 1 +BIT28_1 3468 1 +BIT27_1 3367 1 +BIT26_1 3359 1 +BIT25_1 3268 1 +BIT24_1 3353 1 +BIT23_1 3285 1 +BIT22_1 3338 1 +BIT21_1 3221 1 +BIT20_1 3272 1 +BIT19_1 3284 1 +BIT18_1 3298 1 +BIT17_1 3303 1 +BIT16_1 3549 1 +BIT15_1 4254 1 +BIT14_1 4218 1 +BIT13_1 4377 1 +BIT12_1 4233 1 +BIT11_1 4646 1 +BIT10_1 4601 1 +BIT9_1 4181 1 +BIT8_1 3678 1 +BIT7_1 4434 1 +BIT6_1 3896 1 +BIT5_1 4108 1 +BIT4_1 5203 1 +BIT3_1 5251 1 +BIT2_1 5255 1 +BIT1_1 4169 1 +BIT0_1 4870 1 +BIT31_0 12407 1 +BIT30_0 14192 1 +BIT29_0 14257 1 +BIT28_0 14171 1 +BIT27_0 14272 1 +BIT26_0 14280 1 +BIT25_0 14371 1 +BIT24_0 14286 1 +BIT23_0 14354 1 +BIT22_0 14301 1 +BIT21_0 14418 1 +BIT20_0 14367 1 +BIT19_0 14355 1 +BIT18_0 14341 1 +BIT17_0 14336 1 +BIT16_0 14090 1 +BIT15_0 13385 1 +BIT14_0 13421 1 +BIT13_0 13262 1 +BIT12_0 13406 1 +BIT11_0 12993 1 +BIT10_0 13038 1 +BIT9_0 13458 1 +BIT8_0 13961 1 +BIT7_0 13205 1 +BIT6_0 13743 1 +BIT5_0 13531 1 +BIT4_0 12436 1 +BIT3_0 12388 1 +BIT2_0 12384 1 +BIT1_0 13470 1 +BIT0_0 12769 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4745 1 +BIT30_1 3937 1 +BIT29_1 3791 1 +BIT28_1 3783 1 +BIT27_1 3806 1 +BIT26_1 3594 1 +BIT25_1 3641 1 +BIT24_1 3614 1 +BIT23_1 3673 1 +BIT22_1 3559 1 +BIT21_1 3641 1 +BIT20_1 3625 1 +BIT19_1 3731 1 +BIT18_1 3625 1 +BIT17_1 3696 1 +BIT16_1 3792 1 +BIT15_1 4270 1 +BIT14_1 4080 1 +BIT13_1 4218 1 +BIT12_1 4255 1 +BIT11_1 4513 1 +BIT10_1 4332 1 +BIT9_1 4267 1 +BIT8_1 4012 1 +BIT7_1 4331 1 +BIT6_1 4024 1 +BIT5_1 4140 1 +BIT4_1 4541 1 +BIT3_1 4695 1 +BIT2_1 4485 1 +BIT1_1 4351 1 +BIT0_1 4449 1 +BIT31_0 12894 1 +BIT30_0 13702 1 +BIT29_0 13848 1 +BIT28_0 13856 1 +BIT27_0 13833 1 +BIT26_0 14045 1 +BIT25_0 13998 1 +BIT24_0 14025 1 +BIT23_0 13966 1 +BIT22_0 14080 1 +BIT21_0 13998 1 +BIT20_0 14014 1 +BIT19_0 13908 1 +BIT18_0 14014 1 +BIT17_0 13943 1 +BIT16_0 13847 1 +BIT15_0 13369 1 +BIT14_0 13559 1 +BIT13_0 13421 1 +BIT12_0 13384 1 +BIT11_0 13126 1 +BIT10_0 13307 1 +BIT9_0 13372 1 +BIT8_0 13627 1 +BIT7_0 13308 1 +BIT6_0 13615 1 +BIT5_0 13499 1 +BIT4_0 13098 1 +BIT3_0 12944 1 +BIT2_0 13154 1 +BIT1_0 13288 1 +BIT0_0 13190 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3055 1 +auto_ZERO auto_NON_ZERO 3153 1 +auto_NON_ZERO auto_ZERO 3191 1 +auto_NON_ZERO auto_NON_ZERO 8240 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_ror_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 571 1 +auto[1] 560 1 +auto[2] 552 1 +auto[3] 544 1 +auto[4] 534 1 +auto[5] 578 1 +auto[6] 555 1 +auto[7] 532 1 +auto[8] 499 1 +auto[9] 542 1 +auto[10] 576 1 +auto[11] 535 1 +auto[12] 585 1 +auto[13] 574 1 +auto[14] 503 1 +auto[15] 520 1 +auto[16] 552 1 +auto[17] 582 1 +auto[18] 530 1 +auto[19] 555 1 +auto[20] 567 1 +auto[21] 574 1 +auto[22] 573 1 +auto[23] 556 1 +auto[24] 572 1 +auto[25] 537 1 +auto[26] 598 1 +auto[27] 534 1 +auto[28] 601 1 +auto[29] 510 1 +auto[30] 570 1 +auto[31] 546 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 571 1 +auto[1] 562 1 +auto[2] 526 1 +auto[3] 522 1 +auto[4] 524 1 +auto[5] 528 1 +auto[6] 545 1 +auto[7] 577 1 +auto[8] 592 1 +auto[9] 569 1 +auto[10] 608 1 +auto[11] 513 1 +auto[12] 511 1 +auto[13] 549 1 +auto[14] 591 1 +auto[15] 582 1 +auto[16] 565 1 +auto[17] 538 1 +auto[18] 524 1 +auto[19] 577 1 +auto[20] 593 1 +auto[21] 535 1 +auto[22] 561 1 +auto[23] 545 1 +auto[24] 553 1 +auto[25] 575 1 +auto[26] 598 1 +auto[27] 530 1 +auto[28] 567 1 +auto[29] 507 1 +auto[30] 517 1 +auto[31] 562 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 557 1 +auto[1] 556 1 +auto[2] 489 1 +auto[3] 661 1 +auto[4] 528 1 +auto[5] 553 1 +auto[6] 539 1 +auto[7] 569 1 +auto[8] 540 1 +auto[9] 539 1 +auto[10] 508 1 +auto[11] 572 1 +auto[12] 557 1 +auto[13] 548 1 +auto[14] 549 1 +auto[15] 539 1 +auto[16] 564 1 +auto[17] 566 1 +auto[18] 545 1 +auto[19] 584 1 +auto[20] 526 1 +auto[21] 602 1 +auto[22] 561 1 +auto[23] 610 1 +auto[24] 524 1 +auto[25] 606 1 +auto[26] 593 1 +auto[27] 502 1 +auto[28] 548 1 +auto[29] 529 1 +auto[30] 533 1 +auto[31] 520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 72 1 +RD_02 69 1 +RD_03 69 1 +RD_04 59 1 +RD_05 65 1 +RD_06 57 1 +RD_07 61 1 +RD_08 62 1 +RD_09 60 1 +RD_0a 62 1 +RD_0b 64 1 +RD_0c 62 1 +RD_0d 62 1 +RD_0e 56 1 +RD_0f 51 1 +RD_10 74 1 +RD_11 67 1 +RD_12 74 1 +RD_13 80 1 +RD_14 78 1 +RD_15 68 1 +RD_16 60 1 +RD_17 78 1 +RD_18 67 1 +RD_19 78 1 +RD_1a 64 1 +RD_1b 53 1 +RD_1c 61 1 +RD_1d 63 1 +RD_1e 64 1 +RD_1f 57 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 60 1 +RD_01 71 1 +RD_02 66 1 +RD_03 74 1 +RD_04 60 1 +RD_05 59 1 +RD_06 49 1 +RD_07 58 1 +RD_08 55 1 +RD_09 59 1 +RD_0a 56 1 +RD_0b 68 1 +RD_0c 69 1 +RD_0d 63 1 +RD_0e 54 1 +RD_0f 53 1 +RD_10 77 1 +RD_11 62 1 +RD_12 73 1 +RD_13 77 1 +RD_14 81 1 +RD_15 61 1 +RD_16 63 1 +RD_17 74 1 +RD_18 71 1 +RD_19 81 1 +RD_1a 66 1 +RD_1b 59 1 +RD_1c 58 1 +RD_1d 61 1 +RD_1e 66 1 +RD_1f 57 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6218 1 +auto_NON_ZERO 11499 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6258 1 +auto_NON_ZERO 11459 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6218 1 +auto_NON_ZERO 11499 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5292 1 +BIT30_1 3519 1 +BIT29_1 3499 1 +BIT28_1 3478 1 +BIT27_1 3414 1 +BIT26_1 3384 1 +BIT25_1 3370 1 +BIT24_1 3343 1 +BIT23_1 3348 1 +BIT22_1 3342 1 +BIT21_1 3335 1 +BIT20_1 3361 1 +BIT19_1 3419 1 +BIT18_1 3430 1 +BIT17_1 3348 1 +BIT16_1 3581 1 +BIT15_1 4353 1 +BIT14_1 4220 1 +BIT13_1 4486 1 +BIT12_1 4269 1 +BIT11_1 4687 1 +BIT10_1 4741 1 +BIT9_1 4210 1 +BIT8_1 3721 1 +BIT7_1 4540 1 +BIT6_1 4071 1 +BIT5_1 4231 1 +BIT4_1 5332 1 +BIT3_1 5493 1 +BIT2_1 5360 1 +BIT1_1 4337 1 +BIT0_1 4895 1 +BIT31_0 12425 1 +BIT30_0 14198 1 +BIT29_0 14218 1 +BIT28_0 14239 1 +BIT27_0 14303 1 +BIT26_0 14333 1 +BIT25_0 14347 1 +BIT24_0 14374 1 +BIT23_0 14369 1 +BIT22_0 14375 1 +BIT21_0 14382 1 +BIT20_0 14356 1 +BIT19_0 14298 1 +BIT18_0 14287 1 +BIT17_0 14369 1 +BIT16_0 14136 1 +BIT15_0 13364 1 +BIT14_0 13497 1 +BIT13_0 13231 1 +BIT12_0 13448 1 +BIT11_0 13030 1 +BIT10_0 12976 1 +BIT9_0 13507 1 +BIT8_0 13996 1 +BIT7_0 13177 1 +BIT6_0 13646 1 +BIT5_0 13486 1 +BIT4_0 12385 1 +BIT3_0 12224 1 +BIT2_0 12357 1 +BIT1_0 13380 1 +BIT0_0 12822 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5214 1 +BIT30_1 3424 1 +BIT29_1 3404 1 +BIT28_1 3445 1 +BIT27_1 3332 1 +BIT26_1 3272 1 +BIT25_1 3255 1 +BIT24_1 3249 1 +BIT23_1 3277 1 +BIT22_1 3290 1 +BIT21_1 3220 1 +BIT20_1 3299 1 +BIT19_1 3324 1 +BIT18_1 3301 1 +BIT17_1 3331 1 +BIT16_1 3536 1 +BIT15_1 4171 1 +BIT14_1 4209 1 +BIT13_1 4382 1 +BIT12_1 4207 1 +BIT11_1 4707 1 +BIT10_1 4655 1 +BIT9_1 4271 1 +BIT8_1 3649 1 +BIT7_1 4532 1 +BIT6_1 4034 1 +BIT5_1 4204 1 +BIT4_1 5285 1 +BIT3_1 5417 1 +BIT2_1 5308 1 +BIT1_1 4205 1 +BIT0_1 4988 1 +BIT31_0 12503 1 +BIT30_0 14293 1 +BIT29_0 14313 1 +BIT28_0 14272 1 +BIT27_0 14385 1 +BIT26_0 14445 1 +BIT25_0 14462 1 +BIT24_0 14468 1 +BIT23_0 14440 1 +BIT22_0 14427 1 +BIT21_0 14497 1 +BIT20_0 14418 1 +BIT19_0 14393 1 +BIT18_0 14416 1 +BIT17_0 14386 1 +BIT16_0 14181 1 +BIT15_0 13546 1 +BIT14_0 13508 1 +BIT13_0 13335 1 +BIT12_0 13510 1 +BIT11_0 13010 1 +BIT10_0 13062 1 +BIT9_0 13446 1 +BIT8_0 14068 1 +BIT7_0 13185 1 +BIT6_0 13683 1 +BIT5_0 13513 1 +BIT4_0 12432 1 +BIT3_0 12300 1 +BIT2_0 12409 1 +BIT1_0 13512 1 +BIT0_0 12729 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4680 1 +BIT30_1 3870 1 +BIT29_1 3811 1 +BIT28_1 3707 1 +BIT27_1 3807 1 +BIT26_1 3651 1 +BIT25_1 3653 1 +BIT24_1 3623 1 +BIT23_1 3696 1 +BIT22_1 3701 1 +BIT21_1 3680 1 +BIT20_1 3748 1 +BIT19_1 3875 1 +BIT18_1 3711 1 +BIT17_1 3779 1 +BIT16_1 3813 1 +BIT15_1 4249 1 +BIT14_1 4190 1 +BIT13_1 4238 1 +BIT12_1 4150 1 +BIT11_1 4424 1 +BIT10_1 4291 1 +BIT9_1 4112 1 +BIT8_1 4005 1 +BIT7_1 4288 1 +BIT6_1 4145 1 +BIT5_1 4191 1 +BIT4_1 4609 1 +BIT3_1 4793 1 +BIT2_1 4484 1 +BIT1_1 4176 1 +BIT0_1 4259 1 +BIT31_0 13037 1 +BIT30_0 13847 1 +BIT29_0 13906 1 +BIT28_0 14010 1 +BIT27_0 13910 1 +BIT26_0 14066 1 +BIT25_0 14064 1 +BIT24_0 14094 1 +BIT23_0 14021 1 +BIT22_0 14016 1 +BIT21_0 14037 1 +BIT20_0 13969 1 +BIT19_0 13842 1 +BIT18_0 14006 1 +BIT17_0 13938 1 +BIT16_0 13904 1 +BIT15_0 13468 1 +BIT14_0 13527 1 +BIT13_0 13479 1 +BIT12_0 13567 1 +BIT11_0 13293 1 +BIT10_0 13426 1 +BIT9_0 13605 1 +BIT8_0 13712 1 +BIT7_0 13429 1 +BIT6_0 13572 1 +BIT5_0 13526 1 +BIT4_0 13108 1 +BIT3_0 12924 1 +BIT2_0 13233 1 +BIT1_0 13541 1 +BIT0_0 13458 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3046 1 +auto_ZERO auto_NON_ZERO 3172 1 +auto_NON_ZERO auto_ZERO 3212 1 +auto_NON_ZERO auto_NON_ZERO 8287 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_xnor_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 630 1 +auto[1] 525 1 +auto[2] 542 1 +auto[3] 554 1 +auto[4] 543 1 +auto[5] 533 1 +auto[6] 551 1 +auto[7] 518 1 +auto[8] 532 1 +auto[9] 541 1 +auto[10] 523 1 +auto[11] 540 1 +auto[12] 508 1 +auto[13] 566 1 +auto[14] 581 1 +auto[15] 542 1 +auto[16] 536 1 +auto[17] 550 1 +auto[18] 570 1 +auto[19] 571 1 +auto[20] 565 1 +auto[21] 558 1 +auto[22] 567 1 +auto[23] 512 1 +auto[24] 529 1 +auto[25] 543 1 +auto[26] 539 1 +auto[27] 599 1 +auto[28] 529 1 +auto[29] 572 1 +auto[30] 571 1 +auto[31] 560 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 567 1 +auto[1] 538 1 +auto[2] 515 1 +auto[3] 589 1 +auto[4] 557 1 +auto[5] 580 1 +auto[6] 553 1 +auto[7] 578 1 +auto[8] 514 1 +auto[9] 555 1 +auto[10] 555 1 +auto[11] 554 1 +auto[12] 539 1 +auto[13] 514 1 +auto[14] 559 1 +auto[15] 503 1 +auto[16] 520 1 +auto[17] 510 1 +auto[18] 535 1 +auto[19] 550 1 +auto[20] 546 1 +auto[21] 534 1 +auto[22] 585 1 +auto[23] 560 1 +auto[24] 592 1 +auto[25] 547 1 +auto[26] 548 1 +auto[27] 565 1 +auto[28] 544 1 +auto[29] 549 1 +auto[30] 562 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 600 1 +auto[1] 579 1 +auto[2] 520 1 +auto[3] 602 1 +auto[4] 548 1 +auto[5] 595 1 +auto[6] 573 1 +auto[7] 576 1 +auto[8] 530 1 +auto[9] 554 1 +auto[10] 581 1 +auto[11] 540 1 +auto[12] 583 1 +auto[13] 527 1 +auto[14] 507 1 +auto[15] 520 1 +auto[16] 506 1 +auto[17] 543 1 +auto[18] 515 1 +auto[19] 526 1 +auto[20] 521 1 +auto[21] 543 1 +auto[22] 527 1 +auto[23] 539 1 +auto[24] 576 1 +auto[25] 558 1 +auto[26] 514 1 +auto[27] 527 1 +auto[28] 546 1 +auto[29] 592 1 +auto[30] 544 1 +auto[31] 588 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 79 1 +RD_01 69 1 +RD_02 63 1 +RD_03 77 1 +RD_04 60 1 +RD_05 74 1 +RD_06 63 1 +RD_07 59 1 +RD_08 55 1 +RD_09 71 1 +RD_0a 78 1 +RD_0b 65 1 +RD_0c 55 1 +RD_0d 64 1 +RD_0e 57 1 +RD_0f 65 1 +RD_10 53 1 +RD_11 69 1 +RD_12 66 1 +RD_13 62 1 +RD_14 49 1 +RD_15 72 1 +RD_16 66 1 +RD_17 59 1 +RD_18 72 1 +RD_19 49 1 +RD_1a 52 1 +RD_1b 68 1 +RD_1c 69 1 +RD_1d 77 1 +RD_1e 73 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 85 1 +RD_01 69 1 +RD_02 66 1 +RD_03 83 1 +RD_04 61 1 +RD_05 73 1 +RD_06 63 1 +RD_07 56 1 +RD_08 60 1 +RD_09 82 1 +RD_0a 80 1 +RD_0b 63 1 +RD_0c 59 1 +RD_0d 68 1 +RD_0e 53 1 +RD_0f 58 1 +RD_10 53 1 +RD_11 65 1 +RD_12 71 1 +RD_13 57 1 +RD_14 58 1 +RD_15 73 1 +RD_16 66 1 +RD_17 60 1 +RD_18 75 1 +RD_19 56 1 +RD_1a 47 1 +RD_1b 66 1 +RD_1c 62 1 +RD_1d 78 1 +RD_1e 77 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6099 1 +auto_NON_ZERO 11501 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6021 1 +auto_NON_ZERO 11579 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 444 1 +auto_NON_ZERO 17156 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5280 1 +BIT30_1 3511 1 +BIT29_1 3488 1 +BIT28_1 3478 1 +BIT27_1 3408 1 +BIT26_1 3422 1 +BIT25_1 3382 1 +BIT24_1 3365 1 +BIT23_1 3354 1 +BIT22_1 3376 1 +BIT21_1 3364 1 +BIT20_1 3436 1 +BIT19_1 3419 1 +BIT18_1 3398 1 +BIT17_1 3419 1 +BIT16_1 3627 1 +BIT15_1 4406 1 +BIT14_1 4273 1 +BIT13_1 4537 1 +BIT12_1 4326 1 +BIT11_1 4802 1 +BIT10_1 4781 1 +BIT9_1 4352 1 +BIT8_1 3784 1 +BIT7_1 4646 1 +BIT6_1 4116 1 +BIT5_1 4267 1 +BIT4_1 5451 1 +BIT3_1 5530 1 +BIT2_1 5410 1 +BIT1_1 4318 1 +BIT0_1 4943 1 +BIT31_0 12320 1 +BIT30_0 14089 1 +BIT29_0 14112 1 +BIT28_0 14122 1 +BIT27_0 14192 1 +BIT26_0 14178 1 +BIT25_0 14218 1 +BIT24_0 14235 1 +BIT23_0 14246 1 +BIT22_0 14224 1 +BIT21_0 14236 1 +BIT20_0 14164 1 +BIT19_0 14181 1 +BIT18_0 14202 1 +BIT17_0 14181 1 +BIT16_0 13973 1 +BIT15_0 13194 1 +BIT14_0 13327 1 +BIT13_0 13063 1 +BIT12_0 13274 1 +BIT11_0 12798 1 +BIT10_0 12819 1 +BIT9_0 13248 1 +BIT8_0 13816 1 +BIT7_0 12954 1 +BIT6_0 13484 1 +BIT5_0 13333 1 +BIT4_0 12149 1 +BIT3_0 12070 1 +BIT2_0 12190 1 +BIT1_0 13282 1 +BIT0_0 12657 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5315 1 +BIT30_1 3554 1 +BIT29_1 3498 1 +BIT28_1 3546 1 +BIT27_1 3422 1 +BIT26_1 3400 1 +BIT25_1 3377 1 +BIT24_1 3369 1 +BIT23_1 3377 1 +BIT22_1 3378 1 +BIT21_1 3345 1 +BIT20_1 3379 1 +BIT19_1 3395 1 +BIT18_1 3416 1 +BIT17_1 3385 1 +BIT16_1 3569 1 +BIT15_1 4314 1 +BIT14_1 4295 1 +BIT13_1 4405 1 +BIT12_1 4292 1 +BIT11_1 4769 1 +BIT10_1 4808 1 +BIT9_1 4308 1 +BIT8_1 3752 1 +BIT7_1 4639 1 +BIT6_1 4100 1 +BIT5_1 4247 1 +BIT4_1 5441 1 +BIT3_1 5553 1 +BIT2_1 5513 1 +BIT1_1 4286 1 +BIT0_1 4934 1 +BIT31_0 12285 1 +BIT30_0 14046 1 +BIT29_0 14102 1 +BIT28_0 14054 1 +BIT27_0 14178 1 +BIT26_0 14200 1 +BIT25_0 14223 1 +BIT24_0 14231 1 +BIT23_0 14223 1 +BIT22_0 14222 1 +BIT21_0 14255 1 +BIT20_0 14221 1 +BIT19_0 14205 1 +BIT18_0 14184 1 +BIT17_0 14215 1 +BIT16_0 14031 1 +BIT15_0 13286 1 +BIT14_0 13305 1 +BIT13_0 13195 1 +BIT12_0 13308 1 +BIT11_0 12831 1 +BIT10_0 12792 1 +BIT9_0 13292 1 +BIT8_0 13848 1 +BIT7_0 12961 1 +BIT6_0 13500 1 +BIT5_0 13353 1 +BIT4_0 12159 1 +BIT3_0 12047 1 +BIT2_0 12087 1 +BIT1_0 13314 1 +BIT0_0 12666 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 11201 1 +BIT30_1 12711 1 +BIT29_1 12710 1 +BIT28_1 12760 1 +BIT27_1 12850 1 +BIT26_1 12846 1 +BIT25_1 12853 1 +BIT24_1 12890 1 +BIT23_1 12899 1 +BIT22_1 12856 1 +BIT21_1 12895 1 +BIT20_1 12887 1 +BIT19_1 12842 1 +BIT18_1 12834 1 +BIT17_1 12878 1 +BIT16_1 12614 1 +BIT15_1 11872 1 +BIT14_1 11916 1 +BIT13_1 11754 1 +BIT12_1 11964 1 +BIT11_1 11515 1 +BIT10_1 11549 1 +BIT9_1 11938 1 +BIT8_1 12448 1 +BIT7_1 11675 1 +BIT6_1 12152 1 +BIT5_1 11926 1 +BIT4_1 11044 1 +BIT3_1 11029 1 +BIT2_1 10999 1 +BIT1_1 11990 1 +BIT0_1 11527 1 +BIT31_0 6399 1 +BIT30_0 4889 1 +BIT29_0 4890 1 +BIT28_0 4840 1 +BIT27_0 4750 1 +BIT26_0 4754 1 +BIT25_0 4747 1 +BIT24_0 4710 1 +BIT23_0 4701 1 +BIT22_0 4744 1 +BIT21_0 4705 1 +BIT20_0 4713 1 +BIT19_0 4758 1 +BIT18_0 4766 1 +BIT17_0 4722 1 +BIT16_0 4986 1 +BIT15_0 5728 1 +BIT14_0 5684 1 +BIT13_0 5846 1 +BIT12_0 5636 1 +BIT11_0 6085 1 +BIT10_0 6051 1 +BIT9_0 5662 1 +BIT8_0 5152 1 +BIT7_0 5925 1 +BIT6_0 5448 1 +BIT5_0 5674 1 +BIT4_0 6556 1 +BIT3_0 6571 1 +BIT2_0 6601 1 +BIT1_0 5610 1 +BIT0_0 6073 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2951 1 +auto_ZERO auto_NON_ZERO 3148 1 +auto_NON_ZERO auto_ZERO 3070 1 +auto_NON_ZERO auto_NON_ZERO 8431 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbc_clmul_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 581 1 +auto[1] 560 1 +auto[2] 550 1 +auto[3] 555 1 +auto[4] 555 1 +auto[5] 536 1 +auto[6] 487 1 +auto[7] 579 1 +auto[8] 577 1 +auto[9] 530 1 +auto[10] 551 1 +auto[11] 544 1 +auto[12] 545 1 +auto[13] 543 1 +auto[14] 581 1 +auto[15] 520 1 +auto[16] 561 1 +auto[17] 537 1 +auto[18] 589 1 +auto[19] 527 1 +auto[20] 574 1 +auto[21] 535 1 +auto[22] 523 1 +auto[23] 608 1 +auto[24] 516 1 +auto[25] 547 1 +auto[26] 530 1 +auto[27] 522 1 +auto[28] 538 1 +auto[29] 518 1 +auto[30] 576 1 +auto[31] 522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 577 1 +auto[1] 534 1 +auto[2] 533 1 +auto[3] 520 1 +auto[4] 509 1 +auto[5] 524 1 +auto[6] 549 1 +auto[7] 513 1 +auto[8] 562 1 +auto[9] 541 1 +auto[10] 559 1 +auto[11] 630 1 +auto[12] 559 1 +auto[13] 575 1 +auto[14] 529 1 +auto[15] 516 1 +auto[16] 539 1 +auto[17] 577 1 +auto[18] 554 1 +auto[19] 554 1 +auto[20] 593 1 +auto[21] 513 1 +auto[22] 517 1 +auto[23] 560 1 +auto[24] 499 1 +auto[25] 542 1 +auto[26] 582 1 +auto[27] 499 1 +auto[28] 546 1 +auto[29] 591 1 +auto[30] 573 1 +auto[31] 548 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 613 1 +auto[1] 568 1 +auto[2] 553 1 +auto[3] 630 1 +auto[4] 516 1 +auto[5] 557 1 +auto[6] 509 1 +auto[7] 535 1 +auto[8] 518 1 +auto[9] 501 1 +auto[10] 559 1 +auto[11] 507 1 +auto[12] 543 1 +auto[13] 581 1 +auto[14] 526 1 +auto[15] 546 1 +auto[16] 548 1 +auto[17] 538 1 +auto[18] 539 1 +auto[19] 517 1 +auto[20] 549 1 +auto[21] 560 1 +auto[22] 532 1 +auto[23] 587 1 +auto[24] 524 1 +auto[25] 564 1 +auto[26] 540 1 +auto[27] 556 1 +auto[28] 535 1 +auto[29] 557 1 +auto[30] 572 1 +auto[31] 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 84 1 +RD_01 61 1 +RD_02 62 1 +RD_03 62 1 +RD_04 53 1 +RD_05 61 1 +RD_06 56 1 +RD_07 60 1 +RD_08 67 1 +RD_09 64 1 +RD_0a 69 1 +RD_0b 69 1 +RD_0c 62 1 +RD_0d 67 1 +RD_0e 68 1 +RD_0f 71 1 +RD_10 74 1 +RD_11 64 1 +RD_12 56 1 +RD_13 74 1 +RD_14 73 1 +RD_15 54 1 +RD_16 50 1 +RD_17 79 1 +RD_18 44 1 +RD_19 54 1 +RD_1a 62 1 +RD_1b 60 1 +RD_1c 59 1 +RD_1d 52 1 +RD_1e 73 1 +RD_1f 55 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 90 1 +RD_01 67 1 +RD_02 66 1 +RD_03 66 1 +RD_04 52 1 +RD_05 62 1 +RD_06 53 1 +RD_07 54 1 +RD_08 69 1 +RD_09 65 1 +RD_0a 63 1 +RD_0b 79 1 +RD_0c 59 1 +RD_0d 77 1 +RD_0e 67 1 +RD_0f 70 1 +RD_10 69 1 +RD_11 62 1 +RD_12 68 1 +RD_13 68 1 +RD_14 82 1 +RD_15 68 1 +RD_16 51 1 +RD_17 78 1 +RD_18 48 1 +RD_19 53 1 +RD_1a 67 1 +RD_1b 61 1 +RD_1c 56 1 +RD_1d 52 1 +RD_1e 60 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6164 1 +auto_NON_ZERO 11353 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6212 1 +auto_NON_ZERO 11305 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9730 1 +auto_NON_ZERO 7787 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5301 1 +BIT30_1 3414 1 +BIT29_1 3440 1 +BIT28_1 3489 1 +BIT27_1 3361 1 +BIT26_1 3346 1 +BIT25_1 3350 1 +BIT24_1 3335 1 +BIT23_1 3315 1 +BIT22_1 3339 1 +BIT21_1 3314 1 +BIT20_1 3343 1 +BIT19_1 3337 1 +BIT18_1 3343 1 +BIT17_1 3340 1 +BIT16_1 3499 1 +BIT15_1 4351 1 +BIT14_1 4152 1 +BIT13_1 4364 1 +BIT12_1 4198 1 +BIT11_1 4693 1 +BIT10_1 4700 1 +BIT9_1 4175 1 +BIT8_1 3655 1 +BIT7_1 4527 1 +BIT6_1 3889 1 +BIT5_1 4090 1 +BIT4_1 5290 1 +BIT3_1 5376 1 +BIT2_1 5384 1 +BIT1_1 4232 1 +BIT0_1 4832 1 +BIT31_0 12216 1 +BIT30_0 14103 1 +BIT29_0 14077 1 +BIT28_0 14028 1 +BIT27_0 14156 1 +BIT26_0 14171 1 +BIT25_0 14167 1 +BIT24_0 14182 1 +BIT23_0 14202 1 +BIT22_0 14178 1 +BIT21_0 14203 1 +BIT20_0 14174 1 +BIT19_0 14180 1 +BIT18_0 14174 1 +BIT17_0 14177 1 +BIT16_0 14018 1 +BIT15_0 13166 1 +BIT14_0 13365 1 +BIT13_0 13153 1 +BIT12_0 13319 1 +BIT11_0 12824 1 +BIT10_0 12817 1 +BIT9_0 13342 1 +BIT8_0 13862 1 +BIT7_0 12990 1 +BIT6_0 13628 1 +BIT5_0 13427 1 +BIT4_0 12227 1 +BIT3_0 12141 1 +BIT2_0 12133 1 +BIT1_0 13285 1 +BIT0_0 12685 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5160 1 +BIT30_1 3444 1 +BIT29_1 3422 1 +BIT28_1 3434 1 +BIT27_1 3388 1 +BIT26_1 3367 1 +BIT25_1 3359 1 +BIT24_1 3388 1 +BIT23_1 3362 1 +BIT22_1 3363 1 +BIT21_1 3302 1 +BIT20_1 3318 1 +BIT19_1 3360 1 +BIT18_1 3348 1 +BIT17_1 3365 1 +BIT16_1 3590 1 +BIT15_1 4313 1 +BIT14_1 4168 1 +BIT13_1 4454 1 +BIT12_1 4256 1 +BIT11_1 4612 1 +BIT10_1 4718 1 +BIT9_1 4221 1 +BIT8_1 3693 1 +BIT7_1 4564 1 +BIT6_1 3980 1 +BIT5_1 4190 1 +BIT4_1 5262 1 +BIT3_1 5272 1 +BIT2_1 5261 1 +BIT1_1 4130 1 +BIT0_1 4846 1 +BIT31_0 12357 1 +BIT30_0 14073 1 +BIT29_0 14095 1 +BIT28_0 14083 1 +BIT27_0 14129 1 +BIT26_0 14150 1 +BIT25_0 14158 1 +BIT24_0 14129 1 +BIT23_0 14155 1 +BIT22_0 14154 1 +BIT21_0 14215 1 +BIT20_0 14199 1 +BIT19_0 14157 1 +BIT18_0 14169 1 +BIT17_0 14152 1 +BIT16_0 13927 1 +BIT15_0 13204 1 +BIT14_0 13349 1 +BIT13_0 13063 1 +BIT12_0 13261 1 +BIT11_0 12905 1 +BIT10_0 12799 1 +BIT9_0 13296 1 +BIT8_0 13824 1 +BIT7_0 12953 1 +BIT6_0 13537 1 +BIT5_0 13327 1 +BIT4_0 12255 1 +BIT3_0 12245 1 +BIT2_0 12256 1 +BIT1_0 13387 1 +BIT0_0 12671 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2941 1 +BIT30_1 2852 1 +BIT29_1 2405 1 +BIT28_1 2837 1 +BIT27_1 2377 1 +BIT26_1 2790 1 +BIT25_1 2393 1 +BIT24_1 2928 1 +BIT23_1 2387 1 +BIT22_1 3021 1 +BIT21_1 2543 1 +BIT20_1 3098 1 +BIT19_1 2658 1 +BIT18_1 3176 1 +BIT17_1 2676 1 +BIT16_1 3081 1 +BIT15_1 2711 1 +BIT14_1 3221 1 +BIT13_1 2828 1 +BIT12_1 3206 1 +BIT11_1 2676 1 +BIT10_1 3205 1 +BIT9_1 2702 1 +BIT8_1 3180 1 +BIT7_1 2573 1 +BIT6_1 3204 1 +BIT5_1 2555 1 +BIT4_1 3196 1 +BIT3_1 2360 1 +BIT2_1 2814 1 +BIT1_1 1485 1 +BIT0_1 1839 1 +BIT31_0 14576 1 +BIT30_0 14665 1 +BIT29_0 15112 1 +BIT28_0 14680 1 +BIT27_0 15140 1 +BIT26_0 14727 1 +BIT25_0 15124 1 +BIT24_0 14589 1 +BIT23_0 15130 1 +BIT22_0 14496 1 +BIT21_0 14974 1 +BIT20_0 14419 1 +BIT19_0 14859 1 +BIT18_0 14341 1 +BIT17_0 14841 1 +BIT16_0 14436 1 +BIT15_0 14806 1 +BIT14_0 14296 1 +BIT13_0 14689 1 +BIT12_0 14311 1 +BIT11_0 14841 1 +BIT10_0 14312 1 +BIT9_0 14815 1 +BIT8_0 14337 1 +BIT7_0 14944 1 +BIT6_0 14313 1 +BIT5_0 14962 1 +BIT4_0 14321 1 +BIT3_0 15157 1 +BIT2_0 14703 1 +BIT1_0 16032 1 +BIT0_0 15678 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2963 1 +auto_ZERO auto_NON_ZERO 3201 1 +auto_NON_ZERO auto_ZERO 3249 1 +auto_NON_ZERO auto_NON_ZERO 8104 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbc_clmulr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 568 1 +auto[1] 564 1 +auto[2] 556 1 +auto[3] 549 1 +auto[4] 571 1 +auto[5] 535 1 +auto[6] 514 1 +auto[7] 577 1 +auto[8] 545 1 +auto[9] 534 1 +auto[10] 595 1 +auto[11] 578 1 +auto[12] 561 1 +auto[13] 556 1 +auto[14] 560 1 +auto[15] 524 1 +auto[16] 569 1 +auto[17] 557 1 +auto[18] 533 1 +auto[19] 564 1 +auto[20] 563 1 +auto[21] 497 1 +auto[22] 545 1 +auto[23] 561 1 +auto[24] 562 1 +auto[25] 556 1 +auto[26] 522 1 +auto[27] 567 1 +auto[28] 516 1 +auto[29] 535 1 +auto[30] 534 1 +auto[31] 526 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 570 1 +auto[1] 598 1 +auto[2] 564 1 +auto[3] 577 1 +auto[4] 526 1 +auto[5] 524 1 +auto[6] 524 1 +auto[7] 549 1 +auto[8] 569 1 +auto[9] 557 1 +auto[10] 546 1 +auto[11] 542 1 +auto[12] 547 1 +auto[13] 543 1 +auto[14] 563 1 +auto[15] 553 1 +auto[16] 525 1 +auto[17] 499 1 +auto[18] 558 1 +auto[19] 565 1 +auto[20] 534 1 +auto[21] 575 1 +auto[22] 578 1 +auto[23] 567 1 +auto[24] 566 1 +auto[25] 531 1 +auto[26] 524 1 +auto[27] 517 1 +auto[28] 541 1 +auto[29] 590 1 +auto[30] 528 1 +auto[31] 544 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 591 1 +auto[1] 610 1 +auto[2] 519 1 +auto[3] 597 1 +auto[4] 509 1 +auto[5] 525 1 +auto[6] 575 1 +auto[7] 556 1 +auto[8] 558 1 +auto[9] 516 1 +auto[10] 520 1 +auto[11] 551 1 +auto[12] 548 1 +auto[13] 567 1 +auto[14] 540 1 +auto[15] 557 1 +auto[16] 567 1 +auto[17] 558 1 +auto[18] 564 1 +auto[19] 533 1 +auto[20] 572 1 +auto[21] 488 1 +auto[22] 558 1 +auto[23] 540 1 +auto[24] 566 1 +auto[25] 561 1 +auto[26] 526 1 +auto[27] 565 1 +auto[28] 545 1 +auto[29] 534 1 +auto[30] 520 1 +auto[31] 558 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 77 1 +RD_01 74 1 +RD_02 61 1 +RD_03 54 1 +RD_04 66 1 +RD_05 77 1 +RD_06 74 1 +RD_07 70 1 +RD_08 76 1 +RD_09 62 1 +RD_0a 61 1 +RD_0b 63 1 +RD_0c 65 1 +RD_0d 55 1 +RD_0e 57 1 +RD_0f 67 1 +RD_10 74 1 +RD_11 63 1 +RD_12 59 1 +RD_13 60 1 +RD_14 65 1 +RD_15 50 1 +RD_16 66 1 +RD_17 73 1 +RD_18 79 1 +RD_19 64 1 +RD_1a 65 1 +RD_1b 63 1 +RD_1c 64 1 +RD_1d 67 1 +RD_1e 58 1 +RD_1f 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 74 1 +RD_02 70 1 +RD_03 61 1 +RD_04 66 1 +RD_05 66 1 +RD_06 75 1 +RD_07 75 1 +RD_08 66 1 +RD_09 60 1 +RD_0a 60 1 +RD_0b 65 1 +RD_0c 62 1 +RD_0d 65 1 +RD_0e 53 1 +RD_0f 69 1 +RD_10 75 1 +RD_11 70 1 +RD_12 63 1 +RD_13 73 1 +RD_14 60 1 +RD_15 55 1 +RD_16 70 1 +RD_17 77 1 +RD_18 77 1 +RD_19 67 1 +RD_1a 57 1 +RD_1b 58 1 +RD_1c 56 1 +RD_1d 73 1 +RD_1e 63 1 +RD_1f 63 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6260 1 +auto_NON_ZERO 11334 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6176 1 +auto_NON_ZERO 11418 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11212 1 +auto_NON_ZERO 6382 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5279 1 +BIT30_1 3459 1 +BIT29_1 3484 1 +BIT28_1 3541 1 +BIT27_1 3341 1 +BIT26_1 3321 1 +BIT25_1 3305 1 +BIT24_1 3364 1 +BIT23_1 3283 1 +BIT22_1 3352 1 +BIT21_1 3301 1 +BIT20_1 3342 1 +BIT19_1 3371 1 +BIT18_1 3361 1 +BIT17_1 3349 1 +BIT16_1 3496 1 +BIT15_1 4248 1 +BIT14_1 4159 1 +BIT13_1 4426 1 +BIT12_1 4272 1 +BIT11_1 4665 1 +BIT10_1 4752 1 +BIT9_1 4190 1 +BIT8_1 3721 1 +BIT7_1 4505 1 +BIT6_1 4080 1 +BIT5_1 4172 1 +BIT4_1 5270 1 +BIT3_1 5348 1 +BIT2_1 5252 1 +BIT1_1 4166 1 +BIT0_1 4824 1 +BIT31_0 12315 1 +BIT30_0 14135 1 +BIT29_0 14110 1 +BIT28_0 14053 1 +BIT27_0 14253 1 +BIT26_0 14273 1 +BIT25_0 14289 1 +BIT24_0 14230 1 +BIT23_0 14311 1 +BIT22_0 14242 1 +BIT21_0 14293 1 +BIT20_0 14252 1 +BIT19_0 14223 1 +BIT18_0 14233 1 +BIT17_0 14245 1 +BIT16_0 14098 1 +BIT15_0 13346 1 +BIT14_0 13435 1 +BIT13_0 13168 1 +BIT12_0 13322 1 +BIT11_0 12929 1 +BIT10_0 12842 1 +BIT9_0 13404 1 +BIT8_0 13873 1 +BIT7_0 13089 1 +BIT6_0 13514 1 +BIT5_0 13422 1 +BIT4_0 12324 1 +BIT3_0 12246 1 +BIT2_0 12342 1 +BIT1_0 13428 1 +BIT0_0 12770 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5255 1 +BIT30_1 3484 1 +BIT29_1 3486 1 +BIT28_1 3517 1 +BIT27_1 3327 1 +BIT26_1 3396 1 +BIT25_1 3356 1 +BIT24_1 3370 1 +BIT23_1 3309 1 +BIT22_1 3348 1 +BIT21_1 3291 1 +BIT20_1 3308 1 +BIT19_1 3292 1 +BIT18_1 3284 1 +BIT17_1 3262 1 +BIT16_1 3476 1 +BIT15_1 4270 1 +BIT14_1 4267 1 +BIT13_1 4460 1 +BIT12_1 4255 1 +BIT11_1 4628 1 +BIT10_1 4690 1 +BIT9_1 4224 1 +BIT8_1 3656 1 +BIT7_1 4492 1 +BIT6_1 4025 1 +BIT5_1 4168 1 +BIT4_1 5286 1 +BIT3_1 5373 1 +BIT2_1 5335 1 +BIT1_1 4258 1 +BIT0_1 4868 1 +BIT31_0 12339 1 +BIT30_0 14110 1 +BIT29_0 14108 1 +BIT28_0 14077 1 +BIT27_0 14267 1 +BIT26_0 14198 1 +BIT25_0 14238 1 +BIT24_0 14224 1 +BIT23_0 14285 1 +BIT22_0 14246 1 +BIT21_0 14303 1 +BIT20_0 14286 1 +BIT19_0 14302 1 +BIT18_0 14310 1 +BIT17_0 14332 1 +BIT16_0 14118 1 +BIT15_0 13324 1 +BIT14_0 13327 1 +BIT13_0 13134 1 +BIT12_0 13339 1 +BIT11_0 12966 1 +BIT10_0 12904 1 +BIT9_0 13370 1 +BIT8_0 13938 1 +BIT7_0 13102 1 +BIT6_0 13569 1 +BIT5_0 13426 1 +BIT4_0 12308 1 +BIT3_0 12221 1 +BIT2_0 12259 1 +BIT1_0 13336 1 +BIT0_0 12726 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2061 1 +BIT30_1 1085 1 +BIT29_1 1821 1 +BIT28_1 1172 1 +BIT27_1 1785 1 +BIT26_1 1183 1 +BIT25_1 1864 1 +BIT24_1 1180 1 +BIT23_1 1837 1 +BIT22_1 1249 1 +BIT21_1 1815 1 +BIT20_1 1237 1 +BIT19_1 1821 1 +BIT18_1 1264 1 +BIT17_1 1860 1 +BIT16_1 1377 1 +BIT15_1 2039 1 +BIT14_1 1476 1 +BIT13_1 2149 1 +BIT12_1 1608 1 +BIT11_1 2043 1 +BIT10_1 1760 1 +BIT9_1 2231 1 +BIT8_1 1781 1 +BIT7_1 2381 1 +BIT6_1 2033 1 +BIT5_1 2631 1 +BIT4_1 2436 1 +BIT3_1 2892 1 +BIT2_1 2588 1 +BIT1_1 3055 1 +BIT0_1 2910 1 +BIT31_0 15533 1 +BIT30_0 16509 1 +BIT29_0 15773 1 +BIT28_0 16422 1 +BIT27_0 15809 1 +BIT26_0 16411 1 +BIT25_0 15730 1 +BIT24_0 16414 1 +BIT23_0 15757 1 +BIT22_0 16345 1 +BIT21_0 15779 1 +BIT20_0 16357 1 +BIT19_0 15773 1 +BIT18_0 16330 1 +BIT17_0 15734 1 +BIT16_0 16217 1 +BIT15_0 15555 1 +BIT14_0 16118 1 +BIT13_0 15445 1 +BIT12_0 15986 1 +BIT11_0 15551 1 +BIT10_0 15834 1 +BIT9_0 15363 1 +BIT8_0 15813 1 +BIT7_0 15213 1 +BIT6_0 15561 1 +BIT5_0 14963 1 +BIT4_0 15158 1 +BIT3_0 14702 1 +BIT2_0 15006 1 +BIT1_0 14539 1 +BIT0_0 14684 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3026 1 +auto_ZERO auto_NON_ZERO 3234 1 +auto_NON_ZERO auto_ZERO 3150 1 +auto_NON_ZERO auto_NON_ZERO 8184 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bclr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 492 1 +auto[1] 517 1 +auto[2] 578 1 +auto[3] 550 1 +auto[4] 522 1 +auto[5] 556 1 +auto[6] 548 1 +auto[7] 532 1 +auto[8] 556 1 +auto[9] 558 1 +auto[10] 544 1 +auto[11] 566 1 +auto[12] 558 1 +auto[13] 560 1 +auto[14] 535 1 +auto[15] 542 1 +auto[16] 599 1 +auto[17] 539 1 +auto[18] 556 1 +auto[19] 570 1 +auto[20] 557 1 +auto[21] 576 1 +auto[22] 522 1 +auto[23] 555 1 +auto[24] 522 1 +auto[25] 551 1 +auto[26] 503 1 +auto[27] 536 1 +auto[28] 547 1 +auto[29] 561 1 +auto[30] 545 1 +auto[31] 549 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 581 1 +auto[1] 534 1 +auto[2] 543 1 +auto[3] 516 1 +auto[4] 495 1 +auto[5] 514 1 +auto[6] 571 1 +auto[7] 577 1 +auto[8] 566 1 +auto[9] 554 1 +auto[10] 536 1 +auto[11] 552 1 +auto[12] 557 1 +auto[13] 590 1 +auto[14] 523 1 +auto[15] 551 1 +auto[16] 509 1 +auto[17] 603 1 +auto[18] 537 1 +auto[19] 521 1 +auto[20] 534 1 +auto[21] 528 1 +auto[22] 556 1 +auto[23] 527 1 +auto[24] 533 1 +auto[25] 609 1 +auto[26] 509 1 +auto[27] 541 1 +auto[28] 573 1 +auto[29] 541 1 +auto[30] 562 1 +auto[31] 559 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 582 1 +auto[1] 548 1 +auto[2] 541 1 +auto[3] 600 1 +auto[4] 522 1 +auto[5] 558 1 +auto[6] 561 1 +auto[7] 512 1 +auto[8] 509 1 +auto[9] 536 1 +auto[10] 551 1 +auto[11] 523 1 +auto[12] 560 1 +auto[13] 573 1 +auto[14] 557 1 +auto[15] 557 1 +auto[16] 538 1 +auto[17] 529 1 +auto[18] 549 1 +auto[19] 527 1 +auto[20] 545 1 +auto[21] 576 1 +auto[22] 535 1 +auto[23] 591 1 +auto[24] 504 1 +auto[25] 525 1 +auto[26] 514 1 +auto[27] 526 1 +auto[28] 548 1 +auto[29] 560 1 +auto[30] 551 1 +auto[31] 594 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 64 1 +RD_01 67 1 +RD_02 80 1 +RD_03 66 1 +RD_04 55 1 +RD_05 62 1 +RD_06 71 1 +RD_07 74 1 +RD_08 72 1 +RD_09 61 1 +RD_0a 68 1 +RD_0b 57 1 +RD_0c 83 1 +RD_0d 76 1 +RD_0e 65 1 +RD_0f 69 1 +RD_10 77 1 +RD_11 68 1 +RD_12 62 1 +RD_13 68 1 +RD_14 77 1 +RD_15 79 1 +RD_16 74 1 +RD_17 73 1 +RD_18 61 1 +RD_19 60 1 +RD_1a 48 1 +RD_1b 50 1 +RD_1c 76 1 +RD_1d 58 1 +RD_1e 55 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 64 1 +RD_02 73 1 +RD_03 58 1 +RD_04 58 1 +RD_05 54 1 +RD_06 76 1 +RD_07 72 1 +RD_08 65 1 +RD_09 61 1 +RD_0a 65 1 +RD_0b 55 1 +RD_0c 79 1 +RD_0d 77 1 +RD_0e 73 1 +RD_0f 63 1 +RD_10 73 1 +RD_11 71 1 +RD_12 65 1 +RD_13 60 1 +RD_14 67 1 +RD_15 77 1 +RD_16 70 1 +RD_17 68 1 +RD_18 64 1 +RD_19 62 1 +RD_1a 55 1 +RD_1b 45 1 +RD_1c 66 1 +RD_1d 56 1 +RD_1e 56 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6063 1 +auto_NON_ZERO 11439 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6187 1 +auto_NON_ZERO 11315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6444 1 +auto_NON_ZERO 11058 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5269 1 +BIT30_1 3559 1 +BIT29_1 3511 1 +BIT28_1 3512 1 +BIT27_1 3372 1 +BIT26_1 3437 1 +BIT25_1 3430 1 +BIT24_1 3391 1 +BIT23_1 3332 1 +BIT22_1 3363 1 +BIT21_1 3359 1 +BIT20_1 3363 1 +BIT19_1 3412 1 +BIT18_1 3406 1 +BIT17_1 3380 1 +BIT16_1 3585 1 +BIT15_1 4319 1 +BIT14_1 4293 1 +BIT13_1 4508 1 +BIT12_1 4271 1 +BIT11_1 4652 1 +BIT10_1 4775 1 +BIT9_1 4283 1 +BIT8_1 3775 1 +BIT7_1 4616 1 +BIT6_1 4094 1 +BIT5_1 4241 1 +BIT4_1 5369 1 +BIT3_1 5431 1 +BIT2_1 5457 1 +BIT1_1 4379 1 +BIT0_1 4880 1 +BIT31_0 12233 1 +BIT30_0 13943 1 +BIT29_0 13991 1 +BIT28_0 13990 1 +BIT27_0 14130 1 +BIT26_0 14065 1 +BIT25_0 14072 1 +BIT24_0 14111 1 +BIT23_0 14170 1 +BIT22_0 14139 1 +BIT21_0 14143 1 +BIT20_0 14139 1 +BIT19_0 14090 1 +BIT18_0 14096 1 +BIT17_0 14122 1 +BIT16_0 13917 1 +BIT15_0 13183 1 +BIT14_0 13209 1 +BIT13_0 12994 1 +BIT12_0 13231 1 +BIT11_0 12850 1 +BIT10_0 12727 1 +BIT9_0 13219 1 +BIT8_0 13727 1 +BIT7_0 12886 1 +BIT6_0 13408 1 +BIT5_0 13261 1 +BIT4_0 12133 1 +BIT3_0 12071 1 +BIT2_0 12045 1 +BIT1_0 13123 1 +BIT0_0 12622 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5311 1 +BIT30_1 3484 1 +BIT29_1 3449 1 +BIT28_1 3503 1 +BIT27_1 3378 1 +BIT26_1 3355 1 +BIT25_1 3303 1 +BIT24_1 3348 1 +BIT23_1 3299 1 +BIT22_1 3347 1 +BIT21_1 3316 1 +BIT20_1 3380 1 +BIT19_1 3358 1 +BIT18_1 3344 1 +BIT17_1 3378 1 +BIT16_1 3502 1 +BIT15_1 4249 1 +BIT14_1 4219 1 +BIT13_1 4380 1 +BIT12_1 4236 1 +BIT11_1 4687 1 +BIT10_1 4735 1 +BIT9_1 4261 1 +BIT8_1 3730 1 +BIT7_1 4447 1 +BIT6_1 4102 1 +BIT5_1 4213 1 +BIT4_1 5213 1 +BIT3_1 5365 1 +BIT2_1 5231 1 +BIT1_1 4246 1 +BIT0_1 4871 1 +BIT31_0 12191 1 +BIT30_0 14018 1 +BIT29_0 14053 1 +BIT28_0 13999 1 +BIT27_0 14124 1 +BIT26_0 14147 1 +BIT25_0 14199 1 +BIT24_0 14154 1 +BIT23_0 14203 1 +BIT22_0 14155 1 +BIT21_0 14186 1 +BIT20_0 14122 1 +BIT19_0 14144 1 +BIT18_0 14158 1 +BIT17_0 14124 1 +BIT16_0 14000 1 +BIT15_0 13253 1 +BIT14_0 13283 1 +BIT13_0 13122 1 +BIT12_0 13266 1 +BIT11_0 12815 1 +BIT10_0 12767 1 +BIT9_0 13241 1 +BIT8_0 13772 1 +BIT7_0 13055 1 +BIT6_0 13400 1 +BIT5_0 13289 1 +BIT4_0 12289 1 +BIT3_0 12137 1 +BIT2_0 12271 1 +BIT1_0 13256 1 +BIT0_0 12631 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4742 1 +BIT30_1 3477 1 +BIT29_1 3463 1 +BIT28_1 3284 1 +BIT27_1 3325 1 +BIT26_1 3391 1 +BIT25_1 3393 1 +BIT24_1 3337 1 +BIT23_1 3294 1 +BIT22_1 3334 1 +BIT21_1 3334 1 +BIT20_1 3327 1 +BIT19_1 3390 1 +BIT18_1 3366 1 +BIT17_1 3332 1 +BIT16_1 3519 1 +BIT15_1 4271 1 +BIT14_1 4250 1 +BIT13_1 4466 1 +BIT12_1 4209 1 +BIT11_1 4608 1 +BIT10_1 4728 1 +BIT9_1 4227 1 +BIT8_1 3704 1 +BIT7_1 4559 1 +BIT6_1 4023 1 +BIT5_1 4198 1 +BIT4_1 5291 1 +BIT3_1 5371 1 +BIT2_1 5340 1 +BIT1_1 4100 1 +BIT0_1 3074 1 +BIT31_0 12760 1 +BIT30_0 14025 1 +BIT29_0 14039 1 +BIT28_0 14218 1 +BIT27_0 14177 1 +BIT26_0 14111 1 +BIT25_0 14109 1 +BIT24_0 14165 1 +BIT23_0 14208 1 +BIT22_0 14168 1 +BIT21_0 14168 1 +BIT20_0 14175 1 +BIT19_0 14112 1 +BIT18_0 14136 1 +BIT17_0 14170 1 +BIT16_0 13983 1 +BIT15_0 13231 1 +BIT14_0 13252 1 +BIT13_0 13036 1 +BIT12_0 13293 1 +BIT11_0 12894 1 +BIT10_0 12774 1 +BIT9_0 13275 1 +BIT8_0 13798 1 +BIT7_0 12943 1 +BIT6_0 13479 1 +BIT5_0 13304 1 +BIT4_0 12211 1 +BIT3_0 12131 1 +BIT2_0 12162 1 +BIT1_0 13402 1 +BIT0_0 14428 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3047 1 +auto_ZERO auto_NON_ZERO 3016 1 +auto_NON_ZERO auto_ZERO 3140 1 +auto_NON_ZERO auto_NON_ZERO 8299 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_binv_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 358 0 358 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 561 1 +auto[1] 530 1 +auto[2] 504 1 +auto[3] 519 1 +auto[4] 573 1 +auto[5] 550 1 +auto[6] 526 1 +auto[7] 559 1 +auto[8] 544 1 +auto[9] 554 1 +auto[10] 536 1 +auto[11] 580 1 +auto[12] 570 1 +auto[13] 572 1 +auto[14] 557 1 +auto[15] 525 1 +auto[16] 547 1 +auto[17] 534 1 +auto[18] 531 1 +auto[19] 552 1 +auto[20] 552 1 +auto[21] 525 1 +auto[22] 557 1 +auto[23] 532 1 +auto[24] 545 1 +auto[25] 552 1 +auto[26] 552 1 +auto[27] 522 1 +auto[28] 536 1 +auto[29] 509 1 +auto[30] 561 1 +auto[31] 593 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 519 1 +auto[1] 580 1 +auto[2] 544 1 +auto[3] 537 1 +auto[4] 570 1 +auto[5] 530 1 +auto[6] 531 1 +auto[7] 539 1 +auto[8] 592 1 +auto[9] 598 1 +auto[10] 561 1 +auto[11] 514 1 +auto[12] 544 1 +auto[13] 520 1 +auto[14] 527 1 +auto[15] 537 1 +auto[16] 577 1 +auto[17] 570 1 +auto[18] 500 1 +auto[19] 511 1 +auto[20] 527 1 +auto[21] 562 1 +auto[22] 529 1 +auto[23] 523 1 +auto[24] 571 1 +auto[25] 531 1 +auto[26] 555 1 +auto[27] 551 1 +auto[28] 543 1 +auto[29] 554 1 +auto[30] 533 1 +auto[31] 580 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 643 1 +auto[1] 570 1 +auto[2] 517 1 +auto[3] 560 1 +auto[4] 536 1 +auto[5] 504 1 +auto[6] 556 1 +auto[7] 551 1 +auto[8] 576 1 +auto[9] 559 1 +auto[10] 556 1 +auto[11] 521 1 +auto[12] 568 1 +auto[13] 517 1 +auto[14] 539 1 +auto[15] 546 1 +auto[16] 537 1 +auto[17] 518 1 +auto[18] 570 1 +auto[19] 549 1 +auto[20] 558 1 +auto[21] 538 1 +auto[22] 527 1 +auto[23] 515 1 +auto[24] 503 1 +auto[25] 589 1 +auto[26] 508 1 +auto[27] 478 1 +auto[28] 568 1 +auto[29] 554 1 +auto[30] 585 1 +auto[31] 544 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 77 1 +RD_01 69 1 +RD_02 60 1 +RD_03 64 1 +RD_04 57 1 +RD_05 66 1 +RD_06 47 1 +RD_07 66 1 +RD_08 78 1 +RD_09 70 1 +RD_0a 64 1 +RD_0b 73 1 +RD_0c 67 1 +RD_0d 73 1 +RD_0e 72 1 +RD_0f 58 1 +RD_10 64 1 +RD_11 66 1 +RD_12 66 1 +RD_13 68 1 +RD_14 60 1 +RD_15 62 1 +RD_16 53 1 +RD_17 66 1 +RD_18 64 1 +RD_19 70 1 +RD_1a 57 1 +RD_1b 64 1 +RD_1c 64 1 +RD_1d 63 1 +RD_1e 65 1 +RD_1f 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 70 1 +RD_01 70 1 +RD_02 54 1 +RD_03 70 1 +RD_04 63 1 +RD_05 61 1 +RD_06 52 1 +RD_07 63 1 +RD_08 71 1 +RD_09 67 1 +RD_0a 70 1 +RD_0b 64 1 +RD_0c 72 1 +RD_0d 67 1 +RD_0e 67 1 +RD_0f 55 1 +RD_10 57 1 +RD_11 69 1 +RD_12 65 1 +RD_13 67 1 +RD_14 57 1 +RD_15 55 1 +RD_16 56 1 +RD_17 58 1 +RD_18 71 1 +RD_19 67 1 +RD_1a 62 1 +RD_1b 65 1 +RD_1c 67 1 +RD_1d 70 1 +RD_1e 70 1 +RD_1f 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6041 1 +auto_NON_ZERO 11419 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6025 1 +auto_NON_ZERO 11435 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 415 1 +auto_NON_ZERO 17045 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5281 1 +BIT30_1 3482 1 +BIT29_1 3466 1 +BIT28_1 3475 1 +BIT27_1 3330 1 +BIT26_1 3360 1 +BIT25_1 3297 1 +BIT24_1 3375 1 +BIT23_1 3347 1 +BIT22_1 3330 1 +BIT21_1 3292 1 +BIT20_1 3333 1 +BIT19_1 3339 1 +BIT18_1 3355 1 +BIT17_1 3362 1 +BIT16_1 3564 1 +BIT15_1 4300 1 +BIT14_1 4220 1 +BIT13_1 4523 1 +BIT12_1 4268 1 +BIT11_1 4743 1 +BIT10_1 4729 1 +BIT9_1 4291 1 +BIT8_1 3728 1 +BIT7_1 4467 1 +BIT6_1 4014 1 +BIT5_1 4247 1 +BIT4_1 5360 1 +BIT3_1 5398 1 +BIT2_1 5325 1 +BIT1_1 4230 1 +BIT0_1 4906 1 +BIT31_0 12179 1 +BIT30_0 13978 1 +BIT29_0 13994 1 +BIT28_0 13985 1 +BIT27_0 14130 1 +BIT26_0 14100 1 +BIT25_0 14163 1 +BIT24_0 14085 1 +BIT23_0 14113 1 +BIT22_0 14130 1 +BIT21_0 14168 1 +BIT20_0 14127 1 +BIT19_0 14121 1 +BIT18_0 14105 1 +BIT17_0 14098 1 +BIT16_0 13896 1 +BIT15_0 13160 1 +BIT14_0 13240 1 +BIT13_0 12937 1 +BIT12_0 13192 1 +BIT11_0 12717 1 +BIT10_0 12731 1 +BIT9_0 13169 1 +BIT8_0 13732 1 +BIT7_0 12993 1 +BIT6_0 13446 1 +BIT5_0 13213 1 +BIT4_0 12100 1 +BIT3_0 12062 1 +BIT2_0 12135 1 +BIT1_0 13230 1 +BIT0_0 12554 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5316 1 +BIT30_1 3425 1 +BIT29_1 3411 1 +BIT28_1 3445 1 +BIT27_1 3289 1 +BIT26_1 3328 1 +BIT25_1 3287 1 +BIT24_1 3286 1 +BIT23_1 3311 1 +BIT22_1 3297 1 +BIT21_1 3232 1 +BIT20_1 3304 1 +BIT19_1 3313 1 +BIT18_1 3328 1 +BIT17_1 3308 1 +BIT16_1 3444 1 +BIT15_1 4266 1 +BIT14_1 4196 1 +BIT13_1 4457 1 +BIT12_1 4205 1 +BIT11_1 4768 1 +BIT10_1 4750 1 +BIT9_1 4188 1 +BIT8_1 3679 1 +BIT7_1 4470 1 +BIT6_1 3991 1 +BIT5_1 4222 1 +BIT4_1 5321 1 +BIT3_1 5468 1 +BIT2_1 5400 1 +BIT1_1 4284 1 +BIT0_1 4850 1 +BIT31_0 12144 1 +BIT30_0 14035 1 +BIT29_0 14049 1 +BIT28_0 14015 1 +BIT27_0 14171 1 +BIT26_0 14132 1 +BIT25_0 14173 1 +BIT24_0 14174 1 +BIT23_0 14149 1 +BIT22_0 14163 1 +BIT21_0 14228 1 +BIT20_0 14156 1 +BIT19_0 14147 1 +BIT18_0 14132 1 +BIT17_0 14152 1 +BIT16_0 14016 1 +BIT15_0 13194 1 +BIT14_0 13264 1 +BIT13_0 13003 1 +BIT12_0 13255 1 +BIT11_0 12692 1 +BIT10_0 12710 1 +BIT9_0 13272 1 +BIT8_0 13781 1 +BIT7_0 12990 1 +BIT6_0 13469 1 +BIT5_0 13238 1 +BIT4_0 12139 1 +BIT3_0 11992 1 +BIT2_0 12060 1 +BIT1_0 13176 1 +BIT0_0 12610 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5518 1 +BIT30_1 3635 1 +BIT29_1 3568 1 +BIT28_1 4315 1 +BIT27_1 3436 1 +BIT26_1 3457 1 +BIT25_1 3384 1 +BIT24_1 3511 1 +BIT23_1 3445 1 +BIT22_1 3424 1 +BIT21_1 3382 1 +BIT20_1 3467 1 +BIT19_1 3397 1 +BIT18_1 3443 1 +BIT17_1 3449 1 +BIT16_1 3778 1 +BIT15_1 4387 1 +BIT14_1 4312 1 +BIT13_1 4579 1 +BIT12_1 4374 1 +BIT11_1 4827 1 +BIT10_1 4827 1 +BIT9_1 4372 1 +BIT8_1 3868 1 +BIT7_1 4538 1 +BIT6_1 4115 1 +BIT5_1 4349 1 +BIT4_1 5457 1 +BIT3_1 5498 1 +BIT2_1 5441 1 +BIT1_1 4916 1 +BIT0_1 9230 1 +BIT31_0 11942 1 +BIT30_0 13825 1 +BIT29_0 13892 1 +BIT28_0 13145 1 +BIT27_0 14024 1 +BIT26_0 14003 1 +BIT25_0 14076 1 +BIT24_0 13949 1 +BIT23_0 14015 1 +BIT22_0 14036 1 +BIT21_0 14078 1 +BIT20_0 13993 1 +BIT19_0 14063 1 +BIT18_0 14017 1 +BIT17_0 14011 1 +BIT16_0 13682 1 +BIT15_0 13073 1 +BIT14_0 13148 1 +BIT13_0 12881 1 +BIT12_0 13086 1 +BIT11_0 12633 1 +BIT10_0 12633 1 +BIT9_0 13088 1 +BIT8_0 13592 1 +BIT7_0 12922 1 +BIT6_0 13345 1 +BIT5_0 13111 1 +BIT4_0 12003 1 +BIT3_0 11962 1 +BIT2_0 12019 1 +BIT1_0 12544 1 +BIT0_0 8230 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2917 1 +auto_ZERO auto_NON_ZERO 3124 1 +auto_NON_ZERO auto_ZERO 3108 1 +auto_NON_ZERO auto_NON_ZERO 8311 1 + + +Group : uvma_isacov_pkg::cg_zcb_sh + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sh +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.80 99.80 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.80 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sh + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 152 1 151 99.80 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sh + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.80 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.80 99.80 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sh + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 152 1 151 99.80 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 144 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 42 1 +auto_NON_ZERO 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 52 1 +auto_NON_ZERO 93 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 14 1 +auto[1] 27 1 +auto[2] 12 1 +auto[3] 21 1 +auto[4] 20 1 +auto[5] 15 1 +auto[6] 17 1 +auto[7] 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 28 1 +auto[1] 22 1 +auto[2] 22 1 +auto[3] 19 1 +auto[4] 9 1 +auto[5] 15 1 +auto[6] 11 1 +auto[7] 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 58 1 +BIT30_1 18 1 +BIT29_1 22 1 +BIT28_1 20 1 +BIT27_1 21 1 +BIT26_1 24 1 +BIT25_1 20 1 +BIT24_1 18 1 +BIT23_1 21 1 +BIT22_1 18 1 +BIT21_1 21 1 +BIT20_1 20 1 +BIT19_1 20 1 +BIT18_1 21 1 +BIT17_1 20 1 +BIT16_1 27 1 +BIT15_1 40 1 +BIT14_1 35 1 +BIT13_1 39 1 +BIT12_1 33 1 +BIT11_1 38 1 +BIT10_1 42 1 +BIT9_1 36 1 +BIT8_1 36 1 +BIT7_1 48 1 +BIT6_1 37 1 +BIT5_1 47 1 +BIT4_1 43 1 +BIT3_1 54 1 +BIT2_1 51 1 +BIT1_1 53 1 +BIT0_1 35 1 +BIT31_0 87 1 +BIT30_0 127 1 +BIT29_0 123 1 +BIT28_0 125 1 +BIT27_0 124 1 +BIT26_0 121 1 +BIT25_0 125 1 +BIT24_0 127 1 +BIT23_0 124 1 +BIT22_0 127 1 +BIT21_0 124 1 +BIT20_0 125 1 +BIT19_0 125 1 +BIT18_0 124 1 +BIT17_0 125 1 +BIT16_0 118 1 +BIT15_0 105 1 +BIT14_0 110 1 +BIT13_0 106 1 +BIT12_0 112 1 +BIT11_0 107 1 +BIT10_0 103 1 +BIT9_0 109 1 +BIT8_0 109 1 +BIT7_0 97 1 +BIT6_0 108 1 +BIT5_0 98 1 +BIT4_0 102 1 +BIT3_0 91 1 +BIT2_0 94 1 +BIT1_0 92 1 +BIT0_0 110 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 1 63 98.44 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 144 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 57 1 +BIT15_1 116 1 +BIT14_1 68 1 +BIT13_1 82 1 +BIT12_1 68 1 +BIT11_1 72 1 +BIT10_1 83 1 +BIT9_1 63 1 +BIT8_1 77 1 +BIT7_1 88 1 +BIT6_1 62 1 +BIT5_1 64 1 +BIT4_1 64 1 +BIT3_1 79 1 +BIT2_1 68 1 +BIT1_1 65 1 +BIT31_0 1 1 +BIT30_0 144 1 +BIT29_0 144 1 +BIT28_0 144 1 +BIT27_0 144 1 +BIT26_0 144 1 +BIT25_0 144 1 +BIT24_0 144 1 +BIT23_0 144 1 +BIT22_0 144 1 +BIT21_0 144 1 +BIT20_0 144 1 +BIT19_0 144 1 +BIT18_0 144 1 +BIT17_0 144 1 +BIT16_0 88 1 +BIT15_0 29 1 +BIT14_0 77 1 +BIT13_0 63 1 +BIT12_0 77 1 +BIT11_0 73 1 +BIT10_0 62 1 +BIT9_0 82 1 +BIT8_0 68 1 +BIT7_0 57 1 +BIT6_0 83 1 +BIT5_0 81 1 +BIT4_0 81 1 +BIT3_0 66 1 +BIT2_0 77 1 +BIT1_0 80 1 +BIT0_0 145 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT0_1 93 1 +BIT0_0 52 1 + + +Group : uvma_isacov_pkg::cg_zcb_lhu + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_lhu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.83 99.83 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.83 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_lhu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_lhu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 128 1 127 99.83 + + +Variables for Group uvma_isacov_pkg::cg_zcb_lhu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_lhu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.83 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lhu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_lhu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 128 1 127 99.83 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_lhu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 159 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 55 1 +auto_NON_ZERO 105 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 77 1 +auto_NON_ZERO 83 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 18 1 +auto[1] 18 1 +auto[2] 20 1 +auto[3] 16 1 +auto[4] 22 1 +auto[5] 18 1 +auto[6] 27 1 +auto[7] 21 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 20 1 +auto[1] 23 1 +auto[2] 21 1 +auto[3] 22 1 +auto[4] 16 1 +auto[5] 19 1 +auto[6] 20 1 +auto[7] 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 1 63 98.44 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 159 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 61 1 +BIT15_1 120 1 +BIT14_1 56 1 +BIT13_1 94 1 +BIT12_1 71 1 +BIT11_1 78 1 +BIT10_1 85 1 +BIT9_1 76 1 +BIT8_1 75 1 +BIT7_1 74 1 +BIT6_1 80 1 +BIT5_1 83 1 +BIT4_1 76 1 +BIT3_1 73 1 +BIT2_1 62 1 +BIT1_1 71 1 +BIT31_0 1 1 +BIT30_0 159 1 +BIT29_0 159 1 +BIT28_0 159 1 +BIT27_0 159 1 +BIT26_0 159 1 +BIT25_0 159 1 +BIT24_0 159 1 +BIT23_0 159 1 +BIT22_0 159 1 +BIT21_0 159 1 +BIT20_0 159 1 +BIT19_0 159 1 +BIT18_0 159 1 +BIT17_0 159 1 +BIT16_0 99 1 +BIT15_0 40 1 +BIT14_0 104 1 +BIT13_0 66 1 +BIT12_0 89 1 +BIT11_0 82 1 +BIT10_0 75 1 +BIT9_0 84 1 +BIT8_0 85 1 +BIT7_0 86 1 +BIT6_0 80 1 +BIT5_0 77 1 +BIT4_0 84 1 +BIT3_0 87 1 +BIT2_0 98 1 +BIT1_0 89 1 +BIT0_0 160 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 47 1 +BIT14_1 51 1 +BIT13_1 46 1 +BIT12_1 41 1 +BIT11_1 47 1 +BIT10_1 51 1 +BIT9_1 53 1 +BIT8_1 28 1 +BIT7_1 50 1 +BIT6_1 33 1 +BIT5_1 49 1 +BIT4_1 45 1 +BIT3_1 51 1 +BIT2_1 47 1 +BIT1_1 35 1 +BIT0_1 69 1 +BIT15_0 113 1 +BIT14_0 109 1 +BIT13_0 114 1 +BIT12_0 119 1 +BIT11_0 113 1 +BIT10_0 109 1 +BIT9_0 107 1 +BIT8_0 132 1 +BIT7_0 110 1 +BIT6_0 127 1 +BIT5_0 111 1 +BIT4_0 115 1 +BIT3_0 109 1 +BIT2_0 113 1 +BIT1_0 125 1 +BIT0_0 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT0_1 83 1 +BIT0_0 77 1 + + +Group : uvma_isacov_pkg::cg_zcb_lh + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_lh +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.83 99.83 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.83 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_lh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_lh + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 161 1 160 99.83 + + +Variables for Group uvma_isacov_pkg::cg_zcb_lh + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_lh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.83 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.83 99.83 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lh + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_lh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 161 1 160 99.83 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_lh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 1 63 98.44 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 130 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 41 1 +auto_POSITIVE 51 1 +auto_NEGATIVE 39 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 63 1 +auto_NON_ZERO 68 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 19 1 +auto[1] 22 1 +auto[2] 12 1 +auto[3] 8 1 +auto[4] 30 1 +auto[5] 14 1 +auto[6] 12 1 +auto[7] 14 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 11 1 +auto[1] 18 1 +auto[2] 10 1 +auto[3] 16 1 +auto[4] 15 1 +auto[5] 25 1 +auto[6] 24 1 +auto[7] 12 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 1 63 98.44 + + +User Defined Bins for cp_rs1_toggle + + +Uncovered bins + +NAME COUNT AT LEAST NUMBER +BIT0_1 0 1 1 + + +Covered bins + +NAME COUNT AT LEAST +BIT31_1 130 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 47 1 +BIT15_1 104 1 +BIT14_1 49 1 +BIT13_1 64 1 +BIT12_1 67 1 +BIT11_1 49 1 +BIT10_1 62 1 +BIT9_1 50 1 +BIT8_1 69 1 +BIT7_1 64 1 +BIT6_1 54 1 +BIT5_1 52 1 +BIT4_1 49 1 +BIT3_1 58 1 +BIT2_1 62 1 +BIT1_1 56 1 +BIT31_0 1 1 +BIT30_0 130 1 +BIT29_0 130 1 +BIT28_0 130 1 +BIT27_0 130 1 +BIT26_0 130 1 +BIT25_0 130 1 +BIT24_0 130 1 +BIT23_0 130 1 +BIT22_0 130 1 +BIT21_0 130 1 +BIT20_0 130 1 +BIT19_0 130 1 +BIT18_0 130 1 +BIT17_0 130 1 +BIT16_0 84 1 +BIT15_0 27 1 +BIT14_0 82 1 +BIT13_0 67 1 +BIT12_0 64 1 +BIT11_0 82 1 +BIT10_0 69 1 +BIT9_0 81 1 +BIT8_0 62 1 +BIT7_0 67 1 +BIT6_0 77 1 +BIT5_0 79 1 +BIT4_0 82 1 +BIT3_0 73 1 +BIT2_0 69 1 +BIT1_0 75 1 +BIT0_0 131 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 39 1 +BIT30_1 39 1 +BIT29_1 39 1 +BIT28_1 39 1 +BIT27_1 39 1 +BIT26_1 39 1 +BIT25_1 39 1 +BIT24_1 39 1 +BIT23_1 39 1 +BIT22_1 39 1 +BIT21_1 39 1 +BIT20_1 39 1 +BIT19_1 39 1 +BIT18_1 39 1 +BIT17_1 39 1 +BIT16_1 39 1 +BIT15_1 39 1 +BIT14_1 48 1 +BIT13_1 33 1 +BIT12_1 39 1 +BIT11_1 37 1 +BIT10_1 46 1 +BIT9_1 34 1 +BIT8_1 31 1 +BIT7_1 49 1 +BIT6_1 38 1 +BIT5_1 39 1 +BIT4_1 38 1 +BIT3_1 34 1 +BIT2_1 38 1 +BIT1_1 35 1 +BIT0_1 56 1 +BIT31_0 92 1 +BIT30_0 92 1 +BIT29_0 92 1 +BIT28_0 92 1 +BIT27_0 92 1 +BIT26_0 92 1 +BIT25_0 92 1 +BIT24_0 92 1 +BIT23_0 92 1 +BIT22_0 92 1 +BIT21_0 92 1 +BIT20_0 92 1 +BIT19_0 92 1 +BIT18_0 92 1 +BIT17_0 92 1 +BIT16_0 92 1 +BIT15_0 92 1 +BIT14_0 83 1 +BIT13_0 98 1 +BIT12_0 92 1 +BIT11_0 94 1 +BIT10_0 85 1 +BIT9_0 97 1 +BIT8_0 100 1 +BIT7_0 82 1 +BIT6_0 93 1 +BIT5_0 92 1 +BIT4_0 93 1 +BIT3_0 97 1 +BIT2_0 93 1 +BIT1_0 96 1 +BIT0_0 75 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT0_1 68 1 +BIT0_0 63 1 + + +Group : uvme_cva6_pkg::cg_cvxif_compressed_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_compressed_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING + 99.92 99.92 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME + 99.92 1 100 1 64 64 uvme_cva6_pkg.cus_cadd_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_compressed_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 1024 4 1020 99.61 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_compressed_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_cadd_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING + 99.92 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME + 99.92 99.92 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_compressed_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_cadd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 1024 4 1020 99.61 + + +Variables for Group Instance uvme_cva6_pkg.cus_cadd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_cadd_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 1024 4 1020 99.61 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 470 1 +RS2_01 480 1 +RS2_02 565 1 +RS2_03 541 1 +RS2_04 577 1 +RS2_05 514 1 +RS2_06 544 1 +RS2_07 555 1 +RS2_08 525 1 +RS2_09 483 1 +RS2_0a 545 1 +RS2_0b 505 1 +RS2_0c 457 1 +RS2_0d 459 1 +RS2_0e 566 1 +RS2_0f 542 1 +RS2_10 646 1 +RS2_11 551 1 +RS2_12 462 1 +RS2_13 561 1 +RS2_14 443 1 +RS2_15 565 1 +RS2_16 537 1 +RS2_17 565 1 +RS2_18 596 1 +RS2_19 571 1 +RS2_1a 448 1 +RS2_1b 538 1 +RS2_1c 537 1 +RS2_1d 546 1 +RS2_1e 506 1 +RS2_1f 609 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 544 1 +RS1_01 533 1 +RS1_02 445 1 +RS1_03 508 1 +RS1_04 544 1 +RS1_05 495 1 +RS1_06 522 1 +RS1_07 523 1 +RS1_08 523 1 +RS1_09 528 1 +RS1_0a 475 1 +RS1_0b 579 1 +RS1_0c 543 1 +RS1_0d 545 1 +RS1_0e 471 1 +RS1_0f 597 1 +RS1_10 563 1 +RS1_11 520 1 +RS1_12 544 1 +RS1_13 519 1 +RS1_14 511 1 +RS1_15 591 1 +RS1_16 633 1 +RS1_17 571 1 +RS1_18 527 1 +RS1_19 464 1 +RS1_1a 515 1 +RS1_1b 569 1 +RS1_1c 515 1 +RS1_1d 587 1 +RS1_1e 517 1 +RS1_1f 488 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2978 1 +BIT30_1 2433 1 +BIT29_1 2421 1 +BIT28_1 2488 1 +BIT27_1 2299 1 +BIT26_1 2263 1 +BIT25_1 2369 1 +BIT24_1 2388 1 +BIT23_1 2307 1 +BIT22_1 2354 1 +BIT21_1 2353 1 +BIT20_1 2310 1 +BIT19_1 2311 1 +BIT18_1 2372 1 +BIT17_1 2383 1 +BIT16_1 2422 1 +BIT15_1 2593 1 +BIT14_1 2514 1 +BIT13_1 2319 1 +BIT12_1 2791 1 +BIT11_1 2789 1 +BIT10_1 2746 1 +BIT9_1 2506 1 +BIT8_1 2483 1 +BIT7_1 2633 1 +BIT6_1 2406 1 +BIT5_1 2395 1 +BIT4_1 2878 1 +BIT3_1 2935 1 +BIT2_1 2794 1 +BIT1_1 2344 1 +BIT0_1 1938 1 +BIT31_0 4140 1 +BIT30_0 4685 1 +BIT29_0 4697 1 +BIT28_0 4630 1 +BIT27_0 4819 1 +BIT26_0 4855 1 +BIT25_0 4749 1 +BIT24_0 4730 1 +BIT23_0 4811 1 +BIT22_0 4764 1 +BIT21_0 4765 1 +BIT20_0 4808 1 +BIT19_0 4807 1 +BIT18_0 4746 1 +BIT17_0 4735 1 +BIT16_0 4696 1 +BIT15_0 4525 1 +BIT14_0 4604 1 +BIT13_0 4799 1 +BIT12_0 4327 1 +BIT11_0 4329 1 +BIT10_0 4372 1 +BIT9_0 4612 1 +BIT8_0 4635 1 +BIT7_0 4485 1 +BIT6_0 4712 1 +BIT5_0 4723 1 +BIT4_0 4240 1 +BIT3_0 4183 1 +BIT2_0 4324 1 +BIT1_0 4774 1 +BIT0_0 5180 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3040 1 +BIT30_1 2505 1 +BIT29_1 2396 1 +BIT28_1 2496 1 +BIT27_1 2374 1 +BIT26_1 2344 1 +BIT25_1 2468 1 +BIT24_1 2351 1 +BIT23_1 2463 1 +BIT22_1 2370 1 +BIT21_1 2349 1 +BIT20_1 2380 1 +BIT19_1 2320 1 +BIT18_1 2392 1 +BIT17_1 2335 1 +BIT16_1 2463 1 +BIT15_1 2618 1 +BIT14_1 2520 1 +BIT13_1 2433 1 +BIT12_1 2870 1 +BIT11_1 2834 1 +BIT10_1 2800 1 +BIT9_1 2533 1 +BIT8_1 2497 1 +BIT7_1 2576 1 +BIT6_1 2422 1 +BIT5_1 2362 1 +BIT4_1 2882 1 +BIT3_1 2981 1 +BIT2_1 2888 1 +BIT1_1 2377 1 +BIT0_1 2088 1 +BIT31_0 4080 1 +BIT30_0 4615 1 +BIT29_0 4724 1 +BIT28_0 4624 1 +BIT27_0 4746 1 +BIT26_0 4776 1 +BIT25_0 4652 1 +BIT24_0 4769 1 +BIT23_0 4657 1 +BIT22_0 4750 1 +BIT21_0 4771 1 +BIT20_0 4740 1 +BIT19_0 4800 1 +BIT18_0 4728 1 +BIT17_0 4785 1 +BIT16_0 4657 1 +BIT15_0 4502 1 +BIT14_0 4600 1 +BIT13_0 4687 1 +BIT12_0 4250 1 +BIT11_0 4286 1 +BIT10_0 4320 1 +BIT9_0 4587 1 +BIT8_0 4623 1 +BIT7_0 4544 1 +BIT6_0 4698 1 +BIT5_0 4758 1 +BIT4_0 4238 1 +BIT3_0 4139 1 +BIT2_0 4232 1 +BIT1_0 4743 1 +BIT0_0 5032 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 1024 4 1020 99.61 4 + + +Automatically Generated Cross Bins for cross_rs1_rs2 + + +Uncovered bins + +cp_rs1 cp_rs2 COUNT AT LEAST NUMBER +[RS1_08] [RS2_16] 0 1 1 +[RS1_09] [RS2_06] 0 1 1 +[RS1_0c] [RS2_0d] 0 1 1 +[RS1_10] [RS2_1a] 0 1 1 + + +Covered bins + +cp_rs1 cp_rs2 COUNT AT LEAST +RS1_00 RS2_00 11 1 +RS1_00 RS2_01 19 1 +RS1_00 RS2_02 28 1 +RS1_00 RS2_03 15 1 +RS1_00 RS2_04 10 1 +RS1_00 RS2_05 25 1 +RS1_00 RS2_06 20 1 +RS1_00 RS2_07 15 1 +RS1_00 RS2_08 16 1 +RS1_00 RS2_09 10 1 +RS1_00 RS2_0a 26 1 +RS1_00 RS2_0b 17 1 +RS1_00 RS2_0c 32 1 +RS1_00 RS2_0d 8 1 +RS1_00 RS2_0e 27 1 +RS1_00 RS2_0f 12 1 +RS1_00 RS2_10 21 1 +RS1_00 RS2_11 24 1 +RS1_00 RS2_12 14 1 +RS1_00 RS2_13 11 1 +RS1_00 RS2_14 9 1 +RS1_00 RS2_15 22 1 +RS1_00 RS2_16 7 1 +RS1_00 RS2_17 17 1 +RS1_00 RS2_18 8 1 +RS1_00 RS2_19 9 1 +RS1_00 RS2_1a 30 1 +RS1_00 RS2_1b 10 1 +RS1_00 RS2_1c 22 1 +RS1_00 RS2_1d 22 1 +RS1_00 RS2_1e 14 1 +RS1_00 RS2_1f 13 1 +RS1_01 RS2_00 27 1 +RS1_01 RS2_01 14 1 +RS1_01 RS2_02 19 1 +RS1_01 RS2_03 6 1 +RS1_01 RS2_04 19 1 +RS1_01 RS2_05 8 1 +RS1_01 RS2_06 3 1 +RS1_01 RS2_07 25 1 +RS1_01 RS2_08 13 1 +RS1_01 RS2_09 15 1 +RS1_01 RS2_0a 9 1 +RS1_01 RS2_0b 12 1 +RS1_01 RS2_0c 9 1 +RS1_01 RS2_0d 8 1 +RS1_01 RS2_0e 27 1 +RS1_01 RS2_0f 34 1 +RS1_01 RS2_10 26 1 +RS1_01 RS2_11 20 1 +RS1_01 RS2_12 17 1 +RS1_01 RS2_13 19 1 +RS1_01 RS2_14 9 1 +RS1_01 RS2_15 5 1 +RS1_01 RS2_16 13 1 +RS1_01 RS2_17 6 1 +RS1_01 RS2_18 29 1 +RS1_01 RS2_19 11 1 +RS1_01 RS2_1a 14 1 +RS1_01 RS2_1b 33 1 +RS1_01 RS2_1c 5 1 +RS1_01 RS2_1d 16 1 +RS1_01 RS2_1e 25 1 +RS1_01 RS2_1f 37 1 +RS1_02 RS2_00 17 1 +RS1_02 RS2_01 7 1 +RS1_02 RS2_02 12 1 +RS1_02 RS2_03 22 1 +RS1_02 RS2_04 5 1 +RS1_02 RS2_05 8 1 +RS1_02 RS2_06 19 1 +RS1_02 RS2_07 17 1 +RS1_02 RS2_08 21 1 +RS1_02 RS2_09 12 1 +RS1_02 RS2_0a 6 1 +RS1_02 RS2_0b 30 1 +RS1_02 RS2_0c 7 1 +RS1_02 RS2_0d 10 1 +RS1_02 RS2_0e 24 1 +RS1_02 RS2_0f 28 1 +RS1_02 RS2_10 17 1 +RS1_02 RS2_11 19 1 +RS1_02 RS2_12 10 1 +RS1_02 RS2_13 13 1 +RS1_02 RS2_14 19 1 +RS1_02 RS2_15 15 1 +RS1_02 RS2_16 6 1 +RS1_02 RS2_17 5 1 +RS1_02 RS2_18 14 1 +RS1_02 RS2_19 15 1 +RS1_02 RS2_1a 12 1 +RS1_02 RS2_1b 9 1 +RS1_02 RS2_1c 7 1 +RS1_02 RS2_1d 18 1 +RS1_02 RS2_1e 4 1 +RS1_02 RS2_1f 17 1 +RS1_03 RS2_00 19 1 +RS1_03 RS2_01 11 1 +RS1_03 RS2_02 26 1 +RS1_03 RS2_03 9 1 +RS1_03 RS2_04 14 1 +RS1_03 RS2_05 11 1 +RS1_03 RS2_06 9 1 +RS1_03 RS2_07 22 1 +RS1_03 RS2_08 12 1 +RS1_03 RS2_09 8 1 +RS1_03 RS2_0a 12 1 +RS1_03 RS2_0b 7 1 +RS1_03 RS2_0c 15 1 +RS1_03 RS2_0d 9 1 +RS1_03 RS2_0e 22 1 +RS1_03 RS2_0f 27 1 +RS1_03 RS2_10 20 1 +RS1_03 RS2_11 11 1 +RS1_03 RS2_12 26 1 +RS1_03 RS2_13 26 1 +RS1_03 RS2_14 7 1 +RS1_03 RS2_15 13 1 +RS1_03 RS2_16 19 1 +RS1_03 RS2_17 27 1 +RS1_03 RS2_18 34 1 +RS1_03 RS2_19 12 1 +RS1_03 RS2_1a 16 1 +RS1_03 RS2_1b 19 1 +RS1_03 RS2_1c 5 1 +RS1_03 RS2_1d 11 1 +RS1_03 RS2_1e 7 1 +RS1_03 RS2_1f 22 1 +RS1_04 RS2_00 16 1 +RS1_04 RS2_01 7 1 +RS1_04 RS2_02 24 1 +RS1_04 RS2_03 31 1 +RS1_04 RS2_04 28 1 +RS1_04 RS2_05 9 1 +RS1_04 RS2_06 12 1 +RS1_04 RS2_07 23 1 +RS1_04 RS2_08 18 1 +RS1_04 RS2_09 31 1 +RS1_04 RS2_0a 3 1 +RS1_04 RS2_0b 19 1 +RS1_04 RS2_0c 19 1 +RS1_04 RS2_0d 19 1 +RS1_04 RS2_0e 21 1 +RS1_04 RS2_0f 21 1 +RS1_04 RS2_10 19 1 +RS1_04 RS2_11 13 1 +RS1_04 RS2_12 14 1 +RS1_04 RS2_13 29 1 +RS1_04 RS2_14 9 1 +RS1_04 RS2_15 3 1 +RS1_04 RS2_16 32 1 +RS1_04 RS2_17 7 1 +RS1_04 RS2_18 23 1 +RS1_04 RS2_19 2 1 +RS1_04 RS2_1a 18 1 +RS1_04 RS2_1b 16 1 +RS1_04 RS2_1c 11 1 +RS1_04 RS2_1d 17 1 +RS1_04 RS2_1e 20 1 +RS1_04 RS2_1f 10 1 +RS1_05 RS2_00 2 1 +RS1_05 RS2_01 16 1 +RS1_05 RS2_02 10 1 +RS1_05 RS2_03 18 1 +RS1_05 RS2_04 19 1 +RS1_05 RS2_05 7 1 +RS1_05 RS2_06 28 1 +RS1_05 RS2_07 20 1 +RS1_05 RS2_08 7 1 +RS1_05 RS2_09 9 1 +RS1_05 RS2_0a 11 1 +RS1_05 RS2_0b 20 1 +RS1_05 RS2_0c 5 1 +RS1_05 RS2_0d 5 1 +RS1_05 RS2_0e 22 1 +RS1_05 RS2_0f 13 1 +RS1_05 RS2_10 16 1 +RS1_05 RS2_11 20 1 +RS1_05 RS2_12 17 1 +RS1_05 RS2_13 18 1 +RS1_05 RS2_14 6 1 +RS1_05 RS2_15 27 1 +RS1_05 RS2_16 25 1 +RS1_05 RS2_17 16 1 +RS1_05 RS2_18 8 1 +RS1_05 RS2_19 37 1 +RS1_05 RS2_1a 24 1 +RS1_05 RS2_1b 11 1 +RS1_05 RS2_1c 2 1 +RS1_05 RS2_1d 22 1 +RS1_05 RS2_1e 16 1 +RS1_05 RS2_1f 18 1 +RS1_06 RS2_00 15 1 +RS1_06 RS2_01 7 1 +RS1_06 RS2_02 15 1 +RS1_06 RS2_03 24 1 +RS1_06 RS2_04 21 1 +RS1_06 RS2_05 25 1 +RS1_06 RS2_06 15 1 +RS1_06 RS2_07 17 1 +RS1_06 RS2_08 11 1 +RS1_06 RS2_09 6 1 +RS1_06 RS2_0a 27 1 +RS1_06 RS2_0b 8 1 +RS1_06 RS2_0c 9 1 +RS1_06 RS2_0d 10 1 +RS1_06 RS2_0e 12 1 +RS1_06 RS2_0f 15 1 +RS1_06 RS2_10 12 1 +RS1_06 RS2_11 14 1 +RS1_06 RS2_12 8 1 +RS1_06 RS2_13 17 1 +RS1_06 RS2_14 14 1 +RS1_06 RS2_15 27 1 +RS1_06 RS2_16 15 1 +RS1_06 RS2_17 12 1 +RS1_06 RS2_18 18 1 +RS1_06 RS2_19 25 1 +RS1_06 RS2_1a 11 1 +RS1_06 RS2_1b 26 1 +RS1_06 RS2_1c 25 1 +RS1_06 RS2_1d 28 1 +RS1_06 RS2_1e 16 1 +RS1_06 RS2_1f 17 1 +RS1_07 RS2_00 6 1 +RS1_07 RS2_01 11 1 +RS1_07 RS2_02 22 1 +RS1_07 RS2_03 18 1 +RS1_07 RS2_04 29 1 +RS1_07 RS2_05 22 1 +RS1_07 RS2_06 18 1 +RS1_07 RS2_07 10 1 +RS1_07 RS2_08 16 1 +RS1_07 RS2_09 11 1 +RS1_07 RS2_0a 13 1 +RS1_07 RS2_0b 15 1 +RS1_07 RS2_0c 19 1 +RS1_07 RS2_0d 11 1 +RS1_07 RS2_0e 30 1 +RS1_07 RS2_0f 17 1 +RS1_07 RS2_10 25 1 +RS1_07 RS2_11 17 1 +RS1_07 RS2_12 5 1 +RS1_07 RS2_13 20 1 +RS1_07 RS2_14 20 1 +RS1_07 RS2_15 15 1 +RS1_07 RS2_16 8 1 +RS1_07 RS2_17 16 1 +RS1_07 RS2_18 25 1 +RS1_07 RS2_19 24 1 +RS1_07 RS2_1a 9 1 +RS1_07 RS2_1b 12 1 +RS1_07 RS2_1c 8 1 +RS1_07 RS2_1d 5 1 +RS1_07 RS2_1e 14 1 +RS1_07 RS2_1f 32 1 +RS1_08 RS2_00 22 1 +RS1_08 RS2_01 15 1 +RS1_08 RS2_02 28 1 +RS1_08 RS2_03 6 1 +RS1_08 RS2_04 7 1 +RS1_08 RS2_05 23 1 +RS1_08 RS2_06 10 1 +RS1_08 RS2_07 33 1 +RS1_08 RS2_08 6 1 +RS1_08 RS2_09 8 1 +RS1_08 RS2_0a 27 1 +RS1_08 RS2_0b 4 1 +RS1_08 RS2_0c 20 1 +RS1_08 RS2_0d 10 1 +RS1_08 RS2_0e 20 1 +RS1_08 RS2_0f 31 1 +RS1_08 RS2_10 19 1 +RS1_08 RS2_11 18 1 +RS1_08 RS2_12 9 1 +RS1_08 RS2_13 11 1 +RS1_08 RS2_14 23 1 +RS1_08 RS2_15 10 1 +RS1_08 RS2_17 16 1 +RS1_08 RS2_18 7 1 +RS1_08 RS2_19 34 1 +RS1_08 RS2_1a 11 1 +RS1_08 RS2_1b 19 1 +RS1_08 RS2_1c 18 1 +RS1_08 RS2_1d 14 1 +RS1_08 RS2_1e 16 1 +RS1_08 RS2_1f 28 1 +RS1_09 RS2_00 20 1 +RS1_09 RS2_01 14 1 +RS1_09 RS2_02 23 1 +RS1_09 RS2_03 25 1 +RS1_09 RS2_04 18 1 +RS1_09 RS2_05 13 1 +RS1_09 RS2_07 8 1 +RS1_09 RS2_08 16 1 +RS1_09 RS2_09 12 1 +RS1_09 RS2_0a 10 1 +RS1_09 RS2_0b 13 1 +RS1_09 RS2_0c 22 1 +RS1_09 RS2_0d 1 1 +RS1_09 RS2_0e 16 1 +RS1_09 RS2_0f 31 1 +RS1_09 RS2_10 16 1 +RS1_09 RS2_11 16 1 +RS1_09 RS2_12 25 1 +RS1_09 RS2_13 12 1 +RS1_09 RS2_14 26 1 +RS1_09 RS2_15 12 1 +RS1_09 RS2_16 23 1 +RS1_09 RS2_17 8 1 +RS1_09 RS2_18 18 1 +RS1_09 RS2_19 21 1 +RS1_09 RS2_1a 14 1 +RS1_09 RS2_1b 18 1 +RS1_09 RS2_1c 19 1 +RS1_09 RS2_1d 17 1 +RS1_09 RS2_1e 22 1 +RS1_09 RS2_1f 19 1 +RS1_0a RS2_00 4 1 +RS1_0a RS2_01 20 1 +RS1_0a RS2_02 12 1 +RS1_0a RS2_03 39 1 +RS1_0a RS2_04 10 1 +RS1_0a RS2_05 12 1 +RS1_0a RS2_06 8 1 +RS1_0a RS2_07 8 1 +RS1_0a RS2_08 2 1 +RS1_0a RS2_09 18 1 +RS1_0a RS2_0a 5 1 +RS1_0a RS2_0b 19 1 +RS1_0a RS2_0c 4 1 +RS1_0a RS2_0d 13 1 +RS1_0a RS2_0e 15 1 +RS1_0a RS2_0f 16 1 +RS1_0a RS2_10 16 1 +RS1_0a RS2_11 3 1 +RS1_0a RS2_12 12 1 +RS1_0a RS2_13 28 1 +RS1_0a RS2_14 11 1 +RS1_0a RS2_15 23 1 +RS1_0a RS2_16 16 1 +RS1_0a RS2_17 22 1 +RS1_0a RS2_18 29 1 +RS1_0a RS2_19 23 1 +RS1_0a RS2_1a 6 1 +RS1_0a RS2_1b 2 1 +RS1_0a RS2_1c 10 1 +RS1_0a RS2_1d 44 1 +RS1_0a RS2_1e 10 1 +RS1_0a RS2_1f 15 1 +RS1_0b RS2_00 16 1 +RS1_0b RS2_01 18 1 +RS1_0b RS2_02 30 1 +RS1_0b RS2_03 10 1 +RS1_0b RS2_04 33 1 +RS1_0b RS2_05 28 1 +RS1_0b RS2_06 11 1 +RS1_0b RS2_07 8 1 +RS1_0b RS2_08 13 1 +RS1_0b RS2_09 25 1 +RS1_0b RS2_0a 16 1 +RS1_0b RS2_0b 9 1 +RS1_0b RS2_0c 12 1 +RS1_0b RS2_0d 20 1 +RS1_0b RS2_0e 12 1 +RS1_0b RS2_0f 10 1 +RS1_0b RS2_10 20 1 +RS1_0b RS2_11 16 1 +RS1_0b RS2_12 12 1 +RS1_0b RS2_13 11 1 +RS1_0b RS2_14 16 1 +RS1_0b RS2_15 18 1 +RS1_0b RS2_16 23 1 +RS1_0b RS2_17 11 1 +RS1_0b RS2_18 25 1 +RS1_0b RS2_19 26 1 +RS1_0b RS2_1a 32 1 +RS1_0b RS2_1b 22 1 +RS1_0b RS2_1c 24 1 +RS1_0b RS2_1d 9 1 +RS1_0b RS2_1e 12 1 +RS1_0b RS2_1f 31 1 +RS1_0c RS2_00 6 1 +RS1_0c RS2_01 16 1 +RS1_0c RS2_02 25 1 +RS1_0c RS2_03 19 1 +RS1_0c RS2_04 31 1 +RS1_0c RS2_05 25 1 +RS1_0c RS2_06 7 1 +RS1_0c RS2_07 13 1 +RS1_0c RS2_08 17 1 +RS1_0c RS2_09 13 1 +RS1_0c RS2_0a 22 1 +RS1_0c RS2_0b 8 1 +RS1_0c RS2_0c 16 1 +RS1_0c RS2_0e 22 1 +RS1_0c RS2_0f 7 1 +RS1_0c RS2_10 12 1 +RS1_0c RS2_11 18 1 +RS1_0c RS2_12 14 1 +RS1_0c RS2_13 22 1 +RS1_0c RS2_14 17 1 +RS1_0c RS2_15 34 1 +RS1_0c RS2_16 14 1 +RS1_0c RS2_17 20 1 +RS1_0c RS2_18 29 1 +RS1_0c RS2_19 23 1 +RS1_0c RS2_1a 21 1 +RS1_0c RS2_1b 16 1 +RS1_0c RS2_1c 17 1 +RS1_0c RS2_1d 13 1 +RS1_0c RS2_1e 14 1 +RS1_0c RS2_1f 12 1 +RS1_0d RS2_00 23 1 +RS1_0d RS2_01 8 1 +RS1_0d RS2_02 12 1 +RS1_0d RS2_03 14 1 +RS1_0d RS2_04 26 1 +RS1_0d RS2_05 19 1 +RS1_0d RS2_06 13 1 +RS1_0d RS2_07 18 1 +RS1_0d RS2_08 24 1 +RS1_0d RS2_09 10 1 +RS1_0d RS2_0a 22 1 +RS1_0d RS2_0b 12 1 +RS1_0d RS2_0c 35 1 +RS1_0d RS2_0d 8 1 +RS1_0d RS2_0e 8 1 +RS1_0d RS2_0f 26 1 +RS1_0d RS2_10 13 1 +RS1_0d RS2_11 27 1 +RS1_0d RS2_12 16 1 +RS1_0d RS2_13 7 1 +RS1_0d RS2_14 21 1 +RS1_0d RS2_15 22 1 +RS1_0d RS2_16 22 1 +RS1_0d RS2_17 21 1 +RS1_0d RS2_18 8 1 +RS1_0d RS2_19 15 1 +RS1_0d RS2_1a 1 1 +RS1_0d RS2_1b 20 1 +RS1_0d RS2_1c 22 1 +RS1_0d RS2_1d 26 1 +RS1_0d RS2_1e 19 1 +RS1_0d RS2_1f 7 1 +RS1_0e RS2_00 15 1 +RS1_0e RS2_01 11 1 +RS1_0e RS2_02 10 1 +RS1_0e RS2_03 9 1 +RS1_0e RS2_04 13 1 +RS1_0e RS2_05 12 1 +RS1_0e RS2_06 10 1 +RS1_0e RS2_07 8 1 +RS1_0e RS2_08 20 1 +RS1_0e RS2_09 14 1 +RS1_0e RS2_0a 19 1 +RS1_0e RS2_0b 4 1 +RS1_0e RS2_0c 13 1 +RS1_0e RS2_0d 25 1 +RS1_0e RS2_0e 17 1 +RS1_0e RS2_0f 13 1 +RS1_0e RS2_10 28 1 +RS1_0e RS2_11 13 1 +RS1_0e RS2_12 12 1 +RS1_0e RS2_13 31 1 +RS1_0e RS2_14 6 1 +RS1_0e RS2_15 29 1 +RS1_0e RS2_16 8 1 +RS1_0e RS2_17 18 1 +RS1_0e RS2_18 33 1 +RS1_0e RS2_19 2 1 +RS1_0e RS2_1a 13 1 +RS1_0e RS2_1b 11 1 +RS1_0e RS2_1c 23 1 +RS1_0e RS2_1d 10 1 +RS1_0e RS2_1e 4 1 +RS1_0e RS2_1f 17 1 +RS1_0f RS2_00 24 1 +RS1_0f RS2_01 42 1 +RS1_0f RS2_02 19 1 +RS1_0f RS2_03 28 1 +RS1_0f RS2_04 15 1 +RS1_0f RS2_05 9 1 +RS1_0f RS2_06 23 1 +RS1_0f RS2_07 13 1 +RS1_0f RS2_08 15 1 +RS1_0f RS2_09 26 1 +RS1_0f RS2_0a 5 1 +RS1_0f RS2_0b 22 1 +RS1_0f RS2_0c 11 1 +RS1_0f RS2_0d 26 1 +RS1_0f RS2_0e 16 1 +RS1_0f RS2_0f 22 1 +RS1_0f RS2_10 19 1 +RS1_0f RS2_11 28 1 +RS1_0f RS2_12 19 1 +RS1_0f RS2_13 18 1 +RS1_0f RS2_14 1 1 +RS1_0f RS2_15 12 1 +RS1_0f RS2_16 16 1 +RS1_0f RS2_17 43 1 +RS1_0f RS2_18 24 1 +RS1_0f RS2_19 17 1 +RS1_0f RS2_1a 12 1 +RS1_0f RS2_1b 12 1 +RS1_0f RS2_1c 24 1 +RS1_0f RS2_1d 18 1 +RS1_0f RS2_1e 3 1 +RS1_0f RS2_1f 15 1 +RS1_10 RS2_00 17 1 +RS1_10 RS2_01 18 1 +RS1_10 RS2_02 5 1 +RS1_10 RS2_03 3 1 +RS1_10 RS2_04 12 1 +RS1_10 RS2_05 17 1 +RS1_10 RS2_06 14 1 +RS1_10 RS2_07 29 1 +RS1_10 RS2_08 21 1 +RS1_10 RS2_09 19 1 +RS1_10 RS2_0a 46 1 +RS1_10 RS2_0b 30 1 +RS1_10 RS2_0c 9 1 +RS1_10 RS2_0d 28 1 +RS1_10 RS2_0e 17 1 +RS1_10 RS2_0f 12 1 +RS1_10 RS2_10 27 1 +RS1_10 RS2_11 23 1 +RS1_10 RS2_12 14 1 +RS1_10 RS2_13 17 1 +RS1_10 RS2_14 10 1 +RS1_10 RS2_15 13 1 +RS1_10 RS2_16 10 1 +RS1_10 RS2_17 33 1 +RS1_10 RS2_18 15 1 +RS1_10 RS2_19 20 1 +RS1_10 RS2_1b 26 1 +RS1_10 RS2_1c 13 1 +RS1_10 RS2_1d 11 1 +RS1_10 RS2_1e 14 1 +RS1_10 RS2_1f 20 1 +RS1_11 RS2_00 16 1 +RS1_11 RS2_01 14 1 +RS1_11 RS2_02 12 1 +RS1_11 RS2_03 9 1 +RS1_11 RS2_04 10 1 +RS1_11 RS2_05 17 1 +RS1_11 RS2_06 20 1 +RS1_11 RS2_07 14 1 +RS1_11 RS2_08 24 1 +RS1_11 RS2_09 11 1 +RS1_11 RS2_0a 10 1 +RS1_11 RS2_0b 40 1 +RS1_11 RS2_0c 14 1 +RS1_11 RS2_0d 8 1 +RS1_11 RS2_0e 27 1 +RS1_11 RS2_0f 7 1 +RS1_11 RS2_10 18 1 +RS1_11 RS2_11 8 1 +RS1_11 RS2_12 3 1 +RS1_11 RS2_13 10 1 +RS1_11 RS2_14 9 1 +RS1_11 RS2_15 28 1 +RS1_11 RS2_16 22 1 +RS1_11 RS2_17 12 1 +RS1_11 RS2_18 14 1 +RS1_11 RS2_19 33 1 +RS1_11 RS2_1a 29 1 +RS1_11 RS2_1b 23 1 +RS1_11 RS2_1c 18 1 +RS1_11 RS2_1d 7 1 +RS1_11 RS2_1e 24 1 +RS1_11 RS2_1f 9 1 +RS1_12 RS2_00 11 1 +RS1_12 RS2_01 5 1 +RS1_12 RS2_02 32 1 +RS1_12 RS2_03 3 1 +RS1_12 RS2_04 23 1 +RS1_12 RS2_05 21 1 +RS1_12 RS2_06 25 1 +RS1_12 RS2_07 22 1 +RS1_12 RS2_08 36 1 +RS1_12 RS2_09 26 1 +RS1_12 RS2_0a 20 1 +RS1_12 RS2_0b 6 1 +RS1_12 RS2_0c 8 1 +RS1_12 RS2_0d 27 1 +RS1_12 RS2_0e 9 1 +RS1_12 RS2_0f 6 1 +RS1_12 RS2_10 16 1 +RS1_12 RS2_11 18 1 +RS1_12 RS2_12 30 1 +RS1_12 RS2_13 12 1 +RS1_12 RS2_14 9 1 +RS1_12 RS2_15 15 1 +RS1_12 RS2_16 20 1 +RS1_12 RS2_17 18 1 +RS1_12 RS2_18 7 1 +RS1_12 RS2_19 15 1 +RS1_12 RS2_1a 3 1 +RS1_12 RS2_1b 21 1 +RS1_12 RS2_1c 12 1 +RS1_12 RS2_1d 21 1 +RS1_12 RS2_1e 14 1 +RS1_12 RS2_1f 33 1 +RS1_13 RS2_00 25 1 +RS1_13 RS2_01 18 1 +RS1_13 RS2_02 23 1 +RS1_13 RS2_03 21 1 +RS1_13 RS2_04 6 1 +RS1_13 RS2_05 21 1 +RS1_13 RS2_06 2 1 +RS1_13 RS2_07 14 1 +RS1_13 RS2_08 10 1 +RS1_13 RS2_09 12 1 +RS1_13 RS2_0a 19 1 +RS1_13 RS2_0b 16 1 +RS1_13 RS2_0c 17 1 +RS1_13 RS2_0d 17 1 +RS1_13 RS2_0e 23 1 +RS1_13 RS2_0f 9 1 +RS1_13 RS2_10 38 1 +RS1_13 RS2_11 17 1 +RS1_13 RS2_12 26 1 +RS1_13 RS2_13 4 1 +RS1_13 RS2_14 12 1 +RS1_13 RS2_15 19 1 +RS1_13 RS2_16 14 1 +RS1_13 RS2_17 5 1 +RS1_13 RS2_18 34 1 +RS1_13 RS2_19 6 1 +RS1_13 RS2_1a 20 1 +RS1_13 RS2_1b 19 1 +RS1_13 RS2_1c 5 1 +RS1_13 RS2_1d 6 1 +RS1_13 RS2_1e 13 1 +RS1_13 RS2_1f 28 1 +RS1_14 RS2_00 19 1 +RS1_14 RS2_01 14 1 +RS1_14 RS2_02 18 1 +RS1_14 RS2_03 17 1 +RS1_14 RS2_04 5 1 +RS1_14 RS2_05 16 1 +RS1_14 RS2_06 35 1 +RS1_14 RS2_07 15 1 +RS1_14 RS2_08 23 1 +RS1_14 RS2_09 11 1 +RS1_14 RS2_0a 41 1 +RS1_14 RS2_0b 19 1 +RS1_14 RS2_0c 15 1 +RS1_14 RS2_0d 8 1 +RS1_14 RS2_0e 3 1 +RS1_14 RS2_0f 11 1 +RS1_14 RS2_10 33 1 +RS1_14 RS2_11 13 1 +RS1_14 RS2_12 16 1 +RS1_14 RS2_13 10 1 +RS1_14 RS2_14 24 1 +RS1_14 RS2_15 6 1 +RS1_14 RS2_16 10 1 +RS1_14 RS2_17 29 1 +RS1_14 RS2_18 1 1 +RS1_14 RS2_19 19 1 +RS1_14 RS2_1a 4 1 +RS1_14 RS2_1b 17 1 +RS1_14 RS2_1c 8 1 +RS1_14 RS2_1d 32 1 +RS1_14 RS2_1e 4 1 +RS1_14 RS2_1f 15 1 +RS1_15 RS2_00 10 1 +RS1_15 RS2_01 7 1 +RS1_15 RS2_02 8 1 +RS1_15 RS2_03 16 1 +RS1_15 RS2_04 51 1 +RS1_15 RS2_05 29 1 +RS1_15 RS2_06 21 1 +RS1_15 RS2_07 33 1 +RS1_15 RS2_08 22 1 +RS1_15 RS2_09 18 1 +RS1_15 RS2_0a 25 1 +RS1_15 RS2_0b 21 1 +RS1_15 RS2_0c 30 1 +RS1_15 RS2_0d 8 1 +RS1_15 RS2_0e 25 1 +RS1_15 RS2_0f 24 1 +RS1_15 RS2_10 21 1 +RS1_15 RS2_11 25 1 +RS1_15 RS2_12 5 1 +RS1_15 RS2_13 13 1 +RS1_15 RS2_14 11 1 +RS1_15 RS2_15 12 1 +RS1_15 RS2_16 20 1 +RS1_15 RS2_17 4 1 +RS1_15 RS2_18 13 1 +RS1_15 RS2_19 5 1 +RS1_15 RS2_1a 7 1 +RS1_15 RS2_1b 14 1 +RS1_15 RS2_1c 23 1 +RS1_15 RS2_1d 34 1 +RS1_15 RS2_1e 9 1 +RS1_15 RS2_1f 27 1 +RS1_16 RS2_00 8 1 +RS1_16 RS2_01 25 1 +RS1_16 RS2_02 23 1 +RS1_16 RS2_03 19 1 +RS1_16 RS2_04 19 1 +RS1_16 RS2_05 26 1 +RS1_16 RS2_06 23 1 +RS1_16 RS2_07 16 1 +RS1_16 RS2_08 30 1 +RS1_16 RS2_09 27 1 +RS1_16 RS2_0a 25 1 +RS1_16 RS2_0b 10 1 +RS1_16 RS2_0c 3 1 +RS1_16 RS2_0d 18 1 +RS1_16 RS2_0e 3 1 +RS1_16 RS2_0f 16 1 +RS1_16 RS2_10 18 1 +RS1_16 RS2_11 35 1 +RS1_16 RS2_12 10 1 +RS1_16 RS2_13 18 1 +RS1_16 RS2_14 11 1 +RS1_16 RS2_15 20 1 +RS1_16 RS2_16 25 1 +RS1_16 RS2_17 26 1 +RS1_16 RS2_18 20 1 +RS1_16 RS2_19 35 1 +RS1_16 RS2_1a 8 1 +RS1_16 RS2_1b 20 1 +RS1_16 RS2_1c 36 1 +RS1_16 RS2_1d 11 1 +RS1_16 RS2_1e 31 1 +RS1_16 RS2_1f 18 1 +RS1_17 RS2_00 9 1 +RS1_17 RS2_01 33 1 +RS1_17 RS2_02 20 1 +RS1_17 RS2_03 24 1 +RS1_17 RS2_04 27 1 +RS1_17 RS2_05 17 1 +RS1_17 RS2_06 15 1 +RS1_17 RS2_07 11 1 +RS1_17 RS2_08 31 1 +RS1_17 RS2_09 20 1 +RS1_17 RS2_0a 14 1 +RS1_17 RS2_0b 29 1 +RS1_17 RS2_0c 2 1 +RS1_17 RS2_0d 11 1 +RS1_17 RS2_0e 24 1 +RS1_17 RS2_0f 4 1 +RS1_17 RS2_10 38 1 +RS1_17 RS2_11 20 1 +RS1_17 RS2_12 16 1 +RS1_17 RS2_13 17 1 +RS1_17 RS2_14 7 1 +RS1_17 RS2_15 16 1 +RS1_17 RS2_16 1 1 +RS1_17 RS2_17 12 1 +RS1_17 RS2_18 32 1 +RS1_17 RS2_19 11 1 +RS1_17 RS2_1a 15 1 +RS1_17 RS2_1b 22 1 +RS1_17 RS2_1c 6 1 +RS1_17 RS2_1d 26 1 +RS1_17 RS2_1e 34 1 +RS1_17 RS2_1f 7 1 +RS1_18 RS2_00 8 1 +RS1_18 RS2_01 8 1 +RS1_18 RS2_02 13 1 +RS1_18 RS2_03 1 1 +RS1_18 RS2_04 11 1 +RS1_18 RS2_05 9 1 +RS1_18 RS2_06 20 1 +RS1_18 RS2_07 19 1 +RS1_18 RS2_08 6 1 +RS1_18 RS2_09 7 1 +RS1_18 RS2_0a 11 1 +RS1_18 RS2_0b 11 1 +RS1_18 RS2_0c 18 1 +RS1_18 RS2_0d 17 1 +RS1_18 RS2_0e 17 1 +RS1_18 RS2_0f 15 1 +RS1_18 RS2_10 35 1 +RS1_18 RS2_11 15 1 +RS1_18 RS2_12 18 1 +RS1_18 RS2_13 35 1 +RS1_18 RS2_14 30 1 +RS1_18 RS2_15 8 1 +RS1_18 RS2_16 13 1 +RS1_18 RS2_17 24 1 +RS1_18 RS2_18 13 1 +RS1_18 RS2_19 17 1 +RS1_18 RS2_1a 32 1 +RS1_18 RS2_1b 24 1 +RS1_18 RS2_1c 25 1 +RS1_18 RS2_1d 7 1 +RS1_18 RS2_1e 20 1 +RS1_18 RS2_1f 20 1 +RS1_19 RS2_00 21 1 +RS1_19 RS2_01 8 1 +RS1_19 RS2_02 20 1 +RS1_19 RS2_03 13 1 +RS1_19 RS2_04 13 1 +RS1_19 RS2_05 3 1 +RS1_19 RS2_06 23 1 +RS1_19 RS2_07 25 1 +RS1_19 RS2_08 12 1 +RS1_19 RS2_09 10 1 +RS1_19 RS2_0a 9 1 +RS1_19 RS2_0b 26 1 +RS1_19 RS2_0c 12 1 +RS1_19 RS2_0d 21 1 +RS1_19 RS2_0e 16 1 +RS1_19 RS2_0f 8 1 +RS1_19 RS2_10 13 1 +RS1_19 RS2_11 16 1 +RS1_19 RS2_12 4 1 +RS1_19 RS2_13 24 1 +RS1_19 RS2_14 21 1 +RS1_19 RS2_15 16 1 +RS1_19 RS2_16 23 1 +RS1_19 RS2_17 32 1 +RS1_19 RS2_18 15 1 +RS1_19 RS2_19 9 1 +RS1_19 RS2_1a 5 1 +RS1_19 RS2_1b 11 1 +RS1_19 RS2_1c 8 1 +RS1_19 RS2_1d 8 1 +RS1_19 RS2_1e 10 1 +RS1_19 RS2_1f 9 1 +RS1_1a RS2_00 16 1 +RS1_1a RS2_01 14 1 +RS1_1a RS2_02 17 1 +RS1_1a RS2_03 18 1 +RS1_1a RS2_04 4 1 +RS1_1a RS2_05 9 1 +RS1_1a RS2_06 14 1 +RS1_1a RS2_07 2 1 +RS1_1a RS2_08 13 1 +RS1_1a RS2_09 8 1 +RS1_1a RS2_0a 28 1 +RS1_1a RS2_0b 16 1 +RS1_1a RS2_0c 16 1 +RS1_1a RS2_0d 23 1 +RS1_1a RS2_0e 18 1 +RS1_1a RS2_0f 22 1 +RS1_1a RS2_10 21 1 +RS1_1a RS2_11 21 1 +RS1_1a RS2_12 10 1 +RS1_1a RS2_13 15 1 +RS1_1a RS2_14 14 1 +RS1_1a RS2_15 27 1 +RS1_1a RS2_16 15 1 +RS1_1a RS2_17 10 1 +RS1_1a RS2_18 26 1 +RS1_1a RS2_19 24 1 +RS1_1a RS2_1a 18 1 +RS1_1a RS2_1b 10 1 +RS1_1a RS2_1c 24 1 +RS1_1a RS2_1d 5 1 +RS1_1a RS2_1e 26 1 +RS1_1a RS2_1f 11 1 +RS1_1b RS2_00 12 1 +RS1_1b RS2_01 24 1 +RS1_1b RS2_02 2 1 +RS1_1b RS2_03 13 1 +RS1_1b RS2_04 29 1 +RS1_1b RS2_05 30 1 +RS1_1b RS2_06 25 1 +RS1_1b RS2_07 18 1 +RS1_1b RS2_08 10 1 +RS1_1b RS2_09 25 1 +RS1_1b RS2_0a 26 1 +RS1_1b RS2_0b 18 1 +RS1_1b RS2_0c 19 1 +RS1_1b RS2_0d 15 1 +RS1_1b RS2_0e 14 1 +RS1_1b RS2_0f 8 1 +RS1_1b RS2_10 9 1 +RS1_1b RS2_11 17 1 +RS1_1b RS2_12 21 1 +RS1_1b RS2_13 30 1 +RS1_1b RS2_14 9 1 +RS1_1b RS2_15 33 1 +RS1_1b RS2_16 20 1 +RS1_1b RS2_17 19 1 +RS1_1b RS2_18 14 1 +RS1_1b RS2_19 12 1 +RS1_1b RS2_1a 16 1 +RS1_1b RS2_1b 9 1 +RS1_1b RS2_1c 20 1 +RS1_1b RS2_1d 10 1 +RS1_1b RS2_1e 20 1 +RS1_1b RS2_1f 22 1 +RS1_1c RS2_00 17 1 +RS1_1c RS2_01 12 1 +RS1_1c RS2_02 16 1 +RS1_1c RS2_03 22 1 +RS1_1c RS2_04 16 1 +RS1_1c RS2_05 8 1 +RS1_1c RS2_06 14 1 +RS1_1c RS2_07 27 1 +RS1_1c RS2_08 14 1 +RS1_1c RS2_09 6 1 +RS1_1c RS2_0a 3 1 +RS1_1c RS2_0b 6 1 +RS1_1c RS2_0c 16 1 +RS1_1c RS2_0d 22 1 +RS1_1c RS2_0e 6 1 +RS1_1c RS2_0f 7 1 +RS1_1c RS2_10 25 1 +RS1_1c RS2_11 11 1 +RS1_1c RS2_12 10 1 +RS1_1c RS2_13 19 1 +RS1_1c RS2_14 6 1 +RS1_1c RS2_15 26 1 +RS1_1c RS2_16 25 1 +RS1_1c RS2_17 17 1 +RS1_1c RS2_18 33 1 +RS1_1c RS2_19 16 1 +RS1_1c RS2_1a 14 1 +RS1_1c RS2_1b 26 1 +RS1_1c RS2_1c 19 1 +RS1_1c RS2_1d 23 1 +RS1_1c RS2_1e 20 1 +RS1_1c RS2_1f 13 1 +RS1_1d RS2_00 4 1 +RS1_1d RS2_01 8 1 +RS1_1d RS2_02 21 1 +RS1_1d RS2_03 27 1 +RS1_1d RS2_04 20 1 +RS1_1d RS2_05 14 1 +RS1_1d RS2_06 34 1 +RS1_1d RS2_07 13 1 +RS1_1d RS2_08 19 1 +RS1_1d RS2_09 24 1 +RS1_1d RS2_0a 13 1 +RS1_1d RS2_0b 12 1 +RS1_1d RS2_0c 17 1 +RS1_1d RS2_0d 21 1 +RS1_1d RS2_0e 16 1 +RS1_1d RS2_0f 40 1 +RS1_1d RS2_10 13 1 +RS1_1d RS2_11 5 1 +RS1_1d RS2_12 21 1 +RS1_1d RS2_13 3 1 +RS1_1d RS2_14 23 1 +RS1_1d RS2_15 5 1 +RS1_1d RS2_16 20 1 +RS1_1d RS2_17 30 1 +RS1_1d RS2_18 14 1 +RS1_1d RS2_19 11 1 +RS1_1d RS2_1a 5 1 +RS1_1d RS2_1b 14 1 +RS1_1d RS2_1c 33 1 +RS1_1d RS2_1d 23 1 +RS1_1d RS2_1e 32 1 +RS1_1d RS2_1f 32 1 +RS1_1e RS2_00 30 1 +RS1_1e RS2_01 10 1 +RS1_1e RS2_02 14 1 +RS1_1e RS2_03 17 1 +RS1_1e RS2_04 24 1 +RS1_1e RS2_05 7 1 +RS1_1e RS2_06 27 1 +RS1_1e RS2_07 16 1 +RS1_1e RS2_08 14 1 +RS1_1e RS2_09 11 1 +RS1_1e RS2_0a 17 1 +RS1_1e RS2_0b 14 1 +RS1_1e RS2_0c 5 1 +RS1_1e RS2_0d 21 1 +RS1_1e RS2_0e 11 1 +RS1_1e RS2_0f 17 1 +RS1_1e RS2_10 17 1 +RS1_1e RS2_11 19 1 +RS1_1e RS2_12 19 1 +RS1_1e RS2_13 24 1 +RS1_1e RS2_14 9 1 +RS1_1e RS2_15 24 1 +RS1_1e RS2_16 27 1 +RS1_1e RS2_17 10 1 +RS1_1e RS2_18 12 1 +RS1_1e RS2_19 13 1 +RS1_1e RS2_1a 10 1 +RS1_1e RS2_1b 17 1 +RS1_1e RS2_1c 22 1 +RS1_1e RS2_1d 19 1 +RS1_1e RS2_1e 10 1 +RS1_1e RS2_1f 10 1 +RS1_1f RS2_00 4 1 +RS1_1f RS2_01 26 1 +RS1_1f RS2_02 6 1 +RS1_1f RS2_03 25 1 +RS1_1f RS2_04 9 1 +RS1_1f RS2_05 14 1 +RS1_1f RS2_06 26 1 +RS1_1f RS2_07 23 1 +RS1_1f RS2_08 13 1 +RS1_1f RS2_09 20 1 +RS1_1f RS2_0a 5 1 +RS1_1f RS2_0b 12 1 +RS1_1f RS2_0c 8 1 +RS1_1f RS2_0d 11 1 +RS1_1f RS2_0e 26 1 +RS1_1f RS2_0f 13 1 +RS1_1f RS2_10 5 1 +RS1_1f RS2_11 11 1 +RS1_1f RS2_12 9 1 +RS1_1f RS2_13 17 1 +RS1_1f RS2_14 24 1 +RS1_1f RS2_15 10 1 +RS1_1f RS2_16 25 1 +RS1_1f RS2_17 19 1 +RS1_1f RS2_18 1 1 +RS1_1f RS2_19 29 1 +RS1_1f RS2_1a 18 1 +RS1_1f RS2_1b 9 1 +RS1_1f RS2_1c 23 1 +RS1_1f RS2_1d 13 1 +RS1_1f RS2_1e 9 1 +RS1_1f RS2_1f 25 1 + + +Group : uvma_cvxif_pkg::cg_response + +=============================================================================== +Group : uvma_cvxif_pkg::cg_response +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_cvxif_pkg.response_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_cvxif_pkg::cg_response + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_cvxif_pkg::cg_response + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_issue_accept 2 0 2 100.00 100 1 1 0 +cp_writeback 2 0 2 100.00 100 1 1 0 +cp_register_read 4 0 4 100.00 100 1 1 0 +cp_compressed_accept 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_cvxif_pkg.response_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_cvxif_pkg::cg_response + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_cvxif_pkg.response_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_cvxif_pkg.response_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_issue_accept 2 0 2 100.00 100 1 1 0 +cp_writeback 2 0 2 100.00 100 1 1 0 +cp_register_read 4 0 4 100.00 100 1 1 0 +cp_compressed_accept 2 0 2 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_cvxif_pkg.response_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_resp 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_issue_accept + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_issue_accept + + +Bins + +NAME COUNT AT LEAST +ISSUE_ACCEPT_0 96430 1 +ISSUE_ACCEPT_1 2318 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_writeback + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_writeback + + +Bins + +NAME COUNT AT LEAST +WRITEBACK_0 96852 1 +WRITEBACK_1 1896 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_register_read + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_register_read + + +Bins + +NAME COUNT AT LEAST +REGISTER_READ_0 96852 1 +REGISTER_READ_1 189 1 +REGISTER_READ_2 171 1 +REGISTER_READ_3 1536 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_compressed_accept + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_compressed_accept + + +Bins + +NAME COUNT AT LEAST +COMPRESSED_ACCEPT_0 96430 1 +COMPRESSED_ACCEPT_1 2318 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_resp + + +Samples crossed: cp_issue_accept cp_writeback cp_register_read +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 4 0 4 100.00 +Automatically Generated Cross Bins 4 0 4 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_resp + + +Bins + +cp_issue_accept cp_writeback cp_register_read COUNT AT LEAST +ISSUE_ACCEPT_1 WRITEBACK_0 REGISTER_READ_0 422 1 +ISSUE_ACCEPT_1 WRITEBACK_1 REGISTER_READ_1 189 1 +ISSUE_ACCEPT_1 WRITEBACK_1 REGISTER_READ_2 171 1 +ISSUE_ACCEPT_1 WRITEBACK_1 REGISTER_READ_3 1536 1 + + +User Defined Cross Bins for cross_resp + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_ACCEPT0 0 Excluded +IGN_WRITEBACK1 0 Excluded +IGN_WRITEBACK0 0 Excluded + + +Group : uvma_interrupt_pkg::cg_interrupt + +=============================================================================== +Group : uvma_interrupt_pkg::cg_interrupt +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/uvma_interrupt/cov/uvma_interrupt_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_interrupt_pkg.interrupt_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_interrupt_pkg::cg_interrupt + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvma_interrupt_pkg::cg_interrupt + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt_req 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_interrupt_pkg.interrupt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_interrupt_pkg::cg_interrupt + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_interrupt_pkg.interrupt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance uvma_interrupt_pkg.interrupt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_interrupt_req 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_interrupt_req + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_interrupt_req + + +Bins + +NAME COUNT AT LEAST +INTERRUPTS_0000 43638 1 +INTERRUPTS_0001 82882 1 +INTERRUPTS_0002 130185 1 +INTERRUPTS_0003 169380 1 + + +Group : uvma_isacov_pkg::cg_zb_rstype_zexth + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype_zexth +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_zext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype_zexth + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype_zexth + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_zext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_zexth + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2191 1 +auto[1] 503 1 +auto[2] 530 1 +auto[3] 506 1 +auto[4] 484 1 +auto[5] 468 1 +auto[6] 486 1 +auto[7] 529 1 +auto[8] 509 1 +auto[9] 520 1 +auto[10] 538 1 +auto[11] 462 1 +auto[12] 465 1 +auto[13] 485 1 +auto[14] 495 1 +auto[15] 512 1 +auto[16] 482 1 +auto[17] 501 1 +auto[18] 488 1 +auto[19] 538 1 +auto[20] 489 1 +auto[21] 495 1 +auto[22] 498 1 +auto[23] 531 1 +auto[24] 527 1 +auto[25] 486 1 +auto[26] 460 1 +auto[27] 525 1 +auto[28] 520 1 +auto[29] 479 1 +auto[30] 530 1 +auto[31] 515 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2215 1 +auto[1] 516 1 +auto[2] 451 1 +auto[3] 565 1 +auto[4] 465 1 +auto[5] 506 1 +auto[6] 579 1 +auto[7] 467 1 +auto[8] 445 1 +auto[9] 490 1 +auto[10] 496 1 +auto[11] 486 1 +auto[12] 479 1 +auto[13] 488 1 +auto[14] 503 1 +auto[15] 541 1 +auto[16] 521 1 +auto[17] 490 1 +auto[18] 495 1 +auto[19] 527 1 +auto[20] 496 1 +auto[21] 492 1 +auto[22] 543 1 +auto[23] 511 1 +auto[24] 525 1 +auto[25] 478 1 +auto[26] 520 1 +auto[27] 518 1 +auto[28] 469 1 +auto[29] 498 1 +auto[30] 479 1 +auto[31] 493 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1692 1 +RD_01 16 1 +RD_02 13 1 +RD_03 20 1 +RD_04 10 1 +RD_05 10 1 +RD_06 21 1 +RD_07 15 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 7 1 +RD_11 14 1 +RD_12 20 1 +RD_13 22 1 +RD_14 16 1 +RD_15 12 1 +RD_16 18 1 +RD_17 19 1 +RD_18 21 1 +RD_19 14 1 +RD_1a 12 1 +RD_1b 15 1 +RD_1c 15 1 +RD_1d 8 1 +RD_1e 18 1 +RD_1f 18 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6906 1 +auto_NON_ZERO 10841 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7567 1 +auto_NON_ZERO 10180 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4980 1 +BIT30_1 3130 1 +BIT29_1 3146 1 +BIT28_1 3142 1 +BIT27_1 3053 1 +BIT26_1 3034 1 +BIT25_1 2979 1 +BIT24_1 3031 1 +BIT23_1 3002 1 +BIT22_1 2997 1 +BIT21_1 2976 1 +BIT20_1 2994 1 +BIT19_1 3017 1 +BIT18_1 3013 1 +BIT17_1 3024 1 +BIT16_1 3189 1 +BIT15_1 3947 1 +BIT14_1 3952 1 +BIT13_1 4120 1 +BIT12_1 3952 1 +BIT11_1 4459 1 +BIT10_1 4448 1 +BIT9_1 3974 1 +BIT8_1 3441 1 +BIT7_1 4200 1 +BIT6_1 3768 1 +BIT5_1 3944 1 +BIT4_1 5070 1 +BIT3_1 5201 1 +BIT2_1 5099 1 +BIT1_1 4025 1 +BIT0_1 4471 1 +BIT31_0 12767 1 +BIT30_0 14617 1 +BIT29_0 14601 1 +BIT28_0 14605 1 +BIT27_0 14694 1 +BIT26_0 14713 1 +BIT25_0 14768 1 +BIT24_0 14716 1 +BIT23_0 14745 1 +BIT22_0 14750 1 +BIT21_0 14771 1 +BIT20_0 14753 1 +BIT19_0 14730 1 +BIT18_0 14734 1 +BIT17_0 14723 1 +BIT16_0 14558 1 +BIT15_0 13800 1 +BIT14_0 13795 1 +BIT13_0 13627 1 +BIT12_0 13795 1 +BIT11_0 13288 1 +BIT10_0 13299 1 +BIT9_0 13773 1 +BIT8_0 14306 1 +BIT7_0 13547 1 +BIT6_0 13979 1 +BIT5_0 13803 1 +BIT4_0 12677 1 +BIT3_0 12546 1 +BIT2_0 12648 1 +BIT1_0 13722 1 +BIT0_0 13276 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 3947 1 +BIT14_1 3952 1 +BIT13_1 4120 1 +BIT12_1 3952 1 +BIT11_1 4459 1 +BIT10_1 4448 1 +BIT9_1 3974 1 +BIT8_1 3441 1 +BIT7_1 4200 1 +BIT6_1 3768 1 +BIT5_1 3944 1 +BIT4_1 5070 1 +BIT3_1 5201 1 +BIT2_1 5099 1 +BIT1_1 4025 1 +BIT0_1 4471 1 +BIT15_0 13800 1 +BIT14_0 13795 1 +BIT13_0 13627 1 +BIT12_0 13795 1 +BIT11_0 13288 1 +BIT10_0 13299 1 +BIT9_0 13773 1 +BIT8_0 14306 1 +BIT7_0 13547 1 +BIT6_0 13979 1 +BIT5_0 13803 1 +BIT4_0 12677 1 +BIT3_0 12546 1 +BIT2_0 12648 1 +BIT1_0 13722 1 +BIT0_0 13276 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_rtype(withChksum=777630929) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype(withChksum=777630929) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mulhsu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 360 0 360 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mulhsu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 360 0 360 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 656 1 +auto[1] 649 1 +auto[2] 609 1 +auto[3] 578 1 +auto[4] 683 1 +auto[5] 608 1 +auto[6] 601 1 +auto[7] 624 1 +auto[8] 597 1 +auto[9] 604 1 +auto[10] 642 1 +auto[11] 649 1 +auto[12] 652 1 +auto[13] 649 1 +auto[14] 602 1 +auto[15] 634 1 +auto[16] 673 1 +auto[17] 646 1 +auto[18] 660 1 +auto[19] 621 1 +auto[20] 698 1 +auto[21] 668 1 +auto[22] 625 1 +auto[23] 615 1 +auto[24] 657 1 +auto[25] 697 1 +auto[26] 649 1 +auto[27] 701 1 +auto[28] 642 1 +auto[29] 603 1 +auto[30] 701 1 +auto[31] 738 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 613 1 +auto[1] 651 1 +auto[2] 605 1 +auto[3] 620 1 +auto[4] 625 1 +auto[5] 665 1 +auto[6] 691 1 +auto[7] 627 1 +auto[8] 685 1 +auto[9] 615 1 +auto[10] 639 1 +auto[11] 644 1 +auto[12] 662 1 +auto[13] 708 1 +auto[14] 656 1 +auto[15] 602 1 +auto[16] 598 1 +auto[17] 640 1 +auto[18] 620 1 +auto[19] 654 1 +auto[20] 635 1 +auto[21] 659 1 +auto[22] 622 1 +auto[23] 685 1 +auto[24] 703 1 +auto[25] 620 1 +auto[26] 672 1 +auto[27] 601 1 +auto[28] 662 1 +auto[29] 632 1 +auto[30] 705 1 +auto[31] 615 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 703 1 +auto[1] 670 1 +auto[2] 584 1 +auto[3] 685 1 +auto[4] 769 1 +auto[5] 579 1 +auto[6] 777 1 +auto[7] 677 1 +auto[8] 614 1 +auto[9] 632 1 +auto[10] 645 1 +auto[11] 610 1 +auto[12] 593 1 +auto[13] 622 1 +auto[14] 649 1 +auto[15] 630 1 +auto[16] 597 1 +auto[17] 638 1 +auto[18] 620 1 +auto[19] 601 1 +auto[20] 566 1 +auto[21] 705 1 +auto[22] 647 1 +auto[23] 644 1 +auto[24] 635 1 +auto[25] 637 1 +auto[26] 647 1 +auto[27] 715 1 +auto[28] 662 1 +auto[29] 588 1 +auto[30] 650 1 +auto[31] 640 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 69 1 +RD_02 66 1 +RD_03 55 1 +RD_04 74 1 +RD_05 64 1 +RD_06 61 1 +RD_07 70 1 +RD_08 60 1 +RD_09 55 1 +RD_0a 71 1 +RD_0b 74 1 +RD_0c 63 1 +RD_0d 65 1 +RD_0e 63 1 +RD_0f 64 1 +RD_10 63 1 +RD_11 70 1 +RD_12 74 1 +RD_13 56 1 +RD_14 50 1 +RD_15 72 1 +RD_16 62 1 +RD_17 56 1 +RD_18 76 1 +RD_19 62 1 +RD_1a 66 1 +RD_1b 68 1 +RD_1c 83 1 +RD_1d 57 1 +RD_1e 65 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 62 1 +RD_01 71 1 +RD_02 71 1 +RD_03 63 1 +RD_04 67 1 +RD_05 75 1 +RD_06 55 1 +RD_07 66 1 +RD_08 58 1 +RD_09 60 1 +RD_0a 70 1 +RD_0b 73 1 +RD_0c 71 1 +RD_0d 73 1 +RD_0e 60 1 +RD_0f 67 1 +RD_10 64 1 +RD_11 57 1 +RD_12 78 1 +RD_13 59 1 +RD_14 45 1 +RD_15 66 1 +RD_16 62 1 +RD_17 63 1 +RD_18 69 1 +RD_19 52 1 +RD_1a 72 1 +RD_1b 83 1 +RD_1c 83 1 +RD_1d 73 1 +RD_1e 70 1 +RD_1f 78 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7117 1 +auto_POSITIVE 7114 1 +auto_NEGATIVE 6400 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7113 1 +auto_NON_ZERO 13518 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 13363 1 +auto_POSITIVE 2760 1 +auto_NEGATIVE 4508 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6400 1 +BIT30_1 4178 1 +BIT29_1 4153 1 +BIT28_1 4109 1 +BIT27_1 3967 1 +BIT26_1 3970 1 +BIT25_1 3935 1 +BIT24_1 3994 1 +BIT23_1 3886 1 +BIT22_1 3885 1 +BIT21_1 4049 1 +BIT20_1 3914 1 +BIT19_1 3912 1 +BIT18_1 3959 1 +BIT17_1 3901 1 +BIT16_1 4185 1 +BIT15_1 5271 1 +BIT14_1 4908 1 +BIT13_1 5348 1 +BIT12_1 5071 1 +BIT11_1 5664 1 +BIT10_1 5762 1 +BIT9_1 4946 1 +BIT8_1 4343 1 +BIT7_1 5222 1 +BIT6_1 4681 1 +BIT5_1 4835 1 +BIT4_1 6390 1 +BIT3_1 6329 1 +BIT2_1 6399 1 +BIT1_1 4973 1 +BIT0_1 5781 1 +BIT31_0 14231 1 +BIT30_0 16453 1 +BIT29_0 16478 1 +BIT28_0 16522 1 +BIT27_0 16664 1 +BIT26_0 16661 1 +BIT25_0 16696 1 +BIT24_0 16637 1 +BIT23_0 16745 1 +BIT22_0 16746 1 +BIT21_0 16582 1 +BIT20_0 16717 1 +BIT19_0 16719 1 +BIT18_0 16672 1 +BIT17_0 16730 1 +BIT16_0 16446 1 +BIT15_0 15360 1 +BIT14_0 15723 1 +BIT13_0 15283 1 +BIT12_0 15560 1 +BIT11_0 14967 1 +BIT10_0 14869 1 +BIT9_0 15685 1 +BIT8_0 16288 1 +BIT7_0 15409 1 +BIT6_0 15950 1 +BIT5_0 15796 1 +BIT4_0 14241 1 +BIT3_0 14302 1 +BIT2_0 14232 1 +BIT1_0 15658 1 +BIT0_0 14850 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6426 1 +BIT30_1 4143 1 +BIT29_1 4083 1 +BIT28_1 4102 1 +BIT27_1 4044 1 +BIT26_1 3979 1 +BIT25_1 3907 1 +BIT24_1 3984 1 +BIT23_1 3979 1 +BIT22_1 3953 1 +BIT21_1 3886 1 +BIT20_1 3900 1 +BIT19_1 3957 1 +BIT18_1 3938 1 +BIT17_1 3944 1 +BIT16_1 4224 1 +BIT15_1 5119 1 +BIT14_1 5116 1 +BIT13_1 5294 1 +BIT12_1 5054 1 +BIT11_1 5618 1 +BIT10_1 5703 1 +BIT9_1 4947 1 +BIT8_1 4409 1 +BIT7_1 5403 1 +BIT6_1 4818 1 +BIT5_1 4834 1 +BIT4_1 6162 1 +BIT3_1 6237 1 +BIT2_1 6338 1 +BIT1_1 4977 1 +BIT0_1 5800 1 +BIT31_0 14205 1 +BIT30_0 16488 1 +BIT29_0 16548 1 +BIT28_0 16529 1 +BIT27_0 16587 1 +BIT26_0 16652 1 +BIT25_0 16724 1 +BIT24_0 16647 1 +BIT23_0 16652 1 +BIT22_0 16678 1 +BIT21_0 16745 1 +BIT20_0 16731 1 +BIT19_0 16674 1 +BIT18_0 16693 1 +BIT17_0 16687 1 +BIT16_0 16407 1 +BIT15_0 15512 1 +BIT14_0 15515 1 +BIT13_0 15337 1 +BIT12_0 15577 1 +BIT11_0 15013 1 +BIT10_0 14928 1 +BIT9_0 15684 1 +BIT8_0 16222 1 +BIT7_0 15228 1 +BIT6_0 15813 1 +BIT5_0 15797 1 +BIT4_0 14469 1 +BIT3_0 14394 1 +BIT2_0 14293 1 +BIT1_0 15654 1 +BIT0_0 14831 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4508 1 +BIT30_1 4009 1 +BIT29_1 3790 1 +BIT28_1 3797 1 +BIT27_1 3747 1 +BIT26_1 3769 1 +BIT25_1 3817 1 +BIT24_1 3775 1 +BIT23_1 3757 1 +BIT22_1 3795 1 +BIT21_1 3840 1 +BIT20_1 3865 1 +BIT19_1 3804 1 +BIT18_1 3869 1 +BIT17_1 3763 1 +BIT16_1 3819 1 +BIT15_1 3957 1 +BIT14_1 3965 1 +BIT13_1 3981 1 +BIT12_1 3995 1 +BIT11_1 4015 1 +BIT10_1 4028 1 +BIT9_1 4011 1 +BIT8_1 3953 1 +BIT7_1 3965 1 +BIT6_1 4050 1 +BIT5_1 3970 1 +BIT4_1 4133 1 +BIT3_1 4130 1 +BIT2_1 4154 1 +BIT1_1 4111 1 +BIT0_1 4052 1 +BIT31_0 16123 1 +BIT30_0 16622 1 +BIT29_0 16841 1 +BIT28_0 16834 1 +BIT27_0 16884 1 +BIT26_0 16862 1 +BIT25_0 16814 1 +BIT24_0 16856 1 +BIT23_0 16874 1 +BIT22_0 16836 1 +BIT21_0 16791 1 +BIT20_0 16766 1 +BIT19_0 16827 1 +BIT18_0 16762 1 +BIT17_0 16868 1 +BIT16_0 16812 1 +BIT15_0 16674 1 +BIT14_0 16666 1 +BIT13_0 16650 1 +BIT12_0 16636 1 +BIT11_0 16616 1 +BIT10_0 16603 1 +BIT9_0 16620 1 +BIT8_0 16678 1 +BIT7_0 16666 1 +BIT6_0 16581 1 +BIT5_0 16661 1 +BIT4_0 16498 1 +BIT3_0 16501 1 +BIT2_0 16477 1 +BIT1_0 16520 1 +BIT0_0 16579 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (2 bins) +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3303 1 +auto_ZERO auto_NON_ZERO 3814 1 +auto_POSITIVE auto_ZERO 1918 1 +auto_POSITIVE auto_NON_ZERO 5196 1 +auto_NEGATIVE auto_ZERO 1892 1 +auto_NEGATIVE auto_NON_ZERO 4508 1 + + +Group : uvma_isacov_pkg::cg_rtype(withChksum=689159069) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype(withChksum=689159069) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +8 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_add_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sub_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_div_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_mulh_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_rem_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zba_sh1add_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zba_sh2add_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zba_sh3add_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 90 1 +auto[1] 603 1 +auto[2] 16214 1 +auto[3] 581 1 +auto[4] 33898 1 +auto[5] 40953 1 +auto[6] 26755 1 +auto[7] 33458 1 +auto[8] 31247 1 +auto[9] 33233 1 +auto[10] 19786 1 +auto[11] 20274 1 +auto[12] 21408 1 +auto[13] 51555 1 +auto[14] 30355 1 +auto[15] 53282 1 +auto[16] 39972 1 +auto[17] 36965 1 +auto[18] 20495 1 +auto[19] 63451 1 +auto[20] 90795 1 +auto[21] 34776 1 +auto[22] 21021 1 +auto[23] 40184 1 +auto[24] 100514 1 +auto[25] 25782 1 +auto[26] 39043 1 +auto[27] 25611 1 +auto[28] 40797 1 +auto[29] 27460 1 +auto[30] 28767 1 +auto[31] 29370 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1061502 1 +auto[1] 589 1 +auto[2] 693 1 +auto[3] 516 1 +auto[4] 545 1 +auto[5] 549 1 +auto[6] 551 1 +auto[7] 516 1 +auto[8] 489 1 +auto[9] 531 1 +auto[10] 509 1 +auto[11] 542 1 +auto[12] 529 1 +auto[13] 488 1 +auto[14] 618 1 +auto[15] 556 1 +auto[16] 526 1 +auto[17] 556 1 +auto[18] 546 1 +auto[19] 502 1 +auto[20] 547 1 +auto[21] 590 1 +auto[22] 599 1 +auto[23] 514 1 +auto[24] 691 1 +auto[25] 511 1 +auto[26] 532 1 +auto[27] 567 1 +auto[28] 499 1 +auto[29] 648 1 +auto[30] 602 1 +auto[31] 542 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 704 1 +auto[1] 571 1 +auto[2] 16088 1 +auto[3] 600 1 +auto[4] 33903 1 +auto[5] 40928 1 +auto[6] 26692 1 +auto[7] 33552 1 +auto[8] 31153 1 +auto[9] 33233 1 +auto[10] 19742 1 +auto[11] 20277 1 +auto[12] 21166 1 +auto[13] 51687 1 +auto[14] 30520 1 +auto[15] 53014 1 +auto[16] 40012 1 +auto[17] 36866 1 +auto[18] 20442 1 +auto[19] 63418 1 +auto[20] 90792 1 +auto[21] 34762 1 +auto[22] 21044 1 +auto[23] 40048 1 +auto[24] 100518 1 +auto[25] 25719 1 +auto[26] 39111 1 +auto[27] 25586 1 +auto[28] 40845 1 +auto[29] 27492 1 +auto[30] 28791 1 +auto[31] 29419 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 53 1 +RD_01 2 1 +RD_02 2 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 3 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 3 1 +RD_0c 2 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 2 1 +RD_11 2 1 +RD_12 1 1 +RD_13 2 1 +RD_14 2 1 +RD_15 2 1 +RD_16 2 1 +RD_17 1 1 +RD_18 2 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 2 1 +RD_1d 2 1 +RD_1e 1 1 +RD_1f 2 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 55 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5563 1 +auto_POSITIVE 6548 1 +auto_NEGATIVE 1066584 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1067152 1 +auto_POSITIVE 6225 1 +auto_NEGATIVE 5318 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2415 1 +auto_POSITIVE 8215 1 +auto_NEGATIVE 1068065 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1066584 1 +BIT30_1 3671 1 +BIT29_1 3675 1 +BIT28_1 3708 1 +BIT27_1 3600 1 +BIT26_1 3613 1 +BIT25_1 3553 1 +BIT24_1 3569 1 +BIT23_1 3599 1 +BIT22_1 3555 1 +BIT21_1 3541 1 +BIT20_1 3588 1 +BIT19_1 3569 1 +BIT18_1 3578 1 +BIT17_1 3525 1 +BIT16_1 3773 1 +BIT15_1 1065478 1 +BIT14_1 4594 1 +BIT13_1 593360 1 +BIT12_1 476972 1 +BIT11_1 1065917 1 +BIT10_1 1066042 1 +BIT9_1 4540 1 +BIT8_1 4020 1 +BIT7_1 535326 1 +BIT6_1 4369 1 +BIT5_1 4549 1 +BIT4_1 1066548 1 +BIT3_1 1066740 1 +BIT2_1 5770 1 +BIT1_1 4488 1 +BIT0_1 5256 1 +BIT31_0 12111 1 +BIT30_0 1075024 1 +BIT29_0 1075020 1 +BIT28_0 1074987 1 +BIT27_0 1075095 1 +BIT26_0 1075082 1 +BIT25_0 1075142 1 +BIT24_0 1075126 1 +BIT23_0 1075096 1 +BIT22_0 1075140 1 +BIT21_0 1075154 1 +BIT20_0 1075107 1 +BIT19_0 1075126 1 +BIT18_0 1075117 1 +BIT17_0 1075170 1 +BIT16_0 1074922 1 +BIT15_0 13217 1 +BIT14_0 1074101 1 +BIT13_0 485335 1 +BIT12_0 601723 1 +BIT11_0 12778 1 +BIT10_0 12653 1 +BIT9_0 1074155 1 +BIT8_0 1074675 1 +BIT7_0 543369 1 +BIT6_0 1074326 1 +BIT5_0 1074146 1 +BIT4_0 12147 1 +BIT3_0 11955 1 +BIT2_0 1072925 1 +BIT1_0 1074207 1 +BIT0_0 1073439 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5318 1 +BIT30_1 3387 1 +BIT29_1 3353 1 +BIT28_1 3376 1 +BIT27_1 3254 1 +BIT26_1 3280 1 +BIT25_1 3178 1 +BIT24_1 3193 1 +BIT23_1 3227 1 +BIT22_1 3250 1 +BIT21_1 3229 1 +BIT20_1 3275 1 +BIT19_1 3296 1 +BIT18_1 3355 1 +BIT17_1 3300 1 +BIT16_1 3417 1 +BIT15_1 4273 1 +BIT14_1 4244 1 +BIT13_1 4300 1 +BIT12_1 4164 1 +BIT11_1 4681 1 +BIT10_1 4702 1 +BIT9_1 4094 1 +BIT8_1 3582 1 +BIT7_1 4441 1 +BIT6_1 3947 1 +BIT5_1 4121 1 +BIT4_1 5294 1 +BIT3_1 5342 1 +BIT2_1 5257 1 +BIT1_1 4121 1 +BIT0_1 4890 1 +BIT31_0 1073377 1 +BIT30_0 1075308 1 +BIT29_0 1075342 1 +BIT28_0 1075319 1 +BIT27_0 1075441 1 +BIT26_0 1075415 1 +BIT25_0 1075517 1 +BIT24_0 1075502 1 +BIT23_0 1075468 1 +BIT22_0 1075445 1 +BIT21_0 1075466 1 +BIT20_0 1075420 1 +BIT19_0 1075399 1 +BIT18_0 1075340 1 +BIT17_0 1075395 1 +BIT16_0 1075278 1 +BIT15_0 1074422 1 +BIT14_0 1074451 1 +BIT13_0 1074395 1 +BIT12_0 1074531 1 +BIT11_0 1074014 1 +BIT10_0 1073993 1 +BIT9_0 1074601 1 +BIT8_0 1075113 1 +BIT7_0 1074254 1 +BIT6_0 1074748 1 +BIT5_0 1074574 1 +BIT4_0 1073401 1 +BIT3_0 1073353 1 +BIT2_0 1073438 1 +BIT1_0 1074574 1 +BIT0_0 1073805 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1068065 1 +BIT30_1 4849 1 +BIT29_1 4857 1 +BIT28_1 4870 1 +BIT27_1 4686 1 +BIT26_1 4755 1 +BIT25_1 4615 1 +BIT24_1 4626 1 +BIT23_1 4635 1 +BIT22_1 4656 1 +BIT21_1 4634 1 +BIT20_1 4725 1 +BIT19_1 4715 1 +BIT18_1 4802 1 +BIT17_1 4762 1 +BIT16_1 5214 1 +BIT15_1 1067096 1 +BIT14_1 6271 1 +BIT13_1 594981 1 +BIT12_1 478576 1 +BIT11_1 1067724 1 +BIT10_1 1067792 1 +BIT9_1 6151 1 +BIT8_1 5551 1 +BIT7_1 536956 1 +BIT6_1 6020 1 +BIT5_1 6322 1 +BIT4_1 1068344 1 +BIT3_1 1068396 1 +BIT2_1 7333 1 +BIT1_1 6380 1 +BIT0_1 6804 1 +BIT31_0 10630 1 +BIT30_0 1073846 1 +BIT29_0 1073838 1 +BIT28_0 1073825 1 +BIT27_0 1074009 1 +BIT26_0 1073940 1 +BIT25_0 1074080 1 +BIT24_0 1074069 1 +BIT23_0 1074060 1 +BIT22_0 1074039 1 +BIT21_0 1074061 1 +BIT20_0 1073970 1 +BIT19_0 1073980 1 +BIT18_0 1073893 1 +BIT17_0 1073933 1 +BIT16_0 1073481 1 +BIT15_0 11599 1 +BIT14_0 1072424 1 +BIT13_0 483714 1 +BIT12_0 600119 1 +BIT11_0 10971 1 +BIT10_0 10903 1 +BIT9_0 1072544 1 +BIT8_0 1073144 1 +BIT7_0 541739 1 +BIT6_0 1072675 1 +BIT5_0 1072373 1 +BIT4_0 10351 1 +BIT3_0 10299 1 +BIT2_0 1071362 1 +BIT1_0 1072315 1 +BIT0_0 1071891 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2297 1 +auto_ZERO auto_POSITIVE 1793 1 +auto_ZERO auto_NEGATIVE 1473 1 +auto_POSITIVE auto_ZERO 2078 1 +auto_POSITIVE auto_POSITIVE 2564 1 +auto_POSITIVE auto_NEGATIVE 1906 1 +auto_NEGATIVE auto_ZERO 1062777 1 +auto_NEGATIVE auto_POSITIVE 1868 1 +auto_NEGATIVE auto_NEGATIVE 1939 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 680 1 +auto[1] 708 1 +auto[2] 591 1 +auto[3] 618 1 +auto[4] 634 1 +auto[5] 644 1 +auto[6] 630 1 +auto[7] 619 1 +auto[8] 592 1 +auto[9] 706 1 +auto[10] 566 1 +auto[11] 556 1 +auto[12] 581 1 +auto[13] 621 1 +auto[14] 702 1 +auto[15] 598 1 +auto[16] 615 1 +auto[17] 586 1 +auto[18] 792 1 +auto[19] 646 1 +auto[20] 674 1 +auto[21] 612 1 +auto[22] 590 1 +auto[23] 632 1 +auto[24] 615 1 +auto[25] 678 1 +auto[26] 615 1 +auto[27] 679 1 +auto[28] 654 1 +auto[29] 727 1 +auto[30] 638 1 +auto[31] 596 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 710 1 +auto[1] 774 1 +auto[2] 635 1 +auto[3] 684 1 +auto[4] 610 1 +auto[5] 605 1 +auto[6] 620 1 +auto[7] 624 1 +auto[8] 581 1 +auto[9] 578 1 +auto[10] 589 1 +auto[11] 582 1 +auto[12] 581 1 +auto[13] 619 1 +auto[14] 563 1 +auto[15] 577 1 +auto[16] 649 1 +auto[17] 628 1 +auto[18] 701 1 +auto[19] 618 1 +auto[20] 656 1 +auto[21] 650 1 +auto[22] 608 1 +auto[23] 639 1 +auto[24] 611 1 +auto[25] 613 1 +auto[26] 650 1 +auto[27] 667 1 +auto[28] 657 1 +auto[29] 689 1 +auto[30] 779 1 +auto[31] 648 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 690 1 +auto[1] 680 1 +auto[2] 613 1 +auto[3] 653 1 +auto[4] 605 1 +auto[5] 590 1 +auto[6] 617 1 +auto[7] 679 1 +auto[8] 597 1 +auto[9] 542 1 +auto[10] 716 1 +auto[11] 640 1 +auto[12] 573 1 +auto[13] 552 1 +auto[14] 588 1 +auto[15] 575 1 +auto[16] 606 1 +auto[17] 685 1 +auto[18] 641 1 +auto[19] 652 1 +auto[20] 604 1 +auto[21] 694 1 +auto[22] 685 1 +auto[23] 662 1 +auto[24] 604 1 +auto[25] 673 1 +auto[26] 706 1 +auto[27] 721 1 +auto[28] 641 1 +auto[29] 665 1 +auto[30] 662 1 +auto[31] 584 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 83 1 +RD_01 81 1 +RD_02 75 1 +RD_03 62 1 +RD_04 66 1 +RD_05 68 1 +RD_06 57 1 +RD_07 58 1 +RD_08 6 1 +RD_09 14 1 +RD_0a 15 1 +RD_0b 10 1 +RD_0c 13 1 +RD_0d 13 1 +RD_0e 21 1 +RD_0f 17 1 +RD_10 72 1 +RD_11 61 1 +RD_12 67 1 +RD_13 71 1 +RD_14 77 1 +RD_15 73 1 +RD_16 58 1 +RD_17 70 1 +RD_18 78 1 +RD_19 73 1 +RD_1a 65 1 +RD_1b 68 1 +RD_1c 70 1 +RD_1d 79 1 +RD_1e 63 1 +RD_1f 58 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 87 1 +RD_01 73 1 +RD_02 75 1 +RD_03 57 1 +RD_04 57 1 +RD_05 66 1 +RD_06 65 1 +RD_07 56 1 +RD_08 11 1 +RD_09 16 1 +RD_0a 19 1 +RD_0b 22 1 +RD_0c 36 1 +RD_0d 16 1 +RD_0e 26 1 +RD_0f 14 1 +RD_10 67 1 +RD_11 64 1 +RD_12 86 1 +RD_13 68 1 +RD_14 74 1 +RD_15 63 1 +RD_16 68 1 +RD_17 60 1 +RD_18 67 1 +RD_19 67 1 +RD_1a 77 1 +RD_1b 73 1 +RD_1c 67 1 +RD_1d 83 1 +RD_1e 62 1 +RD_1f 78 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7102 1 +auto_POSITIVE 7099 1 +auto_NEGATIVE 6194 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6810 1 +auto_POSITIVE 7182 1 +auto_NEGATIVE 6403 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4281 1 +auto_POSITIVE 7978 1 +auto_NEGATIVE 8136 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6194 1 +BIT30_1 4076 1 +BIT29_1 4043 1 +BIT28_1 4047 1 +BIT27_1 3907 1 +BIT26_1 3993 1 +BIT25_1 3879 1 +BIT24_1 3975 1 +BIT23_1 3952 1 +BIT22_1 3890 1 +BIT21_1 3913 1 +BIT20_1 3975 1 +BIT19_1 4006 1 +BIT18_1 4036 1 +BIT17_1 4004 1 +BIT16_1 4058 1 +BIT15_1 5055 1 +BIT14_1 4975 1 +BIT13_1 5238 1 +BIT12_1 4950 1 +BIT11_1 5558 1 +BIT10_1 5567 1 +BIT9_1 4972 1 +BIT8_1 4256 1 +BIT7_1 5233 1 +BIT6_1 4629 1 +BIT5_1 4724 1 +BIT4_1 6062 1 +BIT3_1 6128 1 +BIT2_1 6091 1 +BIT1_1 4775 1 +BIT0_1 5660 1 +BIT31_0 14201 1 +BIT30_0 16319 1 +BIT29_0 16352 1 +BIT28_0 16348 1 +BIT27_0 16488 1 +BIT26_0 16402 1 +BIT25_0 16516 1 +BIT24_0 16420 1 +BIT23_0 16443 1 +BIT22_0 16505 1 +BIT21_0 16482 1 +BIT20_0 16420 1 +BIT19_0 16389 1 +BIT18_0 16359 1 +BIT17_0 16391 1 +BIT16_0 16337 1 +BIT15_0 15340 1 +BIT14_0 15420 1 +BIT13_0 15157 1 +BIT12_0 15445 1 +BIT11_0 14837 1 +BIT10_0 14828 1 +BIT9_0 15423 1 +BIT8_0 16139 1 +BIT7_0 15162 1 +BIT6_0 15766 1 +BIT5_0 15671 1 +BIT4_0 14333 1 +BIT3_0 14267 1 +BIT2_0 14304 1 +BIT1_0 15620 1 +BIT0_0 14735 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6403 1 +BIT30_1 4201 1 +BIT29_1 4205 1 +BIT28_1 4287 1 +BIT27_1 4108 1 +BIT26_1 4108 1 +BIT25_1 3980 1 +BIT24_1 4075 1 +BIT23_1 4005 1 +BIT22_1 4133 1 +BIT21_1 4166 1 +BIT20_1 4084 1 +BIT19_1 4045 1 +BIT18_1 4122 1 +BIT17_1 4003 1 +BIT16_1 4262 1 +BIT15_1 5109 1 +BIT14_1 5105 1 +BIT13_1 5230 1 +BIT12_1 5141 1 +BIT11_1 5568 1 +BIT10_1 5659 1 +BIT9_1 4957 1 +BIT8_1 4364 1 +BIT7_1 5285 1 +BIT6_1 4773 1 +BIT5_1 4942 1 +BIT4_1 6283 1 +BIT3_1 6327 1 +BIT2_1 6273 1 +BIT1_1 4842 1 +BIT0_1 5713 1 +BIT31_0 13992 1 +BIT30_0 16194 1 +BIT29_0 16190 1 +BIT28_0 16108 1 +BIT27_0 16287 1 +BIT26_0 16287 1 +BIT25_0 16415 1 +BIT24_0 16320 1 +BIT23_0 16390 1 +BIT22_0 16262 1 +BIT21_0 16229 1 +BIT20_0 16311 1 +BIT19_0 16350 1 +BIT18_0 16273 1 +BIT17_0 16392 1 +BIT16_0 16133 1 +BIT15_0 15286 1 +BIT14_0 15290 1 +BIT13_0 15165 1 +BIT12_0 15254 1 +BIT11_0 14827 1 +BIT10_0 14736 1 +BIT9_0 15438 1 +BIT8_0 16031 1 +BIT7_0 15110 1 +BIT6_0 15622 1 +BIT5_0 15453 1 +BIT4_0 14112 1 +BIT3_0 14068 1 +BIT2_0 14122 1 +BIT1_0 15553 1 +BIT0_0 14682 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8136 1 +BIT30_1 7989 1 +BIT29_1 7949 1 +BIT28_1 7867 1 +BIT27_1 7954 1 +BIT26_1 7980 1 +BIT25_1 7985 1 +BIT24_1 7962 1 +BIT23_1 7978 1 +BIT22_1 7860 1 +BIT21_1 7855 1 +BIT20_1 7894 1 +BIT19_1 7865 1 +BIT18_1 7877 1 +BIT17_1 7938 1 +BIT16_1 7745 1 +BIT15_1 7789 1 +BIT14_1 7726 1 +BIT13_1 7780 1 +BIT12_1 7733 1 +BIT11_1 7628 1 +BIT10_1 7547 1 +BIT9_1 7639 1 +BIT8_1 7455 1 +BIT7_1 7561 1 +BIT6_1 7484 1 +BIT5_1 7469 1 +BIT4_1 7286 1 +BIT3_1 7105 1 +BIT2_1 7709 1 +BIT1_1 6695 1 +BIT0_1 7387 1 +BIT31_0 12259 1 +BIT30_0 12406 1 +BIT29_0 12446 1 +BIT28_0 12528 1 +BIT27_0 12441 1 +BIT26_0 12415 1 +BIT25_0 12410 1 +BIT24_0 12433 1 +BIT23_0 12417 1 +BIT22_0 12535 1 +BIT21_0 12540 1 +BIT20_0 12501 1 +BIT19_0 12530 1 +BIT18_0 12518 1 +BIT17_0 12457 1 +BIT16_0 12650 1 +BIT15_0 12606 1 +BIT14_0 12669 1 +BIT13_0 12615 1 +BIT12_0 12662 1 +BIT11_0 12767 1 +BIT10_0 12848 1 +BIT9_0 12756 1 +BIT8_0 12940 1 +BIT7_0 12834 1 +BIT6_0 12911 1 +BIT5_0 12926 1 +BIT4_0 13109 1 +BIT3_0 13290 1 +BIT2_0 12686 1 +BIT1_0 13700 1 +BIT0_0 13008 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3147 1 +auto_ZERO auto_POSITIVE 2123 1 +auto_ZERO auto_NEGATIVE 1832 1 +auto_POSITIVE auto_ZERO 1958 1 +auto_POSITIVE auto_POSITIVE 3019 1 +auto_POSITIVE auto_NEGATIVE 2122 1 +auto_NEGATIVE auto_ZERO 1705 1 +auto_NEGATIVE auto_POSITIVE 2040 1 +auto_NEGATIVE auto_NEGATIVE 2449 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_div_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_div_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_div_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_div_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 670 1 +auto[1] 634 1 +auto[2] 666 1 +auto[3] 615 1 +auto[4] 647 1 +auto[5] 746 1 +auto[6] 719 1 +auto[7] 646 1 +auto[8] 647 1 +auto[9] 679 1 +auto[10] 638 1 +auto[11] 610 1 +auto[12] 785 1 +auto[13] 597 1 +auto[14] 591 1 +auto[15] 675 1 +auto[16] 677 1 +auto[17] 612 1 +auto[18] 694 1 +auto[19] 661 1 +auto[20] 620 1 +auto[21] 571 1 +auto[22] 615 1 +auto[23] 598 1 +auto[24] 661 1 +auto[25] 656 1 +auto[26] 611 1 +auto[27] 608 1 +auto[28] 670 1 +auto[29] 598 1 +auto[30] 625 1 +auto[31] 650 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 620 1 +auto[1] 662 1 +auto[2] 656 1 +auto[3] 758 1 +auto[4] 646 1 +auto[5] 643 1 +auto[6] 612 1 +auto[7] 624 1 +auto[8] 639 1 +auto[9] 619 1 +auto[10] 743 1 +auto[11] 682 1 +auto[12] 604 1 +auto[13] 633 1 +auto[14] 653 1 +auto[15] 610 1 +auto[16] 629 1 +auto[17] 626 1 +auto[18] 651 1 +auto[19] 625 1 +auto[20] 579 1 +auto[21] 642 1 +auto[22] 653 1 +auto[23] 639 1 +auto[24] 835 1 +auto[25] 652 1 +auto[26] 604 1 +auto[27] 689 1 +auto[28] 625 1 +auto[29] 628 1 +auto[30] 611 1 +auto[31] 600 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 675 1 +auto[1] 669 1 +auto[2] 604 1 +auto[3] 764 1 +auto[4] 629 1 +auto[5] 611 1 +auto[6] 655 1 +auto[7] 630 1 +auto[8] 629 1 +auto[9] 615 1 +auto[10] 621 1 +auto[11] 596 1 +auto[12] 614 1 +auto[13] 788 1 +auto[14] 862 1 +auto[15] 629 1 +auto[16] 590 1 +auto[17] 683 1 +auto[18] 604 1 +auto[19] 643 1 +auto[20] 623 1 +auto[21] 604 1 +auto[22] 630 1 +auto[23] 679 1 +auto[24] 677 1 +auto[25] 631 1 +auto[26] 614 1 +auto[27] 627 1 +auto[28] 676 1 +auto[29] 612 1 +auto[30] 618 1 +auto[31] 590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 76 1 +RD_01 73 1 +RD_02 76 1 +RD_03 66 1 +RD_04 77 1 +RD_05 60 1 +RD_06 65 1 +RD_07 71 1 +RD_08 73 1 +RD_09 70 1 +RD_0a 81 1 +RD_0b 65 1 +RD_0c 75 1 +RD_0d 83 1 +RD_0e 56 1 +RD_0f 76 1 +RD_10 71 1 +RD_11 58 1 +RD_12 76 1 +RD_13 68 1 +RD_14 66 1 +RD_15 65 1 +RD_16 64 1 +RD_17 92 1 +RD_18 70 1 +RD_19 67 1 +RD_1a 54 1 +RD_1b 70 1 +RD_1c 73 1 +RD_1d 77 1 +RD_1e 56 1 +RD_1f 67 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 78 1 +RD_01 70 1 +RD_02 71 1 +RD_03 73 1 +RD_04 74 1 +RD_05 66 1 +RD_06 62 1 +RD_07 64 1 +RD_08 70 1 +RD_09 68 1 +RD_0a 80 1 +RD_0b 60 1 +RD_0c 71 1 +RD_0d 74 1 +RD_0e 63 1 +RD_0f 67 1 +RD_10 66 1 +RD_11 57 1 +RD_12 70 1 +RD_13 78 1 +RD_14 59 1 +RD_15 56 1 +RD_16 71 1 +RD_17 77 1 +RD_18 74 1 +RD_19 71 1 +RD_1a 52 1 +RD_1b 74 1 +RD_1c 73 1 +RD_1d 73 1 +RD_1e 63 1 +RD_1f 62 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7120 1 +auto_POSITIVE 7137 1 +auto_NEGATIVE 6435 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7317 1 +auto_POSITIVE 7121 1 +auto_NEGATIVE 6254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7864 1 +auto_POSITIVE 3349 1 +auto_NEGATIVE 9479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6435 1 +BIT30_1 4362 1 +BIT29_1 4312 1 +BIT28_1 4333 1 +BIT27_1 4328 1 +BIT26_1 4196 1 +BIT25_1 4263 1 +BIT24_1 4151 1 +BIT23_1 4187 1 +BIT22_1 4237 1 +BIT21_1 4109 1 +BIT20_1 4225 1 +BIT19_1 4194 1 +BIT18_1 4317 1 +BIT17_1 4117 1 +BIT16_1 4513 1 +BIT15_1 5306 1 +BIT14_1 5238 1 +BIT13_1 5548 1 +BIT12_1 5266 1 +BIT11_1 5616 1 +BIT10_1 5862 1 +BIT9_1 5134 1 +BIT8_1 4589 1 +BIT7_1 5539 1 +BIT6_1 4824 1 +BIT5_1 5111 1 +BIT4_1 6344 1 +BIT3_1 6484 1 +BIT2_1 6419 1 +BIT1_1 5163 1 +BIT0_1 5990 1 +BIT31_0 14257 1 +BIT30_0 16330 1 +BIT29_0 16380 1 +BIT28_0 16359 1 +BIT27_0 16364 1 +BIT26_0 16496 1 +BIT25_0 16429 1 +BIT24_0 16541 1 +BIT23_0 16505 1 +BIT22_0 16455 1 +BIT21_0 16583 1 +BIT20_0 16467 1 +BIT19_0 16498 1 +BIT18_0 16375 1 +BIT17_0 16575 1 +BIT16_0 16179 1 +BIT15_0 15386 1 +BIT14_0 15454 1 +BIT13_0 15144 1 +BIT12_0 15426 1 +BIT11_0 15076 1 +BIT10_0 14830 1 +BIT9_0 15558 1 +BIT8_0 16103 1 +BIT7_0 15153 1 +BIT6_0 15868 1 +BIT5_0 15581 1 +BIT4_0 14348 1 +BIT3_0 14208 1 +BIT2_0 14273 1 +BIT1_0 15529 1 +BIT0_0 14702 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6254 1 +BIT30_1 4248 1 +BIT29_1 4270 1 +BIT28_1 4287 1 +BIT27_1 4123 1 +BIT26_1 4156 1 +BIT25_1 4082 1 +BIT24_1 4103 1 +BIT23_1 4111 1 +BIT22_1 4091 1 +BIT21_1 4060 1 +BIT20_1 4054 1 +BIT19_1 4120 1 +BIT18_1 4075 1 +BIT17_1 4027 1 +BIT16_1 4276 1 +BIT15_1 5091 1 +BIT14_1 5025 1 +BIT13_1 5260 1 +BIT12_1 5135 1 +BIT11_1 5518 1 +BIT10_1 5574 1 +BIT9_1 5015 1 +BIT8_1 4354 1 +BIT7_1 5398 1 +BIT6_1 4653 1 +BIT5_1 4858 1 +BIT4_1 6275 1 +BIT3_1 6273 1 +BIT2_1 6214 1 +BIT1_1 5044 1 +BIT0_1 5855 1 +BIT31_0 14438 1 +BIT30_0 16444 1 +BIT29_0 16422 1 +BIT28_0 16405 1 +BIT27_0 16569 1 +BIT26_0 16536 1 +BIT25_0 16610 1 +BIT24_0 16589 1 +BIT23_0 16581 1 +BIT22_0 16601 1 +BIT21_0 16632 1 +BIT20_0 16638 1 +BIT19_0 16572 1 +BIT18_0 16617 1 +BIT17_0 16665 1 +BIT16_0 16416 1 +BIT15_0 15601 1 +BIT14_0 15667 1 +BIT13_0 15432 1 +BIT12_0 15557 1 +BIT11_0 15174 1 +BIT10_0 15118 1 +BIT9_0 15677 1 +BIT8_0 16338 1 +BIT7_0 15294 1 +BIT6_0 16039 1 +BIT5_0 15834 1 +BIT4_0 14417 1 +BIT3_0 14419 1 +BIT2_0 14478 1 +BIT1_0 15648 1 +BIT0_0 14837 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 9479 1 +BIT30_1 9415 1 +BIT29_1 9447 1 +BIT28_1 9405 1 +BIT27_1 9341 1 +BIT26_1 9351 1 +BIT25_1 9314 1 +BIT24_1 9336 1 +BIT23_1 9300 1 +BIT22_1 9336 1 +BIT21_1 9328 1 +BIT20_1 9310 1 +BIT19_1 9295 1 +BIT18_1 9292 1 +BIT17_1 9332 1 +BIT16_1 9297 1 +BIT15_1 9338 1 +BIT14_1 9307 1 +BIT13_1 9335 1 +BIT12_1 9287 1 +BIT11_1 9291 1 +BIT10_1 9235 1 +BIT9_1 9236 1 +BIT8_1 9255 1 +BIT7_1 9235 1 +BIT6_1 9238 1 +BIT5_1 9275 1 +BIT4_1 9242 1 +BIT3_1 9242 1 +BIT2_1 9360 1 +BIT1_1 9244 1 +BIT0_1 10725 1 +BIT31_0 11213 1 +BIT30_0 11277 1 +BIT29_0 11245 1 +BIT28_0 11287 1 +BIT27_0 11351 1 +BIT26_0 11341 1 +BIT25_0 11378 1 +BIT24_0 11356 1 +BIT23_0 11392 1 +BIT22_0 11356 1 +BIT21_0 11364 1 +BIT20_0 11382 1 +BIT19_0 11397 1 +BIT18_0 11400 1 +BIT17_0 11360 1 +BIT16_0 11395 1 +BIT15_0 11354 1 +BIT14_0 11385 1 +BIT13_0 11357 1 +BIT12_0 11405 1 +BIT11_0 11401 1 +BIT10_0 11457 1 +BIT9_0 11456 1 +BIT8_0 11437 1 +BIT7_0 11457 1 +BIT6_0 11454 1 +BIT5_0 11417 1 +BIT4_0 11450 1 +BIT3_0 11450 1 +BIT2_0 11332 1 +BIT1_0 11448 1 +BIT0_0 9967 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3383 1 +auto_ZERO auto_POSITIVE 1965 1 +auto_ZERO auto_NEGATIVE 1772 1 +auto_POSITIVE auto_ZERO 2046 1 +auto_POSITIVE auto_POSITIVE 3080 1 +auto_POSITIVE auto_NEGATIVE 2011 1 +auto_NEGATIVE auto_ZERO 1888 1 +auto_NEGATIVE auto_POSITIVE 2076 1 +auto_NEGATIVE auto_NEGATIVE 2471 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_mulh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 592 1 +auto[1] 594 1 +auto[2] 706 1 +auto[3] 626 1 +auto[4] 625 1 +auto[5] 657 1 +auto[6] 575 1 +auto[7] 634 1 +auto[8] 634 1 +auto[9] 710 1 +auto[10] 636 1 +auto[11] 628 1 +auto[12] 824 1 +auto[13] 668 1 +auto[14] 594 1 +auto[15] 582 1 +auto[16] 600 1 +auto[17] 632 1 +auto[18] 613 1 +auto[19] 628 1 +auto[20] 640 1 +auto[21] 609 1 +auto[22] 635 1 +auto[23] 660 1 +auto[24] 737 1 +auto[25] 612 1 +auto[26] 635 1 +auto[27] 640 1 +auto[28] 641 1 +auto[29] 609 1 +auto[30] 729 1 +auto[31] 748 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 612 1 +auto[1] 681 1 +auto[2] 622 1 +auto[3] 624 1 +auto[4] 618 1 +auto[5] 635 1 +auto[6] 734 1 +auto[7] 659 1 +auto[8] 737 1 +auto[9] 734 1 +auto[10] 618 1 +auto[11] 657 1 +auto[12] 590 1 +auto[13] 573 1 +auto[14] 598 1 +auto[15] 616 1 +auto[16] 682 1 +auto[17] 704 1 +auto[18] 611 1 +auto[19] 650 1 +auto[20] 568 1 +auto[21] 644 1 +auto[22] 628 1 +auto[23] 613 1 +auto[24] 776 1 +auto[25] 609 1 +auto[26] 675 1 +auto[27] 605 1 +auto[28] 649 1 +auto[29] 646 1 +auto[30] 688 1 +auto[31] 597 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 741 1 +auto[1] 665 1 +auto[2] 576 1 +auto[3] 648 1 +auto[4] 600 1 +auto[5] 693 1 +auto[6] 623 1 +auto[7] 619 1 +auto[8] 657 1 +auto[9] 683 1 +auto[10] 621 1 +auto[11] 651 1 +auto[12] 748 1 +auto[13] 659 1 +auto[14] 772 1 +auto[15] 680 1 +auto[16] 620 1 +auto[17] 644 1 +auto[18] 637 1 +auto[19] 621 1 +auto[20] 638 1 +auto[21] 598 1 +auto[22] 625 1 +auto[23] 636 1 +auto[24] 658 1 +auto[25] 596 1 +auto[26] 603 1 +auto[27] 629 1 +auto[28] 644 1 +auto[29] 614 1 +auto[30] 629 1 +auto[31] 625 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 69 1 +RD_02 55 1 +RD_03 68 1 +RD_04 58 1 +RD_05 68 1 +RD_06 57 1 +RD_07 71 1 +RD_08 70 1 +RD_09 69 1 +RD_0a 79 1 +RD_0b 74 1 +RD_0c 58 1 +RD_0d 69 1 +RD_0e 43 1 +RD_0f 62 1 +RD_10 71 1 +RD_11 69 1 +RD_12 65 1 +RD_13 69 1 +RD_14 58 1 +RD_15 70 1 +RD_16 54 1 +RD_17 75 1 +RD_18 64 1 +RD_19 67 1 +RD_1a 73 1 +RD_1b 69 1 +RD_1c 66 1 +RD_1d 75 1 +RD_1e 88 1 +RD_1f 62 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 69 1 +RD_02 71 1 +RD_03 71 1 +RD_04 68 1 +RD_05 66 1 +RD_06 50 1 +RD_07 63 1 +RD_08 74 1 +RD_09 63 1 +RD_0a 59 1 +RD_0b 73 1 +RD_0c 50 1 +RD_0d 69 1 +RD_0e 50 1 +RD_0f 60 1 +RD_10 65 1 +RD_11 70 1 +RD_12 73 1 +RD_13 70 1 +RD_14 58 1 +RD_15 64 1 +RD_16 52 1 +RD_17 61 1 +RD_18 70 1 +RD_19 70 1 +RD_1a 68 1 +RD_1b 61 1 +RD_1c 65 1 +RD_1d 72 1 +RD_1e 68 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7075 1 +auto_POSITIVE 6985 1 +auto_NEGATIVE 6593 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7352 1 +auto_POSITIVE 7008 1 +auto_NEGATIVE 6293 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 13910 1 +auto_POSITIVE 2646 1 +auto_NEGATIVE 4097 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6593 1 +BIT30_1 4445 1 +BIT29_1 4352 1 +BIT28_1 4393 1 +BIT27_1 4216 1 +BIT26_1 4125 1 +BIT25_1 4097 1 +BIT24_1 4248 1 +BIT23_1 4099 1 +BIT22_1 4088 1 +BIT21_1 4174 1 +BIT20_1 4091 1 +BIT19_1 4082 1 +BIT18_1 4141 1 +BIT17_1 4051 1 +BIT16_1 4351 1 +BIT15_1 5280 1 +BIT14_1 5341 1 +BIT13_1 5556 1 +BIT12_1 5242 1 +BIT11_1 5857 1 +BIT10_1 5954 1 +BIT9_1 5046 1 +BIT8_1 4512 1 +BIT7_1 5514 1 +BIT6_1 4955 1 +BIT5_1 5196 1 +BIT4_1 6409 1 +BIT3_1 6348 1 +BIT2_1 6579 1 +BIT1_1 5060 1 +BIT0_1 6025 1 +BIT31_0 14060 1 +BIT30_0 16208 1 +BIT29_0 16301 1 +BIT28_0 16260 1 +BIT27_0 16437 1 +BIT26_0 16528 1 +BIT25_0 16556 1 +BIT24_0 16405 1 +BIT23_0 16554 1 +BIT22_0 16565 1 +BIT21_0 16479 1 +BIT20_0 16562 1 +BIT19_0 16571 1 +BIT18_0 16512 1 +BIT17_0 16602 1 +BIT16_0 16302 1 +BIT15_0 15373 1 +BIT14_0 15312 1 +BIT13_0 15097 1 +BIT12_0 15411 1 +BIT11_0 14796 1 +BIT10_0 14699 1 +BIT9_0 15607 1 +BIT8_0 16141 1 +BIT7_0 15139 1 +BIT6_0 15698 1 +BIT5_0 15457 1 +BIT4_0 14244 1 +BIT3_0 14305 1 +BIT2_0 14074 1 +BIT1_0 15593 1 +BIT0_0 14628 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6293 1 +BIT30_1 4134 1 +BIT29_1 4055 1 +BIT28_1 4091 1 +BIT27_1 3956 1 +BIT26_1 3951 1 +BIT25_1 3908 1 +BIT24_1 3919 1 +BIT23_1 3902 1 +BIT22_1 3884 1 +BIT21_1 3950 1 +BIT20_1 3907 1 +BIT19_1 3938 1 +BIT18_1 3956 1 +BIT17_1 3960 1 +BIT16_1 4145 1 +BIT15_1 5028 1 +BIT14_1 4867 1 +BIT13_1 5128 1 +BIT12_1 4955 1 +BIT11_1 5488 1 +BIT10_1 5615 1 +BIT9_1 4856 1 +BIT8_1 4270 1 +BIT7_1 5274 1 +BIT6_1 4606 1 +BIT5_1 4731 1 +BIT4_1 6091 1 +BIT3_1 6187 1 +BIT2_1 6108 1 +BIT1_1 4859 1 +BIT0_1 5679 1 +BIT31_0 14360 1 +BIT30_0 16519 1 +BIT29_0 16598 1 +BIT28_0 16562 1 +BIT27_0 16697 1 +BIT26_0 16702 1 +BIT25_0 16745 1 +BIT24_0 16734 1 +BIT23_0 16751 1 +BIT22_0 16769 1 +BIT21_0 16703 1 +BIT20_0 16746 1 +BIT19_0 16715 1 +BIT18_0 16697 1 +BIT17_0 16693 1 +BIT16_0 16508 1 +BIT15_0 15625 1 +BIT14_0 15786 1 +BIT13_0 15525 1 +BIT12_0 15698 1 +BIT11_0 15165 1 +BIT10_0 15038 1 +BIT9_0 15797 1 +BIT8_0 16383 1 +BIT7_0 15379 1 +BIT6_0 16047 1 +BIT5_0 15922 1 +BIT4_0 14562 1 +BIT3_0 14466 1 +BIT2_0 14545 1 +BIT1_0 15794 1 +BIT0_0 14974 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4097 1 +BIT30_1 4168 1 +BIT29_1 4538 1 +BIT28_1 4635 1 +BIT27_1 4593 1 +BIT26_1 4664 1 +BIT25_1 4602 1 +BIT24_1 4634 1 +BIT23_1 4601 1 +BIT22_1 4659 1 +BIT21_1 4691 1 +BIT20_1 4650 1 +BIT19_1 4636 1 +BIT18_1 4693 1 +BIT17_1 4643 1 +BIT16_1 4683 1 +BIT15_1 4517 1 +BIT14_1 4473 1 +BIT13_1 4446 1 +BIT12_1 4406 1 +BIT11_1 4269 1 +BIT10_1 4349 1 +BIT9_1 4374 1 +BIT8_1 4346 1 +BIT7_1 4459 1 +BIT6_1 4226 1 +BIT5_1 4315 1 +BIT4_1 4259 1 +BIT3_1 4079 1 +BIT2_1 4109 1 +BIT1_1 4016 1 +BIT0_1 3995 1 +BIT31_0 16556 1 +BIT30_0 16485 1 +BIT29_0 16115 1 +BIT28_0 16018 1 +BIT27_0 16060 1 +BIT26_0 15989 1 +BIT25_0 16051 1 +BIT24_0 16019 1 +BIT23_0 16052 1 +BIT22_0 15994 1 +BIT21_0 15962 1 +BIT20_0 16003 1 +BIT19_0 16017 1 +BIT18_0 15960 1 +BIT17_0 16010 1 +BIT16_0 15970 1 +BIT15_0 16136 1 +BIT14_0 16180 1 +BIT13_0 16207 1 +BIT12_0 16247 1 +BIT11_0 16384 1 +BIT10_0 16304 1 +BIT9_0 16279 1 +BIT8_0 16307 1 +BIT7_0 16194 1 +BIT6_0 16427 1 +BIT5_0 16338 1 +BIT4_0 16394 1 +BIT3_0 16574 1 +BIT2_0 16544 1 +BIT1_0 16637 1 +BIT0_0 16658 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3359 1 +auto_ZERO auto_POSITIVE 1977 1 +auto_ZERO auto_NEGATIVE 1739 1 +auto_POSITIVE auto_ZERO 2009 1 +auto_POSITIVE auto_POSITIVE 2955 1 +auto_POSITIVE auto_NEGATIVE 2021 1 +auto_NEGATIVE auto_ZERO 1984 1 +auto_NEGATIVE auto_POSITIVE 2076 1 +auto_NEGATIVE auto_NEGATIVE 2533 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_rem_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_rem_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_rem_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32m_rem_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 687 1 +auto[1] 581 1 +auto[2] 654 1 +auto[3] 620 1 +auto[4] 613 1 +auto[5] 638 1 +auto[6] 707 1 +auto[7] 589 1 +auto[8] 658 1 +auto[9] 641 1 +auto[10] 649 1 +auto[11] 607 1 +auto[12] 618 1 +auto[13] 593 1 +auto[14] 638 1 +auto[15] 637 1 +auto[16] 592 1 +auto[17] 649 1 +auto[18] 644 1 +auto[19] 575 1 +auto[20] 661 1 +auto[21] 608 1 +auto[22] 592 1 +auto[23] 651 1 +auto[24] 661 1 +auto[25] 583 1 +auto[26] 635 1 +auto[27] 689 1 +auto[28] 668 1 +auto[29] 630 1 +auto[30] 632 1 +auto[31] 603 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 675 1 +auto[1] 644 1 +auto[2] 604 1 +auto[3] 638 1 +auto[4] 597 1 +auto[5] 688 1 +auto[6] 704 1 +auto[7] 672 1 +auto[8] 630 1 +auto[9] 613 1 +auto[10] 635 1 +auto[11] 638 1 +auto[12] 623 1 +auto[13] 619 1 +auto[14] 598 1 +auto[15] 620 1 +auto[16] 593 1 +auto[17] 595 1 +auto[18] 631 1 +auto[19] 659 1 +auto[20] 606 1 +auto[21] 649 1 +auto[22] 671 1 +auto[23] 591 1 +auto[24] 674 1 +auto[25] 585 1 +auto[26] 624 1 +auto[27] 624 1 +auto[28] 637 1 +auto[29] 614 1 +auto[30] 644 1 +auto[31] 608 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 693 1 +auto[1] 620 1 +auto[2] 622 1 +auto[3] 683 1 +auto[4] 647 1 +auto[5] 668 1 +auto[6] 623 1 +auto[7] 648 1 +auto[8] 625 1 +auto[9] 616 1 +auto[10] 618 1 +auto[11] 627 1 +auto[12] 662 1 +auto[13] 625 1 +auto[14] 642 1 +auto[15] 610 1 +auto[16] 591 1 +auto[17] 636 1 +auto[18] 633 1 +auto[19] 635 1 +auto[20] 663 1 +auto[21] 641 1 +auto[22] 631 1 +auto[23] 624 1 +auto[24] 648 1 +auto[25] 658 1 +auto[26] 616 1 +auto[27] 653 1 +auto[28] 585 1 +auto[29] 605 1 +auto[30] 606 1 +auto[31] 549 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 82 1 +RD_01 49 1 +RD_02 71 1 +RD_03 72 1 +RD_04 73 1 +RD_05 62 1 +RD_06 83 1 +RD_07 52 1 +RD_08 70 1 +RD_09 71 1 +RD_0a 64 1 +RD_0b 58 1 +RD_0c 77 1 +RD_0d 70 1 +RD_0e 73 1 +RD_0f 70 1 +RD_10 66 1 +RD_11 62 1 +RD_12 66 1 +RD_13 52 1 +RD_14 88 1 +RD_15 77 1 +RD_16 60 1 +RD_17 86 1 +RD_18 79 1 +RD_19 62 1 +RD_1a 65 1 +RD_1b 78 1 +RD_1c 65 1 +RD_1d 55 1 +RD_1e 67 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 77 1 +RD_01 55 1 +RD_02 72 1 +RD_03 70 1 +RD_04 71 1 +RD_05 104 1 +RD_06 84 1 +RD_07 65 1 +RD_08 73 1 +RD_09 67 1 +RD_0a 56 1 +RD_0b 59 1 +RD_0c 75 1 +RD_0d 68 1 +RD_0e 85 1 +RD_0f 58 1 +RD_10 64 1 +RD_11 61 1 +RD_12 66 1 +RD_13 55 1 +RD_14 79 1 +RD_15 69 1 +RD_16 60 1 +RD_17 73 1 +RD_18 78 1 +RD_19 65 1 +RD_1a 59 1 +RD_1b 76 1 +RD_1c 54 1 +RD_1d 67 1 +RD_1e 70 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7106 1 +auto_POSITIVE 6832 1 +auto_NEGATIVE 6265 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7115 1 +auto_POSITIVE 6902 1 +auto_NEGATIVE 6186 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9614 1 +auto_POSITIVE 5527 1 +auto_NEGATIVE 5062 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6265 1 +BIT30_1 4121 1 +BIT29_1 4052 1 +BIT28_1 4096 1 +BIT27_1 3929 1 +BIT26_1 3957 1 +BIT25_1 3855 1 +BIT24_1 3844 1 +BIT23_1 3857 1 +BIT22_1 3885 1 +BIT21_1 3839 1 +BIT20_1 3858 1 +BIT19_1 3884 1 +BIT18_1 3879 1 +BIT17_1 3856 1 +BIT16_1 4058 1 +BIT15_1 4900 1 +BIT14_1 4804 1 +BIT13_1 5113 1 +BIT12_1 4897 1 +BIT11_1 5337 1 +BIT10_1 5463 1 +BIT9_1 4793 1 +BIT8_1 4240 1 +BIT7_1 5213 1 +BIT6_1 4531 1 +BIT5_1 4727 1 +BIT4_1 6015 1 +BIT3_1 6065 1 +BIT2_1 5993 1 +BIT1_1 4813 1 +BIT0_1 5617 1 +BIT31_0 13938 1 +BIT30_0 16082 1 +BIT29_0 16151 1 +BIT28_0 16107 1 +BIT27_0 16274 1 +BIT26_0 16246 1 +BIT25_0 16348 1 +BIT24_0 16359 1 +BIT23_0 16346 1 +BIT22_0 16318 1 +BIT21_0 16364 1 +BIT20_0 16345 1 +BIT19_0 16319 1 +BIT18_0 16324 1 +BIT17_0 16347 1 +BIT16_0 16145 1 +BIT15_0 15303 1 +BIT14_0 15399 1 +BIT13_0 15090 1 +BIT12_0 15306 1 +BIT11_0 14866 1 +BIT10_0 14740 1 +BIT9_0 15410 1 +BIT8_0 15963 1 +BIT7_0 14990 1 +BIT6_0 15672 1 +BIT5_0 15476 1 +BIT4_0 14188 1 +BIT3_0 14138 1 +BIT2_0 14210 1 +BIT1_0 15390 1 +BIT0_0 14586 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6186 1 +BIT30_1 3954 1 +BIT29_1 3985 1 +BIT28_1 4014 1 +BIT27_1 3841 1 +BIT26_1 3851 1 +BIT25_1 3753 1 +BIT24_1 3788 1 +BIT23_1 3786 1 +BIT22_1 3783 1 +BIT21_1 3819 1 +BIT20_1 3854 1 +BIT19_1 3837 1 +BIT18_1 3852 1 +BIT17_1 3769 1 +BIT16_1 4002 1 +BIT15_1 4814 1 +BIT14_1 4728 1 +BIT13_1 5009 1 +BIT12_1 4766 1 +BIT11_1 5303 1 +BIT10_1 5349 1 +BIT9_1 4732 1 +BIT8_1 4173 1 +BIT7_1 5141 1 +BIT6_1 4493 1 +BIT5_1 4657 1 +BIT4_1 6026 1 +BIT3_1 6168 1 +BIT2_1 6034 1 +BIT1_1 4741 1 +BIT0_1 5537 1 +BIT31_0 14017 1 +BIT30_0 16249 1 +BIT29_0 16218 1 +BIT28_0 16189 1 +BIT27_0 16362 1 +BIT26_0 16352 1 +BIT25_0 16450 1 +BIT24_0 16415 1 +BIT23_0 16417 1 +BIT22_0 16420 1 +BIT21_0 16384 1 +BIT20_0 16349 1 +BIT19_0 16366 1 +BIT18_0 16351 1 +BIT17_0 16434 1 +BIT16_0 16201 1 +BIT15_0 15389 1 +BIT14_0 15475 1 +BIT13_0 15194 1 +BIT12_0 15437 1 +BIT11_0 14900 1 +BIT10_0 14854 1 +BIT9_0 15471 1 +BIT8_0 16030 1 +BIT7_0 15062 1 +BIT6_0 15710 1 +BIT5_0 15546 1 +BIT4_0 14177 1 +BIT3_0 14035 1 +BIT2_0 14169 1 +BIT1_0 15462 1 +BIT0_0 14666 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5062 1 +BIT30_1 4288 1 +BIT29_1 4165 1 +BIT28_1 4139 1 +BIT27_1 4136 1 +BIT26_1 4038 1 +BIT25_1 3977 1 +BIT24_1 3941 1 +BIT23_1 3947 1 +BIT22_1 3969 1 +BIT21_1 3901 1 +BIT20_1 3937 1 +BIT19_1 3974 1 +BIT18_1 3919 1 +BIT17_1 3904 1 +BIT16_1 4013 1 +BIT15_1 4345 1 +BIT14_1 4199 1 +BIT13_1 4369 1 +BIT12_1 4266 1 +BIT11_1 4390 1 +BIT10_1 4469 1 +BIT9_1 4078 1 +BIT8_1 3895 1 +BIT7_1 4450 1 +BIT6_1 4047 1 +BIT5_1 4141 1 +BIT4_1 4829 1 +BIT3_1 4938 1 +BIT2_1 4878 1 +BIT1_1 4216 1 +BIT0_1 4684 1 +BIT31_0 15141 1 +BIT30_0 15915 1 +BIT29_0 16038 1 +BIT28_0 16064 1 +BIT27_0 16067 1 +BIT26_0 16165 1 +BIT25_0 16226 1 +BIT24_0 16262 1 +BIT23_0 16256 1 +BIT22_0 16234 1 +BIT21_0 16302 1 +BIT20_0 16266 1 +BIT19_0 16229 1 +BIT18_0 16284 1 +BIT17_0 16299 1 +BIT16_0 16190 1 +BIT15_0 15858 1 +BIT14_0 16004 1 +BIT13_0 15834 1 +BIT12_0 15937 1 +BIT11_0 15813 1 +BIT10_0 15734 1 +BIT9_0 16125 1 +BIT8_0 16308 1 +BIT7_0 15753 1 +BIT6_0 16156 1 +BIT5_0 16062 1 +BIT4_0 15374 1 +BIT3_0 15265 1 +BIT2_0 15325 1 +BIT1_0 15987 1 +BIT0_0 15519 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3421 1 +auto_ZERO auto_POSITIVE 2010 1 +auto_ZERO auto_NEGATIVE 1675 1 +auto_POSITIVE auto_ZERO 1952 1 +auto_POSITIVE auto_POSITIVE 2890 1 +auto_POSITIVE auto_NEGATIVE 1990 1 +auto_NEGATIVE auto_ZERO 1742 1 +auto_NEGATIVE auto_POSITIVE 2002 1 +auto_NEGATIVE auto_NEGATIVE 2521 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zba_sh1add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 571 1 +auto[1] 585 1 +auto[2] 526 1 +auto[3] 550 1 +auto[4] 544 1 +auto[5] 519 1 +auto[6] 587 1 +auto[7] 568 1 +auto[8] 533 1 +auto[9] 549 1 +auto[10] 563 1 +auto[11] 547 1 +auto[12] 512 1 +auto[13] 535 1 +auto[14] 522 1 +auto[15] 559 1 +auto[16] 545 1 +auto[17] 549 1 +auto[18] 541 1 +auto[19] 547 1 +auto[20] 552 1 +auto[21] 560 1 +auto[22] 522 1 +auto[23] 525 1 +auto[24] 575 1 +auto[25] 521 1 +auto[26] 548 1 +auto[27] 554 1 +auto[28] 531 1 +auto[29] 542 1 +auto[30] 527 1 +auto[31] 571 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 511 1 +auto[1] 566 1 +auto[2] 502 1 +auto[3] 525 1 +auto[4] 537 1 +auto[5] 559 1 +auto[6] 536 1 +auto[7] 537 1 +auto[8] 546 1 +auto[9] 577 1 +auto[10] 553 1 +auto[11] 554 1 +auto[12] 562 1 +auto[13] 546 1 +auto[14] 538 1 +auto[15] 541 1 +auto[16] 524 1 +auto[17] 562 1 +auto[18] 596 1 +auto[19] 559 1 +auto[20] 579 1 +auto[21] 571 1 +auto[22] 511 1 +auto[23] 568 1 +auto[24] 531 1 +auto[25] 547 1 +auto[26] 543 1 +auto[27] 541 1 +auto[28] 531 1 +auto[29] 596 1 +auto[30] 494 1 +auto[31] 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 576 1 +auto[1] 577 1 +auto[2] 502 1 +auto[3] 626 1 +auto[4] 528 1 +auto[5] 532 1 +auto[6] 563 1 +auto[7] 579 1 +auto[8] 559 1 +auto[9] 536 1 +auto[10] 506 1 +auto[11] 522 1 +auto[12] 579 1 +auto[13] 550 1 +auto[14] 567 1 +auto[15] 540 1 +auto[16] 551 1 +auto[17] 548 1 +auto[18] 529 1 +auto[19] 538 1 +auto[20] 512 1 +auto[21] 580 1 +auto[22] 524 1 +auto[23] 558 1 +auto[24] 570 1 +auto[25] 533 1 +auto[26] 543 1 +auto[27] 548 1 +auto[28] 564 1 +auto[29] 493 1 +auto[30] 525 1 +auto[31] 522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 64 1 +RD_01 80 1 +RD_02 59 1 +RD_03 73 1 +RD_04 70 1 +RD_05 68 1 +RD_06 77 1 +RD_07 82 1 +RD_08 63 1 +RD_09 74 1 +RD_0a 63 1 +RD_0b 64 1 +RD_0c 61 1 +RD_0d 64 1 +RD_0e 54 1 +RD_0f 65 1 +RD_10 72 1 +RD_11 72 1 +RD_12 76 1 +RD_13 60 1 +RD_14 74 1 +RD_15 69 1 +RD_16 48 1 +RD_17 80 1 +RD_18 70 1 +RD_19 73 1 +RD_1a 72 1 +RD_1b 68 1 +RD_1c 74 1 +RD_1d 67 1 +RD_1e 45 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 59 1 +RD_01 75 1 +RD_02 65 1 +RD_03 57 1 +RD_04 63 1 +RD_05 73 1 +RD_06 80 1 +RD_07 80 1 +RD_08 59 1 +RD_09 74 1 +RD_0a 64 1 +RD_0b 70 1 +RD_0c 62 1 +RD_0d 68 1 +RD_0e 52 1 +RD_0f 66 1 +RD_10 74 1 +RD_11 72 1 +RD_12 67 1 +RD_13 64 1 +RD_14 81 1 +RD_15 73 1 +RD_16 48 1 +RD_17 79 1 +RD_18 67 1 +RD_19 74 1 +RD_1a 61 1 +RD_1b 67 1 +RD_1c 71 1 +RD_1d 69 1 +RD_1e 43 1 +RD_1f 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6232 1 +auto_POSITIVE 5982 1 +auto_NEGATIVE 5266 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6188 1 +auto_POSITIVE 6141 1 +auto_NEGATIVE 5151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3201 1 +auto_POSITIVE 8295 1 +auto_NEGATIVE 5984 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5266 1 +BIT30_1 3487 1 +BIT29_1 3475 1 +BIT28_1 3563 1 +BIT27_1 3382 1 +BIT26_1 3394 1 +BIT25_1 3315 1 +BIT24_1 3365 1 +BIT23_1 3374 1 +BIT22_1 3355 1 +BIT21_1 3313 1 +BIT20_1 3302 1 +BIT19_1 3335 1 +BIT18_1 3377 1 +BIT17_1 3373 1 +BIT16_1 3556 1 +BIT15_1 4246 1 +BIT14_1 4110 1 +BIT13_1 4338 1 +BIT12_1 4224 1 +BIT11_1 4663 1 +BIT10_1 4644 1 +BIT9_1 4159 1 +BIT8_1 3633 1 +BIT7_1 4509 1 +BIT6_1 3967 1 +BIT5_1 4195 1 +BIT4_1 5182 1 +BIT3_1 5274 1 +BIT2_1 5295 1 +BIT1_1 4260 1 +BIT0_1 4850 1 +BIT31_0 12214 1 +BIT30_0 13993 1 +BIT29_0 14005 1 +BIT28_0 13917 1 +BIT27_0 14098 1 +BIT26_0 14086 1 +BIT25_0 14165 1 +BIT24_0 14115 1 +BIT23_0 14106 1 +BIT22_0 14125 1 +BIT21_0 14167 1 +BIT20_0 14178 1 +BIT19_0 14145 1 +BIT18_0 14103 1 +BIT17_0 14107 1 +BIT16_0 13924 1 +BIT15_0 13234 1 +BIT14_0 13370 1 +BIT13_0 13142 1 +BIT12_0 13256 1 +BIT11_0 12817 1 +BIT10_0 12836 1 +BIT9_0 13321 1 +BIT8_0 13847 1 +BIT7_0 12971 1 +BIT6_0 13513 1 +BIT5_0 13285 1 +BIT4_0 12298 1 +BIT3_0 12206 1 +BIT2_0 12185 1 +BIT1_0 13220 1 +BIT0_0 12630 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5151 1 +BIT30_1 3421 1 +BIT29_1 3430 1 +BIT28_1 3471 1 +BIT27_1 3338 1 +BIT26_1 3371 1 +BIT25_1 3309 1 +BIT24_1 3319 1 +BIT23_1 3279 1 +BIT22_1 3288 1 +BIT21_1 3249 1 +BIT20_1 3294 1 +BIT19_1 3284 1 +BIT18_1 3318 1 +BIT17_1 3303 1 +BIT16_1 3459 1 +BIT15_1 4209 1 +BIT14_1 4056 1 +BIT13_1 4379 1 +BIT12_1 4137 1 +BIT11_1 4664 1 +BIT10_1 4690 1 +BIT9_1 4128 1 +BIT8_1 3685 1 +BIT7_1 4456 1 +BIT6_1 3960 1 +BIT5_1 4144 1 +BIT4_1 5216 1 +BIT3_1 5322 1 +BIT2_1 5155 1 +BIT1_1 4217 1 +BIT0_1 4895 1 +BIT31_0 12329 1 +BIT30_0 14059 1 +BIT29_0 14050 1 +BIT28_0 14009 1 +BIT27_0 14142 1 +BIT26_0 14109 1 +BIT25_0 14171 1 +BIT24_0 14161 1 +BIT23_0 14201 1 +BIT22_0 14192 1 +BIT21_0 14231 1 +BIT20_0 14186 1 +BIT19_0 14196 1 +BIT18_0 14162 1 +BIT17_0 14177 1 +BIT16_0 14021 1 +BIT15_0 13271 1 +BIT14_0 13424 1 +BIT13_0 13101 1 +BIT12_0 13343 1 +BIT11_0 12816 1 +BIT10_0 12790 1 +BIT9_0 13352 1 +BIT8_0 13795 1 +BIT7_0 13024 1 +BIT6_0 13520 1 +BIT5_0 13336 1 +BIT4_0 12264 1 +BIT3_0 12158 1 +BIT2_0 12325 1 +BIT1_0 13263 1 +BIT0_0 12585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5984 1 +BIT30_1 4600 1 +BIT29_1 4652 1 +BIT28_1 4508 1 +BIT27_1 4434 1 +BIT26_1 4443 1 +BIT25_1 4434 1 +BIT24_1 4439 1 +BIT23_1 4419 1 +BIT22_1 4403 1 +BIT21_1 4351 1 +BIT20_1 4398 1 +BIT19_1 4385 1 +BIT18_1 4448 1 +BIT17_1 4691 1 +BIT16_1 5394 1 +BIT15_1 5680 1 +BIT14_1 5708 1 +BIT13_1 5911 1 +BIT12_1 6052 1 +BIT11_1 6421 1 +BIT10_1 6166 1 +BIT9_1 5499 1 +BIT8_1 5781 1 +BIT7_1 5771 1 +BIT6_1 5773 1 +BIT5_1 6340 1 +BIT4_1 7076 1 +BIT3_1 6914 1 +BIT2_1 6529 1 +BIT1_1 6243 1 +BIT0_1 4895 1 +BIT31_0 11496 1 +BIT30_0 12880 1 +BIT29_0 12828 1 +BIT28_0 12972 1 +BIT27_0 13046 1 +BIT26_0 13037 1 +BIT25_0 13046 1 +BIT24_0 13041 1 +BIT23_0 13061 1 +BIT22_0 13077 1 +BIT21_0 13129 1 +BIT20_0 13082 1 +BIT19_0 13095 1 +BIT18_0 13032 1 +BIT17_0 12789 1 +BIT16_0 12086 1 +BIT15_0 11800 1 +BIT14_0 11772 1 +BIT13_0 11569 1 +BIT12_0 11428 1 +BIT11_0 11059 1 +BIT10_0 11314 1 +BIT9_0 11981 1 +BIT8_0 11699 1 +BIT7_0 11709 1 +BIT6_0 11707 1 +BIT5_0 11140 1 +BIT4_0 10404 1 +BIT3_0 10566 1 +BIT2_0 10951 1 +BIT1_0 11237 1 +BIT0_0 12585 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3131 1 +auto_ZERO auto_POSITIVE 1663 1 +auto_ZERO auto_NEGATIVE 1438 1 +auto_POSITIVE auto_ZERO 1670 1 +auto_POSITIVE auto_POSITIVE 2657 1 +auto_POSITIVE auto_NEGATIVE 1655 1 +auto_NEGATIVE auto_ZERO 1387 1 +auto_NEGATIVE auto_POSITIVE 1821 1 +auto_NEGATIVE auto_NEGATIVE 2058 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zba_sh2add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 574 1 +auto[1] 537 1 +auto[2] 565 1 +auto[3] 553 1 +auto[4] 582 1 +auto[5] 546 1 +auto[6] 556 1 +auto[7] 594 1 +auto[8] 554 1 +auto[9] 553 1 +auto[10] 559 1 +auto[11] 515 1 +auto[12] 587 1 +auto[13] 560 1 +auto[14] 557 1 +auto[15] 569 1 +auto[16] 531 1 +auto[17] 517 1 +auto[18] 541 1 +auto[19] 561 1 +auto[20] 570 1 +auto[21] 577 1 +auto[22] 512 1 +auto[23] 513 1 +auto[24] 564 1 +auto[25] 544 1 +auto[26] 544 1 +auto[27] 531 1 +auto[28] 539 1 +auto[29] 551 1 +auto[30] 550 1 +auto[31] 520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 565 1 +auto[1] 571 1 +auto[2] 582 1 +auto[3] 552 1 +auto[4] 583 1 +auto[5] 554 1 +auto[6] 593 1 +auto[7] 586 1 +auto[8] 533 1 +auto[9] 537 1 +auto[10] 550 1 +auto[11] 561 1 +auto[12] 542 1 +auto[13] 522 1 +auto[14] 562 1 +auto[15] 527 1 +auto[16] 560 1 +auto[17] 551 1 +auto[18] 536 1 +auto[19] 589 1 +auto[20] 549 1 +auto[21] 514 1 +auto[22] 527 1 +auto[23] 558 1 +auto[24] 563 1 +auto[25] 544 1 +auto[26] 566 1 +auto[27] 522 1 +auto[28] 565 1 +auto[29] 508 1 +auto[30] 521 1 +auto[31] 533 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 616 1 +auto[1] 609 1 +auto[2] 522 1 +auto[3] 618 1 +auto[4] 564 1 +auto[5] 552 1 +auto[6] 567 1 +auto[7] 506 1 +auto[8] 534 1 +auto[9] 529 1 +auto[10] 560 1 +auto[11] 567 1 +auto[12] 529 1 +auto[13] 535 1 +auto[14] 535 1 +auto[15] 521 1 +auto[16] 545 1 +auto[17] 585 1 +auto[18] 545 1 +auto[19] 524 1 +auto[20] 528 1 +auto[21] 542 1 +auto[22] 568 1 +auto[23] 538 1 +auto[24] 572 1 +auto[25] 546 1 +auto[26] 560 1 +auto[27] 528 1 +auto[28] 572 1 +auto[29] 536 1 +auto[30] 560 1 +auto[31] 513 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 58 1 +RD_01 69 1 +RD_02 69 1 +RD_03 81 1 +RD_04 77 1 +RD_05 63 1 +RD_06 68 1 +RD_07 71 1 +RD_08 65 1 +RD_09 54 1 +RD_0a 76 1 +RD_0b 55 1 +RD_0c 72 1 +RD_0d 67 1 +RD_0e 64 1 +RD_0f 55 1 +RD_10 72 1 +RD_11 68 1 +RD_12 57 1 +RD_13 68 1 +RD_14 62 1 +RD_15 69 1 +RD_16 56 1 +RD_17 52 1 +RD_18 75 1 +RD_19 58 1 +RD_1a 67 1 +RD_1b 56 1 +RD_1c 74 1 +RD_1d 59 1 +RD_1e 58 1 +RD_1f 57 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 59 1 +RD_01 65 1 +RD_02 67 1 +RD_03 73 1 +RD_04 73 1 +RD_05 66 1 +RD_06 58 1 +RD_07 68 1 +RD_08 57 1 +RD_09 59 1 +RD_0a 67 1 +RD_0b 60 1 +RD_0c 63 1 +RD_0d 71 1 +RD_0e 67 1 +RD_0f 54 1 +RD_10 74 1 +RD_11 70 1 +RD_12 53 1 +RD_13 67 1 +RD_14 64 1 +RD_15 58 1 +RD_16 56 1 +RD_17 55 1 +RD_18 72 1 +RD_19 68 1 +RD_1a 69 1 +RD_1b 64 1 +RD_1c 68 1 +RD_1d 60 1 +RD_1e 62 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6225 1 +auto_POSITIVE 6027 1 +auto_NEGATIVE 5374 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6140 1 +auto_POSITIVE 6170 1 +auto_NEGATIVE 5316 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3117 1 +auto_POSITIVE 8363 1 +auto_NEGATIVE 6146 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5374 1 +BIT30_1 3475 1 +BIT29_1 3502 1 +BIT28_1 3483 1 +BIT27_1 3363 1 +BIT26_1 3340 1 +BIT25_1 3315 1 +BIT24_1 3344 1 +BIT23_1 3312 1 +BIT22_1 3311 1 +BIT21_1 3332 1 +BIT20_1 3373 1 +BIT19_1 3363 1 +BIT18_1 3425 1 +BIT17_1 3368 1 +BIT16_1 3549 1 +BIT15_1 4244 1 +BIT14_1 4294 1 +BIT13_1 4476 1 +BIT12_1 4243 1 +BIT11_1 4723 1 +BIT10_1 4791 1 +BIT9_1 4294 1 +BIT8_1 3712 1 +BIT7_1 4557 1 +BIT6_1 4050 1 +BIT5_1 4247 1 +BIT4_1 5338 1 +BIT3_1 5512 1 +BIT2_1 5376 1 +BIT1_1 4273 1 +BIT0_1 4987 1 +BIT31_0 12252 1 +BIT30_0 14151 1 +BIT29_0 14124 1 +BIT28_0 14143 1 +BIT27_0 14263 1 +BIT26_0 14286 1 +BIT25_0 14311 1 +BIT24_0 14282 1 +BIT23_0 14314 1 +BIT22_0 14315 1 +BIT21_0 14294 1 +BIT20_0 14253 1 +BIT19_0 14263 1 +BIT18_0 14201 1 +BIT17_0 14258 1 +BIT16_0 14077 1 +BIT15_0 13382 1 +BIT14_0 13332 1 +BIT13_0 13150 1 +BIT12_0 13383 1 +BIT11_0 12903 1 +BIT10_0 12835 1 +BIT9_0 13332 1 +BIT8_0 13914 1 +BIT7_0 13069 1 +BIT6_0 13576 1 +BIT5_0 13379 1 +BIT4_0 12288 1 +BIT3_0 12114 1 +BIT2_0 12250 1 +BIT1_0 13353 1 +BIT0_0 12639 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5316 1 +BIT30_1 3518 1 +BIT29_1 3511 1 +BIT28_1 3550 1 +BIT27_1 3394 1 +BIT26_1 3426 1 +BIT25_1 3398 1 +BIT24_1 3430 1 +BIT23_1 3375 1 +BIT22_1 3380 1 +BIT21_1 3372 1 +BIT20_1 3371 1 +BIT19_1 3361 1 +BIT18_1 3415 1 +BIT17_1 3388 1 +BIT16_1 3612 1 +BIT15_1 4299 1 +BIT14_1 4242 1 +BIT13_1 4474 1 +BIT12_1 4294 1 +BIT11_1 4713 1 +BIT10_1 4711 1 +BIT9_1 4290 1 +BIT8_1 3781 1 +BIT7_1 4602 1 +BIT6_1 4115 1 +BIT5_1 4257 1 +BIT4_1 5402 1 +BIT3_1 5399 1 +BIT2_1 5453 1 +BIT1_1 4323 1 +BIT0_1 4984 1 +BIT31_0 12310 1 +BIT30_0 14108 1 +BIT29_0 14115 1 +BIT28_0 14076 1 +BIT27_0 14232 1 +BIT26_0 14200 1 +BIT25_0 14228 1 +BIT24_0 14196 1 +BIT23_0 14251 1 +BIT22_0 14246 1 +BIT21_0 14254 1 +BIT20_0 14255 1 +BIT19_0 14265 1 +BIT18_0 14211 1 +BIT17_0 14238 1 +BIT16_0 14014 1 +BIT15_0 13327 1 +BIT14_0 13384 1 +BIT13_0 13152 1 +BIT12_0 13332 1 +BIT11_0 12913 1 +BIT10_0 12915 1 +BIT9_0 13336 1 +BIT8_0 13845 1 +BIT7_0 13024 1 +BIT6_0 13511 1 +BIT5_0 13369 1 +BIT4_0 12224 1 +BIT3_0 12227 1 +BIT2_0 12173 1 +BIT1_0 13303 1 +BIT0_0 12642 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6146 1 +BIT30_1 4656 1 +BIT29_1 4544 1 +BIT28_1 4590 1 +BIT27_1 4441 1 +BIT26_1 4498 1 +BIT25_1 4455 1 +BIT24_1 4456 1 +BIT23_1 4443 1 +BIT22_1 4500 1 +BIT21_1 4477 1 +BIT20_1 4539 1 +BIT19_1 4513 1 +BIT18_1 4718 1 +BIT17_1 5373 1 +BIT16_1 5418 1 +BIT15_1 5977 1 +BIT14_1 5897 1 +BIT13_1 6341 1 +BIT12_1 6256 1 +BIT11_1 6212 1 +BIT10_1 5957 1 +BIT9_1 6196 1 +BIT8_1 5674 1 +BIT7_1 6203 1 +BIT6_1 6432 1 +BIT5_1 6615 1 +BIT4_1 6979 1 +BIT3_1 6619 1 +BIT2_1 6878 1 +BIT1_1 4323 1 +BIT0_1 4984 1 +BIT31_0 11480 1 +BIT30_0 12970 1 +BIT29_0 13082 1 +BIT28_0 13036 1 +BIT27_0 13185 1 +BIT26_0 13128 1 +BIT25_0 13171 1 +BIT24_0 13170 1 +BIT23_0 13183 1 +BIT22_0 13126 1 +BIT21_0 13149 1 +BIT20_0 13087 1 +BIT19_0 13113 1 +BIT18_0 12908 1 +BIT17_0 12253 1 +BIT16_0 12208 1 +BIT15_0 11649 1 +BIT14_0 11729 1 +BIT13_0 11285 1 +BIT12_0 11370 1 +BIT11_0 11414 1 +BIT10_0 11669 1 +BIT9_0 11430 1 +BIT8_0 11952 1 +BIT7_0 11423 1 +BIT6_0 11194 1 +BIT5_0 11011 1 +BIT4_0 10647 1 +BIT3_0 11007 1 +BIT2_0 10748 1 +BIT1_0 13303 1 +BIT0_0 12642 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3045 1 +auto_ZERO auto_POSITIVE 1762 1 +auto_ZERO auto_NEGATIVE 1418 1 +auto_POSITIVE auto_ZERO 1602 1 +auto_POSITIVE auto_POSITIVE 2647 1 +auto_POSITIVE auto_NEGATIVE 1778 1 +auto_NEGATIVE auto_ZERO 1493 1 +auto_NEGATIVE auto_POSITIVE 1761 1 +auto_NEGATIVE auto_NEGATIVE 2120 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zba_sh3add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 361 0 361 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 526 1 +auto[1] 549 1 +auto[2] 498 1 +auto[3] 561 1 +auto[4] 550 1 +auto[5] 558 1 +auto[6] 584 1 +auto[7] 524 1 +auto[8] 568 1 +auto[9] 546 1 +auto[10] 533 1 +auto[11] 545 1 +auto[12] 562 1 +auto[13] 551 1 +auto[14] 555 1 +auto[15] 562 1 +auto[16] 564 1 +auto[17] 531 1 +auto[18] 559 1 +auto[19] 561 1 +auto[20] 541 1 +auto[21] 575 1 +auto[22] 525 1 +auto[23] 556 1 +auto[24] 551 1 +auto[25] 503 1 +auto[26] 535 1 +auto[27] 550 1 +auto[28] 519 1 +auto[29] 527 1 +auto[30] 534 1 +auto[31] 557 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 546 1 +auto[1] 574 1 +auto[2] 579 1 +auto[3] 515 1 +auto[4] 535 1 +auto[5] 550 1 +auto[6] 565 1 +auto[7] 546 1 +auto[8] 519 1 +auto[9] 540 1 +auto[10] 552 1 +auto[11] 572 1 +auto[12] 554 1 +auto[13] 499 1 +auto[14] 552 1 +auto[15] 609 1 +auto[16] 549 1 +auto[17] 552 1 +auto[18] 546 1 +auto[19] 513 1 +auto[20] 561 1 +auto[21] 546 1 +auto[22] 551 1 +auto[23] 524 1 +auto[24] 572 1 +auto[25] 530 1 +auto[26] 550 1 +auto[27] 512 1 +auto[28] 574 1 +auto[29] 515 1 +auto[30] 520 1 +auto[31] 538 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 573 1 +auto[1] 594 1 +auto[2] 521 1 +auto[3] 557 1 +auto[4] 536 1 +auto[5] 520 1 +auto[6] 558 1 +auto[7] 566 1 +auto[8] 509 1 +auto[9] 532 1 +auto[10] 533 1 +auto[11] 540 1 +auto[12] 511 1 +auto[13] 587 1 +auto[14] 508 1 +auto[15] 556 1 +auto[16] 561 1 +auto[17] 528 1 +auto[18] 588 1 +auto[19] 528 1 +auto[20] 536 1 +auto[21] 551 1 +auto[22] 588 1 +auto[23] 526 1 +auto[24] 509 1 +auto[25] 587 1 +auto[26] 538 1 +auto[27] 546 1 +auto[28] 511 1 +auto[29] 579 1 +auto[30] 519 1 +auto[31] 564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 54 1 +RD_01 75 1 +RD_02 65 1 +RD_03 57 1 +RD_04 50 1 +RD_05 63 1 +RD_06 72 1 +RD_07 76 1 +RD_08 56 1 +RD_09 74 1 +RD_0a 51 1 +RD_0b 67 1 +RD_0c 63 1 +RD_0d 57 1 +RD_0e 52 1 +RD_0f 76 1 +RD_10 67 1 +RD_11 67 1 +RD_12 64 1 +RD_13 60 1 +RD_14 63 1 +RD_15 71 1 +RD_16 73 1 +RD_17 67 1 +RD_18 61 1 +RD_19 64 1 +RD_1a 73 1 +RD_1b 53 1 +RD_1c 52 1 +RD_1d 53 1 +RD_1e 66 1 +RD_1f 71 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 49 1 +RD_01 79 1 +RD_02 60 1 +RD_03 55 1 +RD_04 57 1 +RD_05 68 1 +RD_06 74 1 +RD_07 80 1 +RD_08 59 1 +RD_09 81 1 +RD_0a 60 1 +RD_0b 76 1 +RD_0c 64 1 +RD_0d 65 1 +RD_0e 47 1 +RD_0f 86 1 +RD_10 74 1 +RD_11 70 1 +RD_12 69 1 +RD_13 60 1 +RD_14 64 1 +RD_15 79 1 +RD_16 65 1 +RD_17 80 1 +RD_18 59 1 +RD_19 61 1 +RD_1a 74 1 +RD_1b 51 1 +RD_1c 60 1 +RD_1d 51 1 +RD_1e 65 1 +RD_1f 79 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6070 1 +auto_POSITIVE 6076 1 +auto_NEGATIVE 5314 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6113 1 +auto_POSITIVE 6069 1 +auto_NEGATIVE 5278 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3066 1 +auto_POSITIVE 8312 1 +auto_NEGATIVE 6082 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5314 1 +BIT30_1 3513 1 +BIT29_1 3456 1 +BIT28_1 3523 1 +BIT27_1 3388 1 +BIT26_1 3374 1 +BIT25_1 3328 1 +BIT24_1 3370 1 +BIT23_1 3324 1 +BIT22_1 3372 1 +BIT21_1 3330 1 +BIT20_1 3313 1 +BIT19_1 3342 1 +BIT18_1 3430 1 +BIT17_1 3354 1 +BIT16_1 3543 1 +BIT15_1 4282 1 +BIT14_1 4263 1 +BIT13_1 4462 1 +BIT12_1 4301 1 +BIT11_1 4753 1 +BIT10_1 4723 1 +BIT9_1 4325 1 +BIT8_1 3753 1 +BIT7_1 4516 1 +BIT6_1 4027 1 +BIT5_1 4272 1 +BIT4_1 5346 1 +BIT3_1 5394 1 +BIT2_1 5365 1 +BIT1_1 4310 1 +BIT0_1 4927 1 +BIT31_0 12146 1 +BIT30_0 13947 1 +BIT29_0 14004 1 +BIT28_0 13937 1 +BIT27_0 14072 1 +BIT26_0 14086 1 +BIT25_0 14132 1 +BIT24_0 14090 1 +BIT23_0 14136 1 +BIT22_0 14088 1 +BIT21_0 14130 1 +BIT20_0 14147 1 +BIT19_0 14118 1 +BIT18_0 14030 1 +BIT17_0 14106 1 +BIT16_0 13917 1 +BIT15_0 13178 1 +BIT14_0 13197 1 +BIT13_0 12998 1 +BIT12_0 13159 1 +BIT11_0 12707 1 +BIT10_0 12737 1 +BIT9_0 13135 1 +BIT8_0 13707 1 +BIT7_0 12944 1 +BIT6_0 13433 1 +BIT5_0 13188 1 +BIT4_0 12114 1 +BIT3_0 12066 1 +BIT2_0 12095 1 +BIT1_0 13150 1 +BIT0_0 12533 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5278 1 +BIT30_1 3435 1 +BIT29_1 3408 1 +BIT28_1 3462 1 +BIT27_1 3334 1 +BIT26_1 3304 1 +BIT25_1 3305 1 +BIT24_1 3294 1 +BIT23_1 3294 1 +BIT22_1 3299 1 +BIT21_1 3254 1 +BIT20_1 3225 1 +BIT19_1 3310 1 +BIT18_1 3297 1 +BIT17_1 3284 1 +BIT16_1 3451 1 +BIT15_1 4252 1 +BIT14_1 4127 1 +BIT13_1 4355 1 +BIT12_1 4184 1 +BIT11_1 4640 1 +BIT10_1 4697 1 +BIT9_1 4173 1 +BIT8_1 3671 1 +BIT7_1 4460 1 +BIT6_1 4005 1 +BIT5_1 4231 1 +BIT4_1 5301 1 +BIT3_1 5370 1 +BIT2_1 5216 1 +BIT1_1 4218 1 +BIT0_1 4909 1 +BIT31_0 12182 1 +BIT30_0 14025 1 +BIT29_0 14052 1 +BIT28_0 13998 1 +BIT27_0 14126 1 +BIT26_0 14156 1 +BIT25_0 14155 1 +BIT24_0 14166 1 +BIT23_0 14166 1 +BIT22_0 14161 1 +BIT21_0 14206 1 +BIT20_0 14235 1 +BIT19_0 14150 1 +BIT18_0 14163 1 +BIT17_0 14176 1 +BIT16_0 14009 1 +BIT15_0 13208 1 +BIT14_0 13333 1 +BIT13_0 13105 1 +BIT12_0 13276 1 +BIT11_0 12820 1 +BIT10_0 12763 1 +BIT9_0 13287 1 +BIT8_0 13789 1 +BIT7_0 13000 1 +BIT6_0 13455 1 +BIT5_0 13229 1 +BIT4_0 12159 1 +BIT3_0 12090 1 +BIT2_0 12244 1 +BIT1_0 13242 1 +BIT0_0 12551 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6082 1 +BIT30_1 4499 1 +BIT29_1 4544 1 +BIT28_1 4540 1 +BIT27_1 4447 1 +BIT26_1 4401 1 +BIT25_1 4440 1 +BIT24_1 4401 1 +BIT23_1 4401 1 +BIT22_1 4427 1 +BIT21_1 4489 1 +BIT20_1 4395 1 +BIT19_1 4653 1 +BIT18_1 5245 1 +BIT17_1 5171 1 +BIT16_1 5528 1 +BIT15_1 5883 1 +BIT14_1 6176 1 +BIT13_1 6198 1 +BIT12_1 5964 1 +BIT11_1 5791 1 +BIT10_1 6371 1 +BIT9_1 5753 1 +BIT8_1 5752 1 +BIT7_1 6642 1 +BIT6_1 6518 1 +BIT5_1 6694 1 +BIT4_1 6701 1 +BIT3_1 6837 1 +BIT2_1 5216 1 +BIT1_1 4218 1 +BIT0_1 4909 1 +BIT31_0 11378 1 +BIT30_0 12961 1 +BIT29_0 12916 1 +BIT28_0 12920 1 +BIT27_0 13013 1 +BIT26_0 13059 1 +BIT25_0 13020 1 +BIT24_0 13059 1 +BIT23_0 13059 1 +BIT22_0 13033 1 +BIT21_0 12971 1 +BIT20_0 13065 1 +BIT19_0 12807 1 +BIT18_0 12215 1 +BIT17_0 12289 1 +BIT16_0 11932 1 +BIT15_0 11577 1 +BIT14_0 11284 1 +BIT13_0 11262 1 +BIT12_0 11496 1 +BIT11_0 11669 1 +BIT10_0 11089 1 +BIT9_0 11707 1 +BIT8_0 11708 1 +BIT7_0 10818 1 +BIT6_0 10942 1 +BIT5_0 10766 1 +BIT4_0 10759 1 +BIT3_0 10623 1 +BIT2_0 12244 1 +BIT1_0 13242 1 +BIT0_0 12551 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2986 1 +auto_ZERO auto_POSITIVE 1666 1 +auto_ZERO auto_NEGATIVE 1418 1 +auto_POSITIVE auto_ZERO 1691 1 +auto_POSITIVE auto_POSITIVE 2676 1 +auto_POSITIVE auto_NEGATIVE 1709 1 +auto_NEGATIVE auto_ZERO 1436 1 +auto_NEGATIVE auto_POSITIVE 1727 1 +auto_NEGATIVE auto_NEGATIVE 2151 1 + + +Group : uvma_isacov_pkg::cg_ca(withChksum=28571194) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ca(withChksum=28571194) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_and_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_or_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_xor_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_ca(withChksum=28571194) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_and_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_and_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_and_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_and_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3855 1 +auto_NON_ZERO 10893 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3304 1 +auto_NON_ZERO 11444 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6474 1 +auto_NON_ZERO 8274 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1890 1 +auto[1] 1842 1 +auto[2] 1705 1 +auto[3] 1897 1 +auto[4] 1536 1 +auto[5] 1951 1 +auto[6] 2065 1 +auto[7] 1862 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1530 1 +auto[1] 2161 1 +auto[2] 1765 1 +auto[3] 1849 1 +auto[4] 2015 1 +auto[5] 1900 1 +auto[6] 2049 1 +auto[7] 1479 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1315 1 +BIT30_1 789 1 +BIT29_1 784 1 +BIT28_1 800 1 +BIT27_1 762 1 +BIT26_1 764 1 +BIT25_1 759 1 +BIT24_1 776 1 +BIT23_1 749 1 +BIT22_1 789 1 +BIT21_1 776 1 +BIT20_1 757 1 +BIT19_1 785 1 +BIT18_1 757 1 +BIT17_1 793 1 +BIT16_1 843 1 +BIT15_1 1082 1 +BIT14_1 1046 1 +BIT13_1 1145 1 +BIT12_1 1080 1 +BIT11_1 1160 1 +BIT10_1 1149 1 +BIT9_1 1133 1 +BIT8_1 969 1 +BIT7_1 1255 1 +BIT6_1 1143 1 +BIT5_1 1180 1 +BIT4_1 1563 1 +BIT3_1 1533 1 +BIT2_1 1533 1 +BIT1_1 4724 1 +BIT0_1 4558 1 +BIT31_0 13433 1 +BIT30_0 13959 1 +BIT29_0 13964 1 +BIT28_0 13948 1 +BIT27_0 13986 1 +BIT26_0 13984 1 +BIT25_0 13989 1 +BIT24_0 13972 1 +BIT23_0 13999 1 +BIT22_0 13959 1 +BIT21_0 13972 1 +BIT20_0 13991 1 +BIT19_0 13963 1 +BIT18_0 13991 1 +BIT17_0 13955 1 +BIT16_0 13905 1 +BIT15_0 13666 1 +BIT14_0 13702 1 +BIT13_0 13603 1 +BIT12_0 13668 1 +BIT11_0 13588 1 +BIT10_0 13599 1 +BIT9_0 13615 1 +BIT8_0 13779 1 +BIT7_0 13493 1 +BIT6_0 13605 1 +BIT5_0 13568 1 +BIT4_0 13185 1 +BIT3_0 13215 1 +BIT2_0 13215 1 +BIT1_0 10024 1 +BIT0_0 10190 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3538 1 +BIT30_1 2179 1 +BIT29_1 2134 1 +BIT28_1 2200 1 +BIT27_1 2115 1 +BIT26_1 2082 1 +BIT25_1 2060 1 +BIT24_1 2116 1 +BIT23_1 2090 1 +BIT22_1 2112 1 +BIT21_1 2105 1 +BIT20_1 2124 1 +BIT19_1 2150 1 +BIT18_1 2129 1 +BIT17_1 2133 1 +BIT16_1 2264 1 +BIT15_1 3002 1 +BIT14_1 2867 1 +BIT13_1 3139 1 +BIT12_1 2903 1 +BIT11_1 3278 1 +BIT10_1 3306 1 +BIT9_1 2988 1 +BIT8_1 2548 1 +BIT7_1 3300 1 +BIT6_1 2795 1 +BIT5_1 2820 1 +BIT4_1 3869 1 +BIT3_1 3780 1 +BIT2_1 3832 1 +BIT1_1 6690 1 +BIT0_1 7000 1 +BIT31_0 11210 1 +BIT30_0 12569 1 +BIT29_0 12614 1 +BIT28_0 12548 1 +BIT27_0 12633 1 +BIT26_0 12666 1 +BIT25_0 12688 1 +BIT24_0 12632 1 +BIT23_0 12658 1 +BIT22_0 12636 1 +BIT21_0 12643 1 +BIT20_0 12624 1 +BIT19_0 12598 1 +BIT18_0 12619 1 +BIT17_0 12615 1 +BIT16_0 12484 1 +BIT15_0 11746 1 +BIT14_0 11881 1 +BIT13_0 11609 1 +BIT12_0 11845 1 +BIT11_0 11470 1 +BIT10_0 11442 1 +BIT9_0 11760 1 +BIT8_0 12200 1 +BIT7_0 11448 1 +BIT6_0 11953 1 +BIT5_0 11928 1 +BIT4_0 10879 1 +BIT3_0 10968 1 +BIT2_0 10916 1 +BIT1_0 8058 1 +BIT0_0 7748 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2921 1 +BIT30_1 2362 1 +BIT29_1 2354 1 +BIT28_1 2341 1 +BIT27_1 2277 1 +BIT26_1 2278 1 +BIT25_1 2273 1 +BIT24_1 2283 1 +BIT23_1 2278 1 +BIT22_1 2265 1 +BIT21_1 2262 1 +BIT20_1 2265 1 +BIT19_1 2276 1 +BIT18_1 2270 1 +BIT17_1 2298 1 +BIT16_1 2409 1 +BIT15_1 2691 1 +BIT14_1 2622 1 +BIT13_1 2765 1 +BIT12_1 2720 1 +BIT11_1 2712 1 +BIT10_1 2689 1 +BIT9_1 2790 1 +BIT8_1 2632 1 +BIT7_1 4172 1 +BIT6_1 4527 1 +BIT5_1 5358 1 +BIT4_1 5258 1 +BIT3_1 3897 1 +BIT2_1 3553 1 +BIT1_1 6585 1 +BIT0_1 6457 1 +BIT31_0 11827 1 +BIT30_0 12386 1 +BIT29_0 12394 1 +BIT28_0 12407 1 +BIT27_0 12471 1 +BIT26_0 12470 1 +BIT25_0 12475 1 +BIT24_0 12465 1 +BIT23_0 12470 1 +BIT22_0 12483 1 +BIT21_0 12486 1 +BIT20_0 12483 1 +BIT19_0 12472 1 +BIT18_0 12478 1 +BIT17_0 12450 1 +BIT16_0 12339 1 +BIT15_0 12057 1 +BIT14_0 12126 1 +BIT13_0 11983 1 +BIT12_0 12028 1 +BIT11_0 12036 1 +BIT10_0 12059 1 +BIT9_0 11958 1 +BIT8_0 12116 1 +BIT7_0 10576 1 +BIT6_0 10221 1 +BIT5_0 9390 1 +BIT4_0 9490 1 +BIT3_0 10851 1 +BIT2_0 11195 1 +BIT1_0 8163 1 +BIT0_0 8291 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_or_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_or_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_or_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_or_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3681 1 +auto_NON_ZERO 7285 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3374 1 +auto_NON_ZERO 7592 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1735 1 +auto_NON_ZERO 9231 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1436 1 +auto[1] 1378 1 +auto[2] 1290 1 +auto[3] 1466 1 +auto[4] 1386 1 +auto[5] 1317 1 +auto[6] 1346 1 +auto[7] 1347 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1324 1 +auto[1] 1352 1 +auto[2] 1364 1 +auto[3] 1318 1 +auto[4] 1322 1 +auto[5] 1392 1 +auto[6] 1444 1 +auto[7] 1450 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5070 1 +BIT30_1 3695 1 +BIT29_1 3725 1 +BIT28_1 3757 1 +BIT27_1 3676 1 +BIT26_1 3647 1 +BIT25_1 3612 1 +BIT24_1 3584 1 +BIT23_1 3614 1 +BIT22_1 3617 1 +BIT21_1 3619 1 +BIT20_1 3616 1 +BIT19_1 3587 1 +BIT18_1 3623 1 +BIT17_1 3618 1 +BIT16_1 3883 1 +BIT15_1 4491 1 +BIT14_1 4432 1 +BIT13_1 4698 1 +BIT12_1 4537 1 +BIT11_1 4803 1 +BIT10_1 4848 1 +BIT9_1 4604 1 +BIT8_1 4129 1 +BIT7_1 4905 1 +BIT6_1 4580 1 +BIT5_1 4685 1 +BIT4_1 5606 1 +BIT3_1 5550 1 +BIT2_1 5579 1 +BIT1_1 4653 1 +BIT0_1 5123 1 +BIT31_0 5896 1 +BIT30_0 7271 1 +BIT29_0 7241 1 +BIT28_0 7209 1 +BIT27_0 7290 1 +BIT26_0 7319 1 +BIT25_0 7354 1 +BIT24_0 7382 1 +BIT23_0 7352 1 +BIT22_0 7349 1 +BIT21_0 7347 1 +BIT20_0 7350 1 +BIT19_0 7379 1 +BIT18_0 7343 1 +BIT17_0 7348 1 +BIT16_0 7083 1 +BIT15_0 6475 1 +BIT14_0 6534 1 +BIT13_0 6268 1 +BIT12_0 6429 1 +BIT11_0 6163 1 +BIT10_0 6118 1 +BIT9_0 6362 1 +BIT8_0 6837 1 +BIT7_0 6061 1 +BIT6_0 6386 1 +BIT5_0 6281 1 +BIT4_0 5360 1 +BIT3_0 5416 1 +BIT2_0 5387 1 +BIT1_0 6313 1 +BIT0_0 5843 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3534 1 +BIT30_1 2139 1 +BIT29_1 2134 1 +BIT28_1 2171 1 +BIT27_1 2092 1 +BIT26_1 2074 1 +BIT25_1 2053 1 +BIT24_1 2051 1 +BIT23_1 2054 1 +BIT22_1 2067 1 +BIT21_1 2064 1 +BIT20_1 2106 1 +BIT19_1 2041 1 +BIT18_1 2092 1 +BIT17_1 2072 1 +BIT16_1 2259 1 +BIT15_1 2815 1 +BIT14_1 2787 1 +BIT13_1 3037 1 +BIT12_1 2859 1 +BIT11_1 3249 1 +BIT10_1 3298 1 +BIT9_1 2952 1 +BIT8_1 2458 1 +BIT7_1 3156 1 +BIT6_1 2766 1 +BIT5_1 2798 1 +BIT4_1 3845 1 +BIT3_1 3849 1 +BIT2_1 3853 1 +BIT1_1 2890 1 +BIT0_1 3180 1 +BIT31_0 7432 1 +BIT30_0 8827 1 +BIT29_0 8832 1 +BIT28_0 8795 1 +BIT27_0 8874 1 +BIT26_0 8892 1 +BIT25_0 8913 1 +BIT24_0 8915 1 +BIT23_0 8912 1 +BIT22_0 8899 1 +BIT21_0 8902 1 +BIT20_0 8860 1 +BIT19_0 8925 1 +BIT18_0 8874 1 +BIT17_0 8894 1 +BIT16_0 8707 1 +BIT15_0 8151 1 +BIT14_0 8179 1 +BIT13_0 7929 1 +BIT12_0 8107 1 +BIT11_0 7717 1 +BIT10_0 7668 1 +BIT9_0 8014 1 +BIT8_0 8508 1 +BIT7_0 7810 1 +BIT6_0 8200 1 +BIT5_0 8168 1 +BIT4_0 7121 1 +BIT3_0 7117 1 +BIT2_0 7113 1 +BIT1_0 8076 1 +BIT0_0 7786 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2902 1 +BIT30_1 2338 1 +BIT29_1 2343 1 +BIT28_1 2376 1 +BIT27_1 2330 1 +BIT26_1 2299 1 +BIT25_1 2306 1 +BIT24_1 2283 1 +BIT23_1 2296 1 +BIT22_1 2291 1 +BIT21_1 2296 1 +BIT20_1 2266 1 +BIT19_1 2265 1 +BIT18_1 2285 1 +BIT17_1 2300 1 +BIT16_1 2460 1 +BIT15_1 2743 1 +BIT14_1 2716 1 +BIT13_1 2829 1 +BIT12_1 2779 1 +BIT11_1 2739 1 +BIT10_1 2760 1 +BIT9_1 2792 1 +BIT8_1 2623 1 +BIT7_1 3001 1 +BIT6_1 2953 1 +BIT5_1 2997 1 +BIT4_1 3338 1 +BIT3_1 3322 1 +BIT2_1 3302 1 +BIT1_1 2997 1 +BIT0_1 3374 1 +BIT31_0 8064 1 +BIT30_0 8628 1 +BIT29_0 8623 1 +BIT28_0 8590 1 +BIT27_0 8636 1 +BIT26_0 8667 1 +BIT25_0 8660 1 +BIT24_0 8683 1 +BIT23_0 8670 1 +BIT22_0 8675 1 +BIT21_0 8670 1 +BIT20_0 8700 1 +BIT19_0 8701 1 +BIT18_0 8681 1 +BIT17_0 8666 1 +BIT16_0 8506 1 +BIT15_0 8223 1 +BIT14_0 8250 1 +BIT13_0 8137 1 +BIT12_0 8187 1 +BIT11_0 8227 1 +BIT10_0 8206 1 +BIT9_0 8174 1 +BIT8_0 8343 1 +BIT7_0 7965 1 +BIT6_0 8013 1 +BIT5_0 7969 1 +BIT4_0 7628 1 +BIT3_0 7644 1 +BIT2_0 7664 1 +BIT1_0 7969 1 +BIT0_0 7592 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_xor_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_xor_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 214 0 214 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_xor_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_xor_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3686 1 +auto_NON_ZERO 7148 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3372 1 +auto_NON_ZERO 7462 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2958 1 +auto_NON_ZERO 7876 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1310 1 +auto[1] 1345 1 +auto[2] 1374 1 +auto[3] 1376 1 +auto[4] 1415 1 +auto[5] 1387 1 +auto[6] 1315 1 +auto[7] 1312 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1330 1 +auto[1] 1349 1 +auto[2] 1411 1 +auto[3] 1394 1 +auto[4] 1292 1 +auto[5] 1350 1 +auto[6] 1383 1 +auto[7] 1325 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3790 1 +BIT30_1 2894 1 +BIT29_1 2888 1 +BIT28_1 2894 1 +BIT27_1 2817 1 +BIT26_1 2801 1 +BIT25_1 2810 1 +BIT24_1 2784 1 +BIT23_1 2811 1 +BIT22_1 2812 1 +BIT21_1 2819 1 +BIT20_1 2804 1 +BIT19_1 2814 1 +BIT18_1 2845 1 +BIT17_1 2836 1 +BIT16_1 2984 1 +BIT15_1 3397 1 +BIT14_1 3313 1 +BIT13_1 3543 1 +BIT12_1 3327 1 +BIT11_1 3575 1 +BIT10_1 3622 1 +BIT9_1 3415 1 +BIT8_1 3150 1 +BIT7_1 3616 1 +BIT6_1 3318 1 +BIT5_1 3374 1 +BIT4_1 3932 1 +BIT3_1 3971 1 +BIT2_1 3902 1 +BIT1_1 3419 1 +BIT0_1 3585 1 +BIT31_0 7044 1 +BIT30_0 7940 1 +BIT29_0 7946 1 +BIT28_0 7940 1 +BIT27_0 8017 1 +BIT26_0 8033 1 +BIT25_0 8024 1 +BIT24_0 8050 1 +BIT23_0 8023 1 +BIT22_0 8022 1 +BIT21_0 8015 1 +BIT20_0 8030 1 +BIT19_0 8020 1 +BIT18_0 7989 1 +BIT17_0 7998 1 +BIT16_0 7850 1 +BIT15_0 7437 1 +BIT14_0 7521 1 +BIT13_0 7291 1 +BIT12_0 7507 1 +BIT11_0 7259 1 +BIT10_0 7212 1 +BIT9_0 7419 1 +BIT8_0 7684 1 +BIT7_0 7218 1 +BIT6_0 7516 1 +BIT5_0 7460 1 +BIT4_0 6902 1 +BIT3_0 6863 1 +BIT2_0 6932 1 +BIT1_0 7415 1 +BIT0_0 7249 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3556 1 +BIT30_1 2130 1 +BIT29_1 2131 1 +BIT28_1 2126 1 +BIT27_1 2104 1 +BIT26_1 2093 1 +BIT25_1 2022 1 +BIT24_1 2052 1 +BIT23_1 2075 1 +BIT22_1 2011 1 +BIT21_1 2033 1 +BIT20_1 2037 1 +BIT19_1 2061 1 +BIT18_1 2066 1 +BIT17_1 2060 1 +BIT16_1 2196 1 +BIT15_1 2783 1 +BIT14_1 2738 1 +BIT13_1 3015 1 +BIT12_1 2762 1 +BIT11_1 3201 1 +BIT10_1 3187 1 +BIT9_1 2871 1 +BIT8_1 2419 1 +BIT7_1 3142 1 +BIT6_1 2725 1 +BIT5_1 2750 1 +BIT4_1 3765 1 +BIT3_1 3721 1 +BIT2_1 3795 1 +BIT1_1 2782 1 +BIT0_1 3094 1 +BIT31_0 7278 1 +BIT30_0 8704 1 +BIT29_0 8703 1 +BIT28_0 8708 1 +BIT27_0 8730 1 +BIT26_0 8741 1 +BIT25_0 8812 1 +BIT24_0 8782 1 +BIT23_0 8759 1 +BIT22_0 8823 1 +BIT21_0 8801 1 +BIT20_0 8797 1 +BIT19_0 8773 1 +BIT18_0 8768 1 +BIT17_0 8774 1 +BIT16_0 8638 1 +BIT15_0 8051 1 +BIT14_0 8096 1 +BIT13_0 7819 1 +BIT12_0 8072 1 +BIT11_0 7633 1 +BIT10_0 7647 1 +BIT9_0 7963 1 +BIT8_0 8415 1 +BIT7_0 7692 1 +BIT6_0 8109 1 +BIT5_0 8084 1 +BIT4_0 7069 1 +BIT3_0 7113 1 +BIT2_0 7039 1 +BIT1_0 8052 1 +BIT0_0 7740 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2840 1 +BIT30_1 2274 1 +BIT29_1 2269 1 +BIT28_1 2284 1 +BIT27_1 2237 1 +BIT26_1 2202 1 +BIT25_1 2202 1 +BIT24_1 2218 1 +BIT23_1 2244 1 +BIT22_1 2201 1 +BIT21_1 2224 1 +BIT20_1 2251 1 +BIT19_1 2229 1 +BIT18_1 2239 1 +BIT17_1 2196 1 +BIT16_1 2384 1 +BIT15_1 2622 1 +BIT14_1 2595 1 +BIT13_1 2736 1 +BIT12_1 2649 1 +BIT11_1 2652 1 +BIT10_1 2729 1 +BIT9_1 2682 1 +BIT8_1 2605 1 +BIT7_1 3042 1 +BIT6_1 2851 1 +BIT5_1 2948 1 +BIT4_1 3263 1 +BIT3_1 3230 1 +BIT2_1 3327 1 +BIT1_1 3019 1 +BIT0_1 3281 1 +BIT31_0 7994 1 +BIT30_0 8560 1 +BIT29_0 8565 1 +BIT28_0 8550 1 +BIT27_0 8597 1 +BIT26_0 8632 1 +BIT25_0 8632 1 +BIT24_0 8616 1 +BIT23_0 8590 1 +BIT22_0 8633 1 +BIT21_0 8610 1 +BIT20_0 8583 1 +BIT19_0 8605 1 +BIT18_0 8595 1 +BIT17_0 8638 1 +BIT16_0 8450 1 +BIT15_0 8212 1 +BIT14_0 8239 1 +BIT13_0 8098 1 +BIT12_0 8185 1 +BIT11_0 8182 1 +BIT10_0 8105 1 +BIT9_0 8152 1 +BIT8_0 8229 1 +BIT7_0 7792 1 +BIT6_0 7983 1 +BIT5_0 7886 1 +BIT4_0 7571 1 +BIT3_0 7604 1 +BIT2_0 7507 1 +BIT1_0 7815 1 +BIT0_0 7553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_ca(withChksum=2304086666) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ca(withChksum=2304086666) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_sub_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 217 0 217 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_sub_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_sub_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 217 0 217 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_sub_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_sub_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3658 1 +auto_POSITIVE 4254 1 +auto_NEGATIVE 2931 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3359 1 +auto_POSITIVE 3946 1 +auto_NEGATIVE 3538 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2892 1 +auto_POSITIVE 4172 1 +auto_NEGATIVE 3779 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1279 1 +auto[1] 1345 1 +auto[2] 1351 1 +auto[3] 1367 1 +auto[4] 1364 1 +auto[5] 1363 1 +auto[6] 1472 1 +auto[7] 1302 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1340 1 +auto[1] 1334 1 +auto[2] 1436 1 +auto[3] 1327 1 +auto[4] 1353 1 +auto[5] 1329 1 +auto[6] 1376 1 +auto[7] 1348 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3779 1 +BIT30_1 4209 1 +BIT29_1 4233 1 +BIT28_1 4209 1 +BIT27_1 4207 1 +BIT26_1 4220 1 +BIT25_1 4250 1 +BIT24_1 4256 1 +BIT23_1 4208 1 +BIT22_1 4285 1 +BIT21_1 4246 1 +BIT20_1 4251 1 +BIT19_1 4298 1 +BIT18_1 4221 1 +BIT17_1 4188 1 +BIT16_1 4224 1 +BIT15_1 3825 1 +BIT14_1 3933 1 +BIT13_1 3700 1 +BIT12_1 3942 1 +BIT11_1 3571 1 +BIT10_1 3546 1 +BIT9_1 3838 1 +BIT8_1 3968 1 +BIT7_1 3784 1 +BIT6_1 3974 1 +BIT5_1 4025 1 +BIT4_1 3561 1 +BIT3_1 3399 1 +BIT2_1 3858 1 +BIT1_1 3321 1 +BIT0_1 3601 1 +BIT31_0 7064 1 +BIT30_0 6634 1 +BIT29_0 6610 1 +BIT28_0 6634 1 +BIT27_0 6636 1 +BIT26_0 6623 1 +BIT25_0 6593 1 +BIT24_0 6587 1 +BIT23_0 6635 1 +BIT22_0 6558 1 +BIT21_0 6597 1 +BIT20_0 6592 1 +BIT19_0 6545 1 +BIT18_0 6622 1 +BIT17_0 6655 1 +BIT16_0 6619 1 +BIT15_0 7018 1 +BIT14_0 6910 1 +BIT13_0 7143 1 +BIT12_0 6901 1 +BIT11_0 7272 1 +BIT10_0 7297 1 +BIT9_0 7005 1 +BIT8_0 6875 1 +BIT7_0 7059 1 +BIT6_0 6869 1 +BIT5_0 6818 1 +BIT4_0 7282 1 +BIT3_0 7444 1 +BIT2_0 6985 1 +BIT1_0 7522 1 +BIT0_0 7242 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3538 1 +BIT30_1 2157 1 +BIT29_1 2123 1 +BIT28_1 2159 1 +BIT27_1 2092 1 +BIT26_1 2070 1 +BIT25_1 2069 1 +BIT24_1 2078 1 +BIT23_1 2096 1 +BIT22_1 2071 1 +BIT21_1 2103 1 +BIT20_1 2083 1 +BIT19_1 2063 1 +BIT18_1 2053 1 +BIT17_1 2100 1 +BIT16_1 2208 1 +BIT15_1 2928 1 +BIT14_1 2796 1 +BIT13_1 3048 1 +BIT12_1 2845 1 +BIT11_1 3199 1 +BIT10_1 3297 1 +BIT9_1 2898 1 +BIT8_1 2396 1 +BIT7_1 3132 1 +BIT6_1 2663 1 +BIT5_1 2729 1 +BIT4_1 3733 1 +BIT3_1 3782 1 +BIT2_1 3736 1 +BIT1_1 2772 1 +BIT0_1 3083 1 +BIT31_0 7305 1 +BIT30_0 8686 1 +BIT29_0 8720 1 +BIT28_0 8684 1 +BIT27_0 8751 1 +BIT26_0 8773 1 +BIT25_0 8774 1 +BIT24_0 8765 1 +BIT23_0 8747 1 +BIT22_0 8772 1 +BIT21_0 8740 1 +BIT20_0 8760 1 +BIT19_0 8780 1 +BIT18_0 8790 1 +BIT17_0 8743 1 +BIT16_0 8635 1 +BIT15_0 7915 1 +BIT14_0 8047 1 +BIT13_0 7795 1 +BIT12_0 7998 1 +BIT11_0 7644 1 +BIT10_0 7546 1 +BIT9_0 7945 1 +BIT8_0 8447 1 +BIT7_0 7711 1 +BIT6_0 8180 1 +BIT5_0 8114 1 +BIT4_0 7110 1 +BIT3_0 7061 1 +BIT2_0 7107 1 +BIT1_0 8071 1 +BIT0_0 7760 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2931 1 +BIT30_1 2365 1 +BIT29_1 2351 1 +BIT28_1 2373 1 +BIT27_1 2294 1 +BIT26_1 2313 1 +BIT25_1 2272 1 +BIT24_1 2294 1 +BIT23_1 2294 1 +BIT22_1 2302 1 +BIT21_1 2301 1 +BIT20_1 2291 1 +BIT19_1 2303 1 +BIT18_1 2242 1 +BIT17_1 2261 1 +BIT16_1 2419 1 +BIT15_1 2685 1 +BIT14_1 2668 1 +BIT13_1 2721 1 +BIT12_1 2688 1 +BIT11_1 2714 1 +BIT10_1 2716 1 +BIT9_1 2725 1 +BIT8_1 2615 1 +BIT7_1 2987 1 +BIT6_1 2927 1 +BIT5_1 2998 1 +BIT4_1 3358 1 +BIT3_1 3319 1 +BIT2_1 3288 1 +BIT1_1 3009 1 +BIT0_1 3244 1 +BIT31_0 7912 1 +BIT30_0 8478 1 +BIT29_0 8492 1 +BIT28_0 8470 1 +BIT27_0 8549 1 +BIT26_0 8530 1 +BIT25_0 8571 1 +BIT24_0 8549 1 +BIT23_0 8549 1 +BIT22_0 8541 1 +BIT21_0 8542 1 +BIT20_0 8552 1 +BIT19_0 8540 1 +BIT18_0 8601 1 +BIT17_0 8582 1 +BIT16_0 8424 1 +BIT15_0 8158 1 +BIT14_0 8175 1 +BIT13_0 8122 1 +BIT12_0 8155 1 +BIT11_0 8129 1 +BIT10_0 8127 1 +BIT9_0 8118 1 +BIT8_0 8228 1 +BIT7_0 7856 1 +BIT6_0 7916 1 +BIT5_0 7845 1 +BIT4_0 7485 1 +BIT3_0 7524 1 +BIT2_0 7555 1 +BIT1_0 7834 1 +BIT0_0 7599 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_c_rs2 cp_c_rdrs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_ciw + +=============================================================================== +Group : uvma_isacov_pkg::cg_ciw +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_addi4spn_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ciw + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 88 0 88 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ciw + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_addi4spn_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ciw + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_addi4spn_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 88 0 88 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_addi4spn_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1097 1 +auto[1] 1027 1 +auto[2] 1028 1 +auto[3] 1167 1 +auto[4] 1113 1 +auto[5] 1046 1 +auto[6] 1105 1 +auto[7] 1010 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2381 1 +BIT30_1 1144 1 +BIT29_1 1085 1 +BIT28_1 1151 1 +BIT27_1 1061 1 +BIT26_1 1047 1 +BIT25_1 1011 1 +BIT24_1 1016 1 +BIT23_1 996 1 +BIT22_1 1008 1 +BIT21_1 1015 1 +BIT20_1 1001 1 +BIT19_1 1026 1 +BIT18_1 1010 1 +BIT17_1 1098 1 +BIT16_1 1367 1 +BIT15_1 1632 1 +BIT14_1 1447 1 +BIT13_1 1615 1 +BIT12_1 1585 1 +BIT11_1 1607 1 +BIT10_1 1959 1 +BIT9_1 4327 1 +BIT8_1 4377 1 +BIT7_1 4292 1 +BIT6_1 4408 1 +BIT5_1 4415 1 +BIT4_1 4389 1 +BIT3_1 2606 1 +BIT2_1 2583 1 +BIT1_1 2357 1 +BIT0_1 2645 1 +BIT31_0 6212 1 +BIT30_0 7449 1 +BIT29_0 7508 1 +BIT28_0 7442 1 +BIT27_0 7532 1 +BIT26_0 7546 1 +BIT25_0 7582 1 +BIT24_0 7577 1 +BIT23_0 7597 1 +BIT22_0 7585 1 +BIT21_0 7578 1 +BIT20_0 7592 1 +BIT19_0 7567 1 +BIT18_0 7583 1 +BIT17_0 7495 1 +BIT16_0 7226 1 +BIT15_0 6961 1 +BIT14_0 7146 1 +BIT13_0 6978 1 +BIT12_0 7008 1 +BIT11_0 6986 1 +BIT10_0 6634 1 +BIT9_0 4266 1 +BIT8_0 4216 1 +BIT7_0 4301 1 +BIT6_0 4185 1 +BIT5_0 4178 1 +BIT4_0 4204 1 +BIT3_0 5987 1 +BIT2_0 6010 1 +BIT1_0 6236 1 +BIT0_0 5948 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 4232 1 +BIT6_1 4301 1 +BIT5_1 4353 1 +BIT4_1 4561 1 +BIT3_1 4596 1 +BIT2_1 4652 1 +BIT1_1 4 1 +BIT0_1 5 1 +BIT7_0 4361 1 +BIT6_0 4292 1 +BIT5_0 4240 1 +BIT4_0 4032 1 +BIT3_0 3997 1 +BIT2_0 3941 1 +BIT1_0 8589 1 +BIT0_0 8588 1 + + +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_slt_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 296 0 296 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_slt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_slt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 296 0 296 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_slt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_slt_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 659 1 +auto[1] 603 1 +auto[2] 676 1 +auto[3] 735 1 +auto[4] 598 1 +auto[5] 632 1 +auto[6] 619 1 +auto[7] 737 1 +auto[8] 626 1 +auto[9] 631 1 +auto[10] 657 1 +auto[11] 698 1 +auto[12] 700 1 +auto[13] 625 1 +auto[14] 617 1 +auto[15] 663 1 +auto[16] 604 1 +auto[17] 647 1 +auto[18] 642 1 +auto[19] 668 1 +auto[20] 674 1 +auto[21] 638 1 +auto[22] 626 1 +auto[23] 648 1 +auto[24] 649 1 +auto[25] 601 1 +auto[26] 754 1 +auto[27] 602 1 +auto[28] 602 1 +auto[29] 670 1 +auto[30] 601 1 +auto[31] 679 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 707 1 +auto[1] 622 1 +auto[2] 678 1 +auto[3] 691 1 +auto[4] 620 1 +auto[5] 618 1 +auto[6] 659 1 +auto[7] 607 1 +auto[8] 711 1 +auto[9] 573 1 +auto[10] 668 1 +auto[11] 618 1 +auto[12] 635 1 +auto[13] 655 1 +auto[14] 638 1 +auto[15] 622 1 +auto[16] 655 1 +auto[17] 656 1 +auto[18] 631 1 +auto[19] 735 1 +auto[20] 644 1 +auto[21] 605 1 +auto[22] 686 1 +auto[23] 658 1 +auto[24] 673 1 +auto[25] 631 1 +auto[26] 649 1 +auto[27] 657 1 +auto[28] 613 1 +auto[29] 618 1 +auto[30] 683 1 +auto[31] 665 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 652 1 +auto[1] 665 1 +auto[2] 579 1 +auto[3] 652 1 +auto[4] 590 1 +auto[5] 617 1 +auto[6] 666 1 +auto[7] 627 1 +auto[8] 707 1 +auto[9] 660 1 +auto[10] 761 1 +auto[11] 715 1 +auto[12] 618 1 +auto[13] 648 1 +auto[14] 631 1 +auto[15] 573 1 +auto[16] 692 1 +auto[17] 651 1 +auto[18] 726 1 +auto[19] 663 1 +auto[20] 679 1 +auto[21] 661 1 +auto[22] 600 1 +auto[23] 721 1 +auto[24] 602 1 +auto[25] 683 1 +auto[26] 619 1 +auto[27] 596 1 +auto[28] 638 1 +auto[29] 628 1 +auto[30] 638 1 +auto[31] 623 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 70 1 +RD_01 61 1 +RD_02 62 1 +RD_03 69 1 +RD_04 56 1 +RD_05 67 1 +RD_06 62 1 +RD_07 65 1 +RD_08 49 1 +RD_09 67 1 +RD_0a 71 1 +RD_0b 75 1 +RD_0c 79 1 +RD_0d 67 1 +RD_0e 78 1 +RD_0f 71 1 +RD_10 73 1 +RD_11 78 1 +RD_12 73 1 +RD_13 70 1 +RD_14 77 1 +RD_15 68 1 +RD_16 74 1 +RD_17 59 1 +RD_18 59 1 +RD_19 71 1 +RD_1a 80 1 +RD_1b 60 1 +RD_1c 65 1 +RD_1d 71 1 +RD_1e 70 1 +RD_1f 62 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 67 1 +RD_02 59 1 +RD_03 83 1 +RD_04 63 1 +RD_05 70 1 +RD_06 63 1 +RD_07 69 1 +RD_08 56 1 +RD_09 68 1 +RD_0a 75 1 +RD_0b 75 1 +RD_0c 64 1 +RD_0d 75 1 +RD_0e 81 1 +RD_0f 66 1 +RD_10 70 1 +RD_11 61 1 +RD_12 77 1 +RD_13 64 1 +RD_14 68 1 +RD_15 73 1 +RD_16 67 1 +RD_17 70 1 +RD_18 71 1 +RD_19 67 1 +RD_1a 67 1 +RD_1b 60 1 +RD_1c 66 1 +RD_1d 70 1 +RD_1e 60 1 +RD_1f 63 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7188 1 +auto_POSITIVE 7232 1 +auto_NEGATIVE 6361 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7150 1 +auto_POSITIVE 7123 1 +auto_NEGATIVE 6508 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 12721 1 +SLT_1 8060 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6361 1 +BIT30_1 4268 1 +BIT29_1 4274 1 +BIT28_1 4338 1 +BIT27_1 4167 1 +BIT26_1 4104 1 +BIT25_1 4153 1 +BIT24_1 4149 1 +BIT23_1 4051 1 +BIT22_1 4322 1 +BIT21_1 4163 1 +BIT20_1 4219 1 +BIT19_1 4321 1 +BIT18_1 4209 1 +BIT17_1 4217 1 +BIT16_1 4330 1 +BIT15_1 5292 1 +BIT14_1 5210 1 +BIT13_1 5275 1 +BIT12_1 5252 1 +BIT11_1 5629 1 +BIT10_1 5805 1 +BIT9_1 5052 1 +BIT8_1 4601 1 +BIT7_1 5390 1 +BIT6_1 4981 1 +BIT5_1 4940 1 +BIT4_1 6362 1 +BIT3_1 6580 1 +BIT2_1 6380 1 +BIT1_1 4970 1 +BIT0_1 5896 1 +BIT31_0 14420 1 +BIT30_0 16513 1 +BIT29_0 16507 1 +BIT28_0 16443 1 +BIT27_0 16614 1 +BIT26_0 16677 1 +BIT25_0 16628 1 +BIT24_0 16632 1 +BIT23_0 16730 1 +BIT22_0 16459 1 +BIT21_0 16618 1 +BIT20_0 16562 1 +BIT19_0 16460 1 +BIT18_0 16572 1 +BIT17_0 16564 1 +BIT16_0 16451 1 +BIT15_0 15489 1 +BIT14_0 15571 1 +BIT13_0 15506 1 +BIT12_0 15529 1 +BIT11_0 15152 1 +BIT10_0 14976 1 +BIT9_0 15729 1 +BIT8_0 16180 1 +BIT7_0 15391 1 +BIT6_0 15800 1 +BIT5_0 15841 1 +BIT4_0 14419 1 +BIT3_0 14201 1 +BIT2_0 14401 1 +BIT1_0 15811 1 +BIT0_0 14885 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6508 1 +BIT30_1 4339 1 +BIT29_1 4294 1 +BIT28_1 4338 1 +BIT27_1 4127 1 +BIT26_1 4134 1 +BIT25_1 4046 1 +BIT24_1 4090 1 +BIT23_1 4070 1 +BIT22_1 4129 1 +BIT21_1 4087 1 +BIT20_1 4140 1 +BIT19_1 4103 1 +BIT18_1 4131 1 +BIT17_1 4155 1 +BIT16_1 4290 1 +BIT15_1 5174 1 +BIT14_1 5182 1 +BIT13_1 5353 1 +BIT12_1 5200 1 +BIT11_1 5730 1 +BIT10_1 5758 1 +BIT9_1 5195 1 +BIT8_1 4590 1 +BIT7_1 5537 1 +BIT6_1 4884 1 +BIT5_1 5121 1 +BIT4_1 6384 1 +BIT3_1 6554 1 +BIT2_1 6529 1 +BIT1_1 5167 1 +BIT0_1 5853 1 +BIT31_0 14273 1 +BIT30_0 16442 1 +BIT29_0 16487 1 +BIT28_0 16443 1 +BIT27_0 16654 1 +BIT26_0 16647 1 +BIT25_0 16735 1 +BIT24_0 16691 1 +BIT23_0 16711 1 +BIT22_0 16652 1 +BIT21_0 16694 1 +BIT20_0 16641 1 +BIT19_0 16678 1 +BIT18_0 16650 1 +BIT17_0 16626 1 +BIT16_0 16491 1 +BIT15_0 15607 1 +BIT14_0 15599 1 +BIT13_0 15428 1 +BIT12_0 15581 1 +BIT11_0 15051 1 +BIT10_0 15023 1 +BIT9_0 15586 1 +BIT8_0 16191 1 +BIT7_0 15244 1 +BIT6_0 15897 1 +BIT5_0 15660 1 +BIT4_0 14397 1 +BIT3_0 14227 1 +BIT2_0 14252 1 +BIT1_0 15614 1 +BIT0_0 14928 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3302 1 +auto_ZERO auto_POSITIVE 2042 1 +auto_ZERO auto_NEGATIVE 1844 1 +auto_POSITIVE auto_ZERO 2034 1 +auto_POSITIVE auto_POSITIVE 3057 1 +auto_POSITIVE auto_NEGATIVE 2141 1 +auto_NEGATIVE auto_ZERO 1814 1 +auto_NEGATIVE auto_POSITIVE 2024 1 +auto_NEGATIVE auto_NEGATIVE 2523 1 + + +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sltu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sltu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 665 1 +auto[1] 707 1 +auto[2] 770 1 +auto[3] 634 1 +auto[4] 718 1 +auto[5] 678 1 +auto[6] 672 1 +auto[7] 715 1 +auto[8] 632 1 +auto[9] 680 1 +auto[10] 654 1 +auto[11] 696 1 +auto[12] 626 1 +auto[13] 559 1 +auto[14] 654 1 +auto[15] 681 1 +auto[16] 626 1 +auto[17] 684 1 +auto[18] 597 1 +auto[19] 671 1 +auto[20] 607 1 +auto[21] 628 1 +auto[22] 702 1 +auto[23] 674 1 +auto[24] 609 1 +auto[25] 651 1 +auto[26] 704 1 +auto[27] 654 1 +auto[28] 594 1 +auto[29] 604 1 +auto[30] 640 1 +auto[31] 633 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 612 1 +auto[1] 666 1 +auto[2] 681 1 +auto[3] 547 1 +auto[4] 726 1 +auto[5] 675 1 +auto[6] 659 1 +auto[7] 653 1 +auto[8] 717 1 +auto[9] 635 1 +auto[10] 677 1 +auto[11] 632 1 +auto[12] 651 1 +auto[13] 583 1 +auto[14] 578 1 +auto[15] 624 1 +auto[16] 689 1 +auto[17] 649 1 +auto[18] 646 1 +auto[19] 659 1 +auto[20] 657 1 +auto[21] 683 1 +auto[22] 737 1 +auto[23] 647 1 +auto[24] 714 1 +auto[25] 612 1 +auto[26] 630 1 +auto[27] 712 1 +auto[28] 636 1 +auto[29] 680 1 +auto[30] 658 1 +auto[31] 694 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 654 1 +auto[1] 688 1 +auto[2] 621 1 +auto[3] 816 1 +auto[4] 729 1 +auto[5] 582 1 +auto[6] 654 1 +auto[7] 721 1 +auto[8] 628 1 +auto[9] 687 1 +auto[10] 598 1 +auto[11] 679 1 +auto[12] 705 1 +auto[13] 645 1 +auto[14] 639 1 +auto[15] 631 1 +auto[16] 715 1 +auto[17] 595 1 +auto[18] 676 1 +auto[19] 639 1 +auto[20] 586 1 +auto[21] 580 1 +auto[22] 590 1 +auto[23] 629 1 +auto[24] 687 1 +auto[25] 662 1 +auto[26] 635 1 +auto[27] 727 1 +auto[28] 639 1 +auto[29] 624 1 +auto[30] 718 1 +auto[31] 640 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 68 1 +RD_02 78 1 +RD_03 64 1 +RD_04 167 1 +RD_05 62 1 +RD_06 66 1 +RD_07 62 1 +RD_08 66 1 +RD_09 59 1 +RD_0a 67 1 +RD_0b 74 1 +RD_0c 66 1 +RD_0d 51 1 +RD_0e 84 1 +RD_0f 68 1 +RD_10 63 1 +RD_11 66 1 +RD_12 72 1 +RD_13 57 1 +RD_14 65 1 +RD_15 58 1 +RD_16 62 1 +RD_17 74 1 +RD_18 76 1 +RD_19 63 1 +RD_1a 71 1 +RD_1b 78 1 +RD_1c 58 1 +RD_1d 67 1 +RD_1e 75 1 +RD_1f 89 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 75 1 +RD_01 56 1 +RD_02 78 1 +RD_03 60 1 +RD_04 164 1 +RD_05 60 1 +RD_06 68 1 +RD_07 60 1 +RD_08 63 1 +RD_09 55 1 +RD_0a 65 1 +RD_0b 77 1 +RD_0c 71 1 +RD_0d 57 1 +RD_0e 68 1 +RD_0f 63 1 +RD_10 64 1 +RD_11 68 1 +RD_12 86 1 +RD_13 54 1 +RD_14 72 1 +RD_15 56 1 +RD_16 64 1 +RD_17 80 1 +RD_18 83 1 +RD_19 72 1 +RD_1a 62 1 +RD_1b 75 1 +RD_1c 59 1 +RD_1d 62 1 +RD_1e 85 1 +RD_1f 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7434 1 +auto_NON_ZERO 13585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7224 1 +auto_NON_ZERO 13795 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 12716 1 +SLT_1 8303 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6249 1 +BIT30_1 4118 1 +BIT29_1 4124 1 +BIT28_1 4103 1 +BIT27_1 4019 1 +BIT26_1 3933 1 +BIT25_1 3900 1 +BIT24_1 3914 1 +BIT23_1 3891 1 +BIT22_1 3974 1 +BIT21_1 3887 1 +BIT20_1 3986 1 +BIT19_1 4027 1 +BIT18_1 3954 1 +BIT17_1 3925 1 +BIT16_1 4126 1 +BIT15_1 5102 1 +BIT14_1 4901 1 +BIT13_1 5109 1 +BIT12_1 4994 1 +BIT11_1 5485 1 +BIT10_1 5535 1 +BIT9_1 4918 1 +BIT8_1 4439 1 +BIT7_1 5313 1 +BIT6_1 4872 1 +BIT5_1 4945 1 +BIT4_1 6031 1 +BIT3_1 6291 1 +BIT2_1 6286 1 +BIT1_1 5027 1 +BIT0_1 5758 1 +BIT31_0 14770 1 +BIT30_0 16901 1 +BIT29_0 16895 1 +BIT28_0 16916 1 +BIT27_0 17000 1 +BIT26_0 17086 1 +BIT25_0 17119 1 +BIT24_0 17105 1 +BIT23_0 17128 1 +BIT22_0 17045 1 +BIT21_0 17132 1 +BIT20_0 17033 1 +BIT19_0 16992 1 +BIT18_0 17065 1 +BIT17_0 17094 1 +BIT16_0 16893 1 +BIT15_0 15917 1 +BIT14_0 16118 1 +BIT13_0 15910 1 +BIT12_0 16025 1 +BIT11_0 15534 1 +BIT10_0 15484 1 +BIT9_0 16101 1 +BIT8_0 16580 1 +BIT7_0 15706 1 +BIT6_0 16147 1 +BIT5_0 16074 1 +BIT4_0 14988 1 +BIT3_0 14728 1 +BIT2_0 14733 1 +BIT1_0 15992 1 +BIT0_0 15261 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6576 1 +BIT30_1 4172 1 +BIT29_1 4133 1 +BIT28_1 4209 1 +BIT27_1 4092 1 +BIT26_1 4127 1 +BIT25_1 3978 1 +BIT24_1 4007 1 +BIT23_1 3985 1 +BIT22_1 4038 1 +BIT21_1 4000 1 +BIT20_1 4056 1 +BIT19_1 4096 1 +BIT18_1 4023 1 +BIT17_1 3967 1 +BIT16_1 4292 1 +BIT15_1 5145 1 +BIT14_1 5058 1 +BIT13_1 5317 1 +BIT12_1 5104 1 +BIT11_1 5577 1 +BIT10_1 5759 1 +BIT9_1 5101 1 +BIT8_1 4451 1 +BIT7_1 5485 1 +BIT6_1 5023 1 +BIT5_1 4943 1 +BIT4_1 6429 1 +BIT3_1 6407 1 +BIT2_1 6316 1 +BIT1_1 5128 1 +BIT0_1 5811 1 +BIT31_0 14443 1 +BIT30_0 16847 1 +BIT29_0 16886 1 +BIT28_0 16810 1 +BIT27_0 16927 1 +BIT26_0 16892 1 +BIT25_0 17041 1 +BIT24_0 17012 1 +BIT23_0 17034 1 +BIT22_0 16981 1 +BIT21_0 17019 1 +BIT20_0 16963 1 +BIT19_0 16923 1 +BIT18_0 16996 1 +BIT17_0 17052 1 +BIT16_0 16727 1 +BIT15_0 15874 1 +BIT14_0 15961 1 +BIT13_0 15702 1 +BIT12_0 15915 1 +BIT11_0 15442 1 +BIT10_0 15260 1 +BIT9_0 15918 1 +BIT8_0 16568 1 +BIT7_0 15534 1 +BIT6_0 15996 1 +BIT5_0 16076 1 +BIT4_0 14590 1 +BIT3_0 14612 1 +BIT2_0 14703 1 +BIT1_0 15891 1 +BIT0_0 15208 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 3524 1 +auto_ZERO auto_NON_ZERO 3910 1 +auto_NON_ZERO auto_ZERO 3700 1 +auto_NON_ZERO auto_NON_ZERO 9885 1 + + +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrc_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrs_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 283 0 283 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrc_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 283 0 283 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 6052 1 +auto[1] 75 1 +auto[2] 59 1 +auto[3] 726 1 +auto[4] 70 1 +auto[5] 71 1 +auto[6] 72 1 +auto[7] 68 1 +auto[8] 76 1 +auto[9] 56 1 +auto[10] 77 1 +auto[11] 85 1 +auto[12] 71 1 +auto[13] 72 1 +auto[14] 60 1 +auto[15] 70 1 +auto[16] 67 1 +auto[17] 64 1 +auto[18] 75 1 +auto[19] 83 1 +auto[20] 57 1 +auto[21] 67 1 +auto[22] 63 1 +auto[23] 65 1 +auto[24] 74 1 +auto[25] 53 1 +auto[26] 67 1 +auto[27] 66 1 +auto[28] 89 1 +auto[29] 81 1 +auto[30] 72 1 +auto[31] 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 308 1 +auto[1] 281 1 +auto[2] 217 1 +auto[3] 273 1 +auto[4] 263 1 +auto[5] 248 1 +auto[6] 260 1 +auto[7] 257 1 +auto[8] 263 1 +auto[9] 234 1 +auto[10] 231 1 +auto[11] 230 1 +auto[12] 259 1 +auto[13] 287 1 +auto[14] 941 1 +auto[15] 267 1 +auto[16] 218 1 +auto[17] 253 1 +auto[18] 242 1 +auto[19] 245 1 +auto[20] 282 1 +auto[21] 279 1 +auto[22] 262 1 +auto[23] 245 1 +auto[24] 250 1 +auto[25] 265 1 +auto[26] 250 1 +auto[27] 254 1 +auto[28] 244 1 +auto[29] 244 1 +auto[30] 247 1 +auto[31] 276 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 25 1 +CSR_MISA 25 1 +CSR_MIE 24 1 +CSR_MTVEC 31 1 +CSR_MSTATUSH 21 1 +CSR_MCOUNTINHIBIT 24 1 +CSR_MHPMEVENT3 41 1 +CSR_MHPMEVENT4 33 1 +CSR_MHPMEVENT5 22 1 +CSR_MHPMEVENT6 28 1 +CSR_MHPMEVENT7 36 1 +CSR_MHPMEVENT8 28 1 +CSR_MHPMEVENT9 34 1 +CSR_MHPMEVENT10 24 1 +CSR_MHPMEVENT11 34 1 +CSR_MHPMEVENT12 42 1 +CSR_MHPMEVENT13 28 1 +CSR_MHPMEVENT14 30 1 +CSR_MHPMEVENT15 31 1 +CSR_MHPMEVENT16 36 1 +CSR_MHPMEVENT17 21 1 +CSR_MHPMEVENT18 24 1 +CSR_MHPMEVENT19 36 1 +CSR_MHPMEVENT20 43 1 +CSR_MHPMEVENT21 20 1 +CSR_MHPMEVENT22 36 1 +CSR_MHPMEVENT23 30 1 +CSR_MHPMEVENT24 26 1 +CSR_MHPMEVENT25 25 1 +CSR_MHPMEVENT26 28 1 +CSR_MHPMEVENT27 34 1 +CSR_MHPMEVENT28 24 1 +CSR_MHPMEVENT29 28 1 +CSR_MHPMEVENT30 36 1 +CSR_MHPMEVENT31 34 1 +CSR_MSCRATCH 3813 1 +CSR_MEPC 25 1 +CSR_MCAUSE 25 1 +CSR_MTVAL 39 1 +CSR_MIP 25 1 +CSR_PMPCFG0 29 1 +CSR_PMPCFG1 28 1 +CSR_PMPCFG2 35 1 +CSR_PMPCFG3 21 1 +CSR_PMPCFG4 23 1 +CSR_PMPCFG5 23 1 +CSR_PMPCFG6 40 1 +CSR_PMPCFG7 16 1 +CSR_PMPCFG8 23 1 +CSR_PMPCFG9 23 1 +CSR_PMPCFG10 30 1 +CSR_PMPCFG11 28 1 +CSR_PMPCFG12 22 1 +CSR_PMPCFG13 24 1 +CSR_PMPCFG14 22 1 +CSR_PMPCFG15 18 1 +CSR_PMPADDR0 30 1 +CSR_PMPADDR1 21 1 +CSR_PMPADDR2 28 1 +CSR_PMPADDR3 29 1 +CSR_PMPADDR4 24 1 +CSR_PMPADDR5 29 1 +CSR_PMPADDR6 31 1 +CSR_PMPADDR7 42 1 +CSR_PMPADDR8 29 1 +CSR_PMPADDR9 34 1 +CSR_PMPADDR10 39 1 +CSR_PMPADDR11 28 1 +CSR_PMPADDR12 28 1 +CSR_PMPADDR13 29 1 +CSR_PMPADDR14 25 1 +CSR_PMPADDR15 29 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 26 1 +CSR_PMPADDR18 23 1 +CSR_PMPADDR19 26 1 +CSR_PMPADDR20 28 1 +CSR_PMPADDR21 27 1 +CSR_PMPADDR22 33 1 +CSR_PMPADDR23 25 1 +CSR_PMPADDR24 27 1 +CSR_PMPADDR25 24 1 +CSR_PMPADDR26 27 1 +CSR_PMPADDR27 27 1 +CSR_PMPADDR28 33 1 +CSR_PMPADDR29 26 1 +CSR_PMPADDR30 29 1 +CSR_PMPADDR31 33 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 23 1 +CSR_PMPADDR34 25 1 +CSR_PMPADDR35 25 1 +CSR_PMPADDR36 23 1 +CSR_PMPADDR37 22 1 +CSR_PMPADDR38 23 1 +CSR_PMPADDR39 22 1 +CSR_PMPADDR40 30 1 +CSR_PMPADDR41 30 1 +CSR_PMPADDR42 28 1 +CSR_PMPADDR43 28 1 +CSR_PMPADDR44 24 1 +CSR_PMPADDR45 22 1 +CSR_PMPADDR46 22 1 +CSR_PMPADDR47 20 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 19 1 +CSR_PMPADDR50 28 1 +CSR_PMPADDR51 23 1 +CSR_PMPADDR52 17 1 +CSR_PMPADDR53 29 1 +CSR_PMPADDR54 32 1 +CSR_PMPADDR55 24 1 +CSR_PMPADDR56 18 1 +CSR_PMPADDR57 20 1 +CSR_PMPADDR58 30 1 +CSR_PMPADDR59 27 1 +CSR_PMPADDR60 22 1 +CSR_PMPADDR61 33 1 +CSR_PMPADDR62 26 1 +CSR_PMPADDR63 33 1 +CSR_MCYCLE 34 1 +CSR_MINSTRET 35 1 +CSR_MHPMCOUNTER3 20 1 +CSR_MHPMCOUNTER4 30 1 +CSR_MHPMCOUNTER5 31 1 +CSR_MHPMCOUNTER6 29 1 +CSR_MHPMCOUNTER7 32 1 +CSR_MHPMCOUNTER8 27 1 +CSR_MHPMCOUNTER9 29 1 +CSR_MHPMCOUNTER10 22 1 +CSR_MHPMCOUNTER11 21 1 +CSR_MHPMCOUNTER12 25 1 +CSR_MHPMCOUNTER13 25 1 +CSR_MHPMCOUNTER14 38 1 +CSR_MHPMCOUNTER15 39 1 +CSR_MHPMCOUNTER16 23 1 +CSR_MHPMCOUNTER17 23 1 +CSR_MHPMCOUNTER18 35 1 +CSR_MHPMCOUNTER19 32 1 +CSR_MHPMCOUNTER20 36 1 +CSR_MHPMCOUNTER21 38 1 +CSR_MHPMCOUNTER22 27 1 +CSR_MHPMCOUNTER23 32 1 +CSR_MHPMCOUNTER24 33 1 +CSR_MHPMCOUNTER25 30 1 +CSR_MHPMCOUNTER26 31 1 +CSR_MHPMCOUNTER27 33 1 +CSR_MHPMCOUNTER28 30 1 +CSR_MHPMCOUNTER29 35 1 +CSR_MHPMCOUNTER30 36 1 +CSR_MHPMCOUNTER31 27 1 +CSR_MCYCLEH 28 1 +CSR_MINSTRETH 36 1 +CSR_MHPMCOUNTER3H 30 1 +CSR_MHPMCOUNTER4H 29 1 +CSR_MHPMCOUNTER5H 30 1 +CSR_MHPMCOUNTER6H 37 1 +CSR_MHPMCOUNTER7H 25 1 +CSR_MHPMCOUNTER8H 30 1 +CSR_MHPMCOUNTER9H 31 1 +CSR_MHPMCOUNTER10H 30 1 +CSR_MHPMCOUNTER11H 31 1 +CSR_MHPMCOUNTER12H 28 1 +CSR_MHPMCOUNTER13H 23 1 +CSR_MHPMCOUNTER14H 28 1 +CSR_MHPMCOUNTER15H 25 1 +CSR_MHPMCOUNTER16H 34 1 +CSR_MHPMCOUNTER17H 26 1 +CSR_MHPMCOUNTER18H 28 1 +CSR_MHPMCOUNTER19H 22 1 +CSR_MHPMCOUNTER20H 26 1 +CSR_MHPMCOUNTER21H 25 1 +CSR_MHPMCOUNTER22H 30 1 +CSR_MHPMCOUNTER23H 33 1 +CSR_MHPMCOUNTER24H 35 1 +CSR_MHPMCOUNTER25H 29 1 +CSR_MHPMCOUNTER26H 28 1 +CSR_MHPMCOUNTER27H 27 1 +CSR_MHPMCOUNTER28H 28 1 +CSR_MHPMCOUNTER29H 33 1 +CSR_MHPMCOUNTER30H 18 1 +CSR_MHPMCOUNTER31H 33 1 +CSR_MVENDORID 18 1 +CSR_MARCHID 1 1 +CSR_MIMPID 1 1 +CSR_MHARTID 1 1 +CSR_MCONFIGPTR 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 223 1 +RD_01 6 1 +RD_02 2 1 +RD_03 2 1 +RD_04 1 1 +RD_05 3 1 +RD_06 4 1 +RD_07 3 1 +RD_08 3 1 +RD_09 3 1 +RD_0a 1 1 +RD_0b 7 1 +RD_0c 2 1 +RD_0d 1 1 +RD_0e 2 1 +RD_0f 3 1 +RD_10 4 1 +RD_11 1 1 +RD_12 5 1 +RD_13 4 1 +RD_14 4 1 +RD_15 5 1 +RD_16 2 1 +RD_17 5 1 +RD_18 1 1 +RD_19 2 1 +RD_1a 4 1 +RD_1b 5 1 +RD_1c 4 1 +RD_1d 3 1 +RD_1e 5 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrs_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 283 0 283 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1436187 1 +auto[1] 68 1 +auto[2] 76 1 +auto[3] 741 1 +auto[4] 69 1 +auto[5] 84 1 +auto[6] 86 1 +auto[7] 66 1 +auto[8] 80 1 +auto[9] 69 1 +auto[10] 63 1 +auto[11] 64 1 +auto[12] 73 1 +auto[13] 75 1 +auto[14] 66 1 +auto[15] 84 1 +auto[16] 78 1 +auto[17] 72 1 +auto[18] 81 1 +auto[19] 68 1 +auto[20] 73 1 +auto[21] 78 1 +auto[22] 64 1 +auto[23] 71 1 +auto[24] 82 1 +auto[25] 74 1 +auto[26] 94 1 +auto[27] 78 1 +auto[28] 82 1 +auto[29] 76 1 +auto[30] 83 1 +auto[31] 69 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 293 1 +auto[1] 240 1 +auto[2] 26295 1 +auto[3] 300 1 +auto[4] 24741 1 +auto[5] 30399 1 +auto[6] 65946 1 +auto[7] 34867 1 +auto[8] 41584 1 +auto[9] 33541 1 +auto[10] 57646 1 +auto[11] 38161 1 +auto[12] 31900 1 +auto[13] 38735 1 +auto[14] 62754 1 +auto[15] 43962 1 +auto[16] 25660 1 +auto[17] 37088 1 +auto[18] 25615 1 +auto[19] 57468 1 +auto[20] 97007 1 +auto[21] 110609 1 +auto[22] 55120 1 +auto[23] 37263 1 +auto[24] 26975 1 +auto[25] 74683 1 +auto[26] 61043 1 +auto[27] 24926 1 +auto[28] 16478 1 +auto[29] 172983 1 +auto[30] 59125 1 +auto[31] 25767 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 265359 1 +CSR_MISA 64 1 +CSR_MIE 102 1 +CSR_MTVEC 57 1 +CSR_MSTATUSH 71 1 +CSR_MCOUNTINHIBIT 35 1 +CSR_MHPMEVENT3 56 1 +CSR_MHPMEVENT4 63 1 +CSR_MHPMEVENT5 51 1 +CSR_MHPMEVENT6 54 1 +CSR_MHPMEVENT7 57 1 +CSR_MHPMEVENT8 57 1 +CSR_MHPMEVENT9 63 1 +CSR_MHPMEVENT10 57 1 +CSR_MHPMEVENT11 64 1 +CSR_MHPMEVENT12 59 1 +CSR_MHPMEVENT13 59 1 +CSR_MHPMEVENT14 58 1 +CSR_MHPMEVENT15 65 1 +CSR_MHPMEVENT16 64 1 +CSR_MHPMEVENT17 66 1 +CSR_MHPMEVENT18 60 1 +CSR_MHPMEVENT19 70 1 +CSR_MHPMEVENT20 51 1 +CSR_MHPMEVENT21 55 1 +CSR_MHPMEVENT22 67 1 +CSR_MHPMEVENT23 54 1 +CSR_MHPMEVENT24 55 1 +CSR_MHPMEVENT25 56 1 +CSR_MHPMEVENT26 57 1 +CSR_MHPMEVENT27 56 1 +CSR_MHPMEVENT28 61 1 +CSR_MHPMEVENT29 59 1 +CSR_MHPMEVENT30 61 1 +CSR_MHPMEVENT31 56 1 +CSR_MSCRATCH 3907 1 +CSR_MEPC 482682 1 +CSR_MCAUSE 470539 1 +CSR_MTVAL 51 1 +CSR_MIP 205351 1 +CSR_PMPCFG0 71 1 +CSR_PMPCFG1 74 1 +CSR_PMPCFG2 79 1 +CSR_PMPCFG3 78 1 +CSR_PMPCFG4 47 1 +CSR_PMPCFG5 44 1 +CSR_PMPCFG6 36 1 +CSR_PMPCFG7 49 1 +CSR_PMPCFG8 33 1 +CSR_PMPCFG9 47 1 +CSR_PMPCFG10 38 1 +CSR_PMPCFG11 36 1 +CSR_PMPCFG12 42 1 +CSR_PMPCFG13 37 1 +CSR_PMPCFG14 39 1 +CSR_PMPCFG15 50 1 +CSR_PMPADDR0 59 1 +CSR_PMPADDR1 57 1 +CSR_PMPADDR2 72 1 +CSR_PMPADDR3 49 1 +CSR_PMPADDR4 58 1 +CSR_PMPADDR5 51 1 +CSR_PMPADDR6 58 1 +CSR_PMPADDR7 62 1 +CSR_PMPADDR8 67 1 +CSR_PMPADDR9 61 1 +CSR_PMPADDR10 52 1 +CSR_PMPADDR11 62 1 +CSR_PMPADDR12 54 1 +CSR_PMPADDR13 55 1 +CSR_PMPADDR14 64 1 +CSR_PMPADDR15 70 1 +CSR_PMPADDR16 3 1 +CSR_PMPADDR17 26 1 +CSR_PMPADDR18 36 1 +CSR_PMPADDR19 30 1 +CSR_PMPADDR20 30 1 +CSR_PMPADDR21 42 1 +CSR_PMPADDR22 26 1 +CSR_PMPADDR23 28 1 +CSR_PMPADDR24 29 1 +CSR_PMPADDR25 21 1 +CSR_PMPADDR26 24 1 +CSR_PMPADDR27 24 1 +CSR_PMPADDR28 36 1 +CSR_PMPADDR29 22 1 +CSR_PMPADDR30 25 1 +CSR_PMPADDR31 27 1 +CSR_PMPADDR32 3 1 +CSR_PMPADDR33 24 1 +CSR_PMPADDR34 24 1 +CSR_PMPADDR35 30 1 +CSR_PMPADDR36 26 1 +CSR_PMPADDR37 34 1 +CSR_PMPADDR38 31 1 +CSR_PMPADDR39 27 1 +CSR_PMPADDR40 21 1 +CSR_PMPADDR41 21 1 +CSR_PMPADDR42 32 1 +CSR_PMPADDR43 30 1 +CSR_PMPADDR44 24 1 +CSR_PMPADDR45 31 1 +CSR_PMPADDR46 25 1 +CSR_PMPADDR47 21 1 +CSR_PMPADDR48 3 1 +CSR_PMPADDR49 17 1 +CSR_PMPADDR50 23 1 +CSR_PMPADDR51 27 1 +CSR_PMPADDR52 28 1 +CSR_PMPADDR53 25 1 +CSR_PMPADDR54 22 1 +CSR_PMPADDR55 25 1 +CSR_PMPADDR56 33 1 +CSR_PMPADDR57 24 1 +CSR_PMPADDR58 30 1 +CSR_PMPADDR59 30 1 +CSR_PMPADDR60 33 1 +CSR_PMPADDR61 39 1 +CSR_PMPADDR62 24 1 +CSR_PMPADDR63 26 1 +CSR_MCYCLE 75 1 +CSR_MINSTRET 62 1 +CSR_MHPMCOUNTER3 68 1 +CSR_MHPMCOUNTER4 61 1 +CSR_MHPMCOUNTER5 65 1 +CSR_MHPMCOUNTER6 64 1 +CSR_MHPMCOUNTER7 57 1 +CSR_MHPMCOUNTER8 68 1 +CSR_MHPMCOUNTER9 78 1 +CSR_MHPMCOUNTER10 63 1 +CSR_MHPMCOUNTER11 67 1 +CSR_MHPMCOUNTER12 58 1 +CSR_MHPMCOUNTER13 62 1 +CSR_MHPMCOUNTER14 58 1 +CSR_MHPMCOUNTER15 64 1 +CSR_MHPMCOUNTER16 61 1 +CSR_MHPMCOUNTER17 66 1 +CSR_MHPMCOUNTER18 64 1 +CSR_MHPMCOUNTER19 58 1 +CSR_MHPMCOUNTER20 62 1 +CSR_MHPMCOUNTER21 53 1 +CSR_MHPMCOUNTER22 56 1 +CSR_MHPMCOUNTER23 61 1 +CSR_MHPMCOUNTER24 69 1 +CSR_MHPMCOUNTER25 55 1 +CSR_MHPMCOUNTER26 63 1 +CSR_MHPMCOUNTER27 65 1 +CSR_MHPMCOUNTER28 55 1 +CSR_MHPMCOUNTER29 59 1 +CSR_MHPMCOUNTER30 53 1 +CSR_MHPMCOUNTER31 60 1 +CSR_MCYCLEH 65 1 +CSR_MINSTRETH 59 1 +CSR_MHPMCOUNTER3H 59 1 +CSR_MHPMCOUNTER4H 61 1 +CSR_MHPMCOUNTER5H 52 1 +CSR_MHPMCOUNTER6H 66 1 +CSR_MHPMCOUNTER7H 64 1 +CSR_MHPMCOUNTER8H 77 1 +CSR_MHPMCOUNTER9H 63 1 +CSR_MHPMCOUNTER10H 58 1 +CSR_MHPMCOUNTER11H 51 1 +CSR_MHPMCOUNTER12H 60 1 +CSR_MHPMCOUNTER13H 61 1 +CSR_MHPMCOUNTER14H 56 1 +CSR_MHPMCOUNTER15H 61 1 +CSR_MHPMCOUNTER16H 68 1 +CSR_MHPMCOUNTER17H 56 1 +CSR_MHPMCOUNTER18H 65 1 +CSR_MHPMCOUNTER19H 59 1 +CSR_MHPMCOUNTER20H 57 1 +CSR_MHPMCOUNTER21H 61 1 +CSR_MHPMCOUNTER22H 59 1 +CSR_MHPMCOUNTER23H 54 1 +CSR_MHPMCOUNTER24H 62 1 +CSR_MHPMCOUNTER25H 55 1 +CSR_MHPMCOUNTER26H 63 1 +CSR_MHPMCOUNTER27H 56 1 +CSR_MHPMCOUNTER28H 57 1 +CSR_MHPMCOUNTER29H 57 1 +CSR_MHPMCOUNTER30H 69 1 +CSR_MHPMCOUNTER31H 64 1 +CSR_MVENDORID 28 1 +CSR_MARCHID 7 1 +CSR_MIMPID 7 1 +CSR_MHARTID 2364 1 +CSR_MCONFIGPTR 3 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 192 1 +RD_01 1 1 +RD_02 3 1 +RD_03 2 1 +RD_04 3 1 +RD_05 4 1 +RD_06 3 1 +RD_07 4 1 +RD_08 4 1 +RD_09 1 1 +RD_0a 3 1 +RD_0b 3 1 +RD_0c 5 1 +RD_0d 4 1 +RD_0e 4 1 +RD_0f 5 1 +RD_10 4 1 +RD_11 2 1 +RD_12 5 1 +RD_13 6 1 +RD_14 2 1 +RD_15 5 1 +RD_16 2 1 +RD_17 2 1 +RD_18 4 1 +RD_19 5 1 +RD_1a 4 1 +RD_1b 4 1 +RD_1c 4 1 +RD_1d 1 1 +RD_1e 5 1 +RD_1f 4 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 278 0 278 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 278 0 278 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 660 1 +auto[1] 264 1 +auto[2] 3372 1 +auto[3] 2384 1 +auto[4] 2626 1 +auto[5] 2362 1 +auto[6] 3409 1 +auto[7] 2574 1 +auto[8] 3097 1 +auto[9] 2946 1 +auto[10] 3365 1 +auto[11] 5099 1 +auto[12] 2529 1 +auto[13] 1603 1 +auto[14] 2896 1 +auto[15] 2640 1 +auto[16] 2888 1 +auto[17] 2117 1 +auto[18] 2616 1 +auto[19] 2739 1 +auto[20] 4255 1 +auto[21] 2900 1 +auto[22] 2246 1 +auto[23] 2616 1 +auto[24] 2142 1 +auto[25] 2752 1 +auto[26] 2403 1 +auto[27] 3144 1 +auto[28] 2821 1 +auto[29] 2540 1 +auto[30] 15055 1 +auto[31] 2329 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 89209 1 +auto[1] 304 1 +auto[2] 247 1 +auto[3] 277 1 +auto[4] 277 1 +auto[5] 254 1 +auto[6] 270 1 +auto[7] 270 1 +auto[8] 229 1 +auto[9] 275 1 +auto[10] 270 1 +auto[11] 244 1 +auto[12] 269 1 +auto[13] 268 1 +auto[14] 288 1 +auto[15] 264 1 +auto[16] 271 1 +auto[17] 275 1 +auto[18] 272 1 +auto[19] 245 1 +auto[20] 250 1 +auto[21] 250 1 +auto[22] 297 1 +auto[23] 258 1 +auto[24] 248 1 +auto[25] 266 1 +auto[26] 269 1 +auto[27] 266 1 +auto[28] 233 1 +auto[29] 275 1 +auto[30] 249 1 +auto[31] 250 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 182 0 182 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +CSR_MVENDORID 0 Excluded +CSR_MARCHID 0 Excluded +CSR_MIMPID 0 Excluded +CSR_MHARTID 0 Excluded +CSR_MCONFIGPTR 0 Excluded +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 2870 1 +CSR_MISA 2427 1 +CSR_MIE 2471 1 +CSR_MTVEC 2402 1 +CSR_MSTATUSH 92 1 +CSR_MCOUNTINHIBIT 80 1 +CSR_MHPMEVENT3 60 1 +CSR_MHPMEVENT4 39 1 +CSR_MHPMEVENT5 50 1 +CSR_MHPMEVENT6 48 1 +CSR_MHPMEVENT7 58 1 +CSR_MHPMEVENT8 45 1 +CSR_MHPMEVENT9 62 1 +CSR_MHPMEVENT10 52 1 +CSR_MHPMEVENT11 48 1 +CSR_MHPMEVENT12 43 1 +CSR_MHPMEVENT13 52 1 +CSR_MHPMEVENT14 45 1 +CSR_MHPMEVENT15 53 1 +CSR_MHPMEVENT16 55 1 +CSR_MHPMEVENT17 60 1 +CSR_MHPMEVENT18 48 1 +CSR_MHPMEVENT19 52 1 +CSR_MHPMEVENT20 49 1 +CSR_MHPMEVENT21 49 1 +CSR_MHPMEVENT22 59 1 +CSR_MHPMEVENT23 51 1 +CSR_MHPMEVENT24 51 1 +CSR_MHPMEVENT25 55 1 +CSR_MHPMEVENT26 50 1 +CSR_MHPMEVENT27 59 1 +CSR_MHPMEVENT28 54 1 +CSR_MHPMEVENT29 47 1 +CSR_MHPMEVENT30 53 1 +CSR_MHPMEVENT31 54 1 +CSR_MSCRATCH 4136 1 +CSR_MEPC 74564 1 +CSR_MCAUSE 86 1 +CSR_MTVAL 96 1 +CSR_MIP 135 1 +CSR_PMPCFG0 99 1 +CSR_PMPCFG1 96 1 +CSR_PMPCFG2 88 1 +CSR_PMPCFG3 79 1 +CSR_PMPCFG4 52 1 +CSR_PMPCFG5 54 1 +CSR_PMPCFG6 51 1 +CSR_PMPCFG7 60 1 +CSR_PMPCFG8 70 1 +CSR_PMPCFG9 61 1 +CSR_PMPCFG10 48 1 +CSR_PMPCFG11 57 1 +CSR_PMPCFG12 52 1 +CSR_PMPCFG13 68 1 +CSR_PMPCFG14 60 1 +CSR_PMPCFG15 71 1 +CSR_PMPADDR0 45 1 +CSR_PMPADDR1 47 1 +CSR_PMPADDR2 46 1 +CSR_PMPADDR3 45 1 +CSR_PMPADDR4 45 1 +CSR_PMPADDR5 53 1 +CSR_PMPADDR6 45 1 +CSR_PMPADDR7 44 1 +CSR_PMPADDR8 43 1 +CSR_PMPADDR9 48 1 +CSR_PMPADDR10 44 1 +CSR_PMPADDR11 42 1 +CSR_PMPADDR12 44 1 +CSR_PMPADDR13 42 1 +CSR_PMPADDR14 41 1 +CSR_PMPADDR15 43 1 +CSR_PMPADDR16 17 1 +CSR_PMPADDR17 24 1 +CSR_PMPADDR18 25 1 +CSR_PMPADDR19 25 1 +CSR_PMPADDR20 32 1 +CSR_PMPADDR21 23 1 +CSR_PMPADDR22 33 1 +CSR_PMPADDR23 28 1 +CSR_PMPADDR24 33 1 +CSR_PMPADDR25 32 1 +CSR_PMPADDR26 28 1 +CSR_PMPADDR27 35 1 +CSR_PMPADDR28 25 1 +CSR_PMPADDR29 26 1 +CSR_PMPADDR30 30 1 +CSR_PMPADDR31 31 1 +CSR_PMPADDR32 17 1 +CSR_PMPADDR33 30 1 +CSR_PMPADDR34 29 1 +CSR_PMPADDR35 23 1 +CSR_PMPADDR36 31 1 +CSR_PMPADDR37 30 1 +CSR_PMPADDR38 26 1 +CSR_PMPADDR39 29 1 +CSR_PMPADDR40 37 1 +CSR_PMPADDR41 26 1 +CSR_PMPADDR42 28 1 +CSR_PMPADDR43 27 1 +CSR_PMPADDR44 32 1 +CSR_PMPADDR45 22 1 +CSR_PMPADDR46 22 1 +CSR_PMPADDR47 34 1 +CSR_PMPADDR48 17 1 +CSR_PMPADDR49 25 1 +CSR_PMPADDR50 31 1 +CSR_PMPADDR51 34 1 +CSR_PMPADDR52 30 1 +CSR_PMPADDR53 26 1 +CSR_PMPADDR54 34 1 +CSR_PMPADDR55 27 1 +CSR_PMPADDR56 31 1 +CSR_PMPADDR57 32 1 +CSR_PMPADDR58 27 1 +CSR_PMPADDR59 23 1 +CSR_PMPADDR60 28 1 +CSR_PMPADDR61 33 1 +CSR_PMPADDR62 35 1 +CSR_PMPADDR63 31 1 +CSR_MCYCLE 88 1 +CSR_MINSTRET 86 1 +CSR_MHPMCOUNTER3 47 1 +CSR_MHPMCOUNTER4 50 1 +CSR_MHPMCOUNTER5 50 1 +CSR_MHPMCOUNTER6 45 1 +CSR_MHPMCOUNTER7 58 1 +CSR_MHPMCOUNTER8 51 1 +CSR_MHPMCOUNTER9 55 1 +CSR_MHPMCOUNTER10 46 1 +CSR_MHPMCOUNTER11 61 1 +CSR_MHPMCOUNTER12 51 1 +CSR_MHPMCOUNTER13 53 1 +CSR_MHPMCOUNTER14 49 1 +CSR_MHPMCOUNTER15 49 1 +CSR_MHPMCOUNTER16 59 1 +CSR_MHPMCOUNTER17 46 1 +CSR_MHPMCOUNTER18 53 1 +CSR_MHPMCOUNTER19 55 1 +CSR_MHPMCOUNTER20 49 1 +CSR_MHPMCOUNTER21 54 1 +CSR_MHPMCOUNTER22 50 1 +CSR_MHPMCOUNTER23 51 1 +CSR_MHPMCOUNTER24 52 1 +CSR_MHPMCOUNTER25 59 1 +CSR_MHPMCOUNTER26 52 1 +CSR_MHPMCOUNTER27 50 1 +CSR_MHPMCOUNTER28 59 1 +CSR_MHPMCOUNTER29 58 1 +CSR_MHPMCOUNTER30 51 1 +CSR_MHPMCOUNTER31 53 1 +CSR_MCYCLEH 91 1 +CSR_MINSTRETH 85 1 +CSR_MHPMCOUNTER3H 47 1 +CSR_MHPMCOUNTER4H 49 1 +CSR_MHPMCOUNTER5H 56 1 +CSR_MHPMCOUNTER6H 55 1 +CSR_MHPMCOUNTER7H 51 1 +CSR_MHPMCOUNTER8H 48 1 +CSR_MHPMCOUNTER9H 55 1 +CSR_MHPMCOUNTER10H 51 1 +CSR_MHPMCOUNTER11H 53 1 +CSR_MHPMCOUNTER12H 50 1 +CSR_MHPMCOUNTER13H 51 1 +CSR_MHPMCOUNTER14H 53 1 +CSR_MHPMCOUNTER15H 45 1 +CSR_MHPMCOUNTER16H 56 1 +CSR_MHPMCOUNTER17H 62 1 +CSR_MHPMCOUNTER18H 56 1 +CSR_MHPMCOUNTER19H 51 1 +CSR_MHPMCOUNTER20H 51 1 +CSR_MHPMCOUNTER21H 56 1 +CSR_MHPMCOUNTER22H 45 1 +CSR_MHPMCOUNTER23H 62 1 +CSR_MHPMCOUNTER24H 47 1 +CSR_MHPMCOUNTER25H 57 1 +CSR_MHPMCOUNTER26H 41 1 +CSR_MHPMCOUNTER27H 55 1 +CSR_MHPMCOUNTER28H 58 1 +CSR_MHPMCOUNTER29H 47 1 +CSR_MHPMCOUNTER30H 56 1 +CSR_MHPMCOUNTER31H 46 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 399 1 +RD_01 14 1 +RD_02 7 1 +RD_03 9 1 +RD_04 9 1 +RD_05 13 1 +RD_06 15 1 +RD_07 7 1 +RD_08 9 1 +RD_09 12 1 +RD_0a 10 1 +RD_0b 12 1 +RD_0c 9 1 +RD_0d 8 1 +RD_0e 7 1 +RD_0f 8 1 +RD_10 11 1 +RD_11 9 1 +RD_12 10 1 +RD_13 7 1 +RD_14 8 1 +RD_15 11 1 +RD_16 14 1 +RD_17 13 1 +RD_18 7 1 +RD_19 8 1 +RD_1a 7 1 +RD_1b 8 1 +RD_1c 12 1 +RD_1d 8 1 +RD_1e 9 1 +RD_1f 6 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_cb_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_cb_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_srai_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_srli_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cb_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 106 0 106 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cb_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_srai_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_srai_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 106 0 106 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_srai_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3641 1 +auto_NON_ZERO 7450 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 670 1 +SHAMT_1 419 1 +SHAMT_2 370 1 +SHAMT_3 360 1 +SHAMT_4 333 1 +SHAMT_5 333 1 +SHAMT_6 346 1 +SHAMT_7 312 1 +SHAMT_8 361 1 +SHAMT_9 331 1 +SHAMT_10 316 1 +SHAMT_11 310 1 +SHAMT_12 309 1 +SHAMT_13 324 1 +SHAMT_14 330 1 +SHAMT_15 355 1 +SHAMT_16 314 1 +SHAMT_17 368 1 +SHAMT_18 351 1 +SHAMT_19 306 1 +SHAMT_20 326 1 +SHAMT_21 306 1 +SHAMT_22 330 1 +SHAMT_23 341 1 +SHAMT_24 334 1 +SHAMT_25 334 1 +SHAMT_26 319 1 +SHAMT_27 341 1 +SHAMT_28 338 1 +SHAMT_29 358 1 +SHAMT_30 312 1 +SHAMT_31 334 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1441 1 +auto[1] 1354 1 +auto[2] 1346 1 +auto[3] 1402 1 +auto[4] 1418 1 +auto[5] 1400 1 +auto[6] 1430 1 +auto[7] 1300 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2993 1 +BIT30_1 2395 1 +BIT29_1 2426 1 +BIT28_1 2422 1 +BIT27_1 2368 1 +BIT26_1 2383 1 +BIT25_1 2339 1 +BIT24_1 2348 1 +BIT23_1 2318 1 +BIT22_1 2350 1 +BIT21_1 2326 1 +BIT20_1 2333 1 +BIT19_1 2330 1 +BIT18_1 2372 1 +BIT17_1 2310 1 +BIT16_1 2477 1 +BIT15_1 2775 1 +BIT14_1 2731 1 +BIT13_1 2763 1 +BIT12_1 2767 1 +BIT11_1 2825 1 +BIT10_1 2913 1 +BIT9_1 2830 1 +BIT8_1 2672 1 +BIT7_1 3127 1 +BIT6_1 3046 1 +BIT5_1 3112 1 +BIT4_1 3425 1 +BIT3_1 3506 1 +BIT2_1 3357 1 +BIT1_1 3076 1 +BIT0_1 3355 1 +BIT31_0 8098 1 +BIT30_0 8696 1 +BIT29_0 8665 1 +BIT28_0 8669 1 +BIT27_0 8723 1 +BIT26_0 8708 1 +BIT25_0 8752 1 +BIT24_0 8743 1 +BIT23_0 8773 1 +BIT22_0 8741 1 +BIT21_0 8765 1 +BIT20_0 8758 1 +BIT19_0 8761 1 +BIT18_0 8719 1 +BIT17_0 8781 1 +BIT16_0 8614 1 +BIT15_0 8316 1 +BIT14_0 8360 1 +BIT13_0 8328 1 +BIT12_0 8324 1 +BIT11_0 8266 1 +BIT10_0 8178 1 +BIT9_0 8261 1 +BIT8_0 8419 1 +BIT7_0 7964 1 +BIT6_0 8045 1 +BIT5_0 7979 1 +BIT4_0 7666 1 +BIT3_0 7585 1 +BIT2_0 7734 1 +BIT1_0 8015 1 +BIT0_0 7736 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_srli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_srli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 106 0 106 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_srli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3641 1 +auto_NON_ZERO 72509 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 670 1 +SHAMT_1 427 1 +SHAMT_2 420 1 +SHAMT_3 404 1 +SHAMT_4 329 1 +SHAMT_5 307 1 +SHAMT_6 304 1 +SHAMT_7 356 1 +SHAMT_8 302 1 +SHAMT_9 357 1 +SHAMT_10 333 1 +SHAMT_11 322 1 +SHAMT_12 334 1 +SHAMT_13 316 1 +SHAMT_14 327 1 +SHAMT_15 307 1 +SHAMT_16 329 1 +SHAMT_17 304 1 +SHAMT_18 301 1 +SHAMT_19 352 1 +SHAMT_20 306 1 +SHAMT_21 342 1 +SHAMT_22 349 1 +SHAMT_23 333 1 +SHAMT_24 319 1 +SHAMT_25 316 1 +SHAMT_26 302 1 +SHAMT_27 352 1 +SHAMT_28 341 1 +SHAMT_29 346 1 +SHAMT_30 327 1 +SHAMT_31 65416 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 9452 1 +auto[1] 8027 1 +auto[2] 12273 1 +auto[3] 8746 1 +auto[4] 7544 1 +auto[5] 8269 1 +auto[6] 12144 1 +auto[7] 9695 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 51561 1 +BIT30_1 2326 1 +BIT29_1 2327 1 +BIT28_1 2358 1 +BIT27_1 2297 1 +BIT26_1 2295 1 +BIT25_1 2278 1 +BIT24_1 2257 1 +BIT23_1 2250 1 +BIT22_1 2282 1 +BIT21_1 2217 1 +BIT20_1 2281 1 +BIT19_1 2270 1 +BIT18_1 2274 1 +BIT17_1 2226 1 +BIT16_1 2386 1 +BIT15_1 2698 1 +BIT14_1 2686 1 +BIT13_1 2729 1 +BIT12_1 2751 1 +BIT11_1 2813 1 +BIT10_1 2791 1 +BIT9_1 2781 1 +BIT8_1 2692 1 +BIT7_1 3093 1 +BIT6_1 2993 1 +BIT5_1 3091 1 +BIT4_1 3470 1 +BIT3_1 34874 1 +BIT2_1 26278 1 +BIT1_1 64991 1 +BIT0_1 54615 1 +BIT31_0 24589 1 +BIT30_0 73824 1 +BIT29_0 73823 1 +BIT28_0 73792 1 +BIT27_0 73853 1 +BIT26_0 73855 1 +BIT25_0 73872 1 +BIT24_0 73893 1 +BIT23_0 73900 1 +BIT22_0 73868 1 +BIT21_0 73933 1 +BIT20_0 73869 1 +BIT19_0 73880 1 +BIT18_0 73876 1 +BIT17_0 73924 1 +BIT16_0 73764 1 +BIT15_0 73452 1 +BIT14_0 73464 1 +BIT13_0 73421 1 +BIT12_0 73399 1 +BIT11_0 73337 1 +BIT10_0 73359 1 +BIT9_0 73369 1 +BIT8_0 73458 1 +BIT7_0 73057 1 +BIT6_0 73157 1 +BIT5_0 73059 1 +BIT4_0 72680 1 +BIT3_0 41276 1 +BIT2_0 49872 1 +BIT1_0 11159 1 +BIT0_0 21535 1 + + +Group : uvma_isacov_pkg::cg_zcb_sb + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sb +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sb_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sb + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 154 0 154 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sb + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sb_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sb_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 154 0 154 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sb_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rs2 8 0 8 100.00 100 1 1 8 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 183 1 +auto_NON_ZERO 534 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 317 1 +auto_NON_ZERO 400 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 339 1 +auto_NON_ZERO 378 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 76 1 +auto[1] 78 1 +auto[2] 59 1 +auto[3] 59 1 +auto[4] 61 1 +auto[5] 86 1 +auto[6] 238 1 +auto[7] 60 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 72 1 +auto[1] 72 1 +auto[2] 64 1 +auto[3] 67 1 +auto[4] 64 1 +auto[5] 59 1 +auto[6] 74 1 +auto[7] 245 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 226 1 +BIT30_1 67 1 +BIT29_1 67 1 +BIT28_1 70 1 +BIT27_1 65 1 +BIT26_1 64 1 +BIT25_1 72 1 +BIT24_1 69 1 +BIT23_1 70 1 +BIT22_1 66 1 +BIT21_1 71 1 +BIT20_1 68 1 +BIT19_1 70 1 +BIT18_1 60 1 +BIT17_1 71 1 +BIT16_1 107 1 +BIT15_1 168 1 +BIT14_1 132 1 +BIT13_1 178 1 +BIT12_1 147 1 +BIT11_1 156 1 +BIT10_1 162 1 +BIT9_1 140 1 +BIT8_1 125 1 +BIT7_1 159 1 +BIT6_1 166 1 +BIT5_1 170 1 +BIT4_1 194 1 +BIT3_1 220 1 +BIT2_1 206 1 +BIT1_1 158 1 +BIT0_1 183 1 +BIT31_0 491 1 +BIT30_0 650 1 +BIT29_0 650 1 +BIT28_0 647 1 +BIT27_0 652 1 +BIT26_0 653 1 +BIT25_0 645 1 +BIT24_0 648 1 +BIT23_0 647 1 +BIT22_0 651 1 +BIT21_0 646 1 +BIT20_0 649 1 +BIT19_0 647 1 +BIT18_0 657 1 +BIT17_0 646 1 +BIT16_0 610 1 +BIT15_0 549 1 +BIT14_0 585 1 +BIT13_0 539 1 +BIT12_0 570 1 +BIT11_0 561 1 +BIT10_0 555 1 +BIT9_0 577 1 +BIT8_0 592 1 +BIT7_0 558 1 +BIT6_0 551 1 +BIT5_0 547 1 +BIT4_0 523 1 +BIT3_0 497 1 +BIT2_0 511 1 +BIT1_0 559 1 +BIT0_0 534 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 534 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 207 1 +BIT15_1 389 1 +BIT14_1 214 1 +BIT13_1 360 1 +BIT12_1 299 1 +BIT11_1 263 1 +BIT10_1 244 1 +BIT9_1 244 1 +BIT8_1 306 1 +BIT7_1 264 1 +BIT6_1 268 1 +BIT5_1 264 1 +BIT4_1 271 1 +BIT3_1 271 1 +BIT2_1 259 1 +BIT1_1 269 1 +BIT0_1 344 1 +BIT31_0 183 1 +BIT30_0 716 1 +BIT29_0 716 1 +BIT28_0 716 1 +BIT27_0 716 1 +BIT26_0 716 1 +BIT25_0 716 1 +BIT24_0 716 1 +BIT23_0 716 1 +BIT22_0 716 1 +BIT21_0 716 1 +BIT20_0 716 1 +BIT19_0 716 1 +BIT18_0 716 1 +BIT17_0 716 1 +BIT16_0 510 1 +BIT15_0 328 1 +BIT14_0 503 1 +BIT13_0 357 1 +BIT12_0 418 1 +BIT11_0 454 1 +BIT10_0 473 1 +BIT9_0 473 1 +BIT8_0 411 1 +BIT7_0 453 1 +BIT6_0 449 1 +BIT5_0 453 1 +BIT4_0 446 1 +BIT3_0 446 1 +BIT2_0 458 1 +BIT1_0 448 1 +BIT0_0 373 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT1_1 191 1 +BIT0_1 227 1 +BIT1_0 526 1 +BIT0_0 490 1 + + +Group : uvma_isacov_pkg::cg_zcb_lbu + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_lbu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_lbu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_lbu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 114 0 114 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_lbu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_lbu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_lbu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_lbu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 114 0 114 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_lbu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_c_rd 8 0 8 100.00 100 1 1 8 +cp_c_rd_rs1_hazard 8 0 8 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_imm_toggle 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 4808 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 325 1 +auto_NON_ZERO 4484 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4410 1 +auto_NON_ZERO 399 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 683 1 +auto[1] 343 1 +auto[2] 667 1 +auto[3] 383 1 +auto[4] 608 1 +auto[5] 213 1 +auto[6] 896 1 +auto[7] 1016 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 824 1 +auto[1] 278 1 +auto[2] 679 1 +auto[3] 641 1 +auto[4] 895 1 +auto[5] 395 1 +auto[6] 768 1 +auto[7] 329 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 8 0 8 100.00 + + +User Defined Bins for cp_c_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_0 1 1 +RD_1 1 1 +RD_2 1 1 +RD_3 2 1 +RD_4 1 1 +RD_5 1 1 +RD_6 1 1 +RD_7 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4808 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 235 1 +BIT15_1 404 1 +BIT14_1 230 1 +BIT13_1 344 1 +BIT12_1 836 1 +BIT11_1 1404 1 +BIT10_1 2167 1 +BIT9_1 2341 1 +BIT8_1 2453 1 +BIT7_1 2346 1 +BIT6_1 2422 1 +BIT5_1 2411 1 +BIT4_1 2434 1 +BIT3_1 2360 1 +BIT2_1 2425 1 +BIT1_1 2389 1 +BIT0_1 355 1 +BIT31_0 1 1 +BIT30_0 4808 1 +BIT29_0 4808 1 +BIT28_0 4808 1 +BIT27_0 4808 1 +BIT26_0 4808 1 +BIT25_0 4808 1 +BIT24_0 4808 1 +BIT23_0 4808 1 +BIT22_0 4808 1 +BIT21_0 4808 1 +BIT20_0 4808 1 +BIT19_0 4808 1 +BIT18_0 4808 1 +BIT17_0 4808 1 +BIT16_0 4574 1 +BIT15_0 4405 1 +BIT14_0 4579 1 +BIT13_0 4465 1 +BIT12_0 3973 1 +BIT11_0 3405 1 +BIT10_0 2642 1 +BIT9_0 2468 1 +BIT8_0 2356 1 +BIT7_0 2463 1 +BIT6_0 2387 1 +BIT5_0 2398 1 +BIT4_0 2375 1 +BIT3_0 2449 1 +BIT2_0 2384 1 +BIT1_0 2420 1 +BIT0_0 4454 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 1629 1 +BIT6_1 1832 1 +BIT5_1 2707 1 +BIT4_1 2337 1 +BIT3_1 983 1 +BIT2_1 588 1 +BIT1_1 4001 1 +BIT0_1 3658 1 +BIT7_0 3180 1 +BIT6_0 2977 1 +BIT5_0 2102 1 +BIT4_0 2472 1 +BIT3_0 3826 1 +BIT2_0 4221 1 +BIT1_0 808 1 +BIT0_0 1151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT1_1 209 1 +BIT0_1 230 1 +BIT1_0 4600 1 +BIT0_0 4579 1 + + +Group : uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lb_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 256 0 256 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lb_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lb_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 256 0 256 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lb_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lb_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 2319 1 +auto[2] 26698 1 +auto[3] 2387 1 +auto[4] 2373 1 +auto[5] 2231 1 +auto[6] 2186 1 +auto[7] 2274 1 +auto[8] 2260 1 +auto[9] 2081 1 +auto[10] 2068 1 +auto[11] 2129 1 +auto[12] 2144 1 +auto[13] 2234 1 +auto[14] 2246 1 +auto[15] 2100 1 +auto[16] 2234 1 +auto[17] 2330 1 +auto[18] 2065 1 +auto[19] 2282 1 +auto[20] 2205 1 +auto[21] 2454 1 +auto[22] 2263 1 +auto[23] 2089 1 +auto[24] 2372 1 +auto[25] 2117 1 +auto[26] 2160 1 +auto[27] 2150 1 +auto[28] 2323 1 +auto[29] 2197 1 +auto[30] 2078 1 +auto[31] 2051 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 3286 1 +auto[1] 3248 1 +auto[2] 1909 1 +auto[3] 3283 1 +auto[4] 2932 1 +auto[5] 2818 1 +auto[6] 3014 1 +auto[7] 3003 1 +auto[8] 2891 1 +auto[9] 2735 1 +auto[10] 2930 1 +auto[11] 2910 1 +auto[12] 2901 1 +auto[13] 2915 1 +auto[14] 3136 1 +auto[15] 2854 1 +auto[16] 2802 1 +auto[17] 2897 1 +auto[18] 2996 1 +auto[19] 2884 1 +auto[20] 2817 1 +auto[21] 2915 1 +auto[22] 2890 1 +auto[23] 2868 1 +auto[24] 2895 1 +auto[25] 3014 1 +auto[26] 2997 1 +auto[27] 2918 1 +auto[28] 2773 1 +auto[29] 2908 1 +auto[30] 2865 1 +auto[31] 2900 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 4 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 8 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 183 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6 1 +auto_NON_ZERO 93098 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 922 1 +auto_POSITIVE 45789 1 +auto_NEGATIVE 46393 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 31400 1 +auto_POSITIVE 31237 1 +auto_NEGATIVE 30467 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 93097 1 +BIT30_1 182 1 +BIT29_1 183 1 +BIT28_1 183 1 +BIT27_1 183 1 +BIT26_1 183 1 +BIT25_1 183 1 +BIT24_1 183 1 +BIT23_1 183 1 +BIT22_1 183 1 +BIT21_1 183 1 +BIT20_1 183 1 +BIT19_1 183 1 +BIT18_1 183 1 +BIT17_1 183 1 +BIT16_1 35328 1 +BIT15_1 69898 1 +BIT14_1 33716 1 +BIT13_1 59283 1 +BIT12_1 52771 1 +BIT11_1 46630 1 +BIT10_1 47093 1 +BIT9_1 46891 1 +BIT8_1 46336 1 +BIT7_1 46385 1 +BIT6_1 46241 1 +BIT5_1 46733 1 +BIT4_1 46433 1 +BIT3_1 47162 1 +BIT2_1 46614 1 +BIT1_1 46217 1 +BIT0_1 46755 1 +BIT31_0 7 1 +BIT30_0 92922 1 +BIT29_0 92921 1 +BIT28_0 92921 1 +BIT27_0 92921 1 +BIT26_0 92921 1 +BIT25_0 92921 1 +BIT24_0 92921 1 +BIT23_0 92921 1 +BIT22_0 92921 1 +BIT21_0 92921 1 +BIT20_0 92921 1 +BIT19_0 92921 1 +BIT18_0 92921 1 +BIT17_0 92921 1 +BIT16_0 57776 1 +BIT15_0 23206 1 +BIT14_0 59388 1 +BIT13_0 33821 1 +BIT12_0 40333 1 +BIT11_0 46474 1 +BIT10_0 46011 1 +BIT9_0 46213 1 +BIT8_0 46768 1 +BIT7_0 46719 1 +BIT6_0 46863 1 +BIT5_0 46371 1 +BIT4_0 46671 1 +BIT3_0 45942 1 +BIT2_0 46490 1 +BIT1_0 46887 1 +BIT0_0 46349 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 46393 1 +BIT10_1 46579 1 +BIT9_1 46363 1 +BIT8_1 46568 1 +BIT7_1 46398 1 +BIT6_1 46649 1 +BIT5_1 46558 1 +BIT4_1 46872 1 +BIT3_1 45764 1 +BIT2_1 46303 1 +BIT1_1 46603 1 +BIT0_1 46349 1 +BIT11_0 46711 1 +BIT10_0 46525 1 +BIT9_0 46741 1 +BIT8_0 46536 1 +BIT7_0 46706 1 +BIT6_0 46455 1 +BIT5_0 46546 1 +BIT4_0 46232 1 +BIT3_0 47340 1 +BIT2_0 46801 1 +BIT1_0 46501 1 +BIT0_0 46755 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 30467 1 +BIT30_1 30467 1 +BIT29_1 30467 1 +BIT28_1 30467 1 +BIT27_1 30467 1 +BIT26_1 30467 1 +BIT25_1 30467 1 +BIT24_1 30467 1 +BIT23_1 30467 1 +BIT22_1 30467 1 +BIT21_1 30467 1 +BIT20_1 30467 1 +BIT19_1 30467 1 +BIT18_1 30467 1 +BIT17_1 30467 1 +BIT16_1 30467 1 +BIT15_1 30467 1 +BIT14_1 30467 1 +BIT13_1 30467 1 +BIT12_1 30467 1 +BIT11_1 30467 1 +BIT10_1 30467 1 +BIT9_1 30467 1 +BIT8_1 30467 1 +BIT7_1 30467 1 +BIT6_1 30001 1 +BIT5_1 30322 1 +BIT4_1 31342 1 +BIT3_1 31585 1 +BIT2_1 31375 1 +BIT1_1 29924 1 +BIT0_1 25203 1 +BIT31_0 62637 1 +BIT30_0 62637 1 +BIT29_0 62637 1 +BIT28_0 62637 1 +BIT27_0 62637 1 +BIT26_0 62637 1 +BIT25_0 62637 1 +BIT24_0 62637 1 +BIT23_0 62637 1 +BIT22_0 62637 1 +BIT21_0 62637 1 +BIT20_0 62637 1 +BIT19_0 62637 1 +BIT18_0 62637 1 +BIT17_0 62637 1 +BIT16_0 62637 1 +BIT15_0 62637 1 +BIT14_0 62637 1 +BIT13_0 62637 1 +BIT12_0 62637 1 +BIT11_0 62637 1 +BIT10_0 62637 1 +BIT9_0 62637 1 +BIT8_0 62637 1 +BIT7_0 62637 1 +BIT6_0 63103 1 +BIT5_0 62782 1 +BIT4_0 61762 1 +BIT3_0 61519 1 +BIT2_0 61729 1 +BIT1_0 63180 1 +BIT0_0 67901 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 2 1 +auto_ZERO auto_POSITIVE 3 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 920 1 +auto_NON_ZERO auto_POSITIVE 45786 1 +auto_NON_ZERO auto_NEGATIVE 46392 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 256 0 256 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 737 1 +auto[2] 8431 1 +auto[3] 761 1 +auto[4] 751 1 +auto[5] 700 1 +auto[6] 707 1 +auto[7] 691 1 +auto[8] 723 1 +auto[9] 647 1 +auto[10] 645 1 +auto[11] 706 1 +auto[12] 706 1 +auto[13] 663 1 +auto[14] 675 1 +auto[15] 720 1 +auto[16] 711 1 +auto[17] 727 1 +auto[18] 622 1 +auto[19] 681 1 +auto[20] 726 1 +auto[21] 765 1 +auto[22] 730 1 +auto[23] 671 1 +auto[24] 794 1 +auto[25] 657 1 +auto[26] 693 1 +auto[27] 654 1 +auto[28] 721 1 +auto[29] 664 1 +auto[30] 614 1 +auto[31] 708 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1059 1 +auto[1] 920 1 +auto[2] 610 1 +auto[3] 999 1 +auto[4] 967 1 +auto[5] 896 1 +auto[6] 968 1 +auto[7] 874 1 +auto[8] 935 1 +auto[9] 880 1 +auto[10] 934 1 +auto[11] 911 1 +auto[12] 940 1 +auto[13] 901 1 +auto[14] 917 1 +auto[15] 899 1 +auto[16] 910 1 +auto[17] 956 1 +auto[18] 912 1 +auto[19] 899 1 +auto[20] 975 1 +auto[21] 908 1 +auto[22] 938 1 +auto[23] 865 1 +auto[24] 971 1 +auto[25] 936 1 +auto[26] 901 1 +auto[27] 971 1 +auto[28] 892 1 +auto[29] 967 1 +auto[30] 918 1 +auto[31] 876 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 4 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4 1 +auto_NON_ZERO 29401 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 232 1 +auto_POSITIVE 14293 1 +auto_NEGATIVE 14880 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9434 1 +auto_POSITIVE 10278 1 +auto_NEGATIVE 9693 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 29401 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 10997 1 +BIT15_1 22058 1 +BIT14_1 10746 1 +BIT13_1 18824 1 +BIT12_1 16567 1 +BIT11_1 14559 1 +BIT10_1 14646 1 +BIT9_1 14706 1 +BIT8_1 14509 1 +BIT7_1 14699 1 +BIT6_1 14534 1 +BIT5_1 14922 1 +BIT4_1 14747 1 +BIT3_1 14689 1 +BIT2_1 14785 1 +BIT1_1 14840 1 +BIT0_1 14831 1 +BIT31_0 4 1 +BIT30_0 29404 1 +BIT29_0 29404 1 +BIT28_0 29404 1 +BIT27_0 29404 1 +BIT26_0 29404 1 +BIT25_0 29404 1 +BIT24_0 29404 1 +BIT23_0 29404 1 +BIT22_0 29404 1 +BIT21_0 29404 1 +BIT20_0 29404 1 +BIT19_0 29404 1 +BIT18_0 29404 1 +BIT17_0 29404 1 +BIT16_0 18408 1 +BIT15_0 7347 1 +BIT14_0 18659 1 +BIT13_0 10581 1 +BIT12_0 12838 1 +BIT11_0 14846 1 +BIT10_0 14759 1 +BIT9_0 14699 1 +BIT8_0 14896 1 +BIT7_0 14706 1 +BIT6_0 14871 1 +BIT5_0 14483 1 +BIT4_0 14658 1 +BIT3_0 14716 1 +BIT2_0 14620 1 +BIT1_0 14565 1 +BIT0_0 14574 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 14880 1 +BIT10_1 14891 1 +BIT9_1 14831 1 +BIT8_1 14901 1 +BIT7_1 14913 1 +BIT6_1 14870 1 +BIT5_1 14700 1 +BIT4_1 14993 1 +BIT3_1 14535 1 +BIT2_1 14595 1 +BIT1_1 14690 1 +BIT0_1 14831 1 +BIT11_0 14525 1 +BIT10_0 14514 1 +BIT9_0 14574 1 +BIT8_0 14504 1 +BIT7_0 14492 1 +BIT6_0 14535 1 +BIT5_0 14705 1 +BIT4_0 14412 1 +BIT3_0 14870 1 +BIT2_0 14810 1 +BIT1_0 14715 1 +BIT0_0 14574 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 9693 1 +BIT30_1 9693 1 +BIT29_1 9693 1 +BIT28_1 9693 1 +BIT27_1 9693 1 +BIT26_1 9693 1 +BIT25_1 9693 1 +BIT24_1 9693 1 +BIT23_1 9693 1 +BIT22_1 9693 1 +BIT21_1 9693 1 +BIT20_1 9693 1 +BIT19_1 9693 1 +BIT18_1 9693 1 +BIT17_1 9693 1 +BIT16_1 9693 1 +BIT15_1 9693 1 +BIT14_1 9536 1 +BIT13_1 9700 1 +BIT12_1 9522 1 +BIT11_1 9590 1 +BIT10_1 9620 1 +BIT9_1 8917 1 +BIT8_1 5272 1 +BIT7_1 9647 1 +BIT6_1 9550 1 +BIT5_1 9615 1 +BIT4_1 9997 1 +BIT3_1 9931 1 +BIT2_1 9910 1 +BIT1_1 9006 1 +BIT0_1 13747 1 +BIT31_0 19712 1 +BIT30_0 19712 1 +BIT29_0 19712 1 +BIT28_0 19712 1 +BIT27_0 19712 1 +BIT26_0 19712 1 +BIT25_0 19712 1 +BIT24_0 19712 1 +BIT23_0 19712 1 +BIT22_0 19712 1 +BIT21_0 19712 1 +BIT20_0 19712 1 +BIT19_0 19712 1 +BIT18_0 19712 1 +BIT17_0 19712 1 +BIT16_0 19712 1 +BIT15_0 19712 1 +BIT14_0 19869 1 +BIT13_0 19705 1 +BIT12_0 19883 1 +BIT11_0 19815 1 +BIT10_0 19785 1 +BIT9_0 20488 1 +BIT8_0 24133 1 +BIT7_0 19758 1 +BIT6_0 19855 1 +BIT5_0 19790 1 +BIT4_0 19408 1 +BIT3_0 19474 1 +BIT2_0 19495 1 +BIT1_0 20399 1 +BIT0_0 15658 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 2 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 230 1 +auto_NON_ZERO auto_POSITIVE 14292 1 +auto_NON_ZERO auto_NEGATIVE 14879 1 + + +Group : uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 255 0 255 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lw_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4 1 +auto[1] 325 1 +auto[2] 3230 1 +auto[3] 338 1 +auto[4] 41768 1 +auto[5] 35434 1 +auto[6] 22129 1 +auto[7] 32511 1 +auto[8] 26875 1 +auto[9] 23895 1 +auto[10] 15579 1 +auto[11] 12569 1 +auto[12] 17414 1 +auto[13] 42488 1 +auto[14] 26039 1 +auto[15] 39383 1 +auto[16] 32136 1 +auto[17] 38151 1 +auto[18] 23869 1 +auto[19] 59437 1 +auto[20] 66899 1 +auto[21] 36436 1 +auto[22] 19390 1 +auto[23] 44461 1 +auto[24] 132343 1 +auto[25] 28616 1 +auto[26] 39257 1 +auto[27] 24254 1 +auto[28] 42431 1 +auto[29] 24206 1 +auto[30] 27318 1 +auto[31] 21767 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 440 1 +auto[1] 728 1 +auto[2] 34151 1 +auto[3] 423 1 +auto[4] 30121 1 +auto[5] 23898 1 +auto[6] 48499 1 +auto[7] 27978 1 +auto[8] 30549 1 +auto[9] 30400 1 +auto[10] 22756 1 +auto[11] 24247 1 +auto[12] 20359 1 +auto[13] 25657 1 +auto[14] 24557 1 +auto[15] 23846 1 +auto[16] 30327 1 +auto[17] 32213 1 +auto[18] 57552 1 +auto[19] 32814 1 +auto[20] 49878 1 +auto[21] 42077 1 +auto[22] 28514 1 +auto[23] 36094 1 +auto[24] 65385 1 +auto[25] 43483 1 +auto[26] 32577 1 +auto[27] 29828 1 +auto[28] 34330 1 +auto[29] 47779 1 +auto[30] 30909 1 +auto[31] 38583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 4 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4 1 +auto_NON_ZERO 1000948 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 241567 1 +auto_POSITIVE 750051 1 +auto_NEGATIVE 9334 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 274503 1 +auto_NON_ZERO 726449 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1000948 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 2891 1 +BIT16_1 5054 1 +BIT15_1 993563 1 +BIT14_1 8522 1 +BIT13_1 569293 1 +BIT12_1 433945 1 +BIT11_1 994618 1 +BIT10_1 994918 1 +BIT9_1 9286 1 +BIT8_1 10322 1 +BIT7_1 248611 1 +BIT6_1 9886 1 +BIT5_1 9942 1 +BIT4_1 990953 1 +BIT3_1 991035 1 +BIT2_1 7018 1 +BIT1_1 6253 1 +BIT0_1 6450 1 +BIT31_0 4 1 +BIT30_0 1000951 1 +BIT29_0 1000951 1 +BIT28_0 1000951 1 +BIT27_0 1000951 1 +BIT26_0 1000951 1 +BIT25_0 1000951 1 +BIT24_0 1000951 1 +BIT23_0 1000951 1 +BIT22_0 1000951 1 +BIT21_0 1000951 1 +BIT20_0 1000951 1 +BIT19_0 1000951 1 +BIT18_0 1000951 1 +BIT17_0 998061 1 +BIT16_0 995898 1 +BIT15_0 7389 1 +BIT14_0 992430 1 +BIT13_0 431659 1 +BIT12_0 567007 1 +BIT11_0 6334 1 +BIT10_0 6034 1 +BIT9_0 991666 1 +BIT8_0 990630 1 +BIT7_0 752341 1 +BIT6_0 991066 1 +BIT5_0 991010 1 +BIT4_0 9999 1 +BIT3_0 9917 1 +BIT2_0 993934 1 +BIT1_0 994699 1 +BIT0_0 994502 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 9334 1 +BIT10_1 9326 1 +BIT9_1 9349 1 +BIT8_1 9347 1 +BIT7_1 9263 1 +BIT6_1 9187 1 +BIT5_1 9198 1 +BIT4_1 7079 1 +BIT3_1 506537 1 +BIT2_1 502591 1 +BIT1_1 6369 1 +BIT0_1 6450 1 +BIT11_0 991618 1 +BIT10_0 991626 1 +BIT9_0 991603 1 +BIT8_0 991605 1 +BIT7_0 991689 1 +BIT6_0 991765 1 +BIT5_0 991754 1 +BIT4_0 993873 1 +BIT3_0 494415 1 +BIT2_0 498361 1 +BIT1_0 994583 1 +BIT0_0 994502 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 449212 1 +BIT30_1 158625 1 +BIT29_1 156370 1 +BIT28_1 155522 1 +BIT27_1 153654 1 +BIT26_1 146159 1 +BIT25_1 144629 1 +BIT24_1 148600 1 +BIT23_1 153939 1 +BIT22_1 151759 1 +BIT21_1 147083 1 +BIT20_1 149850 1 +BIT19_1 143320 1 +BIT18_1 155093 1 +BIT17_1 151587 1 +BIT16_1 159629 1 +BIT15_1 184173 1 +BIT14_1 415190 1 +BIT13_1 312452 1 +BIT12_1 275392 1 +BIT11_1 412896 1 +BIT10_1 427035 1 +BIT9_1 354454 1 +BIT8_1 207298 1 +BIT7_1 227847 1 +BIT6_1 219950 1 +BIT5_1 211212 1 +BIT4_1 404858 1 +BIT3_1 407426 1 +BIT2_1 406535 1 +BIT1_1 185138 1 +BIT0_1 230111 1 +BIT31_0 551740 1 +BIT30_0 842327 1 +BIT29_0 844582 1 +BIT28_0 845430 1 +BIT27_0 847298 1 +BIT26_0 854793 1 +BIT25_0 856323 1 +BIT24_0 852352 1 +BIT23_0 847013 1 +BIT22_0 849193 1 +BIT21_0 853869 1 +BIT20_0 851102 1 +BIT19_0 857632 1 +BIT18_0 845859 1 +BIT17_0 849365 1 +BIT16_0 841323 1 +BIT15_0 816779 1 +BIT14_0 585762 1 +BIT13_0 688500 1 +BIT12_0 725560 1 +BIT11_0 588056 1 +BIT10_0 573917 1 +BIT9_0 646498 1 +BIT8_0 793654 1 +BIT7_0 773105 1 +BIT6_0 781002 1 +BIT5_0 789740 1 +BIT4_0 596094 1 +BIT3_0 593526 1 +BIT2_0 594417 1 +BIT1_0 815814 1 +BIT0_0 770841 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 2 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 241565 1 +auto_NON_ZERO auto_POSITIVE 750050 1 +auto_NON_ZERO auto_NEGATIVE 9333 1 + + +Group : uvma_isacov_pkg::cg_utype + +=============================================================================== +Group : uvma_isacov_pkg::cg_utype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_auipc_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lui_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_utype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 116 0 116 100.00 + + +Variables for Group uvma_isacov_pkg::cg_utype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_immu_value 2 0 2 100.00 100 1 1 0 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_immu_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_auipc_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_utype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_auipc_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 116 0 116 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_auipc_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_immu_value 2 0 2 100.00 100 1 1 0 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_immu_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2174 1 +auto[1] 1419 1 +auto[2] 14783 1 +auto[3] 1370 1 +auto[4] 5420 1 +auto[5] 5425 1 +auto[6] 3013 1 +auto[7] 7353 1 +auto[8] 13293 1 +auto[9] 5374 1 +auto[10] 8871 1 +auto[11] 8314 1 +auto[12] 4591 1 +auto[13] 7903 1 +auto[14] 6100 1 +auto[15] 2349 1 +auto[16] 8524 1 +auto[17] 7269 1 +auto[18] 40637 1 +auto[19] 1639 1 +auto[20] 12549 1 +auto[21] 5869 1 +auto[22] 5025 1 +auto[23] 10901 1 +auto[24] 9864 1 +auto[25] 7179 1 +auto[26] 5153 1 +auto[27] 7829 1 +auto[28] 11502 1 +auto[29] 6570 1 +auto[30] 8709 1 +auto[31] 18045 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 265015 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_immu_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3527 1 +auto_NON_ZERO 261489 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 254648 1 +BIT30_1 10351 1 +BIT29_1 10434 1 +BIT28_1 10520 1 +BIT27_1 10459 1 +BIT26_1 10260 1 +BIT25_1 10374 1 +BIT24_1 10574 1 +BIT23_1 10214 1 +BIT22_1 10427 1 +BIT21_1 10475 1 +BIT20_1 10466 1 +BIT19_1 10418 1 +BIT18_1 10401 1 +BIT17_1 10282 1 +BIT16_1 20435 1 +BIT15_1 240241 1 +BIT14_1 22686 1 +BIT13_1 152064 1 +BIT12_1 173232 1 +BIT31_0 10368 1 +BIT30_0 254665 1 +BIT29_0 254582 1 +BIT28_0 254496 1 +BIT27_0 254557 1 +BIT26_0 254756 1 +BIT25_0 254642 1 +BIT24_0 254442 1 +BIT23_0 254802 1 +BIT22_0 254589 1 +BIT21_0 254541 1 +BIT20_0 254550 1 +BIT19_0 254598 1 +BIT18_0 254615 1 +BIT17_0 254734 1 +BIT16_0 244581 1 +BIT15_0 24775 1 +BIT14_0 242330 1 +BIT13_0 112952 1 +BIT12_0 91784 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_immu_toggle + + +Bins + +NAME COUNT AT LEAST +BIT19_1 10384 1 +BIT18_1 10367 1 +BIT17_1 10450 1 +BIT16_1 10536 1 +BIT15_1 10475 1 +BIT14_1 10276 1 +BIT13_1 10390 1 +BIT12_1 10590 1 +BIT11_1 10228 1 +BIT10_1 10444 1 +BIT9_1 10489 1 +BIT8_1 10484 1 +BIT7_1 10427 1 +BIT6_1 10405 1 +BIT5_1 10314 1 +BIT4_1 20318 1 +BIT3_1 240282 1 +BIT2_1 21494 1 +BIT1_1 152534 1 +BIT0_1 118617 1 +BIT19_0 254632 1 +BIT18_0 254649 1 +BIT17_0 254566 1 +BIT16_0 254480 1 +BIT15_0 254541 1 +BIT14_0 254740 1 +BIT13_0 254626 1 +BIT12_0 254426 1 +BIT11_0 254788 1 +BIT10_0 254572 1 +BIT9_0 254527 1 +BIT8_0 254532 1 +BIT7_0 254589 1 +BIT6_0 254611 1 +BIT5_0 254702 1 +BIT4_0 244698 1 +BIT3_0 24734 1 +BIT2_0 243522 1 +BIT1_0 112482 1 +BIT0_0 146399 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lui_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_utype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lui_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 116 0 116 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lui_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_immu_value 2 0 2 100.00 100 1 1 0 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_immu_toggle 40 0 40 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 3662 1 +auto[1] 1987 1 +auto[2] 23299 1 +auto[3] 7069 1 +auto[4] 10409 1 +auto[5] 7974 1 +auto[6] 30452 1 +auto[7] 12386 1 +auto[8] 18224 1 +auto[9] 15140 1 +auto[10] 13441 1 +auto[11] 15519 1 +auto[12] 12151 1 +auto[13] 17951 1 +auto[14] 15350 1 +auto[15] 6391 1 +auto[16] 16432 1 +auto[17] 13616 1 +auto[18] 44085 1 +auto[19] 6868 1 +auto[20] 19760 1 +auto[21] 10298 1 +auto[22] 12313 1 +auto[23] 15065 1 +auto[24] 16780 1 +auto[25] 19639 1 +auto[26] 10070 1 +auto[27] 16926 1 +auto[28] 16880 1 +auto[29] 11152 1 +auto[30] 12694 1 +auto[31] 27072 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 481054 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_immu_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 481054 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 458094 1 +BIT30_1 35849 1 +BIT29_1 33683 1 +BIT28_1 33339 1 +BIT27_1 26947 1 +BIT26_1 27212 1 +BIT25_1 27038 1 +BIT24_1 26911 1 +BIT23_1 29871 1 +BIT22_1 27068 1 +BIT21_1 27096 1 +BIT20_1 26789 1 +BIT19_1 27067 1 +BIT18_1 27262 1 +BIT17_1 26864 1 +BIT16_1 27136 1 +BIT15_1 27049 1 +BIT14_1 26997 1 +BIT13_1 27219 1 +BIT12_1 29469 1 +BIT31_0 22961 1 +BIT30_0 445206 1 +BIT29_0 447372 1 +BIT28_0 447716 1 +BIT27_0 454108 1 +BIT26_0 453843 1 +BIT25_0 454017 1 +BIT24_0 454144 1 +BIT23_0 451184 1 +BIT22_0 453987 1 +BIT21_0 453959 1 +BIT20_0 454266 1 +BIT19_0 453988 1 +BIT18_0 453793 1 +BIT17_0 454191 1 +BIT16_0 453919 1 +BIT15_0 454006 1 +BIT14_0 454058 1 +BIT13_0 453836 1 +BIT12_0 451586 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immu_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_immu_toggle + + +Bins + +NAME COUNT AT LEAST +BIT19_1 458094 1 +BIT18_1 35849 1 +BIT17_1 33683 1 +BIT16_1 33339 1 +BIT15_1 26947 1 +BIT14_1 27212 1 +BIT13_1 27038 1 +BIT12_1 26911 1 +BIT11_1 29871 1 +BIT10_1 27068 1 +BIT9_1 27096 1 +BIT8_1 26789 1 +BIT7_1 27067 1 +BIT6_1 27262 1 +BIT5_1 26864 1 +BIT4_1 27136 1 +BIT3_1 27049 1 +BIT2_1 26997 1 +BIT1_1 27219 1 +BIT0_1 29469 1 +BIT19_0 22961 1 +BIT18_0 445206 1 +BIT17_0 447372 1 +BIT16_0 447716 1 +BIT15_0 454108 1 +BIT14_0 453843 1 +BIT13_0 454017 1 +BIT12_0 454144 1 +BIT11_0 451184 1 +BIT10_0 453987 1 +BIT9_0 453959 1 +BIT8_0 454266 1 +BIT7_0 453988 1 +BIT6_0 453793 1 +BIT5_0 454191 1 +BIT4_0 453919 1 +BIT3_0 454006 1 +BIT2_0 454058 1 +BIT1_0 453836 1 +BIT0_0 451586 1 + + +Group : uvma_isacov_pkg::cg_zb_itype_ext + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_itype_ext +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bexti_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_itype_ext + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_itype_ext + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shift 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bexti_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_itype_ext + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 196 0 196 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_shift 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2083 1 +auto[1] 497 1 +auto[2] 524 1 +auto[3] 497 1 +auto[4] 463 1 +auto[5] 498 1 +auto[6] 496 1 +auto[7] 485 1 +auto[8] 505 1 +auto[9] 485 1 +auto[10] 524 1 +auto[11] 470 1 +auto[12] 505 1 +auto[13] 488 1 +auto[14] 504 1 +auto[15] 531 1 +auto[16] 480 1 +auto[17] 487 1 +auto[18] 528 1 +auto[19] 487 1 +auto[20] 499 1 +auto[21] 511 1 +auto[22] 454 1 +auto[23] 505 1 +auto[24] 540 1 +auto[25] 471 1 +auto[26] 508 1 +auto[27] 537 1 +auto[28] 489 1 +auto[29] 538 1 +auto[30] 563 1 +auto[31] 508 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2111 1 +auto[1] 480 1 +auto[2] 442 1 +auto[3] 474 1 +auto[4] 489 1 +auto[5] 513 1 +auto[6] 493 1 +auto[7] 502 1 +auto[8] 476 1 +auto[9] 520 1 +auto[10] 475 1 +auto[11] 495 1 +auto[12] 502 1 +auto[13] 533 1 +auto[14] 513 1 +auto[15] 541 1 +auto[16] 516 1 +auto[17] 479 1 +auto[18] 440 1 +auto[19] 527 1 +auto[20] 473 1 +auto[21] 538 1 +auto[22] 533 1 +auto[23] 512 1 +auto[24] 539 1 +auto[25] 523 1 +auto[26] 476 1 +auto[27] 501 1 +auto[28] 493 1 +auto[29] 518 1 +auto[30] 516 1 +auto[31] 517 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1601 1 +RD_01 14 1 +RD_02 8 1 +RD_03 13 1 +RD_04 12 1 +RD_05 15 1 +RD_06 10 1 +RD_07 19 1 +RD_08 16 1 +RD_09 16 1 +RD_0a 15 1 +RD_0b 14 1 +RD_0c 14 1 +RD_0d 12 1 +RD_0e 16 1 +RD_0f 23 1 +RD_10 16 1 +RD_11 20 1 +RD_12 16 1 +RD_13 15 1 +RD_14 14 1 +RD_15 24 1 +RD_16 21 1 +RD_17 11 1 +RD_18 14 1 +RD_19 15 1 +RD_1a 11 1 +RD_1b 15 1 +RD_1c 11 1 +RD_1d 16 1 +RD_1e 20 1 +RD_1f 15 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6881 1 +auto_NON_ZERO 10779 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shift + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shift + + +Bins + +NAME COUNT AT LEAST +SHIFT_00 566 1 +SHIFT_01 550 1 +SHIFT_02 575 1 +SHIFT_03 538 1 +SHIFT_04 584 1 +SHIFT_05 502 1 +SHIFT_06 553 1 +SHIFT_07 564 1 +SHIFT_08 561 1 +SHIFT_09 599 1 +SHIFT_0a 555 1 +SHIFT_0b 547 1 +SHIFT_0c 583 1 +SHIFT_0d 559 1 +SHIFT_0e 568 1 +SHIFT_0f 551 1 +SHIFT_10 553 1 +SHIFT_11 544 1 +SHIFT_12 533 1 +SHIFT_13 559 1 +SHIFT_14 549 1 +SHIFT_15 500 1 +SHIFT_16 558 1 +SHIFT_17 494 1 +SHIFT_18 534 1 +SHIFT_19 548 1 +SHIFT_1a 500 1 +SHIFT_1b 531 1 +SHIFT_1c 573 1 +SHIFT_1d 617 1 +SHIFT_1e 575 1 +SHIFT_1f 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +ONE 3745 1 +ZERO 13915 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4974 1 +BIT30_1 3166 1 +BIT29_1 3139 1 +BIT28_1 3171 1 +BIT27_1 3079 1 +BIT26_1 3075 1 +BIT25_1 3044 1 +BIT24_1 3039 1 +BIT23_1 3007 1 +BIT22_1 3003 1 +BIT21_1 3000 1 +BIT20_1 3042 1 +BIT19_1 3081 1 +BIT18_1 3017 1 +BIT17_1 3069 1 +BIT16_1 3271 1 +BIT15_1 3974 1 +BIT14_1 3922 1 +BIT13_1 4133 1 +BIT12_1 3961 1 +BIT11_1 4451 1 +BIT10_1 4382 1 +BIT9_1 3986 1 +BIT8_1 3367 1 +BIT7_1 4308 1 +BIT6_1 3688 1 +BIT5_1 3810 1 +BIT4_1 5050 1 +BIT3_1 5132 1 +BIT2_1 4992 1 +BIT1_1 3926 1 +BIT0_1 4511 1 +BIT31_0 12686 1 +BIT30_0 14494 1 +BIT29_0 14521 1 +BIT28_0 14489 1 +BIT27_0 14581 1 +BIT26_0 14585 1 +BIT25_0 14616 1 +BIT24_0 14621 1 +BIT23_0 14653 1 +BIT22_0 14657 1 +BIT21_0 14660 1 +BIT20_0 14618 1 +BIT19_0 14579 1 +BIT18_0 14643 1 +BIT17_0 14591 1 +BIT16_0 14389 1 +BIT15_0 13686 1 +BIT14_0 13738 1 +BIT13_0 13527 1 +BIT12_0 13699 1 +BIT11_0 13209 1 +BIT10_0 13278 1 +BIT9_0 13674 1 +BIT8_0 14293 1 +BIT7_0 13352 1 +BIT6_0 13972 1 +BIT5_0 13850 1 +BIT4_0 12610 1 +BIT3_0 12528 1 +BIT2_0 12668 1 +BIT1_0 13734 1 +BIT0_0 13149 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_css + +=============================================================================== +Group : uvma_isacov_pkg::cg_css +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_swsp_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_css + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 112 0 112 100.00 + + +Variables for Group uvma_isacov_pkg::cg_css + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_swsp_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_css + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_swsp_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 112 0 112 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_swsp_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 30 1 +auto[1] 128 1 +auto[2] 39 1 +auto[3] 36 1 +auto[4] 307 1 +auto[5] 162 1 +auto[6] 697 1 +auto[7] 125 1 +auto[8] 520 1 +auto[9] 626 1 +auto[10] 269 1 +auto[11] 295 1 +auto[12] 774 1 +auto[13] 293 1 +auto[14] 1314 1 +auto[15] 1467 1 +auto[16] 198 1 +auto[17] 463 1 +auto[18] 740 1 +auto[19] 338 1 +auto[20] 1055 1 +auto[21] 136 1 +auto[22] 631 1 +auto[23] 255 1 +auto[24] 34 1 +auto[25] 935 1 +auto[26] 819 1 +auto[27] 333 1 +auto[28] 366 1 +auto[29] 899 1 +auto[30] 733 1 +auto[31] 142 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2755 1 +auto_NON_ZERO 12404 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4994 1 +auto_NON_ZERO 10165 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8103 1 +BIT30_1 2135 1 +BIT29_1 2130 1 +BIT28_1 2257 1 +BIT27_1 2017 1 +BIT26_1 1973 1 +BIT25_1 1787 1 +BIT24_1 2033 1 +BIT23_1 1911 1 +BIT22_1 1961 1 +BIT21_1 2206 1 +BIT20_1 1820 1 +BIT19_1 2108 1 +BIT18_1 1954 1 +BIT17_1 2017 1 +BIT16_1 2032 1 +BIT15_1 3036 1 +BIT14_1 7332 1 +BIT13_1 4847 1 +BIT12_1 6170 1 +BIT11_1 7587 1 +BIT10_1 7516 1 +BIT9_1 6708 1 +BIT8_1 3349 1 +BIT7_1 4103 1 +BIT6_1 3473 1 +BIT5_1 3511 1 +BIT4_1 7692 1 +BIT3_1 8442 1 +BIT2_1 7505 1 +BIT1_1 3203 1 +BIT0_1 3535 1 +BIT31_0 7056 1 +BIT30_0 13024 1 +BIT29_0 13029 1 +BIT28_0 12902 1 +BIT27_0 13142 1 +BIT26_0 13186 1 +BIT25_0 13372 1 +BIT24_0 13126 1 +BIT23_0 13248 1 +BIT22_0 13198 1 +BIT21_0 12953 1 +BIT20_0 13339 1 +BIT19_0 13051 1 +BIT18_0 13205 1 +BIT17_0 13142 1 +BIT16_0 13127 1 +BIT15_0 12123 1 +BIT14_0 7827 1 +BIT13_0 10312 1 +BIT12_0 8989 1 +BIT11_0 7572 1 +BIT10_0 7643 1 +BIT9_0 8451 1 +BIT8_0 11810 1 +BIT7_0 11056 1 +BIT6_0 11686 1 +BIT5_0 11648 1 +BIT4_0 7467 1 +BIT3_0 6717 1 +BIT2_0 7654 1 +BIT1_0 11956 1 +BIT0_0 11624 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 121 1 +BIT4_1 154 1 +BIT3_1 494 1 +BIT2_1 639 1 +BIT1_1 6588 1 +BIT0_1 6574 1 +BIT5_0 15038 1 +BIT4_0 15005 1 +BIT3_0 14665 1 +BIT2_0 14520 1 +BIT1_0 8571 1 +BIT0_0 8585 1 + + +Group : uvma_isacov_pkg::cg_executed_type + +=============================================================================== +Group : uvma_isacov_pkg::cg_executed_type +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +7 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_ebreak_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_nop_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_ebreak_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_ecall_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_fence_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_mret_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_wfi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_executed_type + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvma_isacov_pkg::cg_executed_type + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_ebreak_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_ebreak_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_ebreak_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 4674 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_nop_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_nop_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_nop_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 63120 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_ebreak_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_ebreak_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_ebreak_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 5057 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_ecall_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_ecall_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_ecall_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 1483 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_fence_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_fence_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_fence_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 11209 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_mret_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_mret_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_mret_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 279895 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_wfi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_executed_type + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_wfi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_wfi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_executed 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_executed + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_executed + + +Bins + +NAME COUNT AT LEAST +EXECUTED 3292 1 + + +Group : uvma_isacov_pkg::cg_itype_load_lhu + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load_lhu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lhu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load_lhu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 223 0 223 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load_lhu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lhu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lhu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 223 0 223 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 32 0 32 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 3 1 +auto[1] 750 1 +auto[2] 8386 1 +auto[3] 709 1 +auto[4] 755 1 +auto[5] 726 1 +auto[6] 683 1 +auto[7] 635 1 +auto[8] 686 1 +auto[9] 641 1 +auto[10] 610 1 +auto[11] 649 1 +auto[12] 634 1 +auto[13] 682 1 +auto[14] 661 1 +auto[15] 741 1 +auto[16] 762 1 +auto[17] 759 1 +auto[18] 679 1 +auto[19] 712 1 +auto[20] 702 1 +auto[21] 805 1 +auto[22] 688 1 +auto[23] 669 1 +auto[24] 753 1 +auto[25] 611 1 +auto[26] 692 1 +auto[27] 687 1 +auto[28] 724 1 +auto[29] 733 1 +auto[30] 656 1 +auto[31] 662 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 1032 1 +auto[1] 1081 1 +auto[2] 640 1 +auto[3] 1047 1 +auto[4] 877 1 +auto[5] 889 1 +auto[6] 908 1 +auto[7] 948 1 +auto[8] 949 1 +auto[9] 860 1 +auto[10] 884 1 +auto[11] 901 1 +auto[12] 943 1 +auto[13] 911 1 +auto[14] 847 1 +auto[15] 835 1 +auto[16] 848 1 +auto[17] 966 1 +auto[18] 935 1 +auto[19] 888 1 +auto[20] 897 1 +auto[21] 963 1 +auto[22] 897 1 +auto[23] 919 1 +auto[24] 878 1 +auto[25] 954 1 +auto[26] 932 1 +auto[27] 930 1 +auto[28] 917 1 +auto[29] 956 1 +auto[30] 911 1 +auto[31] 902 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 3 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3 1 +auto_NON_ZERO 29242 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 231 1 +auto_POSITIVE 14364 1 +auto_NEGATIVE 14650 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9371 1 +auto_NON_ZERO 19874 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 29242 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 11136 1 +BIT15_1 21821 1 +BIT14_1 10701 1 +BIT13_1 18523 1 +BIT12_1 16412 1 +BIT11_1 14506 1 +BIT10_1 14775 1 +BIT9_1 14702 1 +BIT8_1 14431 1 +BIT7_1 14494 1 +BIT6_1 14677 1 +BIT5_1 14562 1 +BIT4_1 14567 1 +BIT3_1 14570 1 +BIT2_1 14604 1 +BIT1_1 14534 1 +BIT0_1 14673 1 +BIT31_0 3 1 +BIT30_0 29244 1 +BIT29_0 29244 1 +BIT28_0 29244 1 +BIT27_0 29244 1 +BIT26_0 29244 1 +BIT25_0 29244 1 +BIT24_0 29244 1 +BIT23_0 29244 1 +BIT22_0 29244 1 +BIT21_0 29244 1 +BIT20_0 29244 1 +BIT19_0 29244 1 +BIT18_0 29244 1 +BIT17_0 29244 1 +BIT16_0 18109 1 +BIT15_0 7424 1 +BIT14_0 18544 1 +BIT13_0 10722 1 +BIT12_0 12833 1 +BIT11_0 14739 1 +BIT10_0 14470 1 +BIT9_0 14543 1 +BIT8_0 14814 1 +BIT7_0 14751 1 +BIT6_0 14568 1 +BIT5_0 14683 1 +BIT4_0 14678 1 +BIT3_0 14675 1 +BIT2_0 14641 1 +BIT1_0 14711 1 +BIT0_0 14572 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 14650 1 +BIT10_1 14685 1 +BIT9_1 14674 1 +BIT8_1 14706 1 +BIT7_1 14803 1 +BIT6_1 14757 1 +BIT5_1 14622 1 +BIT4_1 14939 1 +BIT3_1 14479 1 +BIT2_1 14605 1 +BIT1_1 14686 1 +BIT0_1 14673 1 +BIT11_0 14595 1 +BIT10_0 14560 1 +BIT9_0 14571 1 +BIT8_0 14539 1 +BIT7_0 14442 1 +BIT6_0 14488 1 +BIT5_0 14623 1 +BIT4_0 14306 1 +BIT3_0 14766 1 +BIT2_0 14640 1 +BIT1_0 14559 1 +BIT0_0 14572 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 9516 1 +BIT14_1 9361 1 +BIT13_1 9684 1 +BIT12_1 9597 1 +BIT11_1 9587 1 +BIT10_1 9562 1 +BIT9_1 8795 1 +BIT8_1 5117 1 +BIT7_1 9553 1 +BIT6_1 9456 1 +BIT5_1 9619 1 +BIT4_1 9789 1 +BIT3_1 9976 1 +BIT2_1 9836 1 +BIT1_1 8892 1 +BIT0_1 13580 1 +BIT15_0 19729 1 +BIT14_0 19884 1 +BIT13_0 19561 1 +BIT12_0 19648 1 +BIT11_0 19658 1 +BIT10_0 19683 1 +BIT9_0 20450 1 +BIT8_0 24128 1 +BIT7_0 19692 1 +BIT6_0 19789 1 +BIT5_0 19626 1 +BIT4_0 19456 1 +BIT3_0 19269 1 +BIT2_0 19409 1 +BIT1_0 20353 1 +BIT0_0 15665 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 1 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 230 1 +auto_NON_ZERO auto_POSITIVE 14363 1 +auto_NON_ZERO auto_NEGATIVE 14649 1 + + +Group : uvma_isacov_pkg::cg_cr_mv + +=============================================================================== +Group : uvma_isacov_pkg::cg_cr_mv +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_mv_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cr_mv + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 225 0 225 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_cr_mv + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_mv_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_mv + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_mv_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 225 0 225 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_mv_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_mv_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rdrs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 413 1 +auto[2] 346 1 +auto[3] 449 1 +auto[4] 408 1 +auto[5] 377 1 +auto[6] 388 1 +auto[7] 387 1 +auto[8] 379 1 +auto[9] 394 1 +auto[10] 399 1 +auto[11] 389 1 +auto[12] 372 1 +auto[13] 387 1 +auto[14] 379 1 +auto[15] 346 1 +auto[16] 339 1 +auto[17] 397 1 +auto[18] 398 1 +auto[19] 370 1 +auto[20] 365 1 +auto[21] 348 1 +auto[22] 337 1 +auto[23] 381 1 +auto[24] 388 1 +auto[25] 349 1 +auto[26] 337 1 +auto[27] 378 1 +auto[28] 342 1 +auto[29] 357 1 +auto[30] 356 1 +auto[31] 384 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS2_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 566 1 +auto[2] 453 1 +auto[3] 398 1 +auto[4] 433 1 +auto[5] 435 1 +auto[6] 534 1 +auto[7] 457 1 +auto[8] 384 1 +auto[9] 437 1 +auto[10] 398 1 +auto[11] 447 1 +auto[12] 386 1 +auto[13] 419 1 +auto[14] 377 1 +auto[15] 448 1 +auto[16] 400 1 +auto[17] 388 1 +auto[18] 383 1 +auto[19] 410 1 +auto[20] 409 1 +auto[21] 391 1 +auto[22] 458 1 +auto[23] 464 1 +auto[24] 402 1 +auto[25] 402 1 +auto[26] 341 1 +auto[27] 385 1 +auto[28] 408 1 +auto[29] 390 1 +auto[30] 369 1 +auto[31] 408 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3473 1 +auto_NON_ZERO 9507 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3473 1 +auto_NON_ZERO 9507 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 31 0 31 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_01 30 1 +RD_02 13 1 +RD_03 24 1 +RD_04 8 1 +RD_05 22 1 +RD_06 22 1 +RD_07 22 1 +RD_08 13 1 +RD_09 8 1 +RD_0a 13 1 +RD_0b 15 1 +RD_0c 14 1 +RD_0d 22 1 +RD_0e 16 1 +RD_0f 12 1 +RD_10 14 1 +RD_11 9 1 +RD_12 14 1 +RD_13 19 1 +RD_14 15 1 +RD_15 16 1 +RD_16 14 1 +RD_17 13 1 +RD_18 14 1 +RD_19 13 1 +RD_1a 8 1 +RD_1b 19 1 +RD_1c 14 1 +RD_1d 9 1 +RD_1e 15 1 +RD_1f 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4892 1 +BIT30_1 2471 1 +BIT29_1 2489 1 +BIT28_1 2501 1 +BIT27_1 2382 1 +BIT26_1 2373 1 +BIT25_1 2337 1 +BIT24_1 2380 1 +BIT23_1 2309 1 +BIT22_1 2325 1 +BIT21_1 2357 1 +BIT20_1 2333 1 +BIT19_1 2346 1 +BIT18_1 2398 1 +BIT17_1 2374 1 +BIT16_1 2524 1 +BIT15_1 3298 1 +BIT14_1 3158 1 +BIT13_1 3442 1 +BIT12_1 3291 1 +BIT11_1 3961 1 +BIT10_1 4053 1 +BIT9_1 3567 1 +BIT8_1 3130 1 +BIT7_1 3896 1 +BIT6_1 3483 1 +BIT5_1 3520 1 +BIT4_1 4605 1 +BIT3_1 4644 1 +BIT2_1 4533 1 +BIT1_1 3568 1 +BIT0_1 3483 1 +BIT31_0 8088 1 +BIT30_0 10509 1 +BIT29_0 10491 1 +BIT28_0 10479 1 +BIT27_0 10598 1 +BIT26_0 10607 1 +BIT25_0 10643 1 +BIT24_0 10600 1 +BIT23_0 10671 1 +BIT22_0 10655 1 +BIT21_0 10623 1 +BIT20_0 10647 1 +BIT19_0 10634 1 +BIT18_0 10582 1 +BIT17_0 10606 1 +BIT16_0 10456 1 +BIT15_0 9682 1 +BIT14_0 9822 1 +BIT13_0 9538 1 +BIT12_0 9689 1 +BIT11_0 9019 1 +BIT10_0 8927 1 +BIT9_0 9413 1 +BIT8_0 9850 1 +BIT7_0 9084 1 +BIT6_0 9497 1 +BIT5_0 9460 1 +BIT4_0 8375 1 +BIT3_0 8336 1 +BIT2_0 8447 1 +BIT1_0 9412 1 +BIT0_0 9497 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4892 1 +BIT30_1 2471 1 +BIT29_1 2489 1 +BIT28_1 2501 1 +BIT27_1 2382 1 +BIT26_1 2373 1 +BIT25_1 2337 1 +BIT24_1 2380 1 +BIT23_1 2309 1 +BIT22_1 2325 1 +BIT21_1 2357 1 +BIT20_1 2333 1 +BIT19_1 2346 1 +BIT18_1 2398 1 +BIT17_1 2374 1 +BIT16_1 2524 1 +BIT15_1 3298 1 +BIT14_1 3158 1 +BIT13_1 3442 1 +BIT12_1 3291 1 +BIT11_1 3961 1 +BIT10_1 4053 1 +BIT9_1 3567 1 +BIT8_1 3130 1 +BIT7_1 3896 1 +BIT6_1 3483 1 +BIT5_1 3520 1 +BIT4_1 4605 1 +BIT3_1 4644 1 +BIT2_1 4533 1 +BIT1_1 3568 1 +BIT0_1 3483 1 +BIT31_0 8088 1 +BIT30_0 10509 1 +BIT29_0 10491 1 +BIT28_0 10479 1 +BIT27_0 10598 1 +BIT26_0 10607 1 +BIT25_0 10643 1 +BIT24_0 10600 1 +BIT23_0 10671 1 +BIT22_0 10655 1 +BIT21_0 10623 1 +BIT20_0 10647 1 +BIT19_0 10634 1 +BIT18_0 10582 1 +BIT17_0 10606 1 +BIT16_0 10456 1 +BIT15_0 9682 1 +BIT14_0 9822 1 +BIT13_0 9538 1 +BIT12_0 9689 1 +BIT11_0 9019 1 +BIT10_0 8927 1 +BIT9_0 9413 1 +BIT8_0 9850 1 +BIT7_0 9084 1 +BIT6_0 9497 1 +BIT5_0 9460 1 +BIT4_0 8375 1 +BIT3_0 8336 1 +BIT2_0 8447 1 +BIT1_0 9412 1 +BIT0_0 9497 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rdrs1_rs2 + + +Samples crossed: cp_c_rdrs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rdrs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_cj + +=============================================================================== +Group : uvma_isacov_pkg::cg_cj +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_j_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_jal_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cj + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 25 0 25 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cj + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_imm_toggle 22 0 22 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_j_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cj + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_j_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 25 0 25 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_j_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_imm_toggle 22 0 22 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2332 1 +auto_POSITIVE 36801 1 +auto_NEGATIVE 28607 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 22 0 22 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT10_1 28607 1 +BIT9_1 28607 1 +BIT8_1 28607 1 +BIT7_1 28607 1 +BIT6_1 28608 1 +BIT5_1 28846 1 +BIT4_1 29512 1 +BIT3_1 30561 1 +BIT2_1 30701 1 +BIT1_1 30134 1 +BIT0_1 36939 1 +BIT10_0 39133 1 +BIT9_0 39133 1 +BIT8_0 39133 1 +BIT7_0 39133 1 +BIT6_0 39132 1 +BIT5_0 38894 1 +BIT4_0 38228 1 +BIT3_0 37179 1 +BIT2_0 37039 1 +BIT1_0 37606 1 +BIT0_0 30801 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_jal_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cj + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_jal_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 25 0 25 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_jal_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_imm_toggle 22 0 22 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 30831 1 +auto_NEGATIVE 28328 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 22 0 22 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT10_1 28328 1 +BIT9_1 28326 1 +BIT8_1 28288 1 +BIT7_1 28287 1 +BIT6_1 28308 1 +BIT5_1 28517 1 +BIT4_1 29712 1 +BIT3_1 30134 1 +BIT2_1 30049 1 +BIT1_1 30000 1 +BIT0_1 30920 1 +BIT10_0 30832 1 +BIT9_0 30834 1 +BIT8_0 30872 1 +BIT7_0 30873 1 +BIT6_0 30852 1 +BIT5_0 30643 1 +BIT4_0 29448 1 +BIT3_0 29026 1 +BIT2_0 29111 1 +BIT1_0 29160 1 +BIT0_0 28240 1 + + +Group : uvma_isacov_pkg::cg_zb_rstype + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +4 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_orc_b_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_rev8_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_sext_b_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_sext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_orc_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2136 1 +auto[1] 497 1 +auto[2] 489 1 +auto[3] 543 1 +auto[4] 511 1 +auto[5] 518 1 +auto[6] 505 1 +auto[7] 524 1 +auto[8] 506 1 +auto[9] 496 1 +auto[10] 531 1 +auto[11] 459 1 +auto[12] 494 1 +auto[13] 522 1 +auto[14] 477 1 +auto[15] 549 1 +auto[16] 500 1 +auto[17] 495 1 +auto[18] 499 1 +auto[19] 454 1 +auto[20] 476 1 +auto[21] 544 1 +auto[22] 476 1 +auto[23] 516 1 +auto[24] 506 1 +auto[25] 511 1 +auto[26] 483 1 +auto[27] 473 1 +auto[28] 548 1 +auto[29] 498 1 +auto[30] 535 1 +auto[31] 494 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2168 1 +auto[1] 553 1 +auto[2] 462 1 +auto[3] 528 1 +auto[4] 476 1 +auto[5] 482 1 +auto[6] 503 1 +auto[7] 485 1 +auto[8] 470 1 +auto[9] 506 1 +auto[10] 513 1 +auto[11] 571 1 +auto[12] 520 1 +auto[13] 468 1 +auto[14] 510 1 +auto[15] 474 1 +auto[16] 528 1 +auto[17] 478 1 +auto[18] 505 1 +auto[19] 507 1 +auto[20] 488 1 +auto[21] 455 1 +auto[22] 539 1 +auto[23] 474 1 +auto[24] 525 1 +auto[25] 534 1 +auto[26] 522 1 +auto[27] 494 1 +auto[28] 453 1 +auto[29] 502 1 +auto[30] 532 1 +auto[31] 540 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1633 1 +RD_01 14 1 +RD_02 13 1 +RD_03 22 1 +RD_04 13 1 +RD_05 16 1 +RD_06 19 1 +RD_07 7 1 +RD_08 16 1 +RD_09 13 1 +RD_0a 18 1 +RD_0b 13 1 +RD_0c 10 1 +RD_0d 12 1 +RD_0e 18 1 +RD_0f 16 1 +RD_10 17 1 +RD_11 14 1 +RD_12 21 1 +RD_13 18 1 +RD_14 19 1 +RD_15 16 1 +RD_16 14 1 +RD_17 22 1 +RD_18 18 1 +RD_19 20 1 +RD_1a 21 1 +RD_1b 23 1 +RD_1c 17 1 +RD_1d 14 1 +RD_1e 17 1 +RD_1f 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6863 1 +auto_NON_ZERO 10902 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6863 1 +auto_NON_ZERO 10902 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5048 1 +BIT30_1 3164 1 +BIT29_1 3134 1 +BIT28_1 3148 1 +BIT27_1 3095 1 +BIT26_1 3046 1 +BIT25_1 3038 1 +BIT24_1 3042 1 +BIT23_1 3017 1 +BIT22_1 3013 1 +BIT21_1 3011 1 +BIT20_1 3049 1 +BIT19_1 3057 1 +BIT18_1 3046 1 +BIT17_1 3021 1 +BIT16_1 3218 1 +BIT15_1 4018 1 +BIT14_1 3951 1 +BIT13_1 4139 1 +BIT12_1 3988 1 +BIT11_1 4463 1 +BIT10_1 4480 1 +BIT9_1 3924 1 +BIT8_1 3341 1 +BIT7_1 4306 1 +BIT6_1 3750 1 +BIT5_1 3993 1 +BIT4_1 5015 1 +BIT3_1 5164 1 +BIT2_1 5020 1 +BIT1_1 3937 1 +BIT0_1 4576 1 +BIT31_0 12717 1 +BIT30_0 14601 1 +BIT29_0 14631 1 +BIT28_0 14617 1 +BIT27_0 14670 1 +BIT26_0 14719 1 +BIT25_0 14727 1 +BIT24_0 14723 1 +BIT23_0 14748 1 +BIT22_0 14752 1 +BIT21_0 14754 1 +BIT20_0 14716 1 +BIT19_0 14708 1 +BIT18_0 14719 1 +BIT17_0 14744 1 +BIT16_0 14547 1 +BIT15_0 13747 1 +BIT14_0 13814 1 +BIT13_0 13626 1 +BIT12_0 13777 1 +BIT11_0 13302 1 +BIT10_0 13285 1 +BIT9_0 13841 1 +BIT8_0 14424 1 +BIT7_0 13459 1 +BIT6_0 14015 1 +BIT5_0 13772 1 +BIT4_0 12750 1 +BIT3_0 12601 1 +BIT2_0 12745 1 +BIT1_0 13828 1 +BIT0_0 13189 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6353 1 +BIT30_1 6353 1 +BIT29_1 6353 1 +BIT28_1 6353 1 +BIT27_1 6353 1 +BIT26_1 6353 1 +BIT25_1 6353 1 +BIT24_1 6353 1 +BIT23_1 4557 1 +BIT22_1 4557 1 +BIT21_1 4557 1 +BIT20_1 4557 1 +BIT19_1 4557 1 +BIT18_1 4557 1 +BIT17_1 4557 1 +BIT16_1 4557 1 +BIT15_1 7058 1 +BIT14_1 7058 1 +BIT13_1 7058 1 +BIT12_1 7058 1 +BIT11_1 7058 1 +BIT10_1 7058 1 +BIT9_1 7058 1 +BIT8_1 7058 1 +BIT7_1 9622 1 +BIT6_1 9622 1 +BIT5_1 9622 1 +BIT4_1 9622 1 +BIT3_1 9622 1 +BIT2_1 9622 1 +BIT1_1 9622 1 +BIT0_1 9622 1 +BIT31_0 11412 1 +BIT30_0 11412 1 +BIT29_0 11412 1 +BIT28_0 11412 1 +BIT27_0 11412 1 +BIT26_0 11412 1 +BIT25_0 11412 1 +BIT24_0 11412 1 +BIT23_0 13208 1 +BIT22_0 13208 1 +BIT21_0 13208 1 +BIT20_0 13208 1 +BIT19_0 13208 1 +BIT18_0 13208 1 +BIT17_0 13208 1 +BIT16_0 13208 1 +BIT15_0 10707 1 +BIT14_0 10707 1 +BIT13_0 10707 1 +BIT12_0 10707 1 +BIT11_0 10707 1 +BIT10_0 10707 1 +BIT9_0 10707 1 +BIT8_0 10707 1 +BIT7_0 8143 1 +BIT6_0 8143 1 +BIT5_0 8143 1 +BIT4_0 8143 1 +BIT3_0 8143 1 +BIT2_0 8143 1 +BIT1_0 8143 1 +BIT0_0 8143 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_rev8_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2093 1 +auto[1] 494 1 +auto[2] 532 1 +auto[3] 503 1 +auto[4] 525 1 +auto[5] 476 1 +auto[6] 471 1 +auto[7] 504 1 +auto[8] 530 1 +auto[9] 484 1 +auto[10] 480 1 +auto[11] 521 1 +auto[12] 504 1 +auto[13] 487 1 +auto[14] 508 1 +auto[15] 495 1 +auto[16] 481 1 +auto[17] 493 1 +auto[18] 502 1 +auto[19] 477 1 +auto[20] 449 1 +auto[21] 487 1 +auto[22] 500 1 +auto[23] 487 1 +auto[24] 488 1 +auto[25] 467 1 +auto[26] 494 1 +auto[27] 478 1 +auto[28] 506 1 +auto[29] 511 1 +auto[30] 450 1 +auto[31] 510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2108 1 +auto[1] 543 1 +auto[2] 445 1 +auto[3] 529 1 +auto[4] 487 1 +auto[5] 511 1 +auto[6] 486 1 +auto[7] 498 1 +auto[8] 442 1 +auto[9] 464 1 +auto[10] 506 1 +auto[11] 487 1 +auto[12] 467 1 +auto[13] 491 1 +auto[14] 498 1 +auto[15] 468 1 +auto[16] 475 1 +auto[17] 484 1 +auto[18] 508 1 +auto[19] 487 1 +auto[20] 472 1 +auto[21] 520 1 +auto[22] 493 1 +auto[23] 512 1 +auto[24] 517 1 +auto[25] 506 1 +auto[26] 522 1 +auto[27] 532 1 +auto[28] 481 1 +auto[29] 489 1 +auto[30] 464 1 +auto[31] 495 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1601 1 +RD_01 7 1 +RD_02 18 1 +RD_03 16 1 +RD_04 19 1 +RD_05 23 1 +RD_06 11 1 +RD_07 16 1 +RD_08 14 1 +RD_09 10 1 +RD_0a 19 1 +RD_0b 10 1 +RD_0c 22 1 +RD_0d 10 1 +RD_0e 19 1 +RD_0f 23 1 +RD_10 17 1 +RD_11 15 1 +RD_12 21 1 +RD_13 13 1 +RD_14 16 1 +RD_15 18 1 +RD_16 16 1 +RD_17 16 1 +RD_18 17 1 +RD_19 14 1 +RD_1a 21 1 +RD_1b 17 1 +RD_1c 14 1 +RD_1d 19 1 +RD_1e 15 1 +RD_1f 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6709 1 +auto_NON_ZERO 10678 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6709 1 +auto_NON_ZERO 10678 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4836 1 +BIT30_1 3106 1 +BIT29_1 3101 1 +BIT28_1 3110 1 +BIT27_1 2969 1 +BIT26_1 3046 1 +BIT25_1 2967 1 +BIT24_1 3002 1 +BIT23_1 2944 1 +BIT22_1 2957 1 +BIT21_1 2963 1 +BIT20_1 2917 1 +BIT19_1 2967 1 +BIT18_1 2967 1 +BIT17_1 2936 1 +BIT16_1 3161 1 +BIT15_1 3951 1 +BIT14_1 3827 1 +BIT13_1 4083 1 +BIT12_1 3857 1 +BIT11_1 4306 1 +BIT10_1 4344 1 +BIT9_1 3838 1 +BIT8_1 3294 1 +BIT7_1 4170 1 +BIT6_1 3593 1 +BIT5_1 3833 1 +BIT4_1 4813 1 +BIT3_1 4981 1 +BIT2_1 4943 1 +BIT1_1 3909 1 +BIT0_1 4308 1 +BIT31_0 12551 1 +BIT30_0 14281 1 +BIT29_0 14286 1 +BIT28_0 14277 1 +BIT27_0 14418 1 +BIT26_0 14341 1 +BIT25_0 14420 1 +BIT24_0 14385 1 +BIT23_0 14443 1 +BIT22_0 14430 1 +BIT21_0 14424 1 +BIT20_0 14470 1 +BIT19_0 14420 1 +BIT18_0 14420 1 +BIT17_0 14451 1 +BIT16_0 14226 1 +BIT15_0 13436 1 +BIT14_0 13560 1 +BIT13_0 13304 1 +BIT12_0 13530 1 +BIT11_0 13081 1 +BIT10_0 13043 1 +BIT9_0 13549 1 +BIT8_0 14093 1 +BIT7_0 13217 1 +BIT6_0 13794 1 +BIT5_0 13554 1 +BIT4_0 12574 1 +BIT3_0 12406 1 +BIT2_0 12444 1 +BIT1_0 13478 1 +BIT0_0 13079 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4170 1 +BIT30_1 3593 1 +BIT29_1 3833 1 +BIT28_1 4813 1 +BIT27_1 4981 1 +BIT26_1 4943 1 +BIT25_1 3909 1 +BIT24_1 4308 1 +BIT23_1 3951 1 +BIT22_1 3827 1 +BIT21_1 4083 1 +BIT20_1 3857 1 +BIT19_1 4306 1 +BIT18_1 4344 1 +BIT17_1 3838 1 +BIT16_1 3294 1 +BIT15_1 2944 1 +BIT14_1 2957 1 +BIT13_1 2963 1 +BIT12_1 2917 1 +BIT11_1 2967 1 +BIT10_1 2967 1 +BIT9_1 2936 1 +BIT8_1 3161 1 +BIT7_1 4836 1 +BIT6_1 3106 1 +BIT5_1 3101 1 +BIT4_1 3110 1 +BIT3_1 2969 1 +BIT2_1 3046 1 +BIT1_1 2967 1 +BIT0_1 3002 1 +BIT31_0 13217 1 +BIT30_0 13794 1 +BIT29_0 13554 1 +BIT28_0 12574 1 +BIT27_0 12406 1 +BIT26_0 12444 1 +BIT25_0 13478 1 +BIT24_0 13079 1 +BIT23_0 13436 1 +BIT22_0 13560 1 +BIT21_0 13304 1 +BIT20_0 13530 1 +BIT19_0 13081 1 +BIT18_0 13043 1 +BIT17_0 13549 1 +BIT16_0 14093 1 +BIT15_0 14443 1 +BIT14_0 14430 1 +BIT13_0 14424 1 +BIT12_0 14470 1 +BIT11_0 14420 1 +BIT10_0 14420 1 +BIT9_0 14451 1 +BIT8_0 14226 1 +BIT7_0 12551 1 +BIT6_0 14281 1 +BIT5_0 14286 1 +BIT4_0 14277 1 +BIT3_0 14418 1 +BIT2_0 14341 1 +BIT1_0 14420 1 +BIT0_0 14385 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_sext_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2057 1 +auto[1] 485 1 +auto[2] 518 1 +auto[3] 504 1 +auto[4] 504 1 +auto[5] 500 1 +auto[6] 513 1 +auto[7] 529 1 +auto[8] 511 1 +auto[9] 529 1 +auto[10] 498 1 +auto[11] 484 1 +auto[12] 467 1 +auto[13] 504 1 +auto[14] 466 1 +auto[15] 476 1 +auto[16] 485 1 +auto[17] 533 1 +auto[18] 488 1 +auto[19] 509 1 +auto[20] 473 1 +auto[21] 500 1 +auto[22] 531 1 +auto[23] 494 1 +auto[24] 480 1 +auto[25] 440 1 +auto[26] 516 1 +auto[27] 536 1 +auto[28] 475 1 +auto[29] 529 1 +auto[30] 487 1 +auto[31] 480 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2094 1 +auto[1] 541 1 +auto[2] 462 1 +auto[3] 557 1 +auto[4] 486 1 +auto[5] 533 1 +auto[6] 500 1 +auto[7] 523 1 +auto[8] 466 1 +auto[9] 481 1 +auto[10] 486 1 +auto[11] 479 1 +auto[12] 491 1 +auto[13] 467 1 +auto[14] 485 1 +auto[15] 502 1 +auto[16] 497 1 +auto[17] 479 1 +auto[18] 462 1 +auto[19] 478 1 +auto[20] 522 1 +auto[21] 514 1 +auto[22] 530 1 +auto[23] 517 1 +auto[24] 482 1 +auto[25] 494 1 +auto[26] 537 1 +auto[27] 489 1 +auto[28] 504 1 +auto[29] 480 1 +auto[30] 464 1 +auto[31] 499 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1581 1 +RD_01 14 1 +RD_02 9 1 +RD_03 19 1 +RD_04 10 1 +RD_05 15 1 +RD_06 18 1 +RD_07 19 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 10 1 +RD_11 15 1 +RD_12 11 1 +RD_13 13 1 +RD_14 13 1 +RD_15 18 1 +RD_16 16 1 +RD_17 18 1 +RD_18 16 1 +RD_19 8 1 +RD_1a 22 1 +RD_1b 24 1 +RD_1c 17 1 +RD_1d 22 1 +RD_1e 10 1 +RD_1f 15 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6752 1 +auto_NON_ZERO 10749 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8020 1 +auto_NON_ZERO 9481 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4989 1 +BIT30_1 3218 1 +BIT29_1 3260 1 +BIT28_1 3232 1 +BIT27_1 3118 1 +BIT26_1 3162 1 +BIT25_1 3113 1 +BIT24_1 3093 1 +BIT23_1 3085 1 +BIT22_1 3063 1 +BIT21_1 3021 1 +BIT20_1 3103 1 +BIT19_1 3111 1 +BIT18_1 3112 1 +BIT17_1 3101 1 +BIT16_1 3274 1 +BIT15_1 3983 1 +BIT14_1 3953 1 +BIT13_1 4173 1 +BIT12_1 4024 1 +BIT11_1 4455 1 +BIT10_1 4480 1 +BIT9_1 4030 1 +BIT8_1 3491 1 +BIT7_1 4207 1 +BIT6_1 3754 1 +BIT5_1 4014 1 +BIT4_1 4995 1 +BIT3_1 5095 1 +BIT2_1 4995 1 +BIT1_1 3990 1 +BIT0_1 4455 1 +BIT31_0 12512 1 +BIT30_0 14283 1 +BIT29_0 14241 1 +BIT28_0 14269 1 +BIT27_0 14383 1 +BIT26_0 14339 1 +BIT25_0 14388 1 +BIT24_0 14408 1 +BIT23_0 14416 1 +BIT22_0 14438 1 +BIT21_0 14480 1 +BIT20_0 14398 1 +BIT19_0 14390 1 +BIT18_0 14389 1 +BIT17_0 14400 1 +BIT16_0 14227 1 +BIT15_0 13518 1 +BIT14_0 13548 1 +BIT13_0 13328 1 +BIT12_0 13477 1 +BIT11_0 13046 1 +BIT10_0 13021 1 +BIT9_0 13471 1 +BIT8_0 14010 1 +BIT7_0 13294 1 +BIT6_0 13747 1 +BIT5_0 13487 1 +BIT4_0 12506 1 +BIT3_0 12406 1 +BIT2_0 12506 1 +BIT1_0 13511 1 +BIT0_0 13046 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4207 1 +BIT30_1 4207 1 +BIT29_1 4207 1 +BIT28_1 4207 1 +BIT27_1 4207 1 +BIT26_1 4207 1 +BIT25_1 4207 1 +BIT24_1 4207 1 +BIT23_1 4207 1 +BIT22_1 4207 1 +BIT21_1 4207 1 +BIT20_1 4207 1 +BIT19_1 4207 1 +BIT18_1 4207 1 +BIT17_1 4207 1 +BIT16_1 4207 1 +BIT15_1 4207 1 +BIT14_1 4207 1 +BIT13_1 4207 1 +BIT12_1 4207 1 +BIT11_1 4207 1 +BIT10_1 4207 1 +BIT9_1 4207 1 +BIT8_1 4207 1 +BIT7_1 4207 1 +BIT6_1 3754 1 +BIT5_1 4014 1 +BIT4_1 4995 1 +BIT3_1 5095 1 +BIT2_1 4995 1 +BIT1_1 3990 1 +BIT0_1 4455 1 +BIT31_0 13294 1 +BIT30_0 13294 1 +BIT29_0 13294 1 +BIT28_0 13294 1 +BIT27_0 13294 1 +BIT26_0 13294 1 +BIT25_0 13294 1 +BIT24_0 13294 1 +BIT23_0 13294 1 +BIT22_0 13294 1 +BIT21_0 13294 1 +BIT20_0 13294 1 +BIT19_0 13294 1 +BIT18_0 13294 1 +BIT17_0 13294 1 +BIT16_0 13294 1 +BIT15_0 13294 1 +BIT14_0 13294 1 +BIT13_0 13294 1 +BIT12_0 13294 1 +BIT11_0 13294 1 +BIT10_0 13294 1 +BIT9_0 13294 1 +BIT8_0 13294 1 +BIT7_0 13294 1 +BIT6_0 13747 1 +BIT5_0 13487 1 +BIT4_0 12506 1 +BIT3_0 12406 1 +BIT2_0 12506 1 +BIT1_0 13511 1 +BIT0_0 13046 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_sext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 228 0 228 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2039 1 +auto[1] 516 1 +auto[2] 478 1 +auto[3] 507 1 +auto[4] 492 1 +auto[5] 525 1 +auto[6] 520 1 +auto[7] 490 1 +auto[8] 492 1 +auto[9] 446 1 +auto[10] 483 1 +auto[11] 488 1 +auto[12] 455 1 +auto[13] 499 1 +auto[14] 474 1 +auto[15] 481 1 +auto[16] 496 1 +auto[17] 505 1 +auto[18] 479 1 +auto[19] 521 1 +auto[20] 488 1 +auto[21] 464 1 +auto[22] 513 1 +auto[23] 509 1 +auto[24] 492 1 +auto[25] 530 1 +auto[26] 527 1 +auto[27] 458 1 +auto[28] 482 1 +auto[29] 468 1 +auto[30] 508 1 +auto[31] 510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2091 1 +auto[1] 508 1 +auto[2] 455 1 +auto[3] 568 1 +auto[4] 478 1 +auto[5] 458 1 +auto[6] 512 1 +auto[7] 514 1 +auto[8] 485 1 +auto[9] 471 1 +auto[10] 472 1 +auto[11] 466 1 +auto[12] 473 1 +auto[13] 474 1 +auto[14] 439 1 +auto[15] 471 1 +auto[16] 478 1 +auto[17] 504 1 +auto[18] 499 1 +auto[19] 493 1 +auto[20] 524 1 +auto[21] 485 1 +auto[22] 518 1 +auto[23] 490 1 +auto[24] 476 1 +auto[25] 483 1 +auto[26] 522 1 +auto[27] 512 1 +auto[28] 515 1 +auto[29] 520 1 +auto[30] 540 1 +auto[31] 441 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1571 1 +RD_01 18 1 +RD_02 9 1 +RD_03 21 1 +RD_04 18 1 +RD_05 17 1 +RD_06 24 1 +RD_07 13 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 21 1 +RD_11 17 1 +RD_12 14 1 +RD_13 12 1 +RD_14 21 1 +RD_15 15 1 +RD_16 15 1 +RD_17 21 1 +RD_18 11 1 +RD_19 14 1 +RD_1a 13 1 +RD_1b 15 1 +RD_1c 20 1 +RD_1d 18 1 +RD_1e 17 1 +RD_1f 11 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6677 1 +auto_NON_ZERO 10658 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7316 1 +auto_NON_ZERO 10019 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4933 1 +BIT30_1 3185 1 +BIT29_1 3173 1 +BIT28_1 3198 1 +BIT27_1 3116 1 +BIT26_1 3130 1 +BIT25_1 3076 1 +BIT24_1 3053 1 +BIT23_1 3068 1 +BIT22_1 3064 1 +BIT21_1 3062 1 +BIT20_1 3059 1 +BIT19_1 3072 1 +BIT18_1 3064 1 +BIT17_1 3029 1 +BIT16_1 3243 1 +BIT15_1 4031 1 +BIT14_1 3952 1 +BIT13_1 4105 1 +BIT12_1 4003 1 +BIT11_1 4372 1 +BIT10_1 4440 1 +BIT9_1 3920 1 +BIT8_1 3384 1 +BIT7_1 4238 1 +BIT6_1 3668 1 +BIT5_1 3879 1 +BIT4_1 4977 1 +BIT3_1 5046 1 +BIT2_1 5055 1 +BIT1_1 3895 1 +BIT0_1 4392 1 +BIT31_0 12402 1 +BIT30_0 14150 1 +BIT29_0 14162 1 +BIT28_0 14137 1 +BIT27_0 14219 1 +BIT26_0 14205 1 +BIT25_0 14259 1 +BIT24_0 14282 1 +BIT23_0 14267 1 +BIT22_0 14271 1 +BIT21_0 14273 1 +BIT20_0 14276 1 +BIT19_0 14263 1 +BIT18_0 14271 1 +BIT17_0 14306 1 +BIT16_0 14092 1 +BIT15_0 13304 1 +BIT14_0 13383 1 +BIT13_0 13230 1 +BIT12_0 13332 1 +BIT11_0 12963 1 +BIT10_0 12895 1 +BIT9_0 13415 1 +BIT8_0 13951 1 +BIT7_0 13097 1 +BIT6_0 13667 1 +BIT5_0 13456 1 +BIT4_0 12358 1 +BIT3_0 12289 1 +BIT2_0 12280 1 +BIT1_0 13440 1 +BIT0_0 12943 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4031 1 +BIT30_1 4031 1 +BIT29_1 4031 1 +BIT28_1 4031 1 +BIT27_1 4031 1 +BIT26_1 4031 1 +BIT25_1 4031 1 +BIT24_1 4031 1 +BIT23_1 4031 1 +BIT22_1 4031 1 +BIT21_1 4031 1 +BIT20_1 4031 1 +BIT19_1 4031 1 +BIT18_1 4031 1 +BIT17_1 4031 1 +BIT16_1 4031 1 +BIT15_1 4031 1 +BIT14_1 3952 1 +BIT13_1 4105 1 +BIT12_1 4003 1 +BIT11_1 4372 1 +BIT10_1 4440 1 +BIT9_1 3920 1 +BIT8_1 3384 1 +BIT7_1 4238 1 +BIT6_1 3668 1 +BIT5_1 3879 1 +BIT4_1 4977 1 +BIT3_1 5046 1 +BIT2_1 5055 1 +BIT1_1 3895 1 +BIT0_1 4392 1 +BIT31_0 13304 1 +BIT30_0 13304 1 +BIT29_0 13304 1 +BIT28_0 13304 1 +BIT27_0 13304 1 +BIT26_0 13304 1 +BIT25_0 13304 1 +BIT24_0 13304 1 +BIT23_0 13304 1 +BIT22_0 13304 1 +BIT21_0 13304 1 +BIT20_0 13304 1 +BIT19_0 13304 1 +BIT18_0 13304 1 +BIT17_0 13304 1 +BIT16_0 13304 1 +BIT15_0 13304 1 +BIT14_0 13383 1 +BIT13_0 13230 1 +BIT12_0 13332 1 +BIT11_0 12963 1 +BIT10_0 12895 1 +BIT9_0 13415 1 +BIT8_0 13951 1 +BIT7_0 13097 1 +BIT6_0 13667 1 +BIT5_0 13456 1 +BIT4_0 12358 1 +BIT3_0 12289 1 +BIT2_0 12280 1 +BIT1_0 13440 1 +BIT0_0 12943 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_zcb_mul + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_mul +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_mul_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_mul + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 150 0 150 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_mul + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rs2 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_mul_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_mul + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_mul_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 150 0 150 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_mul_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rs2 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1338 1 +auto[1] 1300 1 +auto[2] 1302 1 +auto[3] 1294 1 +auto[4] 1394 1 +auto[5] 1365 1 +auto[6] 1441 1 +auto[7] 1426 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1363 1 +auto[1] 1384 1 +auto[2] 1346 1 +auto[3] 1339 1 +auto[4] 1411 1 +auto[5] 1370 1 +auto[6] 1293 1 +auto[7] 1354 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3686 1 +auto_POSITIVE 4348 1 +auto_NEGATIVE 2826 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3355 1 +auto_POSITIVE 4052 1 +auto_NEGATIVE 3453 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2826 1 +BIT30_1 2251 1 +BIT29_1 2217 1 +BIT28_1 2250 1 +BIT27_1 2214 1 +BIT26_1 2201 1 +BIT25_1 2190 1 +BIT24_1 2187 1 +BIT23_1 2208 1 +BIT22_1 2199 1 +BIT21_1 2195 1 +BIT20_1 2169 1 +BIT19_1 2185 1 +BIT18_1 2220 1 +BIT17_1 2198 1 +BIT16_1 2324 1 +BIT15_1 2641 1 +BIT14_1 2634 1 +BIT13_1 2736 1 +BIT12_1 2667 1 +BIT11_1 2682 1 +BIT10_1 2643 1 +BIT9_1 2715 1 +BIT8_1 2604 1 +BIT7_1 3028 1 +BIT6_1 2897 1 +BIT5_1 2986 1 +BIT4_1 3312 1 +BIT3_1 3307 1 +BIT2_1 3259 1 +BIT1_1 2962 1 +BIT0_1 3340 1 +BIT31_0 8034 1 +BIT30_0 8609 1 +BIT29_0 8643 1 +BIT28_0 8610 1 +BIT27_0 8646 1 +BIT26_0 8659 1 +BIT25_0 8670 1 +BIT24_0 8673 1 +BIT23_0 8652 1 +BIT22_0 8661 1 +BIT21_0 8665 1 +BIT20_0 8691 1 +BIT19_0 8675 1 +BIT18_0 8640 1 +BIT17_0 8662 1 +BIT16_0 8536 1 +BIT15_0 8219 1 +BIT14_0 8226 1 +BIT13_0 8124 1 +BIT12_0 8193 1 +BIT11_0 8178 1 +BIT10_0 8217 1 +BIT9_0 8145 1 +BIT8_0 8256 1 +BIT7_0 7832 1 +BIT6_0 7963 1 +BIT5_0 7874 1 +BIT4_0 7548 1 +BIT3_0 7553 1 +BIT2_0 7601 1 +BIT1_0 7898 1 +BIT0_0 7520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3453 1 +BIT30_1 2132 1 +BIT29_1 2143 1 +BIT28_1 2143 1 +BIT27_1 2066 1 +BIT26_1 2083 1 +BIT25_1 2059 1 +BIT24_1 2049 1 +BIT23_1 2092 1 +BIT22_1 2080 1 +BIT21_1 2055 1 +BIT20_1 2100 1 +BIT19_1 2087 1 +BIT18_1 2076 1 +BIT17_1 2081 1 +BIT16_1 2241 1 +BIT15_1 2824 1 +BIT14_1 2789 1 +BIT13_1 3030 1 +BIT12_1 2803 1 +BIT11_1 3206 1 +BIT10_1 3164 1 +BIT9_1 2850 1 +BIT8_1 2412 1 +BIT7_1 3176 1 +BIT6_1 2794 1 +BIT5_1 2806 1 +BIT4_1 3731 1 +BIT3_1 3699 1 +BIT2_1 3747 1 +BIT1_1 2809 1 +BIT0_1 3092 1 +BIT31_0 7407 1 +BIT30_0 8728 1 +BIT29_0 8717 1 +BIT28_0 8717 1 +BIT27_0 8794 1 +BIT26_0 8777 1 +BIT25_0 8801 1 +BIT24_0 8811 1 +BIT23_0 8768 1 +BIT22_0 8780 1 +BIT21_0 8805 1 +BIT20_0 8760 1 +BIT19_0 8773 1 +BIT18_0 8784 1 +BIT17_0 8779 1 +BIT16_0 8619 1 +BIT15_0 8036 1 +BIT14_0 8071 1 +BIT13_0 7830 1 +BIT12_0 8057 1 +BIT11_0 7654 1 +BIT10_0 7696 1 +BIT9_0 8010 1 +BIT8_0 8448 1 +BIT7_0 7684 1 +BIT6_0 8066 1 +BIT5_0 8054 1 +BIT4_0 7129 1 +BIT3_0 7161 1 +BIT2_0 7113 1 +BIT1_0 8051 1 +BIT0_0 7768 1 + + +Group : uvma_isacov_pkg::cg_zb_rstype_ext + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype_ext +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbs_bext_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype_ext + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 326 0 326 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype_ext + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_index 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbs_bext_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_ext + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 326 0 326 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_index 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 553 1 +auto[1] 538 1 +auto[2] 510 1 +auto[3] 533 1 +auto[4] 566 1 +auto[5] 528 1 +auto[6] 540 1 +auto[7] 527 1 +auto[8] 547 1 +auto[9] 554 1 +auto[10] 545 1 +auto[11] 550 1 +auto[12] 574 1 +auto[13] 541 1 +auto[14] 575 1 +auto[15] 523 1 +auto[16] 560 1 +auto[17] 540 1 +auto[18] 505 1 +auto[19] 498 1 +auto[20] 566 1 +auto[21] 550 1 +auto[22] 538 1 +auto[23] 564 1 +auto[24] 558 1 +auto[25] 561 1 +auto[26] 581 1 +auto[27] 585 1 +auto[28] 586 1 +auto[29] 555 1 +auto[30] 554 1 +auto[31] 539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 577 1 +auto[1] 579 1 +auto[2] 552 1 +auto[3] 560 1 +auto[4] 586 1 +auto[5] 521 1 +auto[6] 500 1 +auto[7] 535 1 +auto[8] 517 1 +auto[9] 545 1 +auto[10] 540 1 +auto[11] 562 1 +auto[12] 539 1 +auto[13] 502 1 +auto[14] 538 1 +auto[15] 533 1 +auto[16] 540 1 +auto[17] 548 1 +auto[18] 588 1 +auto[19] 515 1 +auto[20] 562 1 +auto[21] 525 1 +auto[22] 551 1 +auto[23] 532 1 +auto[24] 550 1 +auto[25] 551 1 +auto[26] 570 1 +auto[27] 586 1 +auto[28] 564 1 +auto[29] 560 1 +auto[30] 538 1 +auto[31] 578 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 576 1 +auto[1] 582 1 +auto[2] 483 1 +auto[3] 582 1 +auto[4] 569 1 +auto[5] 552 1 +auto[6] 536 1 +auto[7] 541 1 +auto[8] 510 1 +auto[9] 520 1 +auto[10] 546 1 +auto[11] 540 1 +auto[12] 581 1 +auto[13] 559 1 +auto[14] 565 1 +auto[15] 496 1 +auto[16] 523 1 +auto[17] 553 1 +auto[18] 582 1 +auto[19] 517 1 +auto[20] 526 1 +auto[21] 537 1 +auto[22] 564 1 +auto[23] 529 1 +auto[24] 566 1 +auto[25] 520 1 +auto[26] 606 1 +auto[27] 584 1 +auto[28] 569 1 +auto[29] 539 1 +auto[30] 528 1 +auto[31] 563 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 74 1 +RD_01 59 1 +RD_02 56 1 +RD_03 65 1 +RD_04 67 1 +RD_05 69 1 +RD_06 54 1 +RD_07 55 1 +RD_08 54 1 +RD_09 71 1 +RD_0a 72 1 +RD_0b 73 1 +RD_0c 64 1 +RD_0d 63 1 +RD_0e 68 1 +RD_0f 57 1 +RD_10 74 1 +RD_11 69 1 +RD_12 65 1 +RD_13 52 1 +RD_14 69 1 +RD_15 59 1 +RD_16 77 1 +RD_17 63 1 +RD_18 68 1 +RD_19 58 1 +RD_1a 75 1 +RD_1b 72 1 +RD_1c 65 1 +RD_1d 71 1 +RD_1e 66 1 +RD_1f 75 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 73 1 +RD_01 60 1 +RD_02 54 1 +RD_03 65 1 +RD_04 82 1 +RD_05 63 1 +RD_06 61 1 +RD_07 67 1 +RD_08 52 1 +RD_09 63 1 +RD_0a 71 1 +RD_0b 72 1 +RD_0c 74 1 +RD_0d 64 1 +RD_0e 70 1 +RD_0f 64 1 +RD_10 65 1 +RD_11 63 1 +RD_12 58 1 +RD_13 56 1 +RD_14 65 1 +RD_15 54 1 +RD_16 75 1 +RD_17 62 1 +RD_18 73 1 +RD_19 56 1 +RD_1a 82 1 +RD_1b 75 1 +RD_1c 64 1 +RD_1d 60 1 +RD_1e 66 1 +RD_1f 78 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6085 1 +auto_NON_ZERO 11459 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6120 1 +auto_NON_ZERO 11424 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_index + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_index + + +Bins + +NAME COUNT AT LEAST +INDEX_00 577 1 +INDEX_01 579 1 +INDEX_02 552 1 +INDEX_03 560 1 +INDEX_04 586 1 +INDEX_05 521 1 +INDEX_06 500 1 +INDEX_07 535 1 +INDEX_08 517 1 +INDEX_09 545 1 +INDEX_0a 540 1 +INDEX_0b 562 1 +INDEX_0c 539 1 +INDEX_0d 502 1 +INDEX_0e 538 1 +INDEX_0f 533 1 +INDEX_10 540 1 +INDEX_11 548 1 +INDEX_12 588 1 +INDEX_13 515 1 +INDEX_14 562 1 +INDEX_15 525 1 +INDEX_16 551 1 +INDEX_17 532 1 +INDEX_18 550 1 +INDEX_19 551 1 +INDEX_1a 570 1 +INDEX_1b 586 1 +INDEX_1c 564 1 +INDEX_1d 560 1 +INDEX_1e 538 1 +INDEX_1f 578 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +ONE 4297 1 +ZERO 13247 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5391 1 +BIT30_1 3581 1 +BIT29_1 3560 1 +BIT28_1 3560 1 +BIT27_1 3413 1 +BIT26_1 3439 1 +BIT25_1 3352 1 +BIT24_1 3396 1 +BIT23_1 3375 1 +BIT22_1 3398 1 +BIT21_1 3401 1 +BIT20_1 3393 1 +BIT19_1 3392 1 +BIT18_1 3460 1 +BIT17_1 3364 1 +BIT16_1 3654 1 +BIT15_1 4305 1 +BIT14_1 4255 1 +BIT13_1 4467 1 +BIT12_1 4296 1 +BIT11_1 4797 1 +BIT10_1 4860 1 +BIT9_1 4283 1 +BIT8_1 3731 1 +BIT7_1 4627 1 +BIT6_1 4112 1 +BIT5_1 4309 1 +BIT4_1 5468 1 +BIT3_1 5413 1 +BIT2_1 5460 1 +BIT1_1 4402 1 +BIT0_1 4983 1 +BIT31_0 12153 1 +BIT30_0 13963 1 +BIT29_0 13984 1 +BIT28_0 13984 1 +BIT27_0 14131 1 +BIT26_0 14105 1 +BIT25_0 14192 1 +BIT24_0 14148 1 +BIT23_0 14169 1 +BIT22_0 14146 1 +BIT21_0 14143 1 +BIT20_0 14151 1 +BIT19_0 14152 1 +BIT18_0 14084 1 +BIT17_0 14180 1 +BIT16_0 13890 1 +BIT15_0 13239 1 +BIT14_0 13289 1 +BIT13_0 13077 1 +BIT12_0 13248 1 +BIT11_0 12747 1 +BIT10_0 12684 1 +BIT9_0 13261 1 +BIT8_0 13813 1 +BIT7_0 12917 1 +BIT6_0 13432 1 +BIT5_0 13235 1 +BIT4_0 12076 1 +BIT3_0 12131 1 +BIT2_0 12084 1 +BIT1_0 13142 1 +BIT0_0 12561 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5270 1 +BIT30_1 3431 1 +BIT29_1 3418 1 +BIT28_1 3458 1 +BIT27_1 3330 1 +BIT26_1 3321 1 +BIT25_1 3250 1 +BIT24_1 3276 1 +BIT23_1 3300 1 +BIT22_1 3341 1 +BIT21_1 3263 1 +BIT20_1 3270 1 +BIT19_1 3254 1 +BIT18_1 3305 1 +BIT17_1 3271 1 +BIT16_1 3546 1 +BIT15_1 4283 1 +BIT14_1 4113 1 +BIT13_1 4375 1 +BIT12_1 4234 1 +BIT11_1 4691 1 +BIT10_1 4701 1 +BIT9_1 4133 1 +BIT8_1 3697 1 +BIT7_1 4516 1 +BIT6_1 4033 1 +BIT5_1 4179 1 +BIT4_1 5236 1 +BIT3_1 5398 1 +BIT2_1 5370 1 +BIT1_1 4244 1 +BIT0_1 4909 1 +BIT31_0 12274 1 +BIT30_0 14113 1 +BIT29_0 14126 1 +BIT28_0 14086 1 +BIT27_0 14214 1 +BIT26_0 14223 1 +BIT25_0 14294 1 +BIT24_0 14268 1 +BIT23_0 14244 1 +BIT22_0 14203 1 +BIT21_0 14281 1 +BIT20_0 14274 1 +BIT19_0 14290 1 +BIT18_0 14239 1 +BIT17_0 14273 1 +BIT16_0 13998 1 +BIT15_0 13261 1 +BIT14_0 13431 1 +BIT13_0 13169 1 +BIT12_0 13310 1 +BIT11_0 12853 1 +BIT10_0 12843 1 +BIT9_0 13411 1 +BIT8_0 13847 1 +BIT7_0 13028 1 +BIT6_0 13511 1 +BIT5_0 13365 1 +BIT4_0 12308 1 +BIT3_0 12146 1 +BIT2_0 12174 1 +BIT1_0 13300 1 +BIT0_0 12635 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2958 1 +auto_ZERO auto_NON_ZERO 3127 1 +auto_NON_ZERO auto_ZERO 3162 1 +auto_NON_ZERO auto_NON_ZERO 8297 1 + + +Group : uvma_isacov_pkg::cg_div_special_results + +=============================================================================== +Group : uvma_isacov_pkg::cg_div_special_results +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_divu_results_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_remu_results_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_div_special_results + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvma_isacov_pkg::cg_div_special_results + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_divu_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_divu_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_divu_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7425 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_remu_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_remu_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_remu_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7422 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +Group : uvma_isacov_pkg::cg_div_special_results + +=============================================================================== +Group : uvma_isacov_pkg::cg_div_special_results +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_div_results_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32m_rem_results_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_div_special_results + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group uvma_isacov_pkg::cg_div_special_results + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_div_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_div_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_div_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7317 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_arithmetic_overflow + + +Bins + +NAME COUNT AT LEAST +OFLOW 10 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32m_rem_results_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_div_special_results + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32m_rem_results_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32m_rem_results_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_div_zero 1 0 1 100.00 100 1 1 0 +cp_div_arithmetic_overflow 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_zero + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_zero + + +Bins + +NAME COUNT AT LEAST +ZERO 7115 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_div_arithmetic_overflow + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_div_arithmetic_overflow + + +Bins + +NAME COUNT AT LEAST +OFLOW 5 1 + + +Group : uvma_isacov_pkg::cg_itype_load_lbu + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_load_lbu +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_lbu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_load_lbu + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 207 0 207 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_load_lbu + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_lbu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_load_lbu + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 207 0 207 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 16 0 16 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 3 1 +auto[1] 2180 1 +auto[2] 29411 1 +auto[3] 2242 1 +auto[4] 4372 1 +auto[5] 3869 1 +auto[6] 4729 1 +auto[7] 4074 1 +auto[8] 4035 1 +auto[9] 4017 1 +auto[10] 3984 1 +auto[11] 3950 1 +auto[12] 3322 1 +auto[13] 2914 1 +auto[14] 3617 1 +auto[15] 3098 1 +auto[16] 4448 1 +auto[17] 3833 1 +auto[18] 4084 1 +auto[19] 4198 1 +auto[20] 5767 1 +auto[21] 4516 1 +auto[22] 3635 1 +auto[23] 3844 1 +auto[24] 3762 1 +auto[25] 4200 1 +auto[26] 3966 1 +auto[27] 4621 1 +auto[28] 4383 1 +auto[29] 4018 1 +auto[30] 4591 1 +auto[31] 3635 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 3407 1 +auto[1] 3116 1 +auto[2] 3690 1 +auto[3] 3186 1 +auto[4] 5379 1 +auto[5] 5833 1 +auto[6] 4996 1 +auto[7] 4658 1 +auto[8] 4409 1 +auto[9] 4504 1 +auto[10] 4469 1 +auto[11] 4779 1 +auto[12] 4576 1 +auto[13] 4129 1 +auto[14] 4409 1 +auto[15] 3871 1 +auto[16] 4720 1 +auto[17] 4945 1 +auto[18] 4911 1 +auto[19] 5028 1 +auto[20] 4706 1 +auto[21] 5510 1 +auto[22] 4384 1 +auto[23] 4173 1 +auto[24] 4940 1 +auto[25] 4950 1 +auto[26] 5104 1 +auto[27] 4665 1 +auto[28] 4851 1 +auto[29] 4725 1 +auto[30] 5449 1 +auto[31] 4846 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 3 1 +RD_01 1 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 1 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 1 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3 1 +auto_NON_ZERO 147315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 56509 1 +auto_POSITIVE 45027 1 +auto_NEGATIVE 45782 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 32403 1 +auto_NON_ZERO 114915 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 147315 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 18 1 +BIT16_1 34583 1 +BIT15_1 68729 1 +BIT14_1 33203 1 +BIT13_1 58477 1 +BIT12_1 59002 1 +BIT11_1 60620 1 +BIT10_1 70972 1 +BIT9_1 72939 1 +BIT8_1 74313 1 +BIT7_1 73130 1 +BIT6_1 73348 1 +BIT5_1 73743 1 +BIT4_1 73442 1 +BIT3_1 74111 1 +BIT2_1 73656 1 +BIT1_1 73555 1 +BIT0_1 46151 1 +BIT31_0 3 1 +BIT30_0 147317 1 +BIT29_0 147317 1 +BIT28_0 147317 1 +BIT27_0 147317 1 +BIT26_0 147317 1 +BIT25_0 147317 1 +BIT24_0 147317 1 +BIT23_0 147317 1 +BIT22_0 147317 1 +BIT21_0 147317 1 +BIT20_0 147317 1 +BIT19_0 147317 1 +BIT18_0 147317 1 +BIT17_0 147300 1 +BIT16_0 112735 1 +BIT15_0 78589 1 +BIT14_0 114115 1 +BIT13_0 88841 1 +BIT12_0 88316 1 +BIT11_0 86698 1 +BIT10_0 76346 1 +BIT9_0 74379 1 +BIT8_0 73005 1 +BIT7_0 74188 1 +BIT6_0 73970 1 +BIT5_0 73575 1 +BIT4_0 73876 1 +BIT3_0 73207 1 +BIT2_0 73662 1 +BIT1_0 73763 1 +BIT0_0 101167 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 45782 1 +BIT10_1 45967 1 +BIT9_1 45928 1 +BIT8_1 46029 1 +BIT7_1 45885 1 +BIT6_1 46032 1 +BIT5_1 45764 1 +BIT4_1 46253 1 +BIT3_1 45442 1 +BIT2_1 45727 1 +BIT1_1 45683 1 +BIT0_1 45557 1 +BIT11_0 101536 1 +BIT10_0 101351 1 +BIT9_0 101390 1 +BIT8_0 101289 1 +BIT7_0 101433 1 +BIT6_0 101286 1 +BIT5_0 101554 1 +BIT4_0 101065 1 +BIT3_0 101876 1 +BIT2_0 101591 1 +BIT1_0 101635 1 +BIT0_0 101761 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 49177 1 +BIT6_1 51187 1 +BIT5_1 62918 1 +BIT4_1 58244 1 +BIT3_1 39997 1 +BIT2_1 35648 1 +BIT1_1 80056 1 +BIT0_1 70649 1 +BIT7_0 98141 1 +BIT6_0 96131 1 +BIT5_0 84400 1 +BIT4_0 89074 1 +BIT3_0 107321 1 +BIT2_0 111670 1 +BIT1_0 67262 1 +BIT0_0 76669 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 1 1 +auto_ZERO auto_POSITIVE 1 1 +auto_ZERO auto_NEGATIVE 1 1 +auto_NON_ZERO auto_ZERO 56508 1 +auto_NON_ZERO auto_POSITIVE 45026 1 +auto_NON_ZERO auto_NEGATIVE 45781 1 + + +Group : uvma_isacov_pkg::cg_ci_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_slli_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 131 0 131 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_slli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_slli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 131 0 131 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_slli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5004 1 +auto_NON_ZERO 8898 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5777 1 +auto_NON_ZERO 8125 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 1856 1 +SHAMT_1 468 1 +SHAMT_2 473 1 +SHAMT_3 455 1 +SHAMT_4 358 1 +SHAMT_5 395 1 +SHAMT_6 369 1 +SHAMT_7 383 1 +SHAMT_8 386 1 +SHAMT_9 388 1 +SHAMT_10 348 1 +SHAMT_11 356 1 +SHAMT_12 358 1 +SHAMT_13 374 1 +SHAMT_14 418 1 +SHAMT_15 413 1 +SHAMT_16 417 1 +SHAMT_17 415 1 +SHAMT_18 344 1 +SHAMT_19 361 1 +SHAMT_20 374 1 +SHAMT_21 388 1 +SHAMT_22 413 1 +SHAMT_23 332 1 +SHAMT_24 373 1 +SHAMT_25 419 1 +SHAMT_26 364 1 +SHAMT_27 391 1 +SHAMT_28 344 1 +SHAMT_29 392 1 +SHAMT_30 374 1 +SHAMT_31 403 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rd + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 417 1 +auto[2] 396 1 +auto[3] 478 1 +auto[4] 414 1 +auto[5] 417 1 +auto[6] 432 1 +auto[7] 389 1 +auto[8] 421 1 +auto[9] 427 1 +auto[10] 412 1 +auto[11] 404 1 +auto[12] 405 1 +auto[13] 398 1 +auto[14] 404 1 +auto[15] 396 1 +auto[16] 361 1 +auto[17] 419 1 +auto[18] 442 1 +auto[19] 406 1 +auto[20] 382 1 +auto[21] 415 1 +auto[22] 395 1 +auto[23] 408 1 +auto[24] 420 1 +auto[25] 413 1 +auto[26] 397 1 +auto[27] 424 1 +auto[28] 405 1 +auto[29] 409 1 +auto[30] 390 1 +auto[31] 450 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 3315 1 +BIT30_1 2919 1 +BIT29_1 2808 1 +BIT28_1 2755 1 +BIT27_1 2753 1 +BIT26_1 2583 1 +BIT25_1 2535 1 +BIT24_1 2481 1 +BIT23_1 2353 1 +BIT22_1 2295 1 +BIT21_1 2256 1 +BIT20_1 2179 1 +BIT19_1 2073 1 +BIT18_1 2009 1 +BIT17_1 1961 1 +BIT16_1 1931 1 +BIT15_1 1951 1 +BIT14_1 1808 1 +BIT13_1 1727 1 +BIT12_1 1684 1 +BIT11_1 1687 1 +BIT10_1 1560 1 +BIT9_1 1428 1 +BIT8_1 1326 1 +BIT7_1 1300 1 +BIT6_1 1102 1 +BIT5_1 1051 1 +BIT4_1 1135 1 +BIT3_1 996 1 +BIT2_1 897 1 +BIT1_1 625 1 +BIT0_1 507 1 +BIT31_0 10587 1 +BIT30_0 10983 1 +BIT29_0 11094 1 +BIT28_0 11147 1 +BIT27_0 11149 1 +BIT26_0 11319 1 +BIT25_0 11367 1 +BIT24_0 11421 1 +BIT23_0 11549 1 +BIT22_0 11607 1 +BIT21_0 11646 1 +BIT20_0 11723 1 +BIT19_0 11829 1 +BIT18_0 11893 1 +BIT17_0 11941 1 +BIT16_0 11971 1 +BIT15_0 11951 1 +BIT14_0 12094 1 +BIT13_0 12175 1 +BIT12_0 12218 1 +BIT11_0 12215 1 +BIT10_0 12342 1 +BIT9_0 12474 1 +BIT8_0 12576 1 +BIT7_0 12602 1 +BIT6_0 12800 1 +BIT5_0 12851 1 +BIT4_0 12767 1 +BIT3_0 12906 1 +BIT2_0 13005 1 +BIT1_0 13277 1 +BIT0_0 13395 1 + + +Group : uvma_isacov_pkg::cg_ci_lui + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci_lui +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_lui_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci_lui + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 82 0 82 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci_lui + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 30 0 30 100.00 100 1 1 32 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_lui_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_lui + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_lui_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 82 0 82 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_lui_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 30 0 30 100.00 100 1 1 32 +cp_rd_toggle 40 0 40 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 30 0 30 100.00 + + +Automatically Generated Bins for cp_rd + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_TWO 0 Excluded +RD_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded +[auto[2]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 396 1 +auto[3] 423 1 +auto[4] 419 1 +auto[5] 401 1 +auto[6] 429 1 +auto[7] 441 1 +auto[8] 422 1 +auto[9] 417 1 +auto[10] 431 1 +auto[11] 381 1 +auto[12] 438 1 +auto[13] 447 1 +auto[14] 425 1 +auto[15] 419 1 +auto[16] 415 1 +auto[17] 436 1 +auto[18] 406 1 +auto[19] 444 1 +auto[20] 396 1 +auto[21] 454 1 +auto[22] 404 1 +auto[23] 453 1 +auto[24] 422 1 +auto[25] 433 1 +auto[26] 416 1 +auto[27] 436 1 +auto[28] 461 1 +auto[29] 399 1 +auto[30] 469 1 +auto[31] 395 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 40 0 40 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 669 1 +BIT30_1 669 1 +BIT29_1 669 1 +BIT28_1 669 1 +BIT27_1 669 1 +BIT26_1 669 1 +BIT25_1 669 1 +BIT24_1 669 1 +BIT23_1 669 1 +BIT22_1 669 1 +BIT21_1 669 1 +BIT20_1 669 1 +BIT19_1 669 1 +BIT18_1 669 1 +BIT17_1 669 1 +BIT16_1 5935 1 +BIT15_1 5791 1 +BIT14_1 5948 1 +BIT13_1 8262 1 +BIT12_1 6274 1 +BIT31_0 13336 1 +BIT30_0 13336 1 +BIT29_0 13336 1 +BIT28_0 13336 1 +BIT27_0 13336 1 +BIT26_0 13336 1 +BIT25_0 13336 1 +BIT24_0 13336 1 +BIT23_0 13336 1 +BIT22_0 13336 1 +BIT21_0 13336 1 +BIT20_0 13336 1 +BIT19_0 13336 1 +BIT18_0 13336 1 +BIT17_0 13336 1 +BIT16_0 8070 1 +BIT15_0 8214 1 +BIT14_0 8057 1 +BIT13_0 5743 1 +BIT12_0 7731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 669 1 +BIT4_1 5935 1 +BIT3_1 5791 1 +BIT2_1 5948 1 +BIT1_1 8262 1 +BIT0_1 6274 1 +BIT5_0 13336 1 +BIT4_0 8070 1 +BIT3_0 8214 1 +BIT2_0 8057 1 +BIT1_0 5743 1 +BIT0_0 7731 1 + + +Group : uvma_isacov_pkg::cg_ci(withChksum=332521270) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci(withChksum=332521270) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_lwsp_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci(withChksum=332521270) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 111 0 111 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci(withChksum=332521270) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 0 0 0 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_lwsp_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=332521270) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_lwsp_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 111 0 111 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_lwsp_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 0 0 0 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 0 0 0 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_ZERO 0 Excluded +auto_NON_ZERO 0 Excluded +auto_POSITIVE 0 Illegal +auto_NEGATIVE 0 Illegal +NEG_OFF 0 Illegal +POS_OFF 0 Illegal +OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Illegal +auto_NEGATIVE 0 Illegal +NEG_OFF 0 Illegal +POS_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4988 1 +auto_NON_ZERO 10178 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Illegal +auto_NEGATIVE 0 Illegal +NEG_OFF 0 Illegal +POS_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2722 1 +auto_NON_ZERO 12444 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Illegal +[auto[0]] 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 114 1 +auto[2] 1 1 +auto[3] 51 1 +auto[4] 295 1 +auto[5] 156 1 +auto[6] 712 1 +auto[7] 121 1 +auto[8] 510 1 +auto[9] 627 1 +auto[10] 279 1 +auto[11] 280 1 +auto[12] 783 1 +auto[13] 308 1 +auto[14] 1310 1 +auto[15] 1476 1 +auto[16] 189 1 +auto[17] 469 1 +auto[18] 741 1 +auto[19] 334 1 +auto[20] 1060 1 +auto[21] 154 1 +auto[22] 637 1 +auto[23] 252 1 +auto[24] 47 1 +auto[25] 959 1 +auto[26] 816 1 +auto[27] 343 1 +auto[28] 372 1 +auto[29] 903 1 +auto[30] 731 1 +auto[31] 136 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 8117 1 +BIT30_1 2315 1 +BIT29_1 2276 1 +BIT28_1 2459 1 +BIT27_1 2207 1 +BIT26_1 2158 1 +BIT25_1 1809 1 +BIT24_1 2053 1 +BIT23_1 2086 1 +BIT22_1 2157 1 +BIT21_1 2372 1 +BIT20_1 2013 1 +BIT19_1 2309 1 +BIT18_1 2152 1 +BIT17_1 2047 1 +BIT16_1 2366 1 +BIT15_1 3097 1 +BIT14_1 7418 1 +BIT13_1 4919 1 +BIT12_1 6292 1 +BIT11_1 7649 1 +BIT10_1 7567 1 +BIT9_1 6951 1 +BIT8_1 3290 1 +BIT7_1 4127 1 +BIT6_1 3547 1 +BIT5_1 3579 1 +BIT4_1 7717 1 +BIT3_1 8458 1 +BIT2_1 7493 1 +BIT1_1 3503 1 +BIT0_1 3776 1 +BIT31_0 7049 1 +BIT30_0 12851 1 +BIT29_0 12890 1 +BIT28_0 12707 1 +BIT27_0 12959 1 +BIT26_0 13008 1 +BIT25_0 13357 1 +BIT24_0 13113 1 +BIT23_0 13080 1 +BIT22_0 13009 1 +BIT21_0 12794 1 +BIT20_0 13153 1 +BIT19_0 12857 1 +BIT18_0 13014 1 +BIT17_0 13119 1 +BIT16_0 12800 1 +BIT15_0 12069 1 +BIT14_0 7748 1 +BIT13_0 10247 1 +BIT12_0 8874 1 +BIT11_0 7517 1 +BIT10_0 7599 1 +BIT9_0 8215 1 +BIT8_0 11876 1 +BIT7_0 11039 1 +BIT6_0 11619 1 +BIT5_0 11587 1 +BIT4_0 7449 1 +BIT3_0 6708 1 +BIT2_0 7673 1 +BIT1_0 11663 1 +BIT0_0 11390 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 467 1 +BIT4_1 590 1 +BIT3_1 6604 1 +BIT2_1 6593 1 +BIT1_1 112 1 +BIT0_1 194 1 +BIT5_0 14699 1 +BIT4_0 14576 1 +BIT3_0 8562 1 +BIT2_0 8573 1 +BIT1_0 15054 1 +BIT0_0 14972 1 + + +Group : uvma_isacov_pkg::cg_ci(withChksum=3641590055) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci(withChksum=3641590055) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_addi16sp_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 85 0 85 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 1 0 1 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_addi16sp_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_addi16sp_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 85 0 85 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_addi16sp_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 1 0 1 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1846 1 +auto_POSITIVE 3416 1 +auto_NEGATIVE 9134 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_ZERO 0 Excluded +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal +ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_POSITIVE 7130 1 +auto_NEGATIVE 7266 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 23 1 +auto_POSITIVE 4389 1 +auto_NEGATIVE 9984 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 1 0 1 100.00 + + +Automatically Generated Bins for cp_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +NON_X2 0 Illegal +RD_NOT_ZERO 0 Illegal +[auto[0] - auto[1]] -- Illegal (2 bins) +[auto[3] - auto[31]] -- Illegal (29 bins) + + +Covered bins + +NAME COUNT AT LEAST +auto[2] 14396 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 9984 1 +BIT30_1 2934 1 +BIT29_1 2936 1 +BIT28_1 2968 1 +BIT27_1 2937 1 +BIT26_1 2879 1 +BIT25_1 2880 1 +BIT24_1 2839 1 +BIT23_1 2916 1 +BIT22_1 2900 1 +BIT21_1 2914 1 +BIT20_1 2900 1 +BIT19_1 2937 1 +BIT18_1 2931 1 +BIT17_1 3024 1 +BIT16_1 3216 1 +BIT15_1 9526 1 +BIT14_1 3448 1 +BIT13_1 6360 1 +BIT12_1 6744 1 +BIT11_1 9596 1 +BIT10_1 9602 1 +BIT9_1 3951 1 +BIT8_1 5193 1 +BIT7_1 8127 1 +BIT6_1 5165 1 +BIT5_1 5129 1 +BIT4_1 10652 1 +BIT3_1 8588 1 +BIT2_1 2703 1 +BIT1_1 2416 1 +BIT0_1 2634 1 +BIT31_0 4412 1 +BIT30_0 11462 1 +BIT29_0 11460 1 +BIT28_0 11428 1 +BIT27_0 11459 1 +BIT26_0 11517 1 +BIT25_0 11516 1 +BIT24_0 11557 1 +BIT23_0 11480 1 +BIT22_0 11496 1 +BIT21_0 11482 1 +BIT20_0 11496 1 +BIT19_0 11459 1 +BIT18_0 11465 1 +BIT17_0 11372 1 +BIT16_0 11180 1 +BIT15_0 4870 1 +BIT14_0 10948 1 +BIT13_0 8036 1 +BIT12_0 7652 1 +BIT11_0 4800 1 +BIT10_0 4794 1 +BIT9_0 10445 1 +BIT8_0 9203 1 +BIT7_0 6269 1 +BIT6_0 9231 1 +BIT5_0 9267 1 +BIT4_0 3744 1 +BIT3_0 5808 1 +BIT2_0 11693 1 +BIT1_0 11980 1 +BIT0_0 11762 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 7266 1 +BIT4_1 9313 1 +BIT3_1 12211 1 +BIT2_1 6319 1 +BIT1_1 6500 1 +BIT0_1 6537 1 +BIT5_0 7130 1 +BIT4_0 5083 1 +BIT3_0 2185 1 +BIT2_0 8077 1 +BIT1_0 7896 1 +BIT0_0 7859 1 + + +Group : uvma_isacov_pkg::cg_ci(withChksum=430551851) + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci(withChksum=430551851) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_addi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci(withChksum=430551851) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 115 0 115 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci(withChksum=430551851) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_addi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci(withChksum=430551851) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_addi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 115 0 115 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_addi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_imm_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 208735 1 +auto_POSITIVE 4987 1 +auto_NEGATIVE 1065668 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_ZERO 0 Excluded +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal +ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_POSITIVE 1006615 1 +auto_NEGATIVE 271525 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Illegal +NON_ZERO_OFF 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 602 1 +auto_POSITIVE 211894 1 +auto_NEGATIVE 1066894 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Illegal +[auto[0]] 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 424 1 +auto[2] 39104 1 +auto[3] 452 1 +auto[4] 24029 1 +auto[5] 38986 1 +auto[6] 60552 1 +auto[7] 36200 1 +auto[8] 39032 1 +auto[9] 38189 1 +auto[10] 32902 1 +auto[11] 34867 1 +auto[12] 28162 1 +auto[13] 49982 1 +auto[14] 39165 1 +auto[15] 40140 1 +auto[16] 49335 1 +auto[17] 36835 1 +auto[18] 55578 1 +auto[19] 52935 1 +auto[20] 107832 1 +auto[21] 45208 1 +auto[22] 33484 1 +auto[23] 37274 1 +auto[24] 40327 1 +auto[25] 42702 1 +auto[26] 39566 1 +auto[27] 36348 1 +auto[28] 39067 1 +auto[29] 57325 1 +auto[30] 49462 1 +auto[31] 53926 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1066894 1 +BIT30_1 4250 1 +BIT29_1 4253 1 +BIT28_1 4274 1 +BIT27_1 4132 1 +BIT26_1 4127 1 +BIT25_1 4099 1 +BIT24_1 4028 1 +BIT23_1 4051 1 +BIT22_1 4115 1 +BIT21_1 4089 1 +BIT20_1 4103 1 +BIT19_1 4119 1 +BIT18_1 4122 1 +BIT17_1 4189 1 +BIT16_1 8216 1 +BIT15_1 539967 1 +BIT14_1 12264 1 +BIT13_1 305608 1 +BIT12_1 261674 1 +BIT11_1 571268 1 +BIT10_1 590405 1 +BIT9_1 63279 1 +BIT8_1 67619 1 +BIT7_1 594804 1 +BIT6_1 65297 1 +BIT5_1 65973 1 +BIT4_1 597959 1 +BIT3_1 803346 1 +BIT2_1 537825 1 +BIT1_1 550951 1 +BIT0_1 548817 1 +BIT31_0 212496 1 +BIT30_0 1275140 1 +BIT29_0 1275137 1 +BIT28_0 1275116 1 +BIT27_0 1275258 1 +BIT26_0 1275263 1 +BIT25_0 1275291 1 +BIT24_0 1275362 1 +BIT23_0 1275339 1 +BIT22_0 1275275 1 +BIT21_0 1275301 1 +BIT20_0 1275287 1 +BIT19_0 1275271 1 +BIT18_0 1275268 1 +BIT17_0 1275201 1 +BIT16_0 1271174 1 +BIT15_0 739423 1 +BIT14_0 1267126 1 +BIT13_0 973782 1 +BIT12_0 1017716 1 +BIT11_0 708122 1 +BIT10_0 688985 1 +BIT9_0 1216111 1 +BIT8_0 1211771 1 +BIT7_0 684586 1 +BIT6_0 1214093 1 +BIT5_0 1213417 1 +BIT4_0 681431 1 +BIT3_0 476044 1 +BIT2_0 741565 1 +BIT1_0 728439 1 +BIT0_0 730573 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 271525 1 +BIT4_1 274069 1 +BIT3_1 479476 1 +BIT2_1 756522 1 +BIT1_1 600028 1 +BIT0_1 550289 1 +BIT5_0 1007865 1 +BIT4_0 1005321 1 +BIT3_0 799914 1 +BIT2_0 522868 1 +BIT1_0 679362 1 +BIT0_0 729101 1 + + +Group : uvma_isacov_pkg::cg_cb + +=============================================================================== +Group : uvma_isacov_pkg::cg_cb +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_beqz_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_bnez_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cb + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 93 0 93 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cb + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_beqz_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_beqz_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 93 0 93 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_beqz_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1685 1 +auto_NON_ZERO 3153 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 4833 1 +auto_NEGATIVE 4 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 577 1 +auto[1] 597 1 +auto[2] 598 1 +auto[3] 599 1 +auto[4] 653 1 +auto[5] 619 1 +auto[6] 590 1 +auto[7] 605 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1240 1 +BIT30_1 1043 1 +BIT29_1 1055 1 +BIT28_1 1052 1 +BIT27_1 1038 1 +BIT26_1 1031 1 +BIT25_1 1037 1 +BIT24_1 1056 1 +BIT23_1 1030 1 +BIT22_1 1023 1 +BIT21_1 1039 1 +BIT20_1 1044 1 +BIT19_1 1031 1 +BIT18_1 1052 1 +BIT17_1 1016 1 +BIT16_1 1107 1 +BIT15_1 1225 1 +BIT14_1 1203 1 +BIT13_1 1251 1 +BIT12_1 1191 1 +BIT11_1 1248 1 +BIT10_1 1263 1 +BIT9_1 1208 1 +BIT8_1 1193 1 +BIT7_1 1369 1 +BIT6_1 1312 1 +BIT5_1 1309 1 +BIT4_1 1497 1 +BIT3_1 1413 1 +BIT2_1 1425 1 +BIT1_1 1279 1 +BIT0_1 1437 1 +BIT31_0 3598 1 +BIT30_0 3795 1 +BIT29_0 3783 1 +BIT28_0 3786 1 +BIT27_0 3800 1 +BIT26_0 3807 1 +BIT25_0 3801 1 +BIT24_0 3782 1 +BIT23_0 3808 1 +BIT22_0 3815 1 +BIT21_0 3799 1 +BIT20_0 3794 1 +BIT19_0 3807 1 +BIT18_0 3786 1 +BIT17_0 3822 1 +BIT16_0 3731 1 +BIT15_0 3613 1 +BIT14_0 3635 1 +BIT13_0 3587 1 +BIT12_0 3647 1 +BIT11_0 3590 1 +BIT10_0 3575 1 +BIT9_0 3630 1 +BIT8_0 3645 1 +BIT7_0 3469 1 +BIT6_0 3526 1 +BIT5_0 3529 1 +BIT4_0 3341 1 +BIT3_0 3425 1 +BIT2_0 3413 1 +BIT1_0 3559 1 +BIT0_0 3401 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 4 1 +BIT6_1 110 1 +BIT5_1 608 1 +BIT4_1 2142 1 +BIT3_1 2187 1 +BIT2_1 2226 1 +BIT1_1 2317 1 +BIT0_1 2659 1 +BIT7_0 4834 1 +BIT6_0 4728 1 +BIT5_0 4230 1 +BIT4_0 2696 1 +BIT3_0 2651 1 +BIT2_0 2612 1 +BIT1_0 2521 1 +BIT0_0 2179 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_bnez_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_bnez_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 93 0 93 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_bnez_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_c_rs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 18332 1 +auto_NON_ZERO 51683 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 69949 1 +auto_NEGATIVE 65 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 8656 1 +auto[1] 7279 1 +auto[2] 11438 1 +auto[3] 8026 1 +auto[4] 6762 1 +auto[5] 7507 1 +auto[6] 11302 1 +auto[7] 9045 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1203 1 +BIT30_1 986 1 +BIT29_1 983 1 +BIT28_1 1013 1 +BIT27_1 987 1 +BIT26_1 997 1 +BIT25_1 1001 1 +BIT24_1 998 1 +BIT23_1 1006 1 +BIT22_1 994 1 +BIT21_1 1020 1 +BIT20_1 1004 1 +BIT19_1 1003 1 +BIT18_1 1029 1 +BIT17_1 1011 1 +BIT16_1 1060 1 +BIT15_1 1135 1 +BIT14_1 1172 1 +BIT13_1 1200 1 +BIT12_1 1164 1 +BIT11_1 1206 1 +BIT10_1 1241 1 +BIT9_1 1207 1 +BIT8_1 1159 1 +BIT7_1 1308 1 +BIT6_1 1251 1 +BIT5_1 1257 1 +BIT4_1 1453 1 +BIT3_1 1389 1 +BIT2_1 1398 1 +BIT1_1 1264 1 +BIT0_1 50058 1 +BIT31_0 68812 1 +BIT30_0 69029 1 +BIT29_0 69032 1 +BIT28_0 69002 1 +BIT27_0 69028 1 +BIT26_0 69018 1 +BIT25_0 69014 1 +BIT24_0 69017 1 +BIT23_0 69009 1 +BIT22_0 69021 1 +BIT21_0 68995 1 +BIT20_0 69011 1 +BIT19_0 69012 1 +BIT18_0 68986 1 +BIT17_0 69004 1 +BIT16_0 68955 1 +BIT15_0 68880 1 +BIT14_0 68843 1 +BIT13_0 68815 1 +BIT12_0 68851 1 +BIT11_0 68809 1 +BIT10_0 68774 1 +BIT9_0 68808 1 +BIT8_0 68856 1 +BIT7_0 68707 1 +BIT6_0 68764 1 +BIT5_0 68758 1 +BIT4_0 68562 1 +BIT3_0 68626 1 +BIT2_0 68617 1 +BIT1_0 68751 1 +BIT0_0 19957 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 65 1 +BIT6_1 159 1 +BIT5_1 659 1 +BIT4_1 67125 1 +BIT3_1 67220 1 +BIT2_1 63797 1 +BIT1_1 56761 1 +BIT0_1 40809 1 +BIT7_0 69950 1 +BIT6_0 69856 1 +BIT5_0 69356 1 +BIT4_0 2890 1 +BIT3_0 2795 1 +BIT2_0 6218 1 +BIT1_0 13254 1 +BIT0_0 29206 1 + + +Group : uvma_isacov_pkg::cg_itype(withChksum=2320478138) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype(withChksum=2320478138) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_addi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 257 0 257 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_addi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_addi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 257 0 257 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_addi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_addi_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 4154 1 +auto[1] 2419 1 +auto[2] 8914 1 +auto[3] 7221 1 +auto[4] 27443 1 +auto[5] 17492 1 +auto[6] 11522 1 +auto[7] 18598 1 +auto[8] 21030 1 +auto[9] 19166 1 +auto[10] 13858 1 +auto[11] 11068 1 +auto[12] 13265 1 +auto[13] 27928 1 +auto[14] 19461 1 +auto[15] 29921 1 +auto[16] 14826 1 +auto[17] 22365 1 +auto[18] 16315 1 +auto[19] 30390 1 +auto[20] 24159 1 +auto[21] 21692 1 +auto[22] 11701 1 +auto[23] 27030 1 +auto[24] 84902 1 +auto[25] 18291 1 +auto[26] 22414 1 +auto[27] 14003 1 +auto[28] 24628 1 +auto[29] 13094 1 +auto[30] 15475 1 +auto[31] 9557 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 4098 1 +auto[1] 2353 1 +auto[2] 8955 1 +auto[3] 7380 1 +auto[4] 27486 1 +auto[5] 17580 1 +auto[6] 11521 1 +auto[7] 18452 1 +auto[8] 21065 1 +auto[9] 19168 1 +auto[10] 13840 1 +auto[11] 10667 1 +auto[12] 13950 1 +auto[13] 27876 1 +auto[14] 19446 1 +auto[15] 29881 1 +auto[16] 14735 1 +auto[17] 22326 1 +auto[18] 16203 1 +auto[19] 30380 1 +auto[20] 24123 1 +auto[21] 21714 1 +auto[22] 11436 1 +auto[23] 27061 1 +auto[24] 84873 1 +auto[25] 18334 1 +auto[26] 22400 1 +auto[27] 14077 1 +auto[28] 24678 1 +auto[29] 13233 1 +auto[30] 15508 1 +auto[31] 9503 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 3508 1 +RD_01 1674 1 +RD_02 8343 1 +RD_03 6687 1 +RD_04 26845 1 +RD_05 16922 1 +RD_06 10836 1 +RD_07 17869 1 +RD_08 20461 1 +RD_09 18575 1 +RD_0a 13218 1 +RD_0b 10029 1 +RD_0c 12712 1 +RD_0d 27338 1 +RD_0e 18877 1 +RD_0f 29346 1 +RD_10 14226 1 +RD_11 21756 1 +RD_12 15651 1 +RD_13 29789 1 +RD_14 23578 1 +RD_15 21115 1 +RD_16 10878 1 +RD_17 26462 1 +RD_18 84289 1 +RD_19 17750 1 +RD_1a 21794 1 +RD_1b 13442 1 +RD_1c 24062 1 +RD_1d 12512 1 +RD_1e 14935 1 +RD_1f 8996 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9786 1 +auto_POSITIVE 21229 1 +auto_NEGATIVE 593287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 507 1 +auto_POSITIVE 311725 1 +auto_NEGATIVE 312070 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 495 1 +auto_POSITIVE 25421 1 +auto_NEGATIVE 598386 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 593287 1 +BIT30_1 28152 1 +BIT29_1 25899 1 +BIT28_1 25898 1 +BIT27_1 19394 1 +BIT26_1 19484 1 +BIT25_1 19393 1 +BIT24_1 19444 1 +BIT23_1 21788 1 +BIT22_1 19423 1 +BIT21_1 19370 1 +BIT20_1 19445 1 +BIT19_1 19368 1 +BIT18_1 19583 1 +BIT17_1 19588 1 +BIT16_1 29716 1 +BIT15_1 567455 1 +BIT14_1 34910 1 +BIT13_1 337960 1 +BIT12_1 276535 1 +BIT11_1 541023 1 +BIT10_1 544210 1 +BIT9_1 18883 1 +BIT8_1 19940 1 +BIT7_1 285406 1 +BIT6_1 22777 1 +BIT5_1 26281 1 +BIT4_1 551953 1 +BIT3_1 550019 1 +BIT2_1 22987 1 +BIT1_1 20540 1 +BIT0_1 5280 1 +BIT31_0 31015 1 +BIT30_0 596150 1 +BIT29_0 598403 1 +BIT28_0 598404 1 +BIT27_0 604908 1 +BIT26_0 604818 1 +BIT25_0 604909 1 +BIT24_0 604858 1 +BIT23_0 602514 1 +BIT22_0 604879 1 +BIT21_0 604932 1 +BIT20_0 604857 1 +BIT19_0 604934 1 +BIT18_0 604719 1 +BIT17_0 604714 1 +BIT16_0 594586 1 +BIT15_0 56847 1 +BIT14_0 589392 1 +BIT13_0 286342 1 +BIT12_0 347767 1 +BIT11_0 83279 1 +BIT10_0 80092 1 +BIT9_0 605419 1 +BIT8_0 604362 1 +BIT7_0 338896 1 +BIT6_0 601525 1 +BIT5_0 598021 1 +BIT4_0 72349 1 +BIT3_0 74283 1 +BIT2_0 601315 1 +BIT1_0 603762 1 +BIT0_0 619022 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 312070 1 +BIT10_1 309265 1 +BIT9_1 305140 1 +BIT8_1 309662 1 +BIT7_1 570603 1 +BIT6_1 44216 1 +BIT5_1 47482 1 +BIT4_1 44003 1 +BIT3_1 43743 1 +BIT2_1 48562 1 +BIT1_1 44570 1 +BIT0_1 41329 1 +BIT11_0 312232 1 +BIT10_0 315037 1 +BIT9_0 319162 1 +BIT8_0 314640 1 +BIT7_0 53699 1 +BIT6_0 580086 1 +BIT5_0 576820 1 +BIT4_0 580299 1 +BIT3_0 580559 1 +BIT2_0 575740 1 +BIT1_0 579732 1 +BIT0_0 582973 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 598386 1 +BIT30_1 33559 1 +BIT29_1 31305 1 +BIT28_1 31328 1 +BIT27_1 24858 1 +BIT26_1 24965 1 +BIT25_1 24891 1 +BIT24_1 24941 1 +BIT23_1 27347 1 +BIT22_1 25013 1 +BIT21_1 24961 1 +BIT20_1 25050 1 +BIT19_1 25009 1 +BIT18_1 25221 1 +BIT17_1 25228 1 +BIT16_1 35283 1 +BIT15_1 573081 1 +BIT14_1 40077 1 +BIT13_1 339825 1 +BIT12_1 283483 1 +BIT11_1 575510 1 +BIT10_1 573367 1 +BIT9_1 44740 1 +BIT8_1 45470 1 +BIT7_1 307818 1 +BIT6_1 45218 1 +BIT5_1 43186 1 +BIT4_1 575019 1 +BIT3_1 572937 1 +BIT2_1 50389 1 +BIT1_1 43827 1 +BIT0_1 41391 1 +BIT31_0 25916 1 +BIT30_0 590743 1 +BIT29_0 592997 1 +BIT28_0 592974 1 +BIT27_0 599444 1 +BIT26_0 599337 1 +BIT25_0 599411 1 +BIT24_0 599361 1 +BIT23_0 596955 1 +BIT22_0 599289 1 +BIT21_0 599341 1 +BIT20_0 599252 1 +BIT19_0 599293 1 +BIT18_0 599081 1 +BIT17_0 599074 1 +BIT16_0 589019 1 +BIT15_0 51221 1 +BIT14_0 584225 1 +BIT13_0 284477 1 +BIT12_0 340819 1 +BIT11_0 48792 1 +BIT10_0 50935 1 +BIT9_0 579562 1 +BIT8_0 578832 1 +BIT7_0 316484 1 +BIT6_0 579084 1 +BIT5_0 581116 1 +BIT4_0 49283 1 +BIT3_0 51365 1 +BIT2_0 573913 1 +BIT1_0 580475 1 +BIT0_0 582911 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 492 1 +auto_ZERO auto_POSITIVE 5063 1 +auto_ZERO auto_NEGATIVE 4231 1 +auto_POSITIVE auto_ZERO 1 1 +auto_POSITIVE auto_POSITIVE 10664 1 +auto_POSITIVE auto_NEGATIVE 10564 1 +auto_NEGATIVE auto_ZERO 14 1 +auto_NEGATIVE auto_POSITIVE 295998 1 +auto_NEGATIVE auto_NEGATIVE 297275 1 + + +Group : uvma_isacov_pkg::cg_zcb_zexth + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_zexth +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_zext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_zexth + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 42 0 42 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_zexth + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_zext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zexth + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_zext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 42 0 42 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_zext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 32 0 32 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1258 1 +auto[1] 1311 1 +auto[2] 1301 1 +auto[3] 1388 1 +auto[4] 1307 1 +auto[5] 1316 1 +auto[6] 1369 1 +auto[7] 1315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3514 1 +auto_NON_ZERO 7051 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT15_1 2623 1 +BIT14_1 2634 1 +BIT13_1 2741 1 +BIT12_1 2713 1 +BIT11_1 2642 1 +BIT10_1 2690 1 +BIT9_1 2726 1 +BIT8_1 2622 1 +BIT7_1 2922 1 +BIT6_1 2937 1 +BIT5_1 2998 1 +BIT4_1 3236 1 +BIT3_1 3246 1 +BIT2_1 3326 1 +BIT1_1 3021 1 +BIT0_1 3165 1 +BIT15_0 7942 1 +BIT14_0 7931 1 +BIT13_0 7824 1 +BIT12_0 7852 1 +BIT11_0 7923 1 +BIT10_0 7875 1 +BIT9_0 7839 1 +BIT8_0 7943 1 +BIT7_0 7643 1 +BIT6_0 7628 1 +BIT5_0 7567 1 +BIT4_0 7329 1 +BIT3_0 7319 1 +BIT2_0 7239 1 +BIT1_0 7544 1 +BIT0_0 7400 1 + + +Group : uvma_isacov_pkg::cg_zcb_zextb + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_zextb +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_zext_b_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_zextb + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_zextb + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_zext_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_zextb + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_zext_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_zext_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 16 0 16 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1298 1 +auto[1] 1404 1 +auto[2] 1265 1 +auto[3] 1264 1 +auto[4] 1226 1 +auto[5] 1343 1 +auto[6] 1387 1 +auto[7] 1291 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3376 1 +auto_NON_ZERO 7102 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 16 0 16 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT7_1 3031 1 +BIT6_1 2941 1 +BIT5_1 2983 1 +BIT4_1 3410 1 +BIT3_1 3240 1 +BIT2_1 3286 1 +BIT1_1 2926 1 +BIT0_1 3309 1 +BIT7_0 7447 1 +BIT6_0 7537 1 +BIT5_0 7495 1 +BIT4_0 7068 1 +BIT3_0 7238 1 +BIT2_0 7192 1 +BIT1_0 7552 1 +BIT0_0 7169 1 + + +Group : uvma_isacov_pkg::cg_rtype_clmulh + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_clmulh +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbc_clmulh_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_clmulh + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 356 0 356 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_clmulh + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 62 0 62 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbc_clmulh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_clmulh + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 356 0 356 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 62 0 62 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 570 1 +auto[1] 548 1 +auto[2] 555 1 +auto[3] 534 1 +auto[4] 538 1 +auto[5] 536 1 +auto[6] 546 1 +auto[7] 513 1 +auto[8] 535 1 +auto[9] 585 1 +auto[10] 557 1 +auto[11] 552 1 +auto[12] 531 1 +auto[13] 553 1 +auto[14] 520 1 +auto[15] 577 1 +auto[16] 580 1 +auto[17] 555 1 +auto[18] 546 1 +auto[19] 530 1 +auto[20] 577 1 +auto[21] 604 1 +auto[22] 552 1 +auto[23] 521 1 +auto[24] 496 1 +auto[25] 552 1 +auto[26] 554 1 +auto[27] 610 1 +auto[28] 519 1 +auto[29] 546 1 +auto[30] 581 1 +auto[31] 537 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 525 1 +auto[1] 573 1 +auto[2] 548 1 +auto[3] 562 1 +auto[4] 543 1 +auto[5] 565 1 +auto[6] 545 1 +auto[7] 496 1 +auto[8] 564 1 +auto[9] 555 1 +auto[10] 546 1 +auto[11] 645 1 +auto[12] 533 1 +auto[13] 563 1 +auto[14] 574 1 +auto[15] 550 1 +auto[16] 566 1 +auto[17] 524 1 +auto[18] 539 1 +auto[19] 549 1 +auto[20] 516 1 +auto[21] 578 1 +auto[22] 532 1 +auto[23] 570 1 +auto[24] 538 1 +auto[25] 548 1 +auto[26] 609 1 +auto[27] 516 1 +auto[28] 548 1 +auto[29] 500 1 +auto[30] 547 1 +auto[31] 543 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 624 1 +auto[1] 576 1 +auto[2] 503 1 +auto[3] 600 1 +auto[4] 514 1 +auto[5] 574 1 +auto[6] 542 1 +auto[7] 510 1 +auto[8] 518 1 +auto[9] 544 1 +auto[10] 529 1 +auto[11] 546 1 +auto[12] 508 1 +auto[13] 571 1 +auto[14] 556 1 +auto[15] 575 1 +auto[16] 543 1 +auto[17] 506 1 +auto[18] 544 1 +auto[19] 531 1 +auto[20] 567 1 +auto[21] 520 1 +auto[22] 544 1 +auto[23] 542 1 +auto[24] 555 1 +auto[25] 525 1 +auto[26] 575 1 +auto[27] 565 1 +auto[28] 643 1 +auto[29] 546 1 +auto[30] 531 1 +auto[31] 583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 69 1 +RD_01 68 1 +RD_02 73 1 +RD_03 65 1 +RD_04 54 1 +RD_05 63 1 +RD_06 56 1 +RD_07 43 1 +RD_08 61 1 +RD_09 49 1 +RD_0a 57 1 +RD_0b 71 1 +RD_0c 52 1 +RD_0d 73 1 +RD_0e 69 1 +RD_0f 72 1 +RD_10 69 1 +RD_11 60 1 +RD_12 57 1 +RD_13 63 1 +RD_14 76 1 +RD_15 64 1 +RD_16 66 1 +RD_17 71 1 +RD_18 57 1 +RD_19 58 1 +RD_1a 66 1 +RD_1b 59 1 +RD_1c 64 1 +RD_1d 69 1 +RD_1e 67 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 72 1 +RD_02 61 1 +RD_03 63 1 +RD_04 56 1 +RD_05 69 1 +RD_06 61 1 +RD_07 47 1 +RD_08 61 1 +RD_09 59 1 +RD_0a 66 1 +RD_0b 73 1 +RD_0c 57 1 +RD_0d 68 1 +RD_0e 66 1 +RD_0f 69 1 +RD_10 68 1 +RD_11 52 1 +RD_12 67 1 +RD_13 77 1 +RD_14 74 1 +RD_15 69 1 +RD_16 65 1 +RD_17 58 1 +RD_18 59 1 +RD_19 61 1 +RD_1a 64 1 +RD_1b 63 1 +RD_1c 74 1 +RD_1d 66 1 +RD_1e 66 1 +RD_1f 61 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6183 1 +auto_NON_ZERO 11427 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6004 1 +auto_NON_ZERO 11606 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11624 1 +auto_NON_ZERO 5986 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5213 1 +BIT30_1 3418 1 +BIT29_1 3376 1 +BIT28_1 3402 1 +BIT27_1 3265 1 +BIT26_1 3256 1 +BIT25_1 3244 1 +BIT24_1 3267 1 +BIT23_1 3241 1 +BIT22_1 3189 1 +BIT21_1 3216 1 +BIT20_1 3244 1 +BIT19_1 3224 1 +BIT18_1 3205 1 +BIT17_1 3241 1 +BIT16_1 3452 1 +BIT15_1 4177 1 +BIT14_1 4097 1 +BIT13_1 4344 1 +BIT12_1 4187 1 +BIT11_1 4620 1 +BIT10_1 4623 1 +BIT9_1 4156 1 +BIT8_1 3657 1 +BIT7_1 4427 1 +BIT6_1 4078 1 +BIT5_1 4067 1 +BIT4_1 5334 1 +BIT3_1 5300 1 +BIT2_1 5320 1 +BIT1_1 4231 1 +BIT0_1 4889 1 +BIT31_0 12397 1 +BIT30_0 14192 1 +BIT29_0 14234 1 +BIT28_0 14208 1 +BIT27_0 14345 1 +BIT26_0 14354 1 +BIT25_0 14366 1 +BIT24_0 14343 1 +BIT23_0 14369 1 +BIT22_0 14421 1 +BIT21_0 14394 1 +BIT20_0 14366 1 +BIT19_0 14386 1 +BIT18_0 14405 1 +BIT17_0 14369 1 +BIT16_0 14158 1 +BIT15_0 13433 1 +BIT14_0 13513 1 +BIT13_0 13266 1 +BIT12_0 13423 1 +BIT11_0 12990 1 +BIT10_0 12987 1 +BIT9_0 13454 1 +BIT8_0 13953 1 +BIT7_0 13183 1 +BIT6_0 13532 1 +BIT5_0 13543 1 +BIT4_0 12276 1 +BIT3_0 12310 1 +BIT2_0 12290 1 +BIT1_0 13379 1 +BIT0_0 12721 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5406 1 +BIT30_1 3628 1 +BIT29_1 3570 1 +BIT28_1 3652 1 +BIT27_1 3493 1 +BIT26_1 3463 1 +BIT25_1 3468 1 +BIT24_1 3451 1 +BIT23_1 3439 1 +BIT22_1 3478 1 +BIT21_1 3435 1 +BIT20_1 3436 1 +BIT19_1 3452 1 +BIT18_1 3463 1 +BIT17_1 3469 1 +BIT16_1 3711 1 +BIT15_1 4419 1 +BIT14_1 4264 1 +BIT13_1 4541 1 +BIT12_1 4386 1 +BIT11_1 4806 1 +BIT10_1 4803 1 +BIT9_1 4333 1 +BIT8_1 3756 1 +BIT7_1 4601 1 +BIT6_1 4182 1 +BIT5_1 4322 1 +BIT4_1 5364 1 +BIT3_1 5465 1 +BIT2_1 5454 1 +BIT1_1 4339 1 +BIT0_1 4871 1 +BIT31_0 12204 1 +BIT30_0 13982 1 +BIT29_0 14040 1 +BIT28_0 13958 1 +BIT27_0 14117 1 +BIT26_0 14147 1 +BIT25_0 14142 1 +BIT24_0 14159 1 +BIT23_0 14171 1 +BIT22_0 14132 1 +BIT21_0 14175 1 +BIT20_0 14174 1 +BIT19_0 14158 1 +BIT18_0 14147 1 +BIT17_0 14141 1 +BIT16_0 13899 1 +BIT15_0 13191 1 +BIT14_0 13346 1 +BIT13_0 13069 1 +BIT12_0 13224 1 +BIT11_0 12804 1 +BIT10_0 12807 1 +BIT9_0 13277 1 +BIT8_0 13854 1 +BIT7_0 13009 1 +BIT6_0 13428 1 +BIT5_0 13288 1 +BIT4_0 12246 1 +BIT3_0 12145 1 +BIT2_0 12156 1 +BIT1_0 13271 1 +BIT0_0 12739 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 62 0 62 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT30_1 2108 1 +BIT29_1 1096 1 +BIT28_1 1847 1 +BIT27_1 1111 1 +BIT26_1 1829 1 +BIT25_1 1170 1 +BIT24_1 1884 1 +BIT23_1 1214 1 +BIT22_1 1835 1 +BIT21_1 1267 1 +BIT20_1 1863 1 +BIT19_1 1242 1 +BIT18_1 1895 1 +BIT17_1 1305 1 +BIT16_1 1902 1 +BIT15_1 1428 1 +BIT14_1 2010 1 +BIT13_1 1468 1 +BIT12_1 2084 1 +BIT11_1 1634 1 +BIT10_1 2049 1 +BIT9_1 1756 1 +BIT8_1 2308 1 +BIT7_1 1773 1 +BIT6_1 2427 1 +BIT5_1 2035 1 +BIT4_1 2608 1 +BIT3_1 2448 1 +BIT2_1 2910 1 +BIT1_1 2595 1 +BIT0_1 3016 1 +BIT30_0 15502 1 +BIT29_0 16514 1 +BIT28_0 15763 1 +BIT27_0 16499 1 +BIT26_0 15781 1 +BIT25_0 16440 1 +BIT24_0 15726 1 +BIT23_0 16396 1 +BIT22_0 15775 1 +BIT21_0 16343 1 +BIT20_0 15747 1 +BIT19_0 16368 1 +BIT18_0 15715 1 +BIT17_0 16305 1 +BIT16_0 15708 1 +BIT15_0 16182 1 +BIT14_0 15600 1 +BIT13_0 16142 1 +BIT12_0 15526 1 +BIT11_0 15976 1 +BIT10_0 15561 1 +BIT9_0 15854 1 +BIT8_0 15302 1 +BIT7_0 15837 1 +BIT6_0 15183 1 +BIT5_0 15575 1 +BIT4_0 15002 1 +BIT3_0 15162 1 +BIT2_0 14700 1 +BIT1_0 15015 1 +BIT0_0 14594 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO auto_ZERO 2909 1 +auto_ZERO auto_NON_ZERO 3274 1 +auto_NON_ZERO auto_ZERO 3095 1 +auto_NON_ZERO auto_NON_ZERO 8332 1 + + +Group : uvma_isacov_pkg::cg_stype + +=============================================================================== +Group : uvma_isacov_pkg::cg_stype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sb_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sh_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sw_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_stype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_stype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sb_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sb_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sb_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sb_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 2291 1 +auto[2] 26523 1 +auto[3] 2401 1 +auto[4] 2347 1 +auto[5] 2200 1 +auto[6] 2236 1 +auto[7] 2275 1 +auto[8] 2199 1 +auto[9] 2051 1 +auto[10] 1909 1 +auto[11] 2016 1 +auto[12] 2158 1 +auto[13] 2110 1 +auto[14] 2057 1 +auto[15] 2103 1 +auto[16] 2307 1 +auto[17] 2325 1 +auto[18] 2166 1 +auto[19] 2282 1 +auto[20] 2193 1 +auto[21] 2341 1 +auto[22] 2148 1 +auto[23] 2000 1 +auto[24] 2282 1 +auto[25] 2081 1 +auto[26] 2194 1 +auto[27] 2113 1 +auto[28] 2302 1 +auto[29] 2192 1 +auto[30] 1996 1 +auto[31] 2140 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2835 1 +auto[1] 2832 1 +auto[2] 2796 1 +auto[3] 2829 1 +auto[4] 2805 1 +auto[5] 2913 1 +auto[6] 2881 1 +auto[7] 2831 1 +auto[8] 2855 1 +auto[9] 2833 1 +auto[10] 2850 1 +auto[11] 2941 1 +auto[12] 2827 1 +auto[13] 2862 1 +auto[14] 2969 1 +auto[15] 2801 1 +auto[16] 2852 1 +auto[17] 2933 1 +auto[18] 2823 1 +auto[19] 2853 1 +auto[20] 2943 1 +auto[21] 2798 1 +auto[22] 2856 1 +auto[23] 2903 1 +auto[24] 2857 1 +auto[25] 2858 1 +auto[26] 2987 1 +auto[27] 2956 1 +auto[28] 2908 1 +auto[29] 2927 1 +auto[30] 2906 1 +auto[31] 2919 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imms_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 751 1 +auto_POSITIVE 45231 1 +auto_NEGATIVE 45957 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 91935 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 34858 1 +BIT15_1 68986 1 +BIT14_1 33735 1 +BIT13_1 58451 1 +BIT12_1 51659 1 +BIT11_1 46008 1 +BIT10_1 46032 1 +BIT9_1 46045 1 +BIT8_1 45497 1 +BIT7_1 46093 1 +BIT6_1 45660 1 +BIT5_1 46065 1 +BIT4_1 45884 1 +BIT3_1 46434 1 +BIT2_1 46308 1 +BIT1_1 45712 1 +BIT0_1 46278 1 +BIT31_0 4 1 +BIT30_0 91938 1 +BIT29_0 91938 1 +BIT28_0 91938 1 +BIT27_0 91938 1 +BIT26_0 91938 1 +BIT25_0 91938 1 +BIT24_0 91938 1 +BIT23_0 91938 1 +BIT22_0 91938 1 +BIT21_0 91938 1 +BIT20_0 91938 1 +BIT19_0 91938 1 +BIT18_0 91938 1 +BIT17_0 91938 1 +BIT16_0 57081 1 +BIT15_0 22953 1 +BIT14_0 58204 1 +BIT13_0 33488 1 +BIT12_0 40280 1 +BIT11_0 45931 1 +BIT10_0 45907 1 +BIT9_0 45894 1 +BIT8_0 46442 1 +BIT7_0 45846 1 +BIT6_0 46279 1 +BIT5_0 45874 1 +BIT4_0 46055 1 +BIT3_0 45505 1 +BIT2_0 45631 1 +BIT1_0 46227 1 +BIT0_0 45661 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 31903 1 +BIT30_1 14979 1 +BIT29_1 14809 1 +BIT28_1 14923 1 +BIT27_1 14191 1 +BIT26_1 14221 1 +BIT25_1 13882 1 +BIT24_1 13870 1 +BIT23_1 14041 1 +BIT22_1 14021 1 +BIT21_1 13923 1 +BIT20_1 14149 1 +BIT19_1 14174 1 +BIT18_1 14225 1 +BIT17_1 13909 1 +BIT16_1 17224 1 +BIT15_1 24554 1 +BIT14_1 21681 1 +BIT13_1 26986 1 +BIT12_1 22060 1 +BIT11_1 26121 1 +BIT10_1 26353 1 +BIT9_1 23729 1 +BIT8_1 18782 1 +BIT7_1 28613 1 +BIT6_1 25180 1 +BIT5_1 25680 1 +BIT4_1 32919 1 +BIT3_1 33927 1 +BIT2_1 33867 1 +BIT1_1 26381 1 +BIT0_1 26623 1 +BIT31_0 60036 1 +BIT30_0 76960 1 +BIT29_0 77130 1 +BIT28_0 77016 1 +BIT27_0 77748 1 +BIT26_0 77718 1 +BIT25_0 78057 1 +BIT24_0 78069 1 +BIT23_0 77898 1 +BIT22_0 77918 1 +BIT21_0 78016 1 +BIT20_0 77790 1 +BIT19_0 77765 1 +BIT18_0 77714 1 +BIT17_0 78030 1 +BIT16_0 74715 1 +BIT15_0 67385 1 +BIT14_0 70258 1 +BIT13_0 64953 1 +BIT12_0 69879 1 +BIT11_0 65818 1 +BIT10_0 65586 1 +BIT9_0 68210 1 +BIT8_0 73157 1 +BIT7_0 63326 1 +BIT6_0 66759 1 +BIT5_0 66259 1 +BIT4_0 59020 1 +BIT3_0 58012 1 +BIT2_0 58072 1 +BIT1_0 65558 1 +BIT0_0 65316 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imms_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 45957 1 +BIT10_1 46129 1 +BIT9_1 45989 1 +BIT8_1 46167 1 +BIT7_1 46066 1 +BIT6_1 46300 1 +BIT5_1 46233 1 +BIT4_1 46640 1 +BIT3_1 45361 1 +BIT2_1 46167 1 +BIT1_1 45954 1 +BIT0_1 45360 1 +BIT11_0 45982 1 +BIT10_0 45810 1 +BIT9_0 45950 1 +BIT8_0 45772 1 +BIT7_0 45873 1 +BIT6_0 45639 1 +BIT5_0 45706 1 +BIT4_0 45299 1 +BIT3_0 46578 1 +BIT2_0 45772 1 +BIT1_0 45985 1 +BIT0_0 46579 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sh_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sh_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sh_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sh_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 691 1 +auto[2] 8363 1 +auto[3] 742 1 +auto[4] 788 1 +auto[5] 687 1 +auto[6] 714 1 +auto[7] 698 1 +auto[8] 730 1 +auto[9] 632 1 +auto[10] 626 1 +auto[11] 633 1 +auto[12] 715 1 +auto[13] 626 1 +auto[14] 669 1 +auto[15] 715 1 +auto[16] 763 1 +auto[17] 720 1 +auto[18] 667 1 +auto[19] 717 1 +auto[20] 684 1 +auto[21] 807 1 +auto[22] 710 1 +auto[23] 643 1 +auto[24] 716 1 +auto[25] 694 1 +auto[26] 637 1 +auto[27] 687 1 +auto[28] 694 1 +auto[29] 657 1 +auto[30] 666 1 +auto[31] 688 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 905 1 +auto[1] 932 1 +auto[2] 918 1 +auto[3] 932 1 +auto[4] 918 1 +auto[5] 900 1 +auto[6] 864 1 +auto[7] 858 1 +auto[8] 922 1 +auto[9] 885 1 +auto[10] 891 1 +auto[11] 920 1 +auto[12] 924 1 +auto[13] 909 1 +auto[14] 952 1 +auto[15] 935 1 +auto[16] 872 1 +auto[17] 943 1 +auto[18] 882 1 +auto[19] 940 1 +auto[20] 890 1 +auto[21] 854 1 +auto[22] 907 1 +auto[23] 948 1 +auto[24] 901 1 +auto[25] 876 1 +auto[26] 967 1 +auto[27] 920 1 +auto[28] 938 1 +auto[29] 888 1 +auto[30] 924 1 +auto[31] 965 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imms_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 211 1 +auto_POSITIVE 14410 1 +auto_NEGATIVE 14559 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 29179 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 11120 1 +BIT15_1 21802 1 +BIT14_1 10603 1 +BIT13_1 18589 1 +BIT12_1 16402 1 +BIT11_1 14556 1 +BIT10_1 14669 1 +BIT9_1 14632 1 +BIT8_1 14454 1 +BIT7_1 14479 1 +BIT6_1 14498 1 +BIT5_1 14653 1 +BIT4_1 14466 1 +BIT3_1 14788 1 +BIT2_1 14588 1 +BIT1_1 14703 1 +BIT0_1 14598 1 +BIT31_0 1 1 +BIT30_0 29179 1 +BIT29_0 29179 1 +BIT28_0 29179 1 +BIT27_0 29179 1 +BIT26_0 29179 1 +BIT25_0 29179 1 +BIT24_0 29179 1 +BIT23_0 29179 1 +BIT22_0 29179 1 +BIT21_0 29179 1 +BIT20_0 29179 1 +BIT19_0 29179 1 +BIT18_0 29179 1 +BIT17_0 29179 1 +BIT16_0 18060 1 +BIT15_0 7378 1 +BIT14_0 18577 1 +BIT13_0 10591 1 +BIT12_0 12778 1 +BIT11_0 14624 1 +BIT10_0 14511 1 +BIT9_0 14548 1 +BIT8_0 14726 1 +BIT7_0 14701 1 +BIT6_0 14682 1 +BIT5_0 14527 1 +BIT4_0 14714 1 +BIT3_0 14392 1 +BIT2_0 14592 1 +BIT1_0 14477 1 +BIT0_0 14582 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 10162 1 +BIT30_1 4692 1 +BIT29_1 4735 1 +BIT28_1 4713 1 +BIT27_1 4447 1 +BIT26_1 4478 1 +BIT25_1 4404 1 +BIT24_1 4358 1 +BIT23_1 4430 1 +BIT22_1 4383 1 +BIT21_1 4428 1 +BIT20_1 4490 1 +BIT19_1 4484 1 +BIT18_1 4476 1 +BIT17_1 4423 1 +BIT16_1 5613 1 +BIT15_1 7875 1 +BIT14_1 6930 1 +BIT13_1 8625 1 +BIT12_1 7018 1 +BIT11_1 8298 1 +BIT10_1 8387 1 +BIT9_1 7568 1 +BIT8_1 5899 1 +BIT7_1 9039 1 +BIT6_1 7903 1 +BIT5_1 8238 1 +BIT4_1 10459 1 +BIT3_1 10841 1 +BIT2_1 10617 1 +BIT1_1 8405 1 +BIT0_1 8597 1 +BIT31_0 19018 1 +BIT30_0 24488 1 +BIT29_0 24445 1 +BIT28_0 24467 1 +BIT27_0 24733 1 +BIT26_0 24702 1 +BIT25_0 24776 1 +BIT24_0 24822 1 +BIT23_0 24750 1 +BIT22_0 24797 1 +BIT21_0 24752 1 +BIT20_0 24690 1 +BIT19_0 24696 1 +BIT18_0 24704 1 +BIT17_0 24757 1 +BIT16_0 23567 1 +BIT15_0 21305 1 +BIT14_0 22250 1 +BIT13_0 20555 1 +BIT12_0 22162 1 +BIT11_0 20882 1 +BIT10_0 20793 1 +BIT9_0 21612 1 +BIT8_0 23281 1 +BIT7_0 20141 1 +BIT6_0 21277 1 +BIT5_0 20942 1 +BIT4_0 18721 1 +BIT3_0 18339 1 +BIT2_0 18563 1 +BIT1_0 20775 1 +BIT0_0 20583 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imms_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 14559 1 +BIT10_1 14712 1 +BIT9_1 14564 1 +BIT8_1 14664 1 +BIT7_1 14643 1 +BIT6_1 14764 1 +BIT5_1 14515 1 +BIT4_1 14880 1 +BIT3_1 14470 1 +BIT2_1 14409 1 +BIT1_1 14696 1 +BIT0_1 14598 1 +BIT11_0 14621 1 +BIT10_0 14468 1 +BIT9_0 14616 1 +BIT8_0 14516 1 +BIT7_0 14537 1 +BIT6_0 14416 1 +BIT5_0 14665 1 +BIT4_0 14300 1 +BIT3_0 14710 1 +BIT2_0 14771 1 +BIT1_0 14484 1 +BIT0_0 14582 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sw_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_stype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sw_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 219 0 219 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sw_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_imms_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_imms_toggle 24 0 24 100.00 100 1 1 0 +cp_align_halfword 0 0 0 1 0 +cp_align_word 0 0 0 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sw_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1 1 +auto[1] 298 1 +auto[2] 10284 1 +auto[3] 339 1 +auto[4] 45547 1 +auto[5] 39276 1 +auto[6] 23520 1 +auto[7] 38167 1 +auto[8] 36397 1 +auto[9] 27699 1 +auto[10] 22786 1 +auto[11] 19304 1 +auto[12] 20474 1 +auto[13] 48790 1 +auto[14] 30609 1 +auto[15] 40104 1 +auto[16] 39070 1 +auto[17] 43763 1 +auto[18] 63012 1 +auto[19] 59439 1 +auto[20] 77889 1 +auto[21] 40770 1 +auto[22] 22718 1 +auto[23] 53784 1 +auto[24] 140603 1 +auto[25] 34252 1 +auto[26] 42808 1 +auto[27] 30505 1 +auto[28] 52287 1 +auto[29] 29203 1 +auto[30] 34402 1 +auto[31] 38271 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 408 1 +auto[1] 716 1 +auto[2] 37323 1 +auto[3] 2715 1 +auto[4] 33168 1 +auto[5] 27652 1 +auto[6] 58199 1 +auto[7] 32824 1 +auto[8] 36190 1 +auto[9] 34855 1 +auto[10] 30054 1 +auto[11] 28438 1 +auto[12] 24682 1 +auto[13] 31563 1 +auto[14] 32994 1 +auto[15] 30102 1 +auto[16] 33432 1 +auto[17] 37634 1 +auto[18] 60761 1 +auto[19] 41334 1 +auto[20] 64205 1 +auto[21] 59350 1 +auto[22] 36868 1 +auto[23] 41311 1 +auto[24] 69078 1 +auto[25] 54817 1 +auto[26] 41840 1 +auto[27] 32700 1 +auto[28] 35964 1 +auto[29] 75664 1 +auto[30] 37452 1 +auto[31] 42078 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imms_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 241582 1 +auto_POSITIVE 829413 1 +auto_NEGATIVE 135376 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1206370 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 800 1 +BIT16_1 4857 1 +BIT15_1 1200911 1 +BIT14_1 6810 1 +BIT13_1 688368 1 +BIT12_1 576048 1 +BIT11_1 1143542 1 +BIT10_1 1070267 1 +BIT9_1 186826 1 +BIT8_1 108586 1 +BIT7_1 455049 1 +BIT6_1 78798 1 +BIT5_1 12797 1 +BIT4_1 1107080 1 +BIT3_1 1062882 1 +BIT2_1 144782 1 +BIT1_1 98958 1 +BIT0_1 6329 1 +BIT31_0 1 1 +BIT30_0 1206370 1 +BIT29_0 1206370 1 +BIT28_0 1206370 1 +BIT27_0 1206370 1 +BIT26_0 1206370 1 +BIT25_0 1206370 1 +BIT24_0 1206370 1 +BIT23_0 1206370 1 +BIT22_0 1206370 1 +BIT21_0 1206370 1 +BIT20_0 1206370 1 +BIT19_0 1206370 1 +BIT18_0 1206370 1 +BIT17_0 1205571 1 +BIT16_0 1201514 1 +BIT15_0 5460 1 +BIT14_0 1199561 1 +BIT13_0 518003 1 +BIT12_0 630323 1 +BIT11_0 62829 1 +BIT10_0 136104 1 +BIT9_0 1019545 1 +BIT8_0 1097785 1 +BIT7_0 751322 1 +BIT6_0 1127573 1 +BIT5_0 1193574 1 +BIT4_0 99291 1 +BIT3_0 143489 1 +BIT2_0 1061589 1 +BIT1_0 1107413 1 +BIT0_0 1200042 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 448396 1 +BIT30_1 156581 1 +BIT29_1 154494 1 +BIT28_1 153495 1 +BIT27_1 151575 1 +BIT26_1 144098 1 +BIT25_1 144366 1 +BIT24_1 148458 1 +BIT23_1 151865 1 +BIT22_1 149601 1 +BIT21_1 145044 1 +BIT20_1 147736 1 +BIT19_1 141280 1 +BIT18_1 153042 1 +BIT17_1 151394 1 +BIT16_1 155951 1 +BIT15_1 183325 1 +BIT14_1 413225 1 +BIT13_1 311173 1 +BIT12_1 273972 1 +BIT11_1 412422 1 +BIT10_1 426537 1 +BIT9_1 351878 1 +BIT8_1 207768 1 +BIT7_1 227356 1 +BIT6_1 217856 1 +BIT5_1 209869 1 +BIT4_1 404261 1 +BIT3_1 407563 1 +BIT2_1 406135 1 +BIT1_1 256891 1 +BIT0_1 361693 1 +BIT31_0 757975 1 +BIT30_0 1049790 1 +BIT29_0 1051877 1 +BIT28_0 1052876 1 +BIT27_0 1054796 1 +BIT26_0 1062273 1 +BIT25_0 1062005 1 +BIT24_0 1057913 1 +BIT23_0 1054506 1 +BIT22_0 1056770 1 +BIT21_0 1061327 1 +BIT20_0 1058635 1 +BIT19_0 1065091 1 +BIT18_0 1053329 1 +BIT17_0 1054977 1 +BIT16_0 1050420 1 +BIT15_0 1023046 1 +BIT14_0 793146 1 +BIT13_0 895198 1 +BIT12_0 932399 1 +BIT11_0 793949 1 +BIT10_0 779834 1 +BIT9_0 854493 1 +BIT8_0 998603 1 +BIT7_0 979015 1 +BIT6_0 988515 1 +BIT5_0 996502 1 +BIT4_0 802110 1 +BIT3_0 798808 1 +BIT2_0 800236 1 +BIT1_0 949480 1 +BIT0_0 844678 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imms_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imms_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 135376 1 +BIT10_1 86985 1 +BIT9_1 119201 1 +BIT8_1 107605 1 +BIT7_1 146226 1 +BIT6_1 76030 1 +BIT5_1 206267 1 +BIT4_1 114382 1 +BIT3_1 623782 1 +BIT2_1 627494 1 +BIT1_1 99099 1 +BIT0_1 6329 1 +BIT11_0 1070995 1 +BIT10_0 1119386 1 +BIT9_0 1087170 1 +BIT8_0 1098766 1 +BIT7_0 1060145 1 +BIT6_0 1130341 1 +BIT5_0 1000104 1 +BIT4_0 1091989 1 +BIT3_0 582589 1 +BIT2_0 578877 1 +BIT1_0 1107272 1 +BIT0_0 1200042 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_halfword + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_halfword + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_align_word + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_align_word + + +Excluded/Illegal bins + +NAME COUNT STATUS +UNALIGNED_1 0 Excluded +UNALIGNED_2 0 Excluded +UNALIGNED_3 0 Excluded +ALIGNED 0 Excluded +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_zb_rstype_count + +=============================================================================== +Group : uvma_isacov_pkg::cg_zb_rstype_count +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_clz_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_cpop_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zbb_ctz_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zb_rstype_count + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_zb_rstype_count + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_clz_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2183 1 +auto[1] 505 1 +auto[2] 522 1 +auto[3] 471 1 +auto[4] 480 1 +auto[5] 472 1 +auto[6] 485 1 +auto[7] 477 1 +auto[8] 489 1 +auto[9] 497 1 +auto[10] 481 1 +auto[11] 515 1 +auto[12] 494 1 +auto[13] 462 1 +auto[14] 544 1 +auto[15] 457 1 +auto[16] 519 1 +auto[17] 497 1 +auto[18] 521 1 +auto[19] 521 1 +auto[20] 520 1 +auto[21] 522 1 +auto[22] 505 1 +auto[23] 478 1 +auto[24] 525 1 +auto[25] 555 1 +auto[26] 491 1 +auto[27] 459 1 +auto[28] 488 1 +auto[29] 478 1 +auto[30] 520 1 +auto[31] 495 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2228 1 +auto[1] 494 1 +auto[2] 421 1 +auto[3] 552 1 +auto[4] 513 1 +auto[5] 504 1 +auto[6] 508 1 +auto[7] 504 1 +auto[8] 491 1 +auto[9] 457 1 +auto[10] 532 1 +auto[11] 550 1 +auto[12] 477 1 +auto[13] 512 1 +auto[14] 536 1 +auto[15] 469 1 +auto[16] 491 1 +auto[17] 496 1 +auto[18] 453 1 +auto[19] 489 1 +auto[20] 471 1 +auto[21] 482 1 +auto[22] 495 1 +auto[23] 537 1 +auto[24] 485 1 +auto[25] 551 1 +auto[26] 521 1 +auto[27] 457 1 +auto[28] 486 1 +auto[29] 438 1 +auto[30] 496 1 +auto[31] 532 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1694 1 +RD_01 13 1 +RD_02 10 1 +RD_03 11 1 +RD_04 13 1 +RD_05 16 1 +RD_06 20 1 +RD_07 21 1 +RD_08 13 1 +RD_09 16 1 +RD_0a 12 1 +RD_0b 14 1 +RD_0c 12 1 +RD_0d 15 1 +RD_0e 14 1 +RD_0f 7 1 +RD_10 13 1 +RD_11 20 1 +RD_12 17 1 +RD_13 18 1 +RD_14 15 1 +RD_15 17 1 +RD_16 10 1 +RD_17 23 1 +RD_18 14 1 +RD_19 16 1 +RD_1a 15 1 +RD_1b 21 1 +RD_1c 14 1 +RD_1d 20 1 +RD_1e 16 1 +RD_1f 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6981 1 +auto_NON_ZERO 10647 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4897 1 +auto_NON_ZERO 12731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4897 1 +BIT30_1 3133 1 +BIT29_1 3147 1 +BIT28_1 3110 1 +BIT27_1 3044 1 +BIT26_1 3021 1 +BIT25_1 3009 1 +BIT24_1 2970 1 +BIT23_1 3005 1 +BIT22_1 3009 1 +BIT21_1 3017 1 +BIT20_1 3029 1 +BIT19_1 3033 1 +BIT18_1 3096 1 +BIT17_1 3050 1 +BIT16_1 3245 1 +BIT15_1 3966 1 +BIT14_1 3895 1 +BIT13_1 4032 1 +BIT12_1 3900 1 +BIT11_1 4322 1 +BIT10_1 4395 1 +BIT9_1 3945 1 +BIT8_1 3418 1 +BIT7_1 4196 1 +BIT6_1 3626 1 +BIT5_1 3888 1 +BIT4_1 4950 1 +BIT3_1 4968 1 +BIT2_1 4930 1 +BIT1_1 3909 1 +BIT0_1 4372 1 +BIT31_0 12731 1 +BIT30_0 14495 1 +BIT29_0 14481 1 +BIT28_0 14518 1 +BIT27_0 14584 1 +BIT26_0 14607 1 +BIT25_0 14619 1 +BIT24_0 14658 1 +BIT23_0 14623 1 +BIT22_0 14619 1 +BIT21_0 14611 1 +BIT20_0 14599 1 +BIT19_0 14595 1 +BIT18_0 14532 1 +BIT17_0 14578 1 +BIT16_0 14383 1 +BIT15_0 13662 1 +BIT14_0 13733 1 +BIT13_0 13596 1 +BIT12_0 13728 1 +BIT11_0 13306 1 +BIT10_0 13233 1 +BIT9_0 13683 1 +BIT8_0 14210 1 +BIT7_0 13432 1 +BIT6_0 14002 1 +BIT5_0 13740 1 +BIT4_0 12678 1 +BIT3_0 12660 1 +BIT2_0 12698 1 +BIT1_0 13719 1 +BIT0_0 13256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 6981 1 +BIT4_1 3923 1 +BIT3_1 3221 1 +BIT2_1 2626 1 +BIT1_1 2978 1 +BIT0_1 3431 1 +BIT5_0 10647 1 +BIT4_0 13705 1 +BIT3_0 14407 1 +BIT2_0 15002 1 +BIT1_0 14650 1 +BIT0_0 14197 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_cpop_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2107 1 +auto[1] 543 1 +auto[2] 478 1 +auto[3] 495 1 +auto[4] 560 1 +auto[5] 526 1 +auto[6] 530 1 +auto[7] 496 1 +auto[8] 492 1 +auto[9] 553 1 +auto[10] 451 1 +auto[11] 497 1 +auto[12] 510 1 +auto[13] 566 1 +auto[14] 457 1 +auto[15] 507 1 +auto[16] 499 1 +auto[17] 499 1 +auto[18] 516 1 +auto[19] 483 1 +auto[20] 509 1 +auto[21] 501 1 +auto[22] 479 1 +auto[23] 513 1 +auto[24] 483 1 +auto[25] 529 1 +auto[26] 480 1 +auto[27] 506 1 +auto[28] 511 1 +auto[29] 490 1 +auto[30] 479 1 +auto[31] 522 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2135 1 +auto[1] 549 1 +auto[2] 486 1 +auto[3] 521 1 +auto[4] 490 1 +auto[5] 506 1 +auto[6] 511 1 +auto[7] 503 1 +auto[8] 494 1 +auto[9] 486 1 +auto[10] 509 1 +auto[11] 479 1 +auto[12] 525 1 +auto[13] 489 1 +auto[14] 469 1 +auto[15] 512 1 +auto[16] 501 1 +auto[17] 519 1 +auto[18] 528 1 +auto[19] 477 1 +auto[20] 563 1 +auto[21] 505 1 +auto[22] 511 1 +auto[23] 515 1 +auto[24] 488 1 +auto[25] 514 1 +auto[26] 465 1 +auto[27] 549 1 +auto[28] 470 1 +auto[29] 509 1 +auto[30] 492 1 +auto[31] 497 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1607 1 +RD_01 23 1 +RD_02 23 1 +RD_03 13 1 +RD_04 13 1 +RD_05 19 1 +RD_06 22 1 +RD_07 9 1 +RD_08 11 1 +RD_09 26 1 +RD_0a 8 1 +RD_0b 21 1 +RD_0c 22 1 +RD_0d 15 1 +RD_0e 10 1 +RD_0f 14 1 +RD_10 12 1 +RD_11 20 1 +RD_12 20 1 +RD_13 22 1 +RD_14 21 1 +RD_15 19 1 +RD_16 17 1 +RD_17 18 1 +RD_18 8 1 +RD_19 25 1 +RD_1a 17 1 +RD_1b 13 1 +RD_1c 17 1 +RD_1d 13 1 +RD_1e 12 1 +RD_1f 19 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6889 1 +auto_NON_ZERO 10878 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6889 1 +auto_NON_ZERO 10878 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4939 1 +BIT30_1 3167 1 +BIT29_1 3163 1 +BIT28_1 3121 1 +BIT27_1 3068 1 +BIT26_1 3067 1 +BIT25_1 2987 1 +BIT24_1 3049 1 +BIT23_1 3044 1 +BIT22_1 3057 1 +BIT21_1 3035 1 +BIT20_1 3041 1 +BIT19_1 3049 1 +BIT18_1 3019 1 +BIT17_1 3051 1 +BIT16_1 3221 1 +BIT15_1 3981 1 +BIT14_1 3820 1 +BIT13_1 4074 1 +BIT12_1 3963 1 +BIT11_1 4358 1 +BIT10_1 4432 1 +BIT9_1 3929 1 +BIT8_1 3363 1 +BIT7_1 4268 1 +BIT6_1 3711 1 +BIT5_1 4017 1 +BIT4_1 5037 1 +BIT3_1 5129 1 +BIT2_1 5080 1 +BIT1_1 4020 1 +BIT0_1 4468 1 +BIT31_0 12828 1 +BIT30_0 14600 1 +BIT29_0 14604 1 +BIT28_0 14646 1 +BIT27_0 14699 1 +BIT26_0 14700 1 +BIT25_0 14780 1 +BIT24_0 14718 1 +BIT23_0 14723 1 +BIT22_0 14710 1 +BIT21_0 14732 1 +BIT20_0 14726 1 +BIT19_0 14718 1 +BIT18_0 14748 1 +BIT17_0 14716 1 +BIT16_0 14546 1 +BIT15_0 13786 1 +BIT14_0 13947 1 +BIT13_0 13693 1 +BIT12_0 13804 1 +BIT11_0 13409 1 +BIT10_0 13335 1 +BIT9_0 13838 1 +BIT8_0 14404 1 +BIT7_0 13499 1 +BIT6_0 14056 1 +BIT5_0 13750 1 +BIT4_0 12730 1 +BIT3_0 12638 1 +BIT2_0 12687 1 +BIT1_0 13747 1 +BIT0_0 13299 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 580 1 +BIT4_1 2370 1 +BIT3_1 4170 1 +BIT2_1 3523 1 +BIT1_1 4233 1 +BIT0_1 6330 1 +BIT5_0 17187 1 +BIT4_0 15397 1 +BIT3_0 13597 1 +BIT2_0 14244 1 +BIT1_0 13534 1 +BIT0_0 11437 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zbb_ctz_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zb_rstype_count + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 176 0 176 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs_hazard 32 0 32 100.00 100 1 1 0 +cp_rs_value 2 0 2 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 12 0 12 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs + + +Bins + +NAME COUNT AT LEAST +auto[0] 2031 1 +auto[1] 509 1 +auto[2] 546 1 +auto[3] 499 1 +auto[4] 492 1 +auto[5] 545 1 +auto[6] 514 1 +auto[7] 510 1 +auto[8] 485 1 +auto[9] 509 1 +auto[10] 509 1 +auto[11] 501 1 +auto[12] 445 1 +auto[13] 502 1 +auto[14] 456 1 +auto[15] 477 1 +auto[16] 501 1 +auto[17] 485 1 +auto[18] 490 1 +auto[19] 488 1 +auto[20] 549 1 +auto[21] 505 1 +auto[22] 497 1 +auto[23] 534 1 +auto[24] 471 1 +auto[25] 522 1 +auto[26] 487 1 +auto[27] 478 1 +auto[28] 499 1 +auto[29] 469 1 +auto[30] 511 1 +auto[31] 478 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2082 1 +auto[1] 526 1 +auto[2] 491 1 +auto[3] 546 1 +auto[4] 454 1 +auto[5] 424 1 +auto[6] 516 1 +auto[7] 511 1 +auto[8] 500 1 +auto[9] 511 1 +auto[10] 516 1 +auto[11] 455 1 +auto[12] 516 1 +auto[13] 477 1 +auto[14] 535 1 +auto[15] 520 1 +auto[16] 504 1 +auto[17] 521 1 +auto[18] 460 1 +auto[19] 482 1 +auto[20] 478 1 +auto[21] 488 1 +auto[22] 470 1 +auto[23] 456 1 +auto[24] 492 1 +auto[25] 537 1 +auto[26] 509 1 +auto[27] 523 1 +auto[28] 472 1 +auto[29] 536 1 +auto[30] 490 1 +auto[31] 496 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1551 1 +RD_01 25 1 +RD_02 14 1 +RD_03 15 1 +RD_04 17 1 +RD_05 13 1 +RD_06 14 1 +RD_07 17 1 +RD_08 14 1 +RD_09 14 1 +RD_0a 15 1 +RD_0b 9 1 +RD_0c 17 1 +RD_0d 14 1 +RD_0e 18 1 +RD_0f 8 1 +RD_10 15 1 +RD_11 22 1 +RD_12 12 1 +RD_13 8 1 +RD_14 22 1 +RD_15 13 1 +RD_16 13 1 +RD_17 12 1 +RD_18 17 1 +RD_19 23 1 +RD_1a 19 1 +RD_1b 20 1 +RD_1c 15 1 +RD_1d 18 1 +RD_1e 18 1 +RD_1f 13 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6663 1 +auto_NON_ZERO 10831 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4405 1 +auto_NON_ZERO 13089 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4904 1 +BIT30_1 3137 1 +BIT29_1 3184 1 +BIT28_1 3175 1 +BIT27_1 3116 1 +BIT26_1 3038 1 +BIT25_1 3053 1 +BIT24_1 3078 1 +BIT23_1 3039 1 +BIT22_1 3044 1 +BIT21_1 3008 1 +BIT20_1 3023 1 +BIT19_1 3039 1 +BIT18_1 3054 1 +BIT17_1 3034 1 +BIT16_1 3251 1 +BIT15_1 4015 1 +BIT14_1 3867 1 +BIT13_1 4080 1 +BIT12_1 3994 1 +BIT11_1 4403 1 +BIT10_1 4404 1 +BIT9_1 3858 1 +BIT8_1 3387 1 +BIT7_1 4253 1 +BIT6_1 3739 1 +BIT5_1 3953 1 +BIT4_1 5011 1 +BIT3_1 5028 1 +BIT2_1 5003 1 +BIT1_1 3897 1 +BIT0_1 4405 1 +BIT31_0 12590 1 +BIT30_0 14357 1 +BIT29_0 14310 1 +BIT28_0 14319 1 +BIT27_0 14378 1 +BIT26_0 14456 1 +BIT25_0 14441 1 +BIT24_0 14416 1 +BIT23_0 14455 1 +BIT22_0 14450 1 +BIT21_0 14486 1 +BIT20_0 14471 1 +BIT19_0 14455 1 +BIT18_0 14440 1 +BIT17_0 14460 1 +BIT16_0 14243 1 +BIT15_0 13479 1 +BIT14_0 13627 1 +BIT13_0 13414 1 +BIT12_0 13500 1 +BIT11_0 13091 1 +BIT10_0 13090 1 +BIT9_0 13636 1 +BIT8_0 14107 1 +BIT7_0 13241 1 +BIT6_0 13755 1 +BIT5_0 13541 1 +BIT4_0 12483 1 +BIT3_0 12466 1 +BIT2_0 12491 1 +BIT1_0 13597 1 +BIT0_0 13089 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 6663 1 +BIT4_1 640 1 +BIT3_1 1080 1 +BIT2_1 1766 1 +BIT1_1 3298 1 +BIT0_1 3323 1 +BIT5_0 10831 1 +BIT4_0 16854 1 +BIT3_0 16414 1 +BIT2_0 15728 1 +BIT1_0 14196 1 +BIT0_0 14171 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs + + +Samples crossed: cp_rd cp_rs +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_itype_shift + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_shift +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +3 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_slli_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_srai_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_srli_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_shift + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_shift + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_slli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_slli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_slli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_slli_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2098 1 +auto[1] 569 1 +auto[2] 611 1 +auto[3] 598 1 +auto[4] 585 1 +auto[5] 576 1 +auto[6] 572 1 +auto[7] 566 1 +auto[8] 539 1 +auto[9] 502 1 +auto[10] 592 1 +auto[11] 551 1 +auto[12] 597 1 +auto[13] 593 1 +auto[14] 564 1 +auto[15] 736 1 +auto[16] 620 1 +auto[17] 555 1 +auto[18] 536 1 +auto[19] 521 1 +auto[20] 711 1 +auto[21] 561 1 +auto[22] 583 1 +auto[23] 585 1 +auto[24] 617 1 +auto[25] 548 1 +auto[26] 641 1 +auto[27] 546 1 +auto[28] 565 1 +auto[29] 614 1 +auto[30] 593 1 +auto[31] 545 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2161 1 +auto[1] 618 1 +auto[2] 533 1 +auto[3] 634 1 +auto[4] 599 1 +auto[5] 575 1 +auto[6] 604 1 +auto[7] 619 1 +auto[8] 558 1 +auto[9] 538 1 +auto[10] 595 1 +auto[11] 577 1 +auto[12] 709 1 +auto[13] 578 1 +auto[14] 588 1 +auto[15] 479 1 +auto[16] 526 1 +auto[17] 514 1 +auto[18] 563 1 +auto[19] 576 1 +auto[20] 605 1 +auto[21] 556 1 +auto[22] 543 1 +auto[23] 579 1 +auto[24] 552 1 +auto[25] 651 1 +auto[26] 586 1 +auto[27] 611 1 +auto[28] 586 1 +auto[29] 614 1 +auto[30] 573 1 +auto[31] 590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1572 1 +RD_01 2 1 +RD_02 1 1 +RD_03 1 1 +RD_04 1 1 +RD_05 1 1 +RD_06 1 1 +RD_07 1 1 +RD_08 2 1 +RD_09 1 1 +RD_0a 1 1 +RD_0b 2 1 +RD_0c 3 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 1 1 +RD_11 1 1 +RD_12 1 1 +RD_13 1 1 +RD_14 1 1 +RD_15 1 1 +RD_16 1 1 +RD_17 1 1 +RD_18 1 1 +RD_19 2 1 +RD_1a 1 1 +RD_1b 1 1 +RD_1c 1 1 +RD_1d 1 1 +RD_1e 1 1 +RD_1f 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7536 1 +auto_NON_ZERO 12654 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_immi_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 647 1 +SHAMT_01 612 1 +SHAMT_02 698 1 +SHAMT_03 615 1 +SHAMT_04 665 1 +SHAMT_05 690 1 +SHAMT_06 584 1 +SHAMT_07 586 1 +SHAMT_08 628 1 +SHAMT_09 621 1 +SHAMT_0a 615 1 +SHAMT_0b 589 1 +SHAMT_0c 659 1 +SHAMT_0d 598 1 +SHAMT_0e 615 1 +SHAMT_0f 631 1 +SHAMT_10 660 1 +SHAMT_11 640 1 +SHAMT_12 760 1 +SHAMT_13 640 1 +SHAMT_14 667 1 +SHAMT_15 615 1 +SHAMT_16 616 1 +SHAMT_17 623 1 +SHAMT_18 613 1 +SHAMT_19 636 1 +SHAMT_1a 550 1 +SHAMT_1b 687 1 +SHAMT_1c 598 1 +SHAMT_1d 594 1 +SHAMT_1e 606 1 +SHAMT_1f 632 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8937 1 +auto_NON_ZERO 11253 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6012 1 +BIT30_1 3710 1 +BIT29_1 3721 1 +BIT28_1 3704 1 +BIT27_1 3636 1 +BIT26_1 3677 1 +BIT25_1 3614 1 +BIT24_1 3529 1 +BIT23_1 3681 1 +BIT22_1 3705 1 +BIT21_1 3679 1 +BIT20_1 3646 1 +BIT19_1 3760 1 +BIT18_1 3645 1 +BIT17_1 3541 1 +BIT16_1 3736 1 +BIT15_1 4875 1 +BIT14_1 4669 1 +BIT13_1 5059 1 +BIT12_1 4722 1 +BIT11_1 5176 1 +BIT10_1 5186 1 +BIT9_1 4427 1 +BIT8_1 3930 1 +BIT7_1 4992 1 +BIT6_1 4237 1 +BIT5_1 4320 1 +BIT4_1 5933 1 +BIT3_1 5868 1 +BIT2_1 5736 1 +BIT1_1 4352 1 +BIT0_1 5013 1 +BIT31_0 14178 1 +BIT30_0 16480 1 +BIT29_0 16469 1 +BIT28_0 16486 1 +BIT27_0 16554 1 +BIT26_0 16513 1 +BIT25_0 16576 1 +BIT24_0 16661 1 +BIT23_0 16509 1 +BIT22_0 16485 1 +BIT21_0 16511 1 +BIT20_0 16544 1 +BIT19_0 16430 1 +BIT18_0 16545 1 +BIT17_0 16649 1 +BIT16_0 16454 1 +BIT15_0 15315 1 +BIT14_0 15521 1 +BIT13_0 15131 1 +BIT12_0 15468 1 +BIT11_0 15014 1 +BIT10_0 15004 1 +BIT9_0 15763 1 +BIT8_0 16260 1 +BIT7_0 15198 1 +BIT6_0 15953 1 +BIT5_0 15870 1 +BIT4_0 14257 1 +BIT3_0 14322 1 +BIT2_0 14454 1 +BIT1_0 15838 1 +BIT0_0 15177 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4393 1 +BIT30_1 4224 1 +BIT29_1 3969 1 +BIT28_1 3880 1 +BIT27_1 3945 1 +BIT26_1 3668 1 +BIT25_1 3536 1 +BIT24_1 3476 1 +BIT23_1 3346 1 +BIT22_1 3170 1 +BIT21_1 3031 1 +BIT20_1 3045 1 +BIT19_1 2872 1 +BIT18_1 2970 1 +BIT17_1 2684 1 +BIT16_1 2606 1 +BIT15_1 2478 1 +BIT14_1 2284 1 +BIT13_1 2051 1 +BIT12_1 2024 1 +BIT11_1 1822 1 +BIT10_1 1702 1 +BIT9_1 1522 1 +BIT8_1 1469 1 +BIT7_1 1248 1 +BIT6_1 1165 1 +BIT5_1 998 1 +BIT4_1 859 1 +BIT3_1 630 1 +BIT2_1 490 1 +BIT1_1 302 1 +BIT0_1 153 1 +BIT31_0 15797 1 +BIT30_0 15966 1 +BIT29_0 16221 1 +BIT28_0 16310 1 +BIT27_0 16245 1 +BIT26_0 16522 1 +BIT25_0 16654 1 +BIT24_0 16714 1 +BIT23_0 16844 1 +BIT22_0 17020 1 +BIT21_0 17159 1 +BIT20_0 17145 1 +BIT19_0 17318 1 +BIT18_0 17220 1 +BIT17_0 17506 1 +BIT16_0 17584 1 +BIT15_0 17712 1 +BIT14_0 17906 1 +BIT13_0 18139 1 +BIT12_0 18166 1 +BIT11_0 18368 1 +BIT10_0 18488 1 +BIT9_0 18668 1 +BIT8_0 18721 1 +BIT7_0 18942 1 +BIT6_0 19025 1 +BIT5_0 19192 1 +BIT4_0 19331 1 +BIT3_0 19560 1 +BIT2_0 19700 1 +BIT1_0 19888 1 +BIT0_0 20037 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO SHAMT_00 257 1 +auto_ZERO SHAMT_01 243 1 +auto_ZERO SHAMT_02 247 1 +auto_ZERO SHAMT_03 231 1 +auto_ZERO SHAMT_04 248 1 +auto_ZERO SHAMT_05 251 1 +auto_ZERO SHAMT_06 233 1 +auto_ZERO SHAMT_07 220 1 +auto_ZERO SHAMT_08 238 1 +auto_ZERO SHAMT_09 258 1 +auto_ZERO SHAMT_0a 222 1 +auto_ZERO SHAMT_0b 235 1 +auto_ZERO SHAMT_0c 228 1 +auto_ZERO SHAMT_0d 222 1 +auto_ZERO SHAMT_0e 233 1 +auto_ZERO SHAMT_0f 226 1 +auto_ZERO SHAMT_10 251 1 +auto_ZERO SHAMT_11 249 1 +auto_ZERO SHAMT_12 223 1 +auto_ZERO SHAMT_13 232 1 +auto_ZERO SHAMT_14 249 1 +auto_ZERO SHAMT_15 233 1 +auto_ZERO SHAMT_16 243 1 +auto_ZERO SHAMT_17 219 1 +auto_ZERO SHAMT_18 234 1 +auto_ZERO SHAMT_19 234 1 +auto_ZERO SHAMT_1a 209 1 +auto_ZERO SHAMT_1b 271 1 +auto_ZERO SHAMT_1c 248 1 +auto_ZERO SHAMT_1d 226 1 +auto_ZERO SHAMT_1e 206 1 +auto_ZERO SHAMT_1f 217 1 +auto_NON_ZERO SHAMT_00 390 1 +auto_NON_ZERO SHAMT_01 369 1 +auto_NON_ZERO SHAMT_02 451 1 +auto_NON_ZERO SHAMT_03 384 1 +auto_NON_ZERO SHAMT_04 417 1 +auto_NON_ZERO SHAMT_05 439 1 +auto_NON_ZERO SHAMT_06 351 1 +auto_NON_ZERO SHAMT_07 366 1 +auto_NON_ZERO SHAMT_08 390 1 +auto_NON_ZERO SHAMT_09 363 1 +auto_NON_ZERO SHAMT_0a 393 1 +auto_NON_ZERO SHAMT_0b 354 1 +auto_NON_ZERO SHAMT_0c 431 1 +auto_NON_ZERO SHAMT_0d 376 1 +auto_NON_ZERO SHAMT_0e 382 1 +auto_NON_ZERO SHAMT_0f 405 1 +auto_NON_ZERO SHAMT_10 409 1 +auto_NON_ZERO SHAMT_11 391 1 +auto_NON_ZERO SHAMT_12 537 1 +auto_NON_ZERO SHAMT_13 408 1 +auto_NON_ZERO SHAMT_14 418 1 +auto_NON_ZERO SHAMT_15 382 1 +auto_NON_ZERO SHAMT_16 373 1 +auto_NON_ZERO SHAMT_17 404 1 +auto_NON_ZERO SHAMT_18 379 1 +auto_NON_ZERO SHAMT_19 402 1 +auto_NON_ZERO SHAMT_1a 341 1 +auto_NON_ZERO SHAMT_1b 416 1 +auto_NON_ZERO SHAMT_1c 350 1 +auto_NON_ZERO SHAMT_1d 368 1 +auto_NON_ZERO SHAMT_1e 400 1 +auto_NON_ZERO SHAMT_1f 415 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_srai_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_srai_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_srai_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_srai_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2213 1 +auto[1] 686 1 +auto[2] 520 1 +auto[3] 587 1 +auto[4] 558 1 +auto[5] 589 1 +auto[6] 602 1 +auto[7] 586 1 +auto[8] 595 1 +auto[9] 514 1 +auto[10] 577 1 +auto[11] 539 1 +auto[12] 550 1 +auto[13] 556 1 +auto[14] 637 1 +auto[15] 558 1 +auto[16] 550 1 +auto[17] 602 1 +auto[18] 600 1 +auto[19] 583 1 +auto[20] 597 1 +auto[21] 560 1 +auto[22] 553 1 +auto[23] 575 1 +auto[24] 654 1 +auto[25] 634 1 +auto[26] 609 1 +auto[27] 597 1 +auto[28] 624 1 +auto[29] 654 1 +auto[30] 574 1 +auto[31] 559 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2174 1 +auto[1] 640 1 +auto[2] 510 1 +auto[3] 627 1 +auto[4] 582 1 +auto[5] 550 1 +auto[6] 647 1 +auto[7] 609 1 +auto[8] 590 1 +auto[9] 564 1 +auto[10] 536 1 +auto[11] 555 1 +auto[12] 580 1 +auto[13] 668 1 +auto[14] 541 1 +auto[15] 515 1 +auto[16] 601 1 +auto[17] 584 1 +auto[18] 588 1 +auto[19] 541 1 +auto[20] 569 1 +auto[21] 566 1 +auto[22] 574 1 +auto[23] 576 1 +auto[24] 536 1 +auto[25] 643 1 +auto[26] 574 1 +auto[27] 775 1 +auto[28] 584 1 +auto[29] 671 1 +auto[30] 602 1 +auto[31] 520 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1569 1 +RD_01 25 1 +RD_02 21 1 +RD_03 17 1 +RD_04 14 1 +RD_05 15 1 +RD_06 20 1 +RD_07 20 1 +RD_08 1 1 +RD_09 2 1 +RD_0a 4 1 +RD_0b 1 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 2 1 +RD_0f 1 1 +RD_10 13 1 +RD_11 26 1 +RD_12 15 1 +RD_13 17 1 +RD_14 21 1 +RD_15 11 1 +RD_16 21 1 +RD_17 21 1 +RD_18 24 1 +RD_19 21 1 +RD_1a 21 1 +RD_1b 16 1 +RD_1c 23 1 +RD_1d 19 1 +RD_1e 20 1 +RD_1f 13 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7762 1 +auto_NON_ZERO 12630 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_immi_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 694 1 +SHAMT_01 644 1 +SHAMT_02 611 1 +SHAMT_03 609 1 +SHAMT_04 756 1 +SHAMT_05 648 1 +SHAMT_06 621 1 +SHAMT_07 631 1 +SHAMT_08 578 1 +SHAMT_09 738 1 +SHAMT_0a 627 1 +SHAMT_0b 637 1 +SHAMT_0c 571 1 +SHAMT_0d 610 1 +SHAMT_0e 635 1 +SHAMT_0f 725 1 +SHAMT_10 610 1 +SHAMT_11 645 1 +SHAMT_12 729 1 +SHAMT_13 659 1 +SHAMT_14 584 1 +SHAMT_15 651 1 +SHAMT_16 567 1 +SHAMT_17 622 1 +SHAMT_18 605 1 +SHAMT_19 609 1 +SHAMT_1a 598 1 +SHAMT_1b 618 1 +SHAMT_1c 632 1 +SHAMT_1d 594 1 +SHAMT_1e 651 1 +SHAMT_1f 683 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 11611 1 +auto_NON_ZERO 8781 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5957 1 +BIT30_1 3792 1 +BIT29_1 3751 1 +BIT28_1 3790 1 +BIT27_1 3692 1 +BIT26_1 3796 1 +BIT25_1 3674 1 +BIT24_1 3657 1 +BIT23_1 3679 1 +BIT22_1 3695 1 +BIT21_1 3683 1 +BIT20_1 3738 1 +BIT19_1 3703 1 +BIT18_1 3834 1 +BIT17_1 3682 1 +BIT16_1 3881 1 +BIT15_1 4811 1 +BIT14_1 4606 1 +BIT13_1 4867 1 +BIT12_1 4781 1 +BIT11_1 5111 1 +BIT10_1 5190 1 +BIT9_1 4576 1 +BIT8_1 4113 1 +BIT7_1 4954 1 +BIT6_1 4449 1 +BIT5_1 4486 1 +BIT4_1 5825 1 +BIT3_1 5883 1 +BIT2_1 5859 1 +BIT1_1 4545 1 +BIT0_1 5276 1 +BIT31_0 14435 1 +BIT30_0 16600 1 +BIT29_0 16641 1 +BIT28_0 16602 1 +BIT27_0 16700 1 +BIT26_0 16596 1 +BIT25_0 16718 1 +BIT24_0 16735 1 +BIT23_0 16713 1 +BIT22_0 16697 1 +BIT21_0 16709 1 +BIT20_0 16654 1 +BIT19_0 16689 1 +BIT18_0 16558 1 +BIT17_0 16710 1 +BIT16_0 16511 1 +BIT15_0 15581 1 +BIT14_0 15786 1 +BIT13_0 15525 1 +BIT12_0 15611 1 +BIT11_0 15281 1 +BIT10_0 15202 1 +BIT9_0 15816 1 +BIT8_0 16279 1 +BIT7_0 15438 1 +BIT6_0 15943 1 +BIT5_0 15906 1 +BIT4_0 14567 1 +BIT3_0 14509 1 +BIT2_0 14533 1 +BIT1_0 15847 1 +BIT0_0 15116 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5957 1 +BIT30_1 5892 1 +BIT29_1 5853 1 +BIT28_1 5759 1 +BIT27_1 5696 1 +BIT26_1 5621 1 +BIT25_1 5514 1 +BIT24_1 5488 1 +BIT23_1 5398 1 +BIT22_1 5318 1 +BIT21_1 5235 1 +BIT20_1 5165 1 +BIT19_1 5099 1 +BIT18_1 5028 1 +BIT17_1 4950 1 +BIT16_1 4870 1 +BIT15_1 4779 1 +BIT14_1 4758 1 +BIT13_1 4761 1 +BIT12_1 4769 1 +BIT11_1 4711 1 +BIT10_1 4585 1 +BIT9_1 4661 1 +BIT8_1 4566 1 +BIT7_1 4621 1 +BIT6_1 4598 1 +BIT5_1 4620 1 +BIT4_1 4523 1 +BIT3_1 4513 1 +BIT2_1 4366 1 +BIT1_1 4404 1 +BIT0_1 4582 1 +BIT31_0 14435 1 +BIT30_0 14500 1 +BIT29_0 14539 1 +BIT28_0 14633 1 +BIT27_0 14696 1 +BIT26_0 14771 1 +BIT25_0 14878 1 +BIT24_0 14904 1 +BIT23_0 14994 1 +BIT22_0 15074 1 +BIT21_0 15157 1 +BIT20_0 15227 1 +BIT19_0 15293 1 +BIT18_0 15364 1 +BIT17_0 15442 1 +BIT16_0 15522 1 +BIT15_0 15613 1 +BIT14_0 15634 1 +BIT13_0 15631 1 +BIT12_0 15623 1 +BIT11_0 15681 1 +BIT10_0 15807 1 +BIT9_0 15731 1 +BIT8_0 15826 1 +BIT7_0 15771 1 +BIT6_0 15794 1 +BIT5_0 15772 1 +BIT4_0 15869 1 +BIT3_0 15879 1 +BIT2_0 16026 1 +BIT1_0 15988 1 +BIT0_0 15810 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO SHAMT_00 285 1 +auto_ZERO SHAMT_01 235 1 +auto_ZERO SHAMT_02 225 1 +auto_ZERO SHAMT_03 238 1 +auto_ZERO SHAMT_04 292 1 +auto_ZERO SHAMT_05 237 1 +auto_ZERO SHAMT_06 247 1 +auto_ZERO SHAMT_07 226 1 +auto_ZERO SHAMT_08 222 1 +auto_ZERO SHAMT_09 314 1 +auto_ZERO SHAMT_0a 243 1 +auto_ZERO SHAMT_0b 218 1 +auto_ZERO SHAMT_0c 220 1 +auto_ZERO SHAMT_0d 223 1 +auto_ZERO SHAMT_0e 212 1 +auto_ZERO SHAMT_0f 240 1 +auto_ZERO SHAMT_10 214 1 +auto_ZERO SHAMT_11 256 1 +auto_ZERO SHAMT_12 237 1 +auto_ZERO SHAMT_13 252 1 +auto_ZERO SHAMT_14 227 1 +auto_ZERO SHAMT_15 240 1 +auto_ZERO SHAMT_16 212 1 +auto_ZERO SHAMT_17 242 1 +auto_ZERO SHAMT_18 255 1 +auto_ZERO SHAMT_19 246 1 +auto_ZERO SHAMT_1a 252 1 +auto_ZERO SHAMT_1b 219 1 +auto_ZERO SHAMT_1c 257 1 +auto_ZERO SHAMT_1d 229 1 +auto_ZERO SHAMT_1e 268 1 +auto_ZERO SHAMT_1f 279 1 +auto_NON_ZERO SHAMT_00 409 1 +auto_NON_ZERO SHAMT_01 409 1 +auto_NON_ZERO SHAMT_02 386 1 +auto_NON_ZERO SHAMT_03 371 1 +auto_NON_ZERO SHAMT_04 464 1 +auto_NON_ZERO SHAMT_05 411 1 +auto_NON_ZERO SHAMT_06 374 1 +auto_NON_ZERO SHAMT_07 405 1 +auto_NON_ZERO SHAMT_08 356 1 +auto_NON_ZERO SHAMT_09 424 1 +auto_NON_ZERO SHAMT_0a 384 1 +auto_NON_ZERO SHAMT_0b 419 1 +auto_NON_ZERO SHAMT_0c 351 1 +auto_NON_ZERO SHAMT_0d 387 1 +auto_NON_ZERO SHAMT_0e 423 1 +auto_NON_ZERO SHAMT_0f 485 1 +auto_NON_ZERO SHAMT_10 396 1 +auto_NON_ZERO SHAMT_11 389 1 +auto_NON_ZERO SHAMT_12 492 1 +auto_NON_ZERO SHAMT_13 407 1 +auto_NON_ZERO SHAMT_14 357 1 +auto_NON_ZERO SHAMT_15 411 1 +auto_NON_ZERO SHAMT_16 355 1 +auto_NON_ZERO SHAMT_17 380 1 +auto_NON_ZERO SHAMT_18 350 1 +auto_NON_ZERO SHAMT_19 363 1 +auto_NON_ZERO SHAMT_1a 346 1 +auto_NON_ZERO SHAMT_1b 399 1 +auto_NON_ZERO SHAMT_1c 375 1 +auto_NON_ZERO SHAMT_1d 365 1 +auto_NON_ZERO SHAMT_1e 383 1 +auto_NON_ZERO SHAMT_1f 404 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_srli_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_shift + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_srli_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 260 0 260 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_srli_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_srli_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2312 1 +auto[1] 599 1 +auto[2] 6292 1 +auto[3] 566 1 +auto[4] 5621 1 +auto[5] 6038 1 +auto[6] 12995 1 +auto[7] 7289 1 +auto[8] 565 1 +auto[9] 606 1 +auto[10] 542 1 +auto[11] 577 1 +auto[12] 575 1 +auto[13] 568 1 +auto[14] 571 1 +auto[15] 570 1 +auto[16] 5944 1 +auto[17] 7499 1 +auto[18] 5781 1 +auto[19] 11206 1 +auto[20] 18479 1 +auto[21] 20108 1 +auto[22] 10493 1 +auto[23] 7660 1 +auto[24] 5829 1 +auto[25] 14012 1 +auto[26] 11569 1 +auto[27] 5902 1 +auto[28] 4319 1 +auto[29] 30335 1 +auto[30] 9755 1 +auto[31] 5728 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2276 1 +auto[1] 687 1 +auto[2] 6214 1 +auto[3] 642 1 +auto[4] 5654 1 +auto[5] 5959 1 +auto[6] 12845 1 +auto[7] 7343 1 +auto[8] 699 1 +auto[9] 665 1 +auto[10] 580 1 +auto[11] 570 1 +auto[12] 592 1 +auto[13] 586 1 +auto[14] 519 1 +auto[15] 601 1 +auto[16] 5968 1 +auto[17] 7527 1 +auto[18] 5817 1 +auto[19] 11119 1 +auto[20] 18483 1 +auto[21] 20044 1 +auto[22] 10536 1 +auto[23] 7651 1 +auto[24] 5768 1 +auto[25] 14000 1 +auto[26] 11617 1 +auto[27] 5904 1 +auto[28] 4352 1 +auto[29] 30373 1 +auto[30] 9724 1 +auto[31] 5590 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1687 1 +RD_01 20 1 +RD_02 5692 1 +RD_03 12 1 +RD_04 5106 1 +RD_05 5393 1 +RD_06 12273 1 +RD_07 6735 1 +RD_08 1 1 +RD_09 3 1 +RD_0a 1 1 +RD_0b 2 1 +RD_0c 1 1 +RD_0d 1 1 +RD_0e 1 1 +RD_0f 1 1 +RD_10 5402 1 +RD_11 6913 1 +RD_12 5219 1 +RD_13 10567 1 +RD_14 17933 1 +RD_15 19516 1 +RD_16 9929 1 +RD_17 7114 1 +RD_18 5207 1 +RD_19 13470 1 +RD_1a 10998 1 +RD_1b 5354 1 +RD_1c 3791 1 +RD_1d 29733 1 +RD_1e 9140 1 +RD_1f 5033 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8073 1 +auto_NON_ZERO 212832 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_immi_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 678 1 +SHAMT_01 620 1 +SHAMT_02 674 1 +SHAMT_03 672 1 +SHAMT_04 605 1 +SHAMT_05 597 1 +SHAMT_06 647 1 +SHAMT_07 629 1 +SHAMT_08 673 1 +SHAMT_09 768 1 +SHAMT_0a 686 1 +SHAMT_0b 622 1 +SHAMT_0c 593 1 +SHAMT_0d 623 1 +SHAMT_0e 571 1 +SHAMT_0f 655 1 +SHAMT_10 639 1 +SHAMT_11 707 1 +SHAMT_12 622 1 +SHAMT_13 566 1 +SHAMT_14 635 1 +SHAMT_15 702 1 +SHAMT_16 603 1 +SHAMT_17 621 1 +SHAMT_18 599 1 +SHAMT_19 683 1 +SHAMT_1a 836 1 +SHAMT_1b 662 1 +SHAMT_1c 625 1 +SHAMT_1d 652 1 +SHAMT_1e 631 1 +SHAMT_1f 200809 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 55465 1 +auto_NON_ZERO 165440 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 162701 1 +BIT30_1 3870 1 +BIT29_1 3787 1 +BIT28_1 3883 1 +BIT27_1 3817 1 +BIT26_1 3612 1 +BIT25_1 3563 1 +BIT24_1 3673 1 +BIT23_1 3561 1 +BIT22_1 3549 1 +BIT21_1 3670 1 +BIT20_1 3584 1 +BIT19_1 3614 1 +BIT18_1 3620 1 +BIT17_1 3551 1 +BIT16_1 3909 1 +BIT15_1 4885 1 +BIT14_1 4755 1 +BIT13_1 5081 1 +BIT12_1 4678 1 +BIT11_1 5339 1 +BIT10_1 5479 1 +BIT9_1 4629 1 +BIT8_1 4003 1 +BIT7_1 4990 1 +BIT6_1 4334 1 +BIT5_1 4461 1 +BIT4_1 5938 1 +BIT3_1 107054 1 +BIT2_1 75150 1 +BIT1_1 197455 1 +BIT0_1 170193 1 +BIT31_0 58204 1 +BIT30_0 217035 1 +BIT29_0 217118 1 +BIT28_0 217022 1 +BIT27_0 217088 1 +BIT26_0 217293 1 +BIT25_0 217342 1 +BIT24_0 217232 1 +BIT23_0 217344 1 +BIT22_0 217356 1 +BIT21_0 217235 1 +BIT20_0 217321 1 +BIT19_0 217291 1 +BIT18_0 217285 1 +BIT17_0 217354 1 +BIT16_0 216996 1 +BIT15_0 216020 1 +BIT14_0 216150 1 +BIT13_0 215824 1 +BIT12_0 216227 1 +BIT11_0 215566 1 +BIT10_0 215426 1 +BIT9_0 216276 1 +BIT8_0 216902 1 +BIT7_0 215915 1 +BIT6_0 216571 1 +BIT5_0 216444 1 +BIT4_0 214967 1 +BIT3_0 113851 1 +BIT2_0 145755 1 +BIT1_0 23450 1 +BIT0_0 50712 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 184 1 +BIT30_1 302 1 +BIT29_1 394 1 +BIT28_1 518 1 +BIT27_1 628 1 +BIT26_1 736 1 +BIT25_1 883 1 +BIT24_1 977 1 +BIT23_1 1117 1 +BIT22_1 1311 1 +BIT21_1 1384 1 +BIT20_1 1452 1 +BIT19_1 1571 1 +BIT18_1 1700 1 +BIT17_1 1715 1 +BIT16_1 1799 1 +BIT15_1 2076 1 +BIT14_1 2124 1 +BIT13_1 2339 1 +BIT12_1 2476 1 +BIT11_1 2540 1 +BIT10_1 2784 1 +BIT9_1 2946 1 +BIT8_1 2972 1 +BIT7_1 3290 1 +BIT6_1 3508 1 +BIT5_1 3535 1 +BIT4_1 3805 1 +BIT3_1 3973 1 +BIT2_1 4059 1 +BIT1_1 4200 1 +BIT0_1 161063 1 +BIT31_0 220721 1 +BIT30_0 220603 1 +BIT29_0 220511 1 +BIT28_0 220387 1 +BIT27_0 220277 1 +BIT26_0 220169 1 +BIT25_0 220022 1 +BIT24_0 219928 1 +BIT23_0 219788 1 +BIT22_0 219594 1 +BIT21_0 219521 1 +BIT20_0 219453 1 +BIT19_0 219334 1 +BIT18_0 219205 1 +BIT17_0 219190 1 +BIT16_0 219106 1 +BIT15_0 218829 1 +BIT14_0 218781 1 +BIT13_0 218566 1 +BIT12_0 218429 1 +BIT11_0 218365 1 +BIT10_0 218121 1 +BIT9_0 217959 1 +BIT8_0 217933 1 +BIT7_0 217615 1 +BIT6_0 217397 1 +BIT5_0 217370 1 +BIT4_0 217100 1 +BIT3_0 216932 1 +BIT2_0 216846 1 +BIT1_0 216705 1 +BIT0_0 59842 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO SHAMT_00 272 1 +auto_ZERO SHAMT_01 231 1 +auto_ZERO SHAMT_02 283 1 +auto_ZERO SHAMT_03 261 1 +auto_ZERO SHAMT_04 247 1 +auto_ZERO SHAMT_05 241 1 +auto_ZERO SHAMT_06 241 1 +auto_ZERO SHAMT_07 231 1 +auto_ZERO SHAMT_08 261 1 +auto_ZERO SHAMT_09 278 1 +auto_ZERO SHAMT_0a 251 1 +auto_ZERO SHAMT_0b 235 1 +auto_ZERO SHAMT_0c 214 1 +auto_ZERO SHAMT_0d 244 1 +auto_ZERO SHAMT_0e 218 1 +auto_ZERO SHAMT_0f 270 1 +auto_ZERO SHAMT_10 250 1 +auto_ZERO SHAMT_11 265 1 +auto_ZERO SHAMT_12 221 1 +auto_ZERO SHAMT_13 228 1 +auto_ZERO SHAMT_14 252 1 +auto_ZERO SHAMT_15 252 1 +auto_ZERO SHAMT_16 222 1 +auto_ZERO SHAMT_17 238 1 +auto_ZERO SHAMT_18 234 1 +auto_ZERO SHAMT_19 234 1 +auto_ZERO SHAMT_1a 419 1 +auto_ZERO SHAMT_1b 255 1 +auto_ZERO SHAMT_1c 263 1 +auto_ZERO SHAMT_1d 252 1 +auto_ZERO SHAMT_1e 237 1 +auto_ZERO SHAMT_1f 273 1 +auto_NON_ZERO SHAMT_00 406 1 +auto_NON_ZERO SHAMT_01 389 1 +auto_NON_ZERO SHAMT_02 391 1 +auto_NON_ZERO SHAMT_03 411 1 +auto_NON_ZERO SHAMT_04 358 1 +auto_NON_ZERO SHAMT_05 356 1 +auto_NON_ZERO SHAMT_06 406 1 +auto_NON_ZERO SHAMT_07 398 1 +auto_NON_ZERO SHAMT_08 412 1 +auto_NON_ZERO SHAMT_09 490 1 +auto_NON_ZERO SHAMT_0a 435 1 +auto_NON_ZERO SHAMT_0b 387 1 +auto_NON_ZERO SHAMT_0c 379 1 +auto_NON_ZERO SHAMT_0d 379 1 +auto_NON_ZERO SHAMT_0e 353 1 +auto_NON_ZERO SHAMT_0f 385 1 +auto_NON_ZERO SHAMT_10 389 1 +auto_NON_ZERO SHAMT_11 442 1 +auto_NON_ZERO SHAMT_12 401 1 +auto_NON_ZERO SHAMT_13 338 1 +auto_NON_ZERO SHAMT_14 383 1 +auto_NON_ZERO SHAMT_15 450 1 +auto_NON_ZERO SHAMT_16 381 1 +auto_NON_ZERO SHAMT_17 383 1 +auto_NON_ZERO SHAMT_18 365 1 +auto_NON_ZERO SHAMT_19 449 1 +auto_NON_ZERO SHAMT_1a 417 1 +auto_NON_ZERO SHAMT_1b 407 1 +auto_NON_ZERO SHAMT_1c 362 1 +auto_NON_ZERO SHAMT_1d 400 1 +auto_NON_ZERO SHAMT_1e 394 1 +auto_NON_ZERO SHAMT_1f 200536 1 + + +Group : uvma_isacov_pkg::cg_ci_li + +=============================================================================== +Group : uvma_isacov_pkg::cg_ci_li +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_li_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_ci_li + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 0 107 100.00 + + +Variables for Group uvma_isacov_pkg::cg_ci_li + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_li_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_ci_li + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_li_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 107 0 107 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_li_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 31 0 31 100.00 100 1 1 32 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rd + + +Excluded/Illegal bins + +NAME COUNT STATUS +RD_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 1399 1 +auto[2] 6447 1 +auto[3] 5776 1 +auto[4] 5959 1 +auto[5] 6778 1 +auto[6] 14847 1 +auto[7] 7043 1 +auto[8] 8716 1 +auto[9] 8155 1 +auto[10] 11710 1 +auto[11] 9302 1 +auto[12] 7913 1 +auto[13] 9196 1 +auto[14] 11577 1 +auto[15] 9766 1 +auto[16] 6754 1 +auto[17] 8507 1 +auto[18] 6286 1 +auto[19] 11205 1 +auto[20] 17844 1 +auto[21] 20479 1 +auto[22] 11926 1 +auto[23] 8673 1 +auto[24] 7086 1 +auto[25] 15664 1 +auto[26] 12597 1 +auto[27] 6283 1 +auto[28] 5530 1 +auto[29] 31531 1 +auto[30] 10051 1 +auto[31] 7540 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6981 1 +BIT30_1 6981 1 +BIT29_1 6981 1 +BIT28_1 6981 1 +BIT27_1 6981 1 +BIT26_1 6981 1 +BIT25_1 6981 1 +BIT24_1 6981 1 +BIT23_1 6981 1 +BIT22_1 6981 1 +BIT21_1 6981 1 +BIT20_1 6981 1 +BIT19_1 6981 1 +BIT18_1 6981 1 +BIT17_1 6981 1 +BIT16_1 6981 1 +BIT15_1 6981 1 +BIT14_1 6981 1 +BIT13_1 6981 1 +BIT12_1 6981 1 +BIT11_1 6981 1 +BIT10_1 6981 1 +BIT9_1 6981 1 +BIT8_1 6981 1 +BIT7_1 6981 1 +BIT6_1 6981 1 +BIT5_1 6981 1 +BIT4_1 9570 1 +BIT3_1 16913 1 +BIT2_1 16786 1 +BIT1_1 76740 1 +BIT0_1 79167 1 +BIT31_0 306885 1 +BIT30_0 306885 1 +BIT29_0 306885 1 +BIT28_0 306885 1 +BIT27_0 306885 1 +BIT26_0 306885 1 +BIT25_0 306885 1 +BIT24_0 306885 1 +BIT23_0 306885 1 +BIT22_0 306885 1 +BIT21_0 306885 1 +BIT20_0 306885 1 +BIT19_0 306885 1 +BIT18_0 306885 1 +BIT17_0 306885 1 +BIT16_0 306885 1 +BIT15_0 306885 1 +BIT14_0 306885 1 +BIT13_0 306885 1 +BIT12_0 306885 1 +BIT11_0 306885 1 +BIT10_0 306885 1 +BIT9_0 306885 1 +BIT8_0 306885 1 +BIT7_0 306885 1 +BIT6_0 306885 1 +BIT5_0 306885 1 +BIT4_0 304296 1 +BIT3_0 296953 1 +BIT2_0 297080 1 +BIT1_0 237126 1 +BIT0_0 234699 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 6981 1 +BIT4_1 9570 1 +BIT3_1 16913 1 +BIT2_1 16786 1 +BIT1_1 76740 1 +BIT0_1 79167 1 +BIT5_0 306885 1 +BIT4_0 304296 1 +BIT3_0 296953 1 +BIT2_0 297080 1 +BIT1_0 237126 1 +BIT0_0 234699 1 + + +Group : uvma_isacov_pkg::cg_btype + +=============================================================================== +Group : uvma_isacov_pkg::cg_btype +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +6 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_beq_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bge_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bgeu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_blt_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bltu_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_bne_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_btype + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_btype + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_beq_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_beq_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_beq_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_beq_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 254 1 +auto[1] 212 1 +auto[2] 4249 1 +auto[3] 208 1 +auto[4] 4342 1 +auto[5] 7640 1 +auto[6] 13361 1 +auto[7] 6746 1 +auto[8] 7896 1 +auto[9] 6215 1 +auto[10] 11488 1 +auto[11] 7315 1 +auto[12] 6209 1 +auto[13] 8242 1 +auto[14] 11613 1 +auto[15] 8718 1 +auto[16] 4471 1 +auto[17] 7464 1 +auto[18] 4627 1 +auto[19] 11781 1 +auto[20] 19629 1 +auto[21] 23849 1 +auto[22] 11717 1 +auto[23] 7330 1 +auto[24] 5297 1 +auto[25] 15586 1 +auto[26] 12805 1 +auto[27] 4112 1 +auto[28] 2375 1 +auto[29] 38301 1 +auto[30] 9129 1 +auto[31] 4918 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 147 1 +auto[1] 252 1 +auto[2] 12302 1 +auto[3] 214 1 +auto[4] 5639 1 +auto[5] 4767 1 +auto[6] 13833 1 +auto[7] 7593 1 +auto[8] 13546 1 +auto[9] 7469 1 +auto[10] 8893 1 +auto[11] 9408 1 +auto[12] 5751 1 +auto[13] 9996 1 +auto[14] 7926 1 +auto[15] 2209 1 +auto[16] 9740 1 +auto[17] 8031 1 +auto[18] 40415 1 +auto[19] 1990 1 +auto[20] 13614 1 +auto[21] 5926 1 +auto[22] 6077 1 +auto[23] 10882 1 +auto[24] 10762 1 +auto[25] 10292 1 +auto[26] 5353 1 +auto[27] 9532 1 +auto[28] 11912 1 +auto[29] 6704 1 +auto[30] 7075 1 +auto[31] 19849 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 288081 1 +auto_NEGATIVE 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 208605 1 +NOT_TAKEN 79494 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 281326 1 +BIT30_1 1194 1 +BIT29_1 1180 1 +BIT28_1 1211 1 +BIT27_1 1173 1 +BIT26_1 1168 1 +BIT25_1 1143 1 +BIT24_1 1147 1 +BIT23_1 1126 1 +BIT22_1 1145 1 +BIT21_1 1132 1 +BIT20_1 1144 1 +BIT19_1 1170 1 +BIT18_1 1141 1 +BIT17_1 1145 1 +BIT16_1 1244 1 +BIT15_1 1524 1 +BIT14_1 1606 1 +BIT13_1 1766 1 +BIT12_1 1444 1 +BIT11_1 1843 1 +BIT10_1 1966 1 +BIT9_1 1578 1 +BIT8_1 1402 1 +BIT7_1 1723 1 +BIT6_1 1387 1 +BIT5_1 1562 1 +BIT4_1 2109 1 +BIT3_1 133379 1 +BIT2_1 149887 1 +BIT1_1 280726 1 +BIT0_1 280811 1 +BIT31_0 6773 1 +BIT30_0 286905 1 +BIT29_0 286919 1 +BIT28_0 286888 1 +BIT27_0 286926 1 +BIT26_0 286931 1 +BIT25_0 286956 1 +BIT24_0 286952 1 +BIT23_0 286973 1 +BIT22_0 286954 1 +BIT21_0 286967 1 +BIT20_0 286955 1 +BIT19_0 286929 1 +BIT18_0 286958 1 +BIT17_0 286954 1 +BIT16_0 286855 1 +BIT15_0 286575 1 +BIT14_0 286493 1 +BIT13_0 286333 1 +BIT12_0 286655 1 +BIT11_0 286256 1 +BIT10_0 286133 1 +BIT9_0 286521 1 +BIT8_0 286697 1 +BIT7_0 286376 1 +BIT6_0 286712 1 +BIT5_0 286537 1 +BIT4_0 285990 1 +BIT3_0 154720 1 +BIT2_0 138212 1 +BIT1_0 7373 1 +BIT0_0 7288 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 281382 1 +BIT30_1 1375 1 +BIT29_1 1215 1 +BIT28_1 1180 1 +BIT27_1 1174 1 +BIT26_1 1164 1 +BIT25_1 1169 1 +BIT24_1 1146 1 +BIT23_1 1114 1 +BIT22_1 1153 1 +BIT21_1 1133 1 +BIT20_1 1163 1 +BIT19_1 1162 1 +BIT18_1 1165 1 +BIT17_1 1165 1 +BIT16_1 1277 1 +BIT15_1 1513 1 +BIT14_1 1531 1 +BIT13_1 1722 1 +BIT12_1 1476 1 +BIT11_1 1855 1 +BIT10_1 1918 1 +BIT9_1 1617 1 +BIT8_1 1475 1 +BIT7_1 1813 1 +BIT6_1 1517 1 +BIT5_1 1585 1 +BIT4_1 2092 1 +BIT3_1 207327 1 +BIT2_1 75971 1 +BIT1_1 280779 1 +BIT0_1 280903 1 +BIT31_0 6717 1 +BIT30_0 286724 1 +BIT29_0 286884 1 +BIT28_0 286919 1 +BIT27_0 286925 1 +BIT26_0 286935 1 +BIT25_0 286930 1 +BIT24_0 286953 1 +BIT23_0 286985 1 +BIT22_0 286946 1 +BIT21_0 286966 1 +BIT20_0 286936 1 +BIT19_0 286937 1 +BIT18_0 286934 1 +BIT17_0 286934 1 +BIT16_0 286822 1 +BIT15_0 286586 1 +BIT14_0 286568 1 +BIT13_0 286377 1 +BIT12_0 286623 1 +BIT11_0 286244 1 +BIT10_0 286181 1 +BIT9_0 286482 1 +BIT8_0 286624 1 +BIT7_0 286286 1 +BIT6_0 286582 1 +BIT5_0 286514 1 +BIT4_0 286007 1 +BIT3_0 80772 1 +BIT2_0 212128 1 +BIT1_0 7320 1 +BIT0_0 7196 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 17 1 +BIT10_1 17 1 +BIT9_1 17 1 +BIT8_1 17 1 +BIT7_1 42 1 +BIT6_1 138 1 +BIT5_1 1013 1 +BIT4_1 76643 1 +BIT3_1 77019 1 +BIT2_1 281533 1 +BIT1_1 140910 1 +BIT0_1 168778 1 +BIT11_0 288082 1 +BIT10_0 288082 1 +BIT9_0 288082 1 +BIT8_0 288082 1 +BIT7_0 288057 1 +BIT6_0 287961 1 +BIT5_0 287086 1 +BIT4_0 211456 1 +BIT3_0 211080 1 +BIT2_0 6566 1 +BIT1_0 147189 1 +BIT0_0 119321 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bge_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bge_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bge_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bge_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 190 1 +auto[1] 192 1 +auto[2] 196 1 +auto[3] 195 1 +auto[4] 191 1 +auto[5] 166 1 +auto[6] 249 1 +auto[7] 224 1 +auto[8] 175 1 +auto[9] 188 1 +auto[10] 213 1 +auto[11] 183 1 +auto[12] 206 1 +auto[13] 189 1 +auto[14] 201 1 +auto[15] 291 1 +auto[16] 230 1 +auto[17] 331 1 +auto[18] 195 1 +auto[19] 200 1 +auto[20] 183 1 +auto[21] 190 1 +auto[22] 189 1 +auto[23] 208 1 +auto[24] 184 1 +auto[25] 211 1 +auto[26] 212 1 +auto[27] 184 1 +auto[28] 258 1 +auto[29] 227 1 +auto[30] 210 1 +auto[31] 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 200 1 +auto[1] 208 1 +auto[2] 186 1 +auto[3] 214 1 +auto[4] 215 1 +auto[5] 180 1 +auto[6] 193 1 +auto[7] 200 1 +auto[8] 295 1 +auto[9] 196 1 +auto[10] 209 1 +auto[11] 210 1 +auto[12] 335 1 +auto[13] 170 1 +auto[14] 218 1 +auto[15] 211 1 +auto[16] 180 1 +auto[17] 195 1 +auto[18] 170 1 +auto[19] 169 1 +auto[20] 238 1 +auto[21] 165 1 +auto[22] 223 1 +auto[23] 191 1 +auto[24] 194 1 +auto[25] 247 1 +auto[26] 194 1 +auto[27] 211 1 +auto[28] 175 1 +auto[29] 186 1 +auto[30] 203 1 +auto[31] 287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 6660 1 +auto_NEGATIVE 7 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 3910 1 +NOT_TAKEN 2758 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2123 1 +BIT30_1 1285 1 +BIT29_1 1174 1 +BIT28_1 1233 1 +BIT27_1 1195 1 +BIT26_1 1145 1 +BIT25_1 1190 1 +BIT24_1 1227 1 +BIT23_1 1129 1 +BIT22_1 1151 1 +BIT21_1 1150 1 +BIT20_1 1147 1 +BIT19_1 1207 1 +BIT18_1 1161 1 +BIT17_1 1192 1 +BIT16_1 1228 1 +BIT15_1 1574 1 +BIT14_1 1573 1 +BIT13_1 1753 1 +BIT12_1 1439 1 +BIT11_1 1869 1 +BIT10_1 1814 1 +BIT9_1 1564 1 +BIT8_1 1429 1 +BIT7_1 1796 1 +BIT6_1 1463 1 +BIT5_1 1502 1 +BIT4_1 2038 1 +BIT3_1 2008 1 +BIT2_1 2025 1 +BIT1_1 1434 1 +BIT0_1 1820 1 +BIT31_0 4545 1 +BIT30_0 5383 1 +BIT29_0 5494 1 +BIT28_0 5435 1 +BIT27_0 5473 1 +BIT26_0 5523 1 +BIT25_0 5478 1 +BIT24_0 5441 1 +BIT23_0 5539 1 +BIT22_0 5517 1 +BIT21_0 5518 1 +BIT20_0 5521 1 +BIT19_0 5461 1 +BIT18_0 5507 1 +BIT17_0 5476 1 +BIT16_0 5440 1 +BIT15_0 5094 1 +BIT14_0 5095 1 +BIT13_0 4915 1 +BIT12_0 5229 1 +BIT11_0 4799 1 +BIT10_0 4854 1 +BIT9_0 5104 1 +BIT8_0 5239 1 +BIT7_0 4872 1 +BIT6_0 5205 1 +BIT5_0 5166 1 +BIT4_0 4630 1 +BIT3_0 4660 1 +BIT2_0 4643 1 +BIT1_0 5234 1 +BIT0_0 4848 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2220 1 +BIT30_1 1340 1 +BIT29_1 1364 1 +BIT28_1 1379 1 +BIT27_1 1336 1 +BIT26_1 1237 1 +BIT25_1 1240 1 +BIT24_1 1318 1 +BIT23_1 1196 1 +BIT22_1 1187 1 +BIT21_1 1310 1 +BIT20_1 1205 1 +BIT19_1 1213 1 +BIT18_1 1224 1 +BIT17_1 1200 1 +BIT16_1 1372 1 +BIT15_1 1728 1 +BIT14_1 1693 1 +BIT13_1 1909 1 +BIT12_1 1634 1 +BIT11_1 2026 1 +BIT10_1 1952 1 +BIT9_1 1636 1 +BIT8_1 1397 1 +BIT7_1 1751 1 +BIT6_1 1460 1 +BIT5_1 1573 1 +BIT4_1 2256 1 +BIT3_1 2088 1 +BIT2_1 2205 1 +BIT1_1 1636 1 +BIT0_1 1847 1 +BIT31_0 4448 1 +BIT30_0 5328 1 +BIT29_0 5304 1 +BIT28_0 5289 1 +BIT27_0 5332 1 +BIT26_0 5431 1 +BIT25_0 5428 1 +BIT24_0 5350 1 +BIT23_0 5472 1 +BIT22_0 5481 1 +BIT21_0 5358 1 +BIT20_0 5463 1 +BIT19_0 5455 1 +BIT18_0 5444 1 +BIT17_0 5468 1 +BIT16_0 5296 1 +BIT15_0 4940 1 +BIT14_0 4975 1 +BIT13_0 4759 1 +BIT12_0 5034 1 +BIT11_0 4642 1 +BIT10_0 4716 1 +BIT9_0 5032 1 +BIT8_0 5271 1 +BIT7_0 4917 1 +BIT6_0 5208 1 +BIT5_0 5095 1 +BIT4_0 4412 1 +BIT3_0 4580 1 +BIT2_0 4463 1 +BIT1_0 5032 1 +BIT0_0 4821 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 7 1 +BIT10_1 7 1 +BIT9_1 7 1 +BIT8_1 7 1 +BIT7_1 18 1 +BIT6_1 137 1 +BIT5_1 1048 1 +BIT4_1 2708 1 +BIT3_1 2868 1 +BIT2_1 3287 1 +BIT1_1 3478 1 +BIT0_1 2488 1 +BIT11_0 6661 1 +BIT10_0 6661 1 +BIT9_0 6661 1 +BIT8_0 6661 1 +BIT7_0 6650 1 +BIT6_0 6531 1 +BIT5_0 5620 1 +BIT4_0 3960 1 +BIT3_0 3800 1 +BIT2_0 3381 1 +BIT1_0 3190 1 +BIT0_0 4180 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bgeu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 197 1 +auto[1] 176 1 +auto[2] 191 1 +auto[3] 258 1 +auto[4] 186 1 +auto[5] 189 1 +auto[6] 184 1 +auto[7] 197 1 +auto[8] 185 1 +auto[9] 190 1 +auto[10] 754 1 +auto[11] 188 1 +auto[12] 209 1 +auto[13] 214 1 +auto[14] 192 1 +auto[15] 200 1 +auto[16] 173 1 +auto[17] 205 1 +auto[18] 180 1 +auto[19] 172 1 +auto[20] 282 1 +auto[21] 197 1 +auto[22] 202 1 +auto[23] 211 1 +auto[24] 200 1 +auto[25] 227 1 +auto[26] 214 1 +auto[27] 179 1 +auto[28] 259 1 +auto[29] 189 1 +auto[30] 172 1 +auto[31] 173 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 217 1 +auto[1] 246 1 +auto[2] 204 1 +auto[3] 181 1 +auto[4] 175 1 +auto[5] 190 1 +auto[6] 195 1 +auto[7] 180 1 +auto[8] 180 1 +auto[9] 180 1 +auto[10] 216 1 +auto[11] 787 1 +auto[12] 188 1 +auto[13] 203 1 +auto[14] 194 1 +auto[15] 256 1 +auto[16] 167 1 +auto[17] 161 1 +auto[18] 227 1 +auto[19] 180 1 +auto[20] 208 1 +auto[21] 179 1 +auto[22] 191 1 +auto[23] 188 1 +auto[24] 227 1 +auto[25] 227 1 +auto[26] 202 1 +auto[27] 210 1 +auto[28] 202 1 +auto[29] 167 1 +auto[30] 214 1 +auto[31] 203 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 17 1 +auto_POSITIVE 6919 1 +auto_NEGATIVE 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 3835 1 +NOT_TAKEN 3110 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2802 1 +BIT30_1 1360 1 +BIT29_1 1355 1 +BIT28_1 1342 1 +BIT27_1 1300 1 +BIT26_1 1380 1 +BIT25_1 1324 1 +BIT24_1 1308 1 +BIT23_1 1285 1 +BIT22_1 1314 1 +BIT21_1 1349 1 +BIT20_1 1320 1 +BIT19_1 1320 1 +BIT18_1 1328 1 +BIT17_1 1341 1 +BIT16_1 1432 1 +BIT15_1 1849 1 +BIT14_1 2067 1 +BIT13_1 2445 1 +BIT12_1 1794 1 +BIT11_1 2080 1 +BIT10_1 2089 1 +BIT9_1 1740 1 +BIT8_1 1542 1 +BIT7_1 2161 1 +BIT6_1 1845 1 +BIT5_1 1808 1 +BIT4_1 2487 1 +BIT3_1 2494 1 +BIT2_1 2454 1 +BIT1_1 1642 1 +BIT0_1 1771 1 +BIT31_0 4143 1 +BIT30_0 5585 1 +BIT29_0 5590 1 +BIT28_0 5603 1 +BIT27_0 5645 1 +BIT26_0 5565 1 +BIT25_0 5621 1 +BIT24_0 5637 1 +BIT23_0 5660 1 +BIT22_0 5631 1 +BIT21_0 5596 1 +BIT20_0 5625 1 +BIT19_0 5625 1 +BIT18_0 5617 1 +BIT17_0 5604 1 +BIT16_0 5513 1 +BIT15_0 5096 1 +BIT14_0 4878 1 +BIT13_0 4500 1 +BIT12_0 5151 1 +BIT11_0 4865 1 +BIT10_0 4856 1 +BIT9_0 5205 1 +BIT8_0 5403 1 +BIT7_0 4784 1 +BIT6_0 5100 1 +BIT5_0 5137 1 +BIT4_0 4458 1 +BIT3_0 4451 1 +BIT2_0 4491 1 +BIT1_0 5303 1 +BIT0_0 5174 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2665 1 +BIT30_1 1176 1 +BIT29_1 1168 1 +BIT28_1 1196 1 +BIT27_1 1207 1 +BIT26_1 1218 1 +BIT25_1 1164 1 +BIT24_1 1190 1 +BIT23_1 1161 1 +BIT22_1 1207 1 +BIT21_1 1247 1 +BIT20_1 1245 1 +BIT19_1 1261 1 +BIT18_1 1261 1 +BIT17_1 1182 1 +BIT16_1 1287 1 +BIT15_1 1672 1 +BIT14_1 1997 1 +BIT13_1 2211 1 +BIT12_1 1713 1 +BIT11_1 1842 1 +BIT10_1 1863 1 +BIT9_1 1622 1 +BIT8_1 1420 1 +BIT7_1 2303 1 +BIT6_1 1510 1 +BIT5_1 2072 1 +BIT4_1 2730 1 +BIT3_1 2080 1 +BIT2_1 2688 1 +BIT1_1 1593 1 +BIT0_1 1689 1 +BIT31_0 4280 1 +BIT30_0 5769 1 +BIT29_0 5777 1 +BIT28_0 5749 1 +BIT27_0 5738 1 +BIT26_0 5727 1 +BIT25_0 5781 1 +BIT24_0 5755 1 +BIT23_0 5784 1 +BIT22_0 5738 1 +BIT21_0 5698 1 +BIT20_0 5700 1 +BIT19_0 5684 1 +BIT18_0 5684 1 +BIT17_0 5763 1 +BIT16_0 5658 1 +BIT15_0 5273 1 +BIT14_0 4948 1 +BIT13_0 4734 1 +BIT12_0 5232 1 +BIT11_0 5103 1 +BIT10_0 5082 1 +BIT9_0 5323 1 +BIT8_0 5525 1 +BIT7_0 4642 1 +BIT6_0 5435 1 +BIT5_0 4873 1 +BIT4_0 4215 1 +BIT3_0 4865 1 +BIT2_0 4257 1 +BIT1_0 5352 1 +BIT0_0 5256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 9 1 +BIT10_1 9 1 +BIT9_1 9 1 +BIT8_1 9 1 +BIT7_1 23 1 +BIT6_1 136 1 +BIT5_1 1045 1 +BIT4_1 2663 1 +BIT3_1 3368 1 +BIT2_1 3693 1 +BIT1_1 3235 1 +BIT0_1 2971 1 +BIT11_0 6936 1 +BIT10_0 6936 1 +BIT9_0 6936 1 +BIT8_0 6936 1 +BIT7_0 6922 1 +BIT6_0 6809 1 +BIT5_0 5900 1 +BIT4_0 4282 1 +BIT3_0 3577 1 +BIT2_0 3252 1 +BIT1_0 3710 1 +BIT0_0 3974 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_blt_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_blt_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_blt_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_blt_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 190 1 +auto[1] 214 1 +auto[2] 175 1 +auto[3] 228 1 +auto[4] 179 1 +auto[5] 206 1 +auto[6] 199 1 +auto[7] 200 1 +auto[8] 201 1 +auto[9] 193 1 +auto[10] 204 1 +auto[11] 249 1 +auto[12] 221 1 +auto[13] 211 1 +auto[14] 298 1 +auto[15] 179 1 +auto[16] 188 1 +auto[17] 183 1 +auto[18] 177 1 +auto[19] 202 1 +auto[20] 193 1 +auto[21] 208 1 +auto[22] 184 1 +auto[23] 219 1 +auto[24] 202 1 +auto[25] 189 1 +auto[26] 224 1 +auto[27] 184 1 +auto[28] 210 1 +auto[29] 172 1 +auto[30] 171 1 +auto[31] 206 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 309 1 +auto[1] 200 1 +auto[2] 193 1 +auto[3] 240 1 +auto[4] 174 1 +auto[5] 186 1 +auto[6] 201 1 +auto[7] 172 1 +auto[8] 185 1 +auto[9] 286 1 +auto[10] 205 1 +auto[11] 189 1 +auto[12] 181 1 +auto[13] 196 1 +auto[14] 168 1 +auto[15] 179 1 +auto[16] 212 1 +auto[17] 205 1 +auto[18] 204 1 +auto[19] 192 1 +auto[20] 198 1 +auto[21] 220 1 +auto[22] 192 1 +auto[23] 171 1 +auto[24] 220 1 +auto[25] 202 1 +auto[26] 190 1 +auto[27] 188 1 +auto[28] 176 1 +auto[29] 210 1 +auto[30] 221 1 +auto[31] 194 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 6415 1 +auto_NEGATIVE 43 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 2838 1 +NOT_TAKEN 3621 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2090 1 +BIT30_1 1267 1 +BIT29_1 1219 1 +BIT28_1 1265 1 +BIT27_1 1231 1 +BIT26_1 1228 1 +BIT25_1 1222 1 +BIT24_1 1195 1 +BIT23_1 1207 1 +BIT22_1 1202 1 +BIT21_1 1230 1 +BIT20_1 1269 1 +BIT19_1 1219 1 +BIT18_1 1271 1 +BIT17_1 1221 1 +BIT16_1 1327 1 +BIT15_1 1642 1 +BIT14_1 1593 1 +BIT13_1 1753 1 +BIT12_1 1529 1 +BIT11_1 1864 1 +BIT10_1 1847 1 +BIT9_1 1677 1 +BIT8_1 1454 1 +BIT7_1 1834 1 +BIT6_1 1491 1 +BIT5_1 1460 1 +BIT4_1 2097 1 +BIT3_1 1982 1 +BIT2_1 1992 1 +BIT1_1 1512 1 +BIT0_1 1703 1 +BIT31_0 4369 1 +BIT30_0 5192 1 +BIT29_0 5240 1 +BIT28_0 5194 1 +BIT27_0 5228 1 +BIT26_0 5231 1 +BIT25_0 5237 1 +BIT24_0 5264 1 +BIT23_0 5252 1 +BIT22_0 5257 1 +BIT21_0 5229 1 +BIT20_0 5190 1 +BIT19_0 5240 1 +BIT18_0 5188 1 +BIT17_0 5238 1 +BIT16_0 5132 1 +BIT15_0 4817 1 +BIT14_0 4866 1 +BIT13_0 4706 1 +BIT12_0 4930 1 +BIT11_0 4595 1 +BIT10_0 4612 1 +BIT9_0 4782 1 +BIT8_0 5005 1 +BIT7_0 4625 1 +BIT6_0 4968 1 +BIT5_0 4999 1 +BIT4_0 4362 1 +BIT3_0 4477 1 +BIT2_0 4467 1 +BIT1_0 4947 1 +BIT0_0 4756 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 1976 1 +BIT30_1 1183 1 +BIT29_1 1178 1 +BIT28_1 1195 1 +BIT27_1 1153 1 +BIT26_1 1185 1 +BIT25_1 1185 1 +BIT24_1 1172 1 +BIT23_1 1136 1 +BIT22_1 1129 1 +BIT21_1 1092 1 +BIT20_1 1247 1 +BIT19_1 1192 1 +BIT18_1 1224 1 +BIT17_1 1189 1 +BIT16_1 1201 1 +BIT15_1 1630 1 +BIT14_1 1451 1 +BIT13_1 1769 1 +BIT12_1 1477 1 +BIT11_1 1841 1 +BIT10_1 1830 1 +BIT9_1 1602 1 +BIT8_1 1380 1 +BIT7_1 1705 1 +BIT6_1 1373 1 +BIT5_1 1473 1 +BIT4_1 2082 1 +BIT3_1 2060 1 +BIT2_1 2021 1 +BIT1_1 1440 1 +BIT0_1 1756 1 +BIT31_0 4483 1 +BIT30_0 5276 1 +BIT29_0 5281 1 +BIT28_0 5264 1 +BIT27_0 5306 1 +BIT26_0 5274 1 +BIT25_0 5274 1 +BIT24_0 5287 1 +BIT23_0 5323 1 +BIT22_0 5330 1 +BIT21_0 5367 1 +BIT20_0 5212 1 +BIT19_0 5267 1 +BIT18_0 5235 1 +BIT17_0 5270 1 +BIT16_0 5258 1 +BIT15_0 4829 1 +BIT14_0 5008 1 +BIT13_0 4690 1 +BIT12_0 4982 1 +BIT11_0 4618 1 +BIT10_0 4629 1 +BIT9_0 4857 1 +BIT8_0 5079 1 +BIT7_0 4754 1 +BIT6_0 5086 1 +BIT5_0 4986 1 +BIT4_0 4377 1 +BIT3_0 4399 1 +BIT2_0 4438 1 +BIT1_0 5019 1 +BIT0_0 4703 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 43 1 +BIT10_1 43 1 +BIT9_1 43 1 +BIT8_1 43 1 +BIT7_1 56 1 +BIT6_1 169 1 +BIT5_1 1062 1 +BIT4_1 2838 1 +BIT3_1 2976 1 +BIT2_1 3246 1 +BIT1_1 3287 1 +BIT0_1 2479 1 +BIT11_0 6416 1 +BIT10_0 6416 1 +BIT9_0 6416 1 +BIT8_0 6416 1 +BIT7_0 6403 1 +BIT6_0 6290 1 +BIT5_0 5397 1 +BIT4_0 3621 1 +BIT3_0 3483 1 +BIT2_0 3213 1 +BIT1_0 3172 1 +BIT0_0 3980 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bltu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 204 1 +auto[1] 234 1 +auto[2] 269 1 +auto[3] 161 1 +auto[4] 178 1 +auto[5] 195 1 +auto[6] 237 1 +auto[7] 201 1 +auto[8] 180 1 +auto[9] 326 1 +auto[10] 202 1 +auto[11] 173 1 +auto[12] 178 1 +auto[13] 191 1 +auto[14] 204 1 +auto[15] 184 1 +auto[16] 241 1 +auto[17] 233 1 +auto[18] 200 1 +auto[19] 157 1 +auto[20] 206 1 +auto[21] 216 1 +auto[22] 198 1 +auto[23] 285 1 +auto[24] 215 1 +auto[25] 239 1 +auto[26] 181 1 +auto[27] 186 1 +auto[28] 206 1 +auto[29] 214 1 +auto[30] 203 1 +auto[31] 189 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 180 1 +auto[1] 244 1 +auto[2] 306 1 +auto[3] 205 1 +auto[4] 199 1 +auto[5] 229 1 +auto[6] 180 1 +auto[7] 183 1 +auto[8] 196 1 +auto[9] 202 1 +auto[10] 215 1 +auto[11] 198 1 +auto[12] 231 1 +auto[13] 165 1 +auto[14] 200 1 +auto[15] 211 1 +auto[16] 205 1 +auto[17] 163 1 +auto[18] 338 1 +auto[19] 195 1 +auto[20] 229 1 +auto[21] 188 1 +auto[22] 211 1 +auto[23] 177 1 +auto[24] 198 1 +auto[25] 204 1 +auto[26] 178 1 +auto[27] 213 1 +auto[28] 219 1 +auto[29] 195 1 +auto[30] 191 1 +auto[31] 238 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 6637 1 +auto_NEGATIVE 48 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 2782 1 +NOT_TAKEN 3904 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2172 1 +BIT30_1 1223 1 +BIT29_1 1197 1 +BIT28_1 1212 1 +BIT27_1 1170 1 +BIT26_1 1205 1 +BIT25_1 1171 1 +BIT24_1 1151 1 +BIT23_1 1105 1 +BIT22_1 1144 1 +BIT21_1 1179 1 +BIT20_1 1174 1 +BIT19_1 1154 1 +BIT18_1 1183 1 +BIT17_1 1148 1 +BIT16_1 1246 1 +BIT15_1 1575 1 +BIT14_1 1590 1 +BIT13_1 1749 1 +BIT12_1 1458 1 +BIT11_1 1872 1 +BIT10_1 1925 1 +BIT9_1 1638 1 +BIT8_1 1460 1 +BIT7_1 1796 1 +BIT6_1 1465 1 +BIT5_1 1582 1 +BIT4_1 2164 1 +BIT3_1 2094 1 +BIT2_1 2156 1 +BIT1_1 1660 1 +BIT0_1 1724 1 +BIT31_0 4514 1 +BIT30_0 5463 1 +BIT29_0 5489 1 +BIT28_0 5474 1 +BIT27_0 5516 1 +BIT26_0 5481 1 +BIT25_0 5515 1 +BIT24_0 5535 1 +BIT23_0 5581 1 +BIT22_0 5542 1 +BIT21_0 5507 1 +BIT20_0 5512 1 +BIT19_0 5532 1 +BIT18_0 5503 1 +BIT17_0 5538 1 +BIT16_0 5440 1 +BIT15_0 5111 1 +BIT14_0 5096 1 +BIT13_0 4937 1 +BIT12_0 5228 1 +BIT11_0 4814 1 +BIT10_0 4761 1 +BIT9_0 5048 1 +BIT8_0 5226 1 +BIT7_0 4890 1 +BIT6_0 5221 1 +BIT5_0 5104 1 +BIT4_0 4522 1 +BIT3_0 4592 1 +BIT2_0 4530 1 +BIT1_0 5026 1 +BIT0_0 4962 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2086 1 +BIT30_1 1213 1 +BIT29_1 1218 1 +BIT28_1 1247 1 +BIT27_1 1218 1 +BIT26_1 1186 1 +BIT25_1 1141 1 +BIT24_1 1207 1 +BIT23_1 1143 1 +BIT22_1 1182 1 +BIT21_1 1236 1 +BIT20_1 1174 1 +BIT19_1 1196 1 +BIT18_1 1204 1 +BIT17_1 1092 1 +BIT16_1 1246 1 +BIT15_1 1617 1 +BIT14_1 1533 1 +BIT13_1 1776 1 +BIT12_1 1481 1 +BIT11_1 1854 1 +BIT10_1 1887 1 +BIT9_1 1597 1 +BIT8_1 1393 1 +BIT7_1 1726 1 +BIT6_1 1512 1 +BIT5_1 1527 1 +BIT4_1 2160 1 +BIT3_1 2062 1 +BIT2_1 2197 1 +BIT1_1 1642 1 +BIT0_1 1733 1 +BIT31_0 4600 1 +BIT30_0 5473 1 +BIT29_0 5468 1 +BIT28_0 5439 1 +BIT27_0 5468 1 +BIT26_0 5500 1 +BIT25_0 5545 1 +BIT24_0 5479 1 +BIT23_0 5543 1 +BIT22_0 5504 1 +BIT21_0 5450 1 +BIT20_0 5512 1 +BIT19_0 5490 1 +BIT18_0 5482 1 +BIT17_0 5594 1 +BIT16_0 5440 1 +BIT15_0 5069 1 +BIT14_0 5153 1 +BIT13_0 4910 1 +BIT12_0 5205 1 +BIT11_0 4832 1 +BIT10_0 4799 1 +BIT9_0 5089 1 +BIT8_0 5293 1 +BIT7_0 4960 1 +BIT6_0 5174 1 +BIT5_0 5159 1 +BIT4_0 4526 1 +BIT3_0 4624 1 +BIT2_0 4489 1 +BIT1_0 5044 1 +BIT0_0 4953 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 48 1 +BIT10_1 48 1 +BIT9_1 48 1 +BIT8_1 48 1 +BIT7_1 61 1 +BIT6_1 196 1 +BIT5_1 1087 1 +BIT4_1 2927 1 +BIT3_1 2940 1 +BIT2_1 3508 1 +BIT1_1 3547 1 +BIT0_1 2692 1 +BIT11_0 6638 1 +BIT10_0 6638 1 +BIT9_0 6638 1 +BIT8_0 6638 1 +BIT7_0 6625 1 +BIT6_0 6490 1 +BIT5_0 5599 1 +BIT4_0 3759 1 +BIT3_0 3746 1 +BIT2_0 3178 1 +BIT1_0 3139 1 +BIT0_0 3994 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_bne_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_btype + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_bne_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 221 0 221 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_bne_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_immb_value 3 0 3 100.00 100 1 1 0 +cp_branch_taken 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_immb_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_bne_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 176 1 +auto[1] 153 1 +auto[2] 7673 1 +auto[3] 228 1 +auto[4] 7814 1 +auto[5] 8587 1 +auto[6] 14530 1 +auto[7] 8625 1 +auto[8] 2543 1 +auto[9] 2117 1 +auto[10] 2400 1 +auto[11] 2817 1 +auto[12] 2855 1 +auto[13] 1904 1 +auto[14] 2476 1 +auto[15] 1608 1 +auto[16] 7431 1 +auto[17] 9147 1 +auto[18] 7466 1 +auto[19] 12857 1 +auto[20] 20000 1 +auto[21] 22373 1 +auto[22] 11646 1 +auto[23] 8644 1 +auto[24] 7479 1 +auto[25] 15638 1 +auto[26] 13387 1 +auto[27] 7151 1 +auto[28] 5976 1 +auto[29] 31722 1 +auto[30] 12009 1 +auto[31] 7167 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 200297 1 +auto[1] 206 1 +auto[2] 2303 1 +auto[3] 215 1 +auto[4] 1868 1 +auto[5] 1936 1 +auto[6] 1701 1 +auto[7] 1140 1 +auto[8] 1886 1 +auto[9] 2633 1 +auto[10] 2319 1 +auto[11] 2908 1 +auto[12] 2384 1 +auto[13] 2067 1 +auto[14] 2023 1 +auto[15] 2386 1 +auto[16] 2601 1 +auto[17] 2179 1 +auto[18] 1952 1 +auto[19] 1589 1 +auto[20] 2479 1 +auto[21] 2124 1 +auto[22] 2370 1 +auto[23] 2341 1 +auto[24] 2257 1 +auto[25] 3200 1 +auto[26] 2201 1 +auto[27] 2330 1 +auto[28] 2780 1 +auto[29] 2539 1 +auto[30] 2438 1 +auto[31] 2947 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immb_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_POSITIVE 266594 1 +auto_NEGATIVE 4 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_branch_taken + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_branch_taken + + +Bins + +NAME COUNT AT LEAST +TAKEN 174704 1 +NOT_TAKEN 91895 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2074 1 +BIT30_1 1250 1 +BIT29_1 1251 1 +BIT28_1 1272 1 +BIT27_1 1241 1 +BIT26_1 1264 1 +BIT25_1 1221 1 +BIT24_1 1212 1 +BIT23_1 1237 1 +BIT22_1 1257 1 +BIT21_1 1256 1 +BIT20_1 1203 1 +BIT19_1 1242 1 +BIT18_1 1212 1 +BIT17_1 1212 1 +BIT16_1 1282 1 +BIT15_1 1632 1 +BIT14_1 1629 1 +BIT13_1 1871 1 +BIT12_1 1503 1 +BIT11_1 1949 1 +BIT10_1 1899 1 +BIT9_1 1578 1 +BIT8_1 1429 1 +BIT7_1 1774 1 +BIT6_1 1433 1 +BIT5_1 1521 1 +BIT4_1 2087 1 +BIT3_1 2094 1 +BIT2_1 2052 1 +BIT1_1 56304 1 +BIT0_1 207621 1 +BIT31_0 264525 1 +BIT30_0 265349 1 +BIT29_0 265348 1 +BIT28_0 265327 1 +BIT27_0 265358 1 +BIT26_0 265335 1 +BIT25_0 265378 1 +BIT24_0 265387 1 +BIT23_0 265362 1 +BIT22_0 265342 1 +BIT21_0 265343 1 +BIT20_0 265396 1 +BIT19_0 265357 1 +BIT18_0 265387 1 +BIT17_0 265387 1 +BIT16_0 265317 1 +BIT15_0 264967 1 +BIT14_0 264970 1 +BIT13_0 264728 1 +BIT12_0 265096 1 +BIT11_0 264650 1 +BIT10_0 264700 1 +BIT9_0 265021 1 +BIT8_0 265170 1 +BIT7_0 264825 1 +BIT6_0 265166 1 +BIT5_0 265078 1 +BIT4_0 264512 1 +BIT3_0 264505 1 +BIT2_0 264547 1 +BIT1_0 210295 1 +BIT0_0 58978 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2093 1 +BIT30_1 1207 1 +BIT29_1 1144 1 +BIT28_1 1177 1 +BIT27_1 1186 1 +BIT26_1 1175 1 +BIT25_1 1150 1 +BIT24_1 1118 1 +BIT23_1 1133 1 +BIT22_1 1127 1 +BIT21_1 1145 1 +BIT20_1 1134 1 +BIT19_1 1151 1 +BIT18_1 1139 1 +BIT17_1 1165 1 +BIT16_1 1230 1 +BIT15_1 1636 1 +BIT14_1 1497 1 +BIT13_1 1777 1 +BIT12_1 1419 1 +BIT11_1 1853 1 +BIT10_1 1832 1 +BIT9_1 1517 1 +BIT8_1 1259 1 +BIT7_1 1764 1 +BIT6_1 1456 1 +BIT5_1 1467 1 +BIT4_1 2043 1 +BIT3_1 2077 1 +BIT2_1 2124 1 +BIT1_1 61398 1 +BIT0_1 61580 1 +BIT31_0 264506 1 +BIT30_0 265392 1 +BIT29_0 265455 1 +BIT28_0 265422 1 +BIT27_0 265413 1 +BIT26_0 265424 1 +BIT25_0 265449 1 +BIT24_0 265481 1 +BIT23_0 265466 1 +BIT22_0 265472 1 +BIT21_0 265454 1 +BIT20_0 265465 1 +BIT19_0 265448 1 +BIT18_0 265460 1 +BIT17_0 265434 1 +BIT16_0 265369 1 +BIT15_0 264963 1 +BIT14_0 265102 1 +BIT13_0 264822 1 +BIT12_0 265180 1 +BIT11_0 264746 1 +BIT10_0 264767 1 +BIT9_0 265082 1 +BIT8_0 265340 1 +BIT7_0 264835 1 +BIT6_0 265143 1 +BIT5_0 265132 1 +BIT4_0 264556 1 +BIT3_0 264522 1 +BIT2_0 264475 1 +BIT1_0 205201 1 +BIT0_0 205019 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immb_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_immb_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 4 1 +BIT10_1 4 1 +BIT9_1 4 1 +BIT8_1 4 1 +BIT7_1 31 1 +BIT6_1 149 1 +BIT5_1 159121 1 +BIT4_1 44905 1 +BIT3_1 44998 1 +BIT2_1 44806 1 +BIT1_1 101196 1 +BIT0_1 100403 1 +BIT11_0 266595 1 +BIT10_0 266595 1 +BIT9_0 266595 1 +BIT8_0 266595 1 +BIT7_0 266568 1 +BIT6_0 266450 1 +BIT5_0 107478 1 +BIT4_0 221694 1 +BIT3_0 221601 1 +BIT2_0 221793 1 +BIT1_0 165403 1 +BIT0_0 166196 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2 + + +Samples crossed: cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_slti_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_slti_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_slti_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 9 0 9 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_slti_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_slti_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 9 0 9 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2137 1 +auto[1] 593 1 +auto[2] 614 1 +auto[3] 545 1 +auto[4] 622 1 +auto[5] 581 1 +auto[6] 595 1 +auto[7] 594 1 +auto[8] 602 1 +auto[9] 581 1 +auto[10] 593 1 +auto[11] 553 1 +auto[12] 609 1 +auto[13] 589 1 +auto[14] 595 1 +auto[15] 573 1 +auto[16] 582 1 +auto[17] 607 1 +auto[18] 649 1 +auto[19] 588 1 +auto[20] 545 1 +auto[21] 633 1 +auto[22] 596 1 +auto[23] 594 1 +auto[24] 654 1 +auto[25] 593 1 +auto[26] 499 1 +auto[27] 642 1 +auto[28] 562 1 +auto[29] 573 1 +auto[30] 589 1 +auto[31] 679 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2221 1 +auto[1] 607 1 +auto[2] 585 1 +auto[3] 618 1 +auto[4] 593 1 +auto[5] 580 1 +auto[6] 584 1 +auto[7] 577 1 +auto[8] 590 1 +auto[9] 578 1 +auto[10] 604 1 +auto[11] 536 1 +auto[12] 551 1 +auto[13] 685 1 +auto[14] 591 1 +auto[15] 690 1 +auto[16] 574 1 +auto[17] 550 1 +auto[18] 607 1 +auto[19] 569 1 +auto[20] 595 1 +auto[21] 591 1 +auto[22] 587 1 +auto[23] 567 1 +auto[24] 627 1 +auto[25] 643 1 +auto[26] 562 1 +auto[27] 587 1 +auto[28] 578 1 +auto[29] 599 1 +auto[30] 572 1 +auto[31] 563 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1574 1 +RD_01 19 1 +RD_02 17 1 +RD_03 14 1 +RD_04 14 1 +RD_05 24 1 +RD_06 20 1 +RD_07 21 1 +RD_08 17 1 +RD_09 21 1 +RD_0a 18 1 +RD_0b 15 1 +RD_0c 16 1 +RD_0d 18 1 +RD_0e 24 1 +RD_0f 13 1 +RD_10 23 1 +RD_11 20 1 +RD_12 16 1 +RD_13 22 1 +RD_14 14 1 +RD_15 22 1 +RD_16 23 1 +RD_17 20 1 +RD_18 22 1 +RD_19 17 1 +RD_1a 15 1 +RD_1b 16 1 +RD_1c 23 1 +RD_1d 19 1 +RD_1e 16 1 +RD_1f 17 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7628 1 +auto_POSITIVE 6821 1 +auto_NEGATIVE 6112 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 35 1 +auto_POSITIVE 10342 1 +auto_NEGATIVE 10184 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 9631 1 +SLT_1 10930 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6112 1 +BIT30_1 3840 1 +BIT29_1 3860 1 +BIT28_1 3844 1 +BIT27_1 3698 1 +BIT26_1 3593 1 +BIT25_1 3562 1 +BIT24_1 3718 1 +BIT23_1 3615 1 +BIT22_1 3615 1 +BIT21_1 3664 1 +BIT20_1 3564 1 +BIT19_1 3610 1 +BIT18_1 3651 1 +BIT17_1 3587 1 +BIT16_1 3900 1 +BIT15_1 4896 1 +BIT14_1 4746 1 +BIT13_1 5068 1 +BIT12_1 4788 1 +BIT11_1 5482 1 +BIT10_1 5540 1 +BIT9_1 4584 1 +BIT8_1 4053 1 +BIT7_1 5114 1 +BIT6_1 4366 1 +BIT5_1 4627 1 +BIT4_1 6064 1 +BIT3_1 6140 1 +BIT2_1 6151 1 +BIT1_1 4630 1 +BIT0_1 5335 1 +BIT31_0 14449 1 +BIT30_0 16721 1 +BIT29_0 16701 1 +BIT28_0 16717 1 +BIT27_0 16863 1 +BIT26_0 16968 1 +BIT25_0 16999 1 +BIT24_0 16843 1 +BIT23_0 16946 1 +BIT22_0 16946 1 +BIT21_0 16897 1 +BIT20_0 16997 1 +BIT19_0 16951 1 +BIT18_0 16910 1 +BIT17_0 16974 1 +BIT16_0 16661 1 +BIT15_0 15665 1 +BIT14_0 15815 1 +BIT13_0 15493 1 +BIT12_0 15773 1 +BIT11_0 15079 1 +BIT10_0 15021 1 +BIT9_0 15977 1 +BIT8_0 16508 1 +BIT7_0 15447 1 +BIT6_0 16195 1 +BIT5_0 15934 1 +BIT4_0 14497 1 +BIT3_0 14421 1 +BIT2_0 14410 1 +BIT1_0 15931 1 +BIT0_0 15226 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10184 1 +BIT10_1 10330 1 +BIT9_1 10219 1 +BIT8_1 10146 1 +BIT7_1 10273 1 +BIT6_1 10477 1 +BIT5_1 10066 1 +BIT4_1 10113 1 +BIT3_1 10258 1 +BIT2_1 10148 1 +BIT1_1 10422 1 +BIT0_1 10130 1 +BIT11_0 10377 1 +BIT10_0 10231 1 +BIT9_0 10342 1 +BIT8_0 10415 1 +BIT7_0 10288 1 +BIT6_0 10084 1 +BIT5_0 10495 1 +BIT4_0 10448 1 +BIT3_0 10303 1 +BIT2_0 10413 1 +BIT1_0 10139 1 +BIT0_0 10431 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 9 0 9 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO] [auto_NON_ZERO] 0 Excluded +[auto_NON_ZERO] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (4 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_NON_ZERO] -- Excluded (2 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 26 1 +auto_ZERO auto_POSITIVE 3809 1 +auto_ZERO auto_NEGATIVE 3793 1 +auto_POSITIVE auto_ZERO 2 1 +auto_POSITIVE auto_POSITIVE 3400 1 +auto_POSITIVE auto_NEGATIVE 3419 1 +auto_NEGATIVE auto_ZERO 7 1 +auto_NEGATIVE auto_POSITIVE 3133 1 +auto_NEGATIVE auto_NEGATIVE 2972 1 + + +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + +=============================================================================== +Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sltiu_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 191 0 191 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sltiu_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 191 0 191 100.00 +Crosses 6 0 6 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_immi_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm1_toggle 24 0 24 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1 0 0 0 1 0 +cross_rs1_immi_value 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 2324 1 +auto[1] 534 1 +auto[2] 573 1 +auto[3] 537 1 +auto[4] 617 1 +auto[5] 564 1 +auto[6] 571 1 +auto[7] 551 1 +auto[8] 544 1 +auto[9] 639 1 +auto[10] 582 1 +auto[11] 556 1 +auto[12] 589 1 +auto[13] 576 1 +auto[14] 616 1 +auto[15] 566 1 +auto[16] 630 1 +auto[17] 576 1 +auto[18] 592 1 +auto[19] 626 1 +auto[20] 672 1 +auto[21] 589 1 +auto[22] 706 1 +auto[23] 578 1 +auto[24] 586 1 +auto[25] 588 1 +auto[26] 637 1 +auto[27] 571 1 +auto[28] 575 1 +auto[29] 548 1 +auto[30] 629 1 +auto[31] 585 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 2265 1 +auto[1] 585 1 +auto[2] 578 1 +auto[3] 630 1 +auto[4] 565 1 +auto[5] 598 1 +auto[6] 699 1 +auto[7] 586 1 +auto[8] 591 1 +auto[9] 533 1 +auto[10] 590 1 +auto[11] 620 1 +auto[12] 683 1 +auto[13] 545 1 +auto[14] 589 1 +auto[15] 558 1 +auto[16] 598 1 +auto[17] 572 1 +auto[18] 616 1 +auto[19] 581 1 +auto[20] 582 1 +auto[21] 556 1 +auto[22] 580 1 +auto[23] 625 1 +auto[24] 556 1 +auto[25] 526 1 +auto[26] 551 1 +auto[27] 637 1 +auto[28] 598 1 +auto[29] 582 1 +auto[30] 677 1 +auto[31] 575 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 1658 1 +RD_01 14 1 +RD_02 15 1 +RD_03 16 1 +RD_04 28 1 +RD_05 25 1 +RD_06 17 1 +RD_07 22 1 +RD_08 12 1 +RD_09 13 1 +RD_0a 23 1 +RD_0b 20 1 +RD_0c 25 1 +RD_0d 21 1 +RD_0e 9 1 +RD_0f 25 1 +RD_10 24 1 +RD_11 15 1 +RD_12 13 1 +RD_13 13 1 +RD_14 21 1 +RD_15 18 1 +RD_16 25 1 +RD_17 15 1 +RD_18 19 1 +RD_19 18 1 +RD_1a 18 1 +RD_1b 24 1 +RD_1c 16 1 +RD_1d 13 1 +RD_1e 20 1 +RD_1f 21 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7896 1 +auto_NON_ZERO 12731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_immi_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_immi_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 38 1 +auto_POSITIVE 10226 1 +auto_NEGATIVE 10363 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_rd_value + + +Bins + +NAME COUNT AT LEAST +SLT_0 5382 1 +SLT_1 15245 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6028 1 +BIT30_1 3731 1 +BIT29_1 3772 1 +BIT28_1 3718 1 +BIT27_1 3563 1 +BIT26_1 3638 1 +BIT25_1 3586 1 +BIT24_1 3536 1 +BIT23_1 3588 1 +BIT22_1 3544 1 +BIT21_1 3541 1 +BIT20_1 3471 1 +BIT19_1 3533 1 +BIT18_1 3534 1 +BIT17_1 3572 1 +BIT16_1 3776 1 +BIT15_1 4707 1 +BIT14_1 4633 1 +BIT13_1 4895 1 +BIT12_1 4578 1 +BIT11_1 5311 1 +BIT10_1 5366 1 +BIT9_1 4584 1 +BIT8_1 3910 1 +BIT7_1 5081 1 +BIT6_1 4309 1 +BIT5_1 4467 1 +BIT4_1 5914 1 +BIT3_1 5979 1 +BIT2_1 5901 1 +BIT1_1 4571 1 +BIT0_1 5202 1 +BIT31_0 14599 1 +BIT30_0 16896 1 +BIT29_0 16855 1 +BIT28_0 16909 1 +BIT27_0 17064 1 +BIT26_0 16989 1 +BIT25_0 17041 1 +BIT24_0 17091 1 +BIT23_0 17039 1 +BIT22_0 17083 1 +BIT21_0 17086 1 +BIT20_0 17156 1 +BIT19_0 17094 1 +BIT18_0 17093 1 +BIT17_0 17055 1 +BIT16_0 16851 1 +BIT15_0 15920 1 +BIT14_0 15994 1 +BIT13_0 15732 1 +BIT12_0 16049 1 +BIT11_0 15316 1 +BIT10_0 15261 1 +BIT9_0 16043 1 +BIT8_0 16717 1 +BIT7_0 15546 1 +BIT6_0 16318 1 +BIT5_0 16160 1 +BIT4_0 14713 1 +BIT3_0 14648 1 +BIT2_0 14726 1 +BIT1_0 16056 1 +BIT0_0 15425 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 24 0 24 100.00 + + +User Defined Bins for cp_imm1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT11_1 10363 1 +BIT10_1 10245 1 +BIT9_1 10311 1 +BIT8_1 10110 1 +BIT7_1 10224 1 +BIT6_1 10415 1 +BIT5_1 10498 1 +BIT4_1 10422 1 +BIT3_1 10322 1 +BIT2_1 10371 1 +BIT1_1 10228 1 +BIT0_1 10248 1 +BIT11_0 10264 1 +BIT10_0 10382 1 +BIT9_0 10316 1 +BIT8_0 10517 1 +BIT7_0 10403 1 +BIT6_0 10212 1 +BIT5_0 10129 1 +BIT4_0 10205 1 +BIT3_0 10305 1 +BIT2_0 10256 1 +BIT1_0 10399 1 +BIT0_0 10379 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1 + + +Samples crossed: cp_rd cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_immi_value + + +Samples crossed: cp_rs1_value cp_immi_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_rs1_immi_value + + +Excluded/Illegal bins + +cp_rs1_value cp_immi_value COUNT STATUS +[auto_ZERO , auto_NON_ZERO] [auto_NON_ZERO] -- Excluded (2 bins) +[auto_POSITIVE , auto_NEGATIVE] [auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE] -- Excluded (8 bins) + + +Covered bins + +cp_rs1_value cp_immi_value COUNT AT LEAST +auto_ZERO auto_ZERO 29 1 +auto_ZERO auto_POSITIVE 3913 1 +auto_ZERO auto_NEGATIVE 3954 1 +auto_NON_ZERO auto_ZERO 9 1 +auto_NON_ZERO auto_POSITIVE 6313 1 +auto_NON_ZERO auto_NEGATIVE 6409 1 + + +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sext_b_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_sext_h_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 75 0 75 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sext_b_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sext_b_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 75 0 75 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sext_b_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1393 1 +auto[1] 1269 1 +auto[2] 1328 1 +auto[3] 1287 1 +auto[4] 1352 1 +auto[5] 1312 1 +auto[6] 1381 1 +auto[7] 1315 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3387 1 +auto_POSITIVE 4334 1 +auto_NEGATIVE 2916 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2916 1 +BIT30_1 2379 1 +BIT29_1 2403 1 +BIT28_1 2394 1 +BIT27_1 2324 1 +BIT26_1 2328 1 +BIT25_1 2311 1 +BIT24_1 2322 1 +BIT23_1 2364 1 +BIT22_1 2344 1 +BIT21_1 2361 1 +BIT20_1 2352 1 +BIT19_1 2384 1 +BIT18_1 2335 1 +BIT17_1 2385 1 +BIT16_1 2403 1 +BIT15_1 2751 1 +BIT14_1 2678 1 +BIT13_1 2764 1 +BIT12_1 2728 1 +BIT11_1 2732 1 +BIT10_1 2779 1 +BIT9_1 2791 1 +BIT8_1 2645 1 +BIT7_1 3081 1 +BIT6_1 3026 1 +BIT5_1 3063 1 +BIT4_1 3351 1 +BIT3_1 3336 1 +BIT2_1 3281 1 +BIT1_1 2962 1 +BIT0_1 3298 1 +BIT31_0 7721 1 +BIT30_0 8258 1 +BIT29_0 8234 1 +BIT28_0 8243 1 +BIT27_0 8313 1 +BIT26_0 8309 1 +BIT25_0 8326 1 +BIT24_0 8315 1 +BIT23_0 8273 1 +BIT22_0 8293 1 +BIT21_0 8276 1 +BIT20_0 8285 1 +BIT19_0 8253 1 +BIT18_0 8302 1 +BIT17_0 8252 1 +BIT16_0 8234 1 +BIT15_0 7886 1 +BIT14_0 7959 1 +BIT13_0 7873 1 +BIT12_0 7909 1 +BIT11_0 7905 1 +BIT10_0 7858 1 +BIT9_0 7846 1 +BIT8_0 7992 1 +BIT7_0 7556 1 +BIT6_0 7611 1 +BIT5_0 7574 1 +BIT4_0 7286 1 +BIT3_0 7301 1 +BIT2_0 7356 1 +BIT1_0 7675 1 +BIT0_0 7339 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_sext_h_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_sext_h_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 75 0 75 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_sext_h_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 3 0 3 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1311 1 +auto[1] 1259 1 +auto[2] 1294 1 +auto[3] 1355 1 +auto[4] 1352 1 +auto[5] 1309 1 +auto[6] 1336 1 +auto[7] 1414 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3595 1 +auto_POSITIVE 4305 1 +auto_NEGATIVE 2730 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2730 1 +BIT30_1 2249 1 +BIT29_1 2258 1 +BIT28_1 2276 1 +BIT27_1 2224 1 +BIT26_1 2227 1 +BIT25_1 2237 1 +BIT24_1 2200 1 +BIT23_1 2201 1 +BIT22_1 2187 1 +BIT21_1 2189 1 +BIT20_1 2227 1 +BIT19_1 2201 1 +BIT18_1 2263 1 +BIT17_1 2207 1 +BIT16_1 2387 1 +BIT15_1 2678 1 +BIT14_1 2570 1 +BIT13_1 2710 1 +BIT12_1 2738 1 +BIT11_1 2654 1 +BIT10_1 2698 1 +BIT9_1 2702 1 +BIT8_1 2601 1 +BIT7_1 2992 1 +BIT6_1 2930 1 +BIT5_1 3029 1 +BIT4_1 3291 1 +BIT3_1 3166 1 +BIT2_1 3256 1 +BIT1_1 2925 1 +BIT0_1 3198 1 +BIT31_0 7900 1 +BIT30_0 8381 1 +BIT29_0 8372 1 +BIT28_0 8354 1 +BIT27_0 8406 1 +BIT26_0 8403 1 +BIT25_0 8393 1 +BIT24_0 8430 1 +BIT23_0 8429 1 +BIT22_0 8443 1 +BIT21_0 8441 1 +BIT20_0 8403 1 +BIT19_0 8429 1 +BIT18_0 8367 1 +BIT17_0 8423 1 +BIT16_0 8243 1 +BIT15_0 7952 1 +BIT14_0 8060 1 +BIT13_0 7920 1 +BIT12_0 7892 1 +BIT11_0 7976 1 +BIT10_0 7932 1 +BIT9_0 7928 1 +BIT8_0 8029 1 +BIT7_0 7638 1 +BIT6_0 7700 1 +BIT5_0 7601 1 +BIT4_0 7339 1 +BIT3_0 7464 1 +BIT2_0 7374 1 +BIT1_0 7705 1 +BIT0_0 7432 1 + + +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + +=============================================================================== +Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zcb_not_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 74 0 74 100.00 + + +Variables for Group uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zcb_not_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zcb_not_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 74 0 74 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zcb_not_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rsdc 8 0 8 100.00 100 1 1 8 +cp_rsdc_value 2 0 2 100.00 100 1 1 0 +cp_rs_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_rsdc + + +Bins + +NAME COUNT AT LEAST +auto[0] 1314 1 +auto[1] 1288 1 +auto[2] 1331 1 +auto[3] 1362 1 +auto[4] 1363 1 +auto[5] 1266 1 +auto[6] 1303 1 +auto[7] 1222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rsdc_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rsdc_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3418 1 +auto_NON_ZERO 7031 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2855 1 +BIT30_1 2304 1 +BIT29_1 2312 1 +BIT28_1 2345 1 +BIT27_1 2276 1 +BIT26_1 2283 1 +BIT25_1 2272 1 +BIT24_1 2276 1 +BIT23_1 2247 1 +BIT22_1 2285 1 +BIT21_1 2273 1 +BIT20_1 2277 1 +BIT19_1 2304 1 +BIT18_1 2302 1 +BIT17_1 2297 1 +BIT16_1 2435 1 +BIT15_1 2676 1 +BIT14_1 2698 1 +BIT13_1 2722 1 +BIT12_1 2713 1 +BIT11_1 2699 1 +BIT10_1 2724 1 +BIT9_1 2778 1 +BIT8_1 2615 1 +BIT7_1 2981 1 +BIT6_1 2906 1 +BIT5_1 2949 1 +BIT4_1 3207 1 +BIT3_1 3193 1 +BIT2_1 3239 1 +BIT1_1 2936 1 +BIT0_1 3243 1 +BIT31_0 7594 1 +BIT30_0 8145 1 +BIT29_0 8137 1 +BIT28_0 8104 1 +BIT27_0 8173 1 +BIT26_0 8166 1 +BIT25_0 8177 1 +BIT24_0 8173 1 +BIT23_0 8202 1 +BIT22_0 8164 1 +BIT21_0 8176 1 +BIT20_0 8172 1 +BIT19_0 8145 1 +BIT18_0 8147 1 +BIT17_0 8152 1 +BIT16_0 8014 1 +BIT15_0 7773 1 +BIT14_0 7751 1 +BIT13_0 7727 1 +BIT12_0 7736 1 +BIT11_0 7750 1 +BIT10_0 7725 1 +BIT9_0 7671 1 +BIT8_0 7834 1 +BIT7_0 7468 1 +BIT6_0 7543 1 +BIT5_0 7500 1 +BIT4_0 7242 1 +BIT3_0 7256 1 +BIT2_0 7210 1 +BIT1_0 7513 1 +BIT0_0 7206 1 + + +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 + + +Variables for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrwi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 224 0 224 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrwi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 182 0 182 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 290 1 +auto[1] 273 1 +auto[2] 245 1 +auto[3] 325 1 +auto[4] 242 1 +auto[5] 240 1 +auto[6] 273 1 +auto[7] 273 1 +auto[8] 264 1 +auto[9] 289 1 +auto[10] 271 1 +auto[11] 270 1 +auto[12] 268 1 +auto[13] 260 1 +auto[14] 961 1 +auto[15] 272 1 +auto[16] 268 1 +auto[17] 263 1 +auto[18] 236 1 +auto[19] 254 1 +auto[20] 255 1 +auto[21] 242 1 +auto[22] 240 1 +auto[23] 269 1 +auto[24] 263 1 +auto[25] 270 1 +auto[26] 282 1 +auto[27] 255 1 +auto[28] 262 1 +auto[29] 234 1 +auto[30] 268 1 +auto[31] 266 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 182 0 182 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +CSR_MVENDORID 0 Excluded +CSR_MARCHID 0 Excluded +CSR_MIMPID 0 Excluded +CSR_MHARTID 0 Excluded +CSR_MCONFIGPTR 0 Excluded +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 78 1 +CSR_MISA 67 1 +CSR_MIE 60 1 +CSR_MTVEC 5 1 +CSR_MSTATUSH 63 1 +CSR_MCOUNTINHIBIT 62 1 +CSR_MHPMEVENT3 31 1 +CSR_MHPMEVENT4 25 1 +CSR_MHPMEVENT5 32 1 +CSR_MHPMEVENT6 28 1 +CSR_MHPMEVENT7 29 1 +CSR_MHPMEVENT8 30 1 +CSR_MHPMEVENT9 22 1 +CSR_MHPMEVENT10 33 1 +CSR_MHPMEVENT11 33 1 +CSR_MHPMEVENT12 25 1 +CSR_MHPMEVENT13 26 1 +CSR_MHPMEVENT14 25 1 +CSR_MHPMEVENT15 31 1 +CSR_MHPMEVENT16 23 1 +CSR_MHPMEVENT17 26 1 +CSR_MHPMEVENT18 30 1 +CSR_MHPMEVENT19 24 1 +CSR_MHPMEVENT20 21 1 +CSR_MHPMEVENT21 32 1 +CSR_MHPMEVENT22 31 1 +CSR_MHPMEVENT23 30 1 +CSR_MHPMEVENT24 28 1 +CSR_MHPMEVENT25 28 1 +CSR_MHPMEVENT26 29 1 +CSR_MHPMEVENT27 28 1 +CSR_MHPMEVENT28 25 1 +CSR_MHPMEVENT29 29 1 +CSR_MHPMEVENT30 25 1 +CSR_MHPMEVENT31 35 1 +CSR_MSCRATCH 4186 1 +CSR_MEPC 46 1 +CSR_MCAUSE 69 1 +CSR_MTVAL 63 1 +CSR_MIP 61 1 +CSR_PMPCFG0 47 1 +CSR_PMPCFG1 48 1 +CSR_PMPCFG2 46 1 +CSR_PMPCFG3 55 1 +CSR_PMPCFG4 53 1 +CSR_PMPCFG5 40 1 +CSR_PMPCFG6 53 1 +CSR_PMPCFG7 49 1 +CSR_PMPCFG8 52 1 +CSR_PMPCFG9 46 1 +CSR_PMPCFG10 53 1 +CSR_PMPCFG11 49 1 +CSR_PMPCFG12 59 1 +CSR_PMPCFG13 40 1 +CSR_PMPCFG14 46 1 +CSR_PMPCFG15 45 1 +CSR_PMPADDR0 17 1 +CSR_PMPADDR1 12 1 +CSR_PMPADDR2 20 1 +CSR_PMPADDR3 12 1 +CSR_PMPADDR4 16 1 +CSR_PMPADDR5 19 1 +CSR_PMPADDR6 17 1 +CSR_PMPADDR7 12 1 +CSR_PMPADDR8 8 1 +CSR_PMPADDR9 20 1 +CSR_PMPADDR10 15 1 +CSR_PMPADDR11 18 1 +CSR_PMPADDR12 15 1 +CSR_PMPADDR13 18 1 +CSR_PMPADDR14 21 1 +CSR_PMPADDR15 15 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 13 1 +CSR_PMPADDR18 8 1 +CSR_PMPADDR19 10 1 +CSR_PMPADDR20 15 1 +CSR_PMPADDR21 10 1 +CSR_PMPADDR22 16 1 +CSR_PMPADDR23 12 1 +CSR_PMPADDR24 11 1 +CSR_PMPADDR25 17 1 +CSR_PMPADDR26 10 1 +CSR_PMPADDR27 13 1 +CSR_PMPADDR28 11 1 +CSR_PMPADDR29 13 1 +CSR_PMPADDR30 9 1 +CSR_PMPADDR31 10 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 11 1 +CSR_PMPADDR34 7 1 +CSR_PMPADDR35 15 1 +CSR_PMPADDR36 12 1 +CSR_PMPADDR37 14 1 +CSR_PMPADDR38 11 1 +CSR_PMPADDR39 15 1 +CSR_PMPADDR40 8 1 +CSR_PMPADDR41 14 1 +CSR_PMPADDR42 12 1 +CSR_PMPADDR43 16 1 +CSR_PMPADDR44 12 1 +CSR_PMPADDR45 12 1 +CSR_PMPADDR46 19 1 +CSR_PMPADDR47 16 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 14 1 +CSR_PMPADDR50 14 1 +CSR_PMPADDR51 23 1 +CSR_PMPADDR52 9 1 +CSR_PMPADDR53 19 1 +CSR_PMPADDR54 9 1 +CSR_PMPADDR55 17 1 +CSR_PMPADDR56 7 1 +CSR_PMPADDR57 11 1 +CSR_PMPADDR58 14 1 +CSR_PMPADDR59 7 1 +CSR_PMPADDR60 6 1 +CSR_PMPADDR61 12 1 +CSR_PMPADDR62 13 1 +CSR_PMPADDR63 12 1 +CSR_MCYCLE 53 1 +CSR_MINSTRET 72 1 +CSR_MHPMCOUNTER3 27 1 +CSR_MHPMCOUNTER4 29 1 +CSR_MHPMCOUNTER5 22 1 +CSR_MHPMCOUNTER6 28 1 +CSR_MHPMCOUNTER7 24 1 +CSR_MHPMCOUNTER8 30 1 +CSR_MHPMCOUNTER9 32 1 +CSR_MHPMCOUNTER10 30 1 +CSR_MHPMCOUNTER11 33 1 +CSR_MHPMCOUNTER12 31 1 +CSR_MHPMCOUNTER13 35 1 +CSR_MHPMCOUNTER14 32 1 +CSR_MHPMCOUNTER15 32 1 +CSR_MHPMCOUNTER16 24 1 +CSR_MHPMCOUNTER17 31 1 +CSR_MHPMCOUNTER18 35 1 +CSR_MHPMCOUNTER19 32 1 +CSR_MHPMCOUNTER20 25 1 +CSR_MHPMCOUNTER21 27 1 +CSR_MHPMCOUNTER22 24 1 +CSR_MHPMCOUNTER23 32 1 +CSR_MHPMCOUNTER24 25 1 +CSR_MHPMCOUNTER25 28 1 +CSR_MHPMCOUNTER26 33 1 +CSR_MHPMCOUNTER27 31 1 +CSR_MHPMCOUNTER28 33 1 +CSR_MHPMCOUNTER29 29 1 +CSR_MHPMCOUNTER30 27 1 +CSR_MHPMCOUNTER31 35 1 +CSR_MCYCLEH 58 1 +CSR_MINSTRETH 58 1 +CSR_MHPMCOUNTER3H 37 1 +CSR_MHPMCOUNTER4H 31 1 +CSR_MHPMCOUNTER5H 27 1 +CSR_MHPMCOUNTER6H 24 1 +CSR_MHPMCOUNTER7H 47 1 +CSR_MHPMCOUNTER8H 27 1 +CSR_MHPMCOUNTER9H 22 1 +CSR_MHPMCOUNTER10H 25 1 +CSR_MHPMCOUNTER11H 37 1 +CSR_MHPMCOUNTER12H 23 1 +CSR_MHPMCOUNTER13H 33 1 +CSR_MHPMCOUNTER14H 27 1 +CSR_MHPMCOUNTER15H 27 1 +CSR_MHPMCOUNTER16H 23 1 +CSR_MHPMCOUNTER17H 28 1 +CSR_MHPMCOUNTER18H 23 1 +CSR_MHPMCOUNTER19H 41 1 +CSR_MHPMCOUNTER20H 29 1 +CSR_MHPMCOUNTER21H 31 1 +CSR_MHPMCOUNTER22H 33 1 +CSR_MHPMCOUNTER23H 32 1 +CSR_MHPMCOUNTER24H 25 1 +CSR_MHPMCOUNTER25H 31 1 +CSR_MHPMCOUNTER26H 29 1 +CSR_MHPMCOUNTER27H 27 1 +CSR_MHPMCOUNTER28H 39 1 +CSR_MHPMCOUNTER29H 24 1 +CSR_MHPMCOUNTER30H 29 1 +CSR_MHPMCOUNTER31H 38 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_uimm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_uimm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 4633 1 +BIT3_1 4557 1 +BIT2_1 4587 1 +BIT1_1 4550 1 +BIT0_1 4653 1 +BIT4_0 4510 1 +BIT3_0 4586 1 +BIT2_0 4556 1 +BIT1_0 4593 1 +BIT0_0 4490 1 + + +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + +=============================================================================== +Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrci_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 229 0 229 100.00 + + +Variables for Group uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrci_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrci_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 229 0 229 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrci_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 273 1 +auto[1] 273 1 +auto[2] 224 1 +auto[3] 259 1 +auto[4] 253 1 +auto[5] 249 1 +auto[6] 265 1 +auto[7] 288 1 +auto[8] 257 1 +auto[9] 254 1 +auto[10] 287 1 +auto[11] 299 1 +auto[12] 299 1 +auto[13] 260 1 +auto[14] 972 1 +auto[15] 258 1 +auto[16] 277 1 +auto[17] 253 1 +auto[18] 276 1 +auto[19] 270 1 +auto[20] 261 1 +auto[21] 246 1 +auto[22] 238 1 +auto[23] 245 1 +auto[24] 263 1 +auto[25] 276 1 +auto[26] 284 1 +auto[27] 257 1 +auto[28] 238 1 +auto[29] 278 1 +auto[30] 253 1 +auto[31] 270 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 24 1 +CSR_MISA 30 1 +CSR_MIE 28 1 +CSR_MTVEC 25 1 +CSR_MSTATUSH 24 1 +CSR_MCOUNTINHIBIT 31 1 +CSR_MHPMEVENT3 25 1 +CSR_MHPMEVENT4 30 1 +CSR_MHPMEVENT5 30 1 +CSR_MHPMEVENT6 38 1 +CSR_MHPMEVENT7 24 1 +CSR_MHPMEVENT8 32 1 +CSR_MHPMEVENT9 26 1 +CSR_MHPMEVENT10 33 1 +CSR_MHPMEVENT11 41 1 +CSR_MHPMEVENT12 35 1 +CSR_MHPMEVENT13 33 1 +CSR_MHPMEVENT14 26 1 +CSR_MHPMEVENT15 25 1 +CSR_MHPMEVENT16 35 1 +CSR_MHPMEVENT17 32 1 +CSR_MHPMEVENT18 31 1 +CSR_MHPMEVENT19 32 1 +CSR_MHPMEVENT20 26 1 +CSR_MHPMEVENT21 32 1 +CSR_MHPMEVENT22 33 1 +CSR_MHPMEVENT23 26 1 +CSR_MHPMEVENT24 29 1 +CSR_MHPMEVENT25 24 1 +CSR_MHPMEVENT26 38 1 +CSR_MHPMEVENT27 30 1 +CSR_MHPMEVENT28 29 1 +CSR_MHPMEVENT29 32 1 +CSR_MHPMEVENT30 30 1 +CSR_MHPMEVENT31 30 1 +CSR_MSCRATCH 3979 1 +CSR_MEPC 27 1 +CSR_MCAUSE 27 1 +CSR_MTVAL 33 1 +CSR_MIP 34 1 +CSR_PMPCFG0 22 1 +CSR_PMPCFG1 30 1 +CSR_PMPCFG2 25 1 +CSR_PMPCFG3 37 1 +CSR_PMPCFG4 27 1 +CSR_PMPCFG5 36 1 +CSR_PMPCFG6 27 1 +CSR_PMPCFG7 23 1 +CSR_PMPCFG8 33 1 +CSR_PMPCFG9 30 1 +CSR_PMPCFG10 32 1 +CSR_PMPCFG11 35 1 +CSR_PMPCFG12 22 1 +CSR_PMPCFG13 26 1 +CSR_PMPCFG14 29 1 +CSR_PMPCFG15 43 1 +CSR_PMPADDR0 29 1 +CSR_PMPADDR1 26 1 +CSR_PMPADDR2 24 1 +CSR_PMPADDR3 35 1 +CSR_PMPADDR4 25 1 +CSR_PMPADDR5 33 1 +CSR_PMPADDR6 31 1 +CSR_PMPADDR7 34 1 +CSR_PMPADDR8 25 1 +CSR_PMPADDR9 33 1 +CSR_PMPADDR10 28 1 +CSR_PMPADDR11 28 1 +CSR_PMPADDR12 32 1 +CSR_PMPADDR13 24 1 +CSR_PMPADDR14 22 1 +CSR_PMPADDR15 32 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 35 1 +CSR_PMPADDR18 26 1 +CSR_PMPADDR19 25 1 +CSR_PMPADDR20 20 1 +CSR_PMPADDR21 19 1 +CSR_PMPADDR22 26 1 +CSR_PMPADDR23 26 1 +CSR_PMPADDR24 28 1 +CSR_PMPADDR25 23 1 +CSR_PMPADDR26 34 1 +CSR_PMPADDR27 31 1 +CSR_PMPADDR28 29 1 +CSR_PMPADDR29 23 1 +CSR_PMPADDR30 27 1 +CSR_PMPADDR31 26 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 18 1 +CSR_PMPADDR34 24 1 +CSR_PMPADDR35 32 1 +CSR_PMPADDR36 22 1 +CSR_PMPADDR37 29 1 +CSR_PMPADDR38 29 1 +CSR_PMPADDR39 19 1 +CSR_PMPADDR40 22 1 +CSR_PMPADDR41 30 1 +CSR_PMPADDR42 30 1 +CSR_PMPADDR43 30 1 +CSR_PMPADDR44 31 1 +CSR_PMPADDR45 28 1 +CSR_PMPADDR46 25 1 +CSR_PMPADDR47 33 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 22 1 +CSR_PMPADDR50 37 1 +CSR_PMPADDR51 24 1 +CSR_PMPADDR52 28 1 +CSR_PMPADDR53 27 1 +CSR_PMPADDR54 27 1 +CSR_PMPADDR55 26 1 +CSR_PMPADDR56 22 1 +CSR_PMPADDR57 22 1 +CSR_PMPADDR58 12 1 +CSR_PMPADDR59 36 1 +CSR_PMPADDR60 25 1 +CSR_PMPADDR61 25 1 +CSR_PMPADDR62 21 1 +CSR_PMPADDR63 24 1 +CSR_MCYCLE 26 1 +CSR_MINSTRET 31 1 +CSR_MHPMCOUNTER3 28 1 +CSR_MHPMCOUNTER4 27 1 +CSR_MHPMCOUNTER5 31 1 +CSR_MHPMCOUNTER6 25 1 +CSR_MHPMCOUNTER7 30 1 +CSR_MHPMCOUNTER8 37 1 +CSR_MHPMCOUNTER9 36 1 +CSR_MHPMCOUNTER10 31 1 +CSR_MHPMCOUNTER11 29 1 +CSR_MHPMCOUNTER12 24 1 +CSR_MHPMCOUNTER13 27 1 +CSR_MHPMCOUNTER14 23 1 +CSR_MHPMCOUNTER15 29 1 +CSR_MHPMCOUNTER16 33 1 +CSR_MHPMCOUNTER17 28 1 +CSR_MHPMCOUNTER18 32 1 +CSR_MHPMCOUNTER19 34 1 +CSR_MHPMCOUNTER20 30 1 +CSR_MHPMCOUNTER21 29 1 +CSR_MHPMCOUNTER22 30 1 +CSR_MHPMCOUNTER23 34 1 +CSR_MHPMCOUNTER24 23 1 +CSR_MHPMCOUNTER25 32 1 +CSR_MHPMCOUNTER26 26 1 +CSR_MHPMCOUNTER27 33 1 +CSR_MHPMCOUNTER28 33 1 +CSR_MHPMCOUNTER29 31 1 +CSR_MHPMCOUNTER30 32 1 +CSR_MHPMCOUNTER31 32 1 +CSR_MCYCLEH 26 1 +CSR_MINSTRETH 25 1 +CSR_MHPMCOUNTER3H 34 1 +CSR_MHPMCOUNTER4H 32 1 +CSR_MHPMCOUNTER5H 26 1 +CSR_MHPMCOUNTER6H 29 1 +CSR_MHPMCOUNTER7H 31 1 +CSR_MHPMCOUNTER8H 48 1 +CSR_MHPMCOUNTER9H 24 1 +CSR_MHPMCOUNTER10H 34 1 +CSR_MHPMCOUNTER11H 29 1 +CSR_MHPMCOUNTER12H 35 1 +CSR_MHPMCOUNTER13H 35 1 +CSR_MHPMCOUNTER14H 24 1 +CSR_MHPMCOUNTER15H 31 1 +CSR_MHPMCOUNTER16H 27 1 +CSR_MHPMCOUNTER17H 28 1 +CSR_MHPMCOUNTER18H 28 1 +CSR_MHPMCOUNTER19H 20 1 +CSR_MHPMCOUNTER20H 24 1 +CSR_MHPMCOUNTER21H 28 1 +CSR_MHPMCOUNTER22H 26 1 +CSR_MHPMCOUNTER23H 41 1 +CSR_MHPMCOUNTER24H 25 1 +CSR_MHPMCOUNTER25H 29 1 +CSR_MHPMCOUNTER26H 38 1 +CSR_MHPMCOUNTER27H 22 1 +CSR_MHPMCOUNTER28H 26 1 +CSR_MHPMCOUNTER29H 34 1 +CSR_MHPMCOUNTER30H 32 1 +CSR_MHPMCOUNTER31H 35 1 +CSR_MVENDORID 26 1 +CSR_MARCHID 1 1 +CSR_MIMPID 1 1 +CSR_MHARTID 1 1 +CSR_MCONFIGPTR 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_uimm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_uimm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 1565 1 +BIT3_1 1565 1 +BIT2_1 1563 1 +BIT1_1 1554 1 +BIT0_1 1623 1 +BIT4_0 7590 1 +BIT3_0 7590 1 +BIT2_0 7592 1 +BIT1_0 7601 1 +BIT0_0 7532 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32zicsr_csrrsi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 229 0 229 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrsi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rd 32 0 32 100.00 100 1 1 32 +cp_csr 187 0 187 100.00 100 1 1 0 +cp_uimm_toggle 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 295 1 +auto[1] 242 1 +auto[2] 226 1 +auto[3] 301 1 +auto[4] 236 1 +auto[5] 267 1 +auto[6] 274 1 +auto[7] 253 1 +auto[8] 255 1 +auto[9] 248 1 +auto[10] 228 1 +auto[11] 279 1 +auto[12] 252 1 +auto[13] 258 1 +auto[14] 972 1 +auto[15] 253 1 +auto[16] 242 1 +auto[17] 244 1 +auto[18] 254 1 +auto[19] 265 1 +auto[20] 228 1 +auto[21] 231 1 +auto[22] 242 1 +auto[23] 266 1 +auto[24] 259 1 +auto[25] 252 1 +auto[26] 276 1 +auto[27] 258 1 +auto[28] 290 1 +auto[29] 283 1 +auto[30] 249 1 +auto[31] 263 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 187 0 187 100.00 + + +User Defined Bins for cp_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +ONLY_READ_CSR 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +CSR_MSTATUS 33 1 +CSR_MISA 26 1 +CSR_MIE 27 1 +CSR_MTVEC 26 1 +CSR_MSTATUSH 32 1 +CSR_MCOUNTINHIBIT 28 1 +CSR_MHPMEVENT3 30 1 +CSR_MHPMEVENT4 28 1 +CSR_MHPMEVENT5 32 1 +CSR_MHPMEVENT6 34 1 +CSR_MHPMEVENT7 36 1 +CSR_MHPMEVENT8 27 1 +CSR_MHPMEVENT9 28 1 +CSR_MHPMEVENT10 28 1 +CSR_MHPMEVENT11 28 1 +CSR_MHPMEVENT12 31 1 +CSR_MHPMEVENT13 29 1 +CSR_MHPMEVENT14 20 1 +CSR_MHPMEVENT15 26 1 +CSR_MHPMEVENT16 25 1 +CSR_MHPMEVENT17 28 1 +CSR_MHPMEVENT18 31 1 +CSR_MHPMEVENT19 22 1 +CSR_MHPMEVENT20 25 1 +CSR_MHPMEVENT21 24 1 +CSR_MHPMEVENT22 35 1 +CSR_MHPMEVENT23 26 1 +CSR_MHPMEVENT24 29 1 +CSR_MHPMEVENT25 34 1 +CSR_MHPMEVENT26 31 1 +CSR_MHPMEVENT27 33 1 +CSR_MHPMEVENT28 26 1 +CSR_MHPMEVENT29 26 1 +CSR_MHPMEVENT30 25 1 +CSR_MHPMEVENT31 48 1 +CSR_MSCRATCH 3903 1 +CSR_MEPC 24 1 +CSR_MCAUSE 29 1 +CSR_MTVAL 27 1 +CSR_MIP 31 1 +CSR_PMPCFG0 35 1 +CSR_PMPCFG1 33 1 +CSR_PMPCFG2 28 1 +CSR_PMPCFG3 31 1 +CSR_PMPCFG4 27 1 +CSR_PMPCFG5 29 1 +CSR_PMPCFG6 33 1 +CSR_PMPCFG7 31 1 +CSR_PMPCFG8 30 1 +CSR_PMPCFG9 34 1 +CSR_PMPCFG10 26 1 +CSR_PMPCFG11 36 1 +CSR_PMPCFG12 32 1 +CSR_PMPCFG13 27 1 +CSR_PMPCFG14 27 1 +CSR_PMPCFG15 37 1 +CSR_PMPADDR0 27 1 +CSR_PMPADDR1 28 1 +CSR_PMPADDR2 39 1 +CSR_PMPADDR3 29 1 +CSR_PMPADDR4 24 1 +CSR_PMPADDR5 26 1 +CSR_PMPADDR6 28 1 +CSR_PMPADDR7 26 1 +CSR_PMPADDR8 21 1 +CSR_PMPADDR9 37 1 +CSR_PMPADDR10 32 1 +CSR_PMPADDR11 31 1 +CSR_PMPADDR12 36 1 +CSR_PMPADDR13 36 1 +CSR_PMPADDR14 26 1 +CSR_PMPADDR15 31 1 +CSR_PMPADDR16 1 1 +CSR_PMPADDR17 26 1 +CSR_PMPADDR18 22 1 +CSR_PMPADDR19 23 1 +CSR_PMPADDR20 27 1 +CSR_PMPADDR21 18 1 +CSR_PMPADDR22 34 1 +CSR_PMPADDR23 23 1 +CSR_PMPADDR24 19 1 +CSR_PMPADDR25 24 1 +CSR_PMPADDR26 29 1 +CSR_PMPADDR27 30 1 +CSR_PMPADDR28 22 1 +CSR_PMPADDR29 20 1 +CSR_PMPADDR30 25 1 +CSR_PMPADDR31 20 1 +CSR_PMPADDR32 1 1 +CSR_PMPADDR33 32 1 +CSR_PMPADDR34 27 1 +CSR_PMPADDR35 25 1 +CSR_PMPADDR36 25 1 +CSR_PMPADDR37 20 1 +CSR_PMPADDR38 16 1 +CSR_PMPADDR39 24 1 +CSR_PMPADDR40 26 1 +CSR_PMPADDR41 23 1 +CSR_PMPADDR42 29 1 +CSR_PMPADDR43 15 1 +CSR_PMPADDR44 21 1 +CSR_PMPADDR45 28 1 +CSR_PMPADDR46 24 1 +CSR_PMPADDR47 27 1 +CSR_PMPADDR48 1 1 +CSR_PMPADDR49 27 1 +CSR_PMPADDR50 27 1 +CSR_PMPADDR51 37 1 +CSR_PMPADDR52 25 1 +CSR_PMPADDR53 28 1 +CSR_PMPADDR54 24 1 +CSR_PMPADDR55 21 1 +CSR_PMPADDR56 21 1 +CSR_PMPADDR57 23 1 +CSR_PMPADDR58 26 1 +CSR_PMPADDR59 26 1 +CSR_PMPADDR60 29 1 +CSR_PMPADDR61 25 1 +CSR_PMPADDR62 27 1 +CSR_PMPADDR63 25 1 +CSR_MCYCLE 33 1 +CSR_MINSTRET 24 1 +CSR_MHPMCOUNTER3 25 1 +CSR_MHPMCOUNTER4 23 1 +CSR_MHPMCOUNTER5 24 1 +CSR_MHPMCOUNTER6 19 1 +CSR_MHPMCOUNTER7 29 1 +CSR_MHPMCOUNTER8 34 1 +CSR_MHPMCOUNTER9 22 1 +CSR_MHPMCOUNTER10 28 1 +CSR_MHPMCOUNTER11 18 1 +CSR_MHPMCOUNTER12 26 1 +CSR_MHPMCOUNTER13 31 1 +CSR_MHPMCOUNTER14 23 1 +CSR_MHPMCOUNTER15 32 1 +CSR_MHPMCOUNTER16 32 1 +CSR_MHPMCOUNTER17 17 1 +CSR_MHPMCOUNTER18 31 1 +CSR_MHPMCOUNTER19 37 1 +CSR_MHPMCOUNTER20 31 1 +CSR_MHPMCOUNTER21 32 1 +CSR_MHPMCOUNTER22 34 1 +CSR_MHPMCOUNTER23 42 1 +CSR_MHPMCOUNTER24 26 1 +CSR_MHPMCOUNTER25 26 1 +CSR_MHPMCOUNTER26 30 1 +CSR_MHPMCOUNTER27 20 1 +CSR_MHPMCOUNTER28 21 1 +CSR_MHPMCOUNTER29 37 1 +CSR_MHPMCOUNTER30 22 1 +CSR_MHPMCOUNTER31 28 1 +CSR_MCYCLEH 35 1 +CSR_MINSTRETH 26 1 +CSR_MHPMCOUNTER3H 28 1 +CSR_MHPMCOUNTER4H 33 1 +CSR_MHPMCOUNTER5H 33 1 +CSR_MHPMCOUNTER6H 32 1 +CSR_MHPMCOUNTER7H 28 1 +CSR_MHPMCOUNTER8H 34 1 +CSR_MHPMCOUNTER9H 24 1 +CSR_MHPMCOUNTER10H 31 1 +CSR_MHPMCOUNTER11H 28 1 +CSR_MHPMCOUNTER12H 35 1 +CSR_MHPMCOUNTER13H 29 1 +CSR_MHPMCOUNTER14H 24 1 +CSR_MHPMCOUNTER15H 24 1 +CSR_MHPMCOUNTER16H 36 1 +CSR_MHPMCOUNTER17H 34 1 +CSR_MHPMCOUNTER18H 29 1 +CSR_MHPMCOUNTER19H 30 1 +CSR_MHPMCOUNTER20H 33 1 +CSR_MHPMCOUNTER21H 33 1 +CSR_MHPMCOUNTER22H 29 1 +CSR_MHPMCOUNTER23H 33 1 +CSR_MHPMCOUNTER24H 28 1 +CSR_MHPMCOUNTER25H 30 1 +CSR_MHPMCOUNTER26H 26 1 +CSR_MHPMCOUNTER27H 31 1 +CSR_MHPMCOUNTER28H 42 1 +CSR_MHPMCOUNTER29H 30 1 +CSR_MHPMCOUNTER30H 19 1 +CSR_MHPMCOUNTER31H 25 1 +CSR_MVENDORID 21 1 +CSR_MARCHID 1 1 +CSR_MIMPID 1 1 +CSR_MHARTID 1 1 +CSR_MCONFIGPTR 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_uimm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_uimm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT4_1 1593 1 +BIT3_1 1518 1 +BIT2_1 1516 1 +BIT1_1 1563 1 +BIT0_1 1555 1 +BIT4_0 7348 1 +BIT3_0 7423 1 +BIT2_0 7425 1 +BIT1_0 7378 1 +BIT0_0 7386 1 + + +Group : uvma_isacov_pkg::cg_cb_andi + +=============================================================================== +Group : uvma_isacov_pkg::cg_cb_andi +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_andi_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cb_andi + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 121 0 121 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cb_andi + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_andi_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cb_andi + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_andi_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 121 0 121 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_andi_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_imm_value 3 0 3 100.00 100 1 1 0 +cp_shamt 32 0 32 100.00 100 1 1 0 +cp_c_rdrs1 8 0 8 100.00 100 1 1 8 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_imm_toggle 12 0 12 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 3354 1 +auto_NON_ZERO 6908 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_imm_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 147 1 +auto_POSITIVE 5057 1 +auto_NEGATIVE 5058 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_shamt + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_shamt + + +Excluded/Illegal bins + +NAME COUNT STATUS +SHAMT_32 0 Illegal +SHAMT_33 0 Illegal +SHAMT_34 0 Illegal +SHAMT_35 0 Illegal +SHAMT_36 0 Illegal +SHAMT_37 0 Illegal +SHAMT_38 0 Illegal +SHAMT_39 0 Illegal +SHAMT_40 0 Illegal +SHAMT_41 0 Illegal +SHAMT_42 0 Illegal +SHAMT_43 0 Illegal +SHAMT_44 0 Illegal +SHAMT_45 0 Illegal +SHAMT_46 0 Illegal +SHAMT_47 0 Illegal +SHAMT_48 0 Illegal +SHAMT_49 0 Illegal +SHAMT_50 0 Illegal +SHAMT_51 0 Illegal +SHAMT_52 0 Illegal +SHAMT_53 0 Illegal +SHAMT_54 0 Illegal +SHAMT_55 0 Illegal +SHAMT_56 0 Illegal +SHAMT_57 0 Illegal +SHAMT_58 0 Illegal +SHAMT_59 0 Illegal +SHAMT_60 0 Illegal +SHAMT_61 0 Illegal +SHAMT_62 0 Illegal +SHAMT_63 0 Illegal +ILLEGAL_SHAMT 0 Illegal + + +Covered bins + +NAME COUNT AT LEAST +SHAMT_0 147 1 +SHAMT_1 157 1 +SHAMT_2 169 1 +SHAMT_3 200 1 +SHAMT_4 155 1 +SHAMT_5 163 1 +SHAMT_6 155 1 +SHAMT_7 171 1 +SHAMT_8 159 1 +SHAMT_9 165 1 +SHAMT_10 180 1 +SHAMT_11 170 1 +SHAMT_12 150 1 +SHAMT_13 188 1 +SHAMT_14 178 1 +SHAMT_15 157 1 +SHAMT_16 148 1 +SHAMT_17 155 1 +SHAMT_18 145 1 +SHAMT_19 197 1 +SHAMT_20 158 1 +SHAMT_21 142 1 +SHAMT_22 167 1 +SHAMT_23 150 1 +SHAMT_24 184 1 +SHAMT_25 168 1 +SHAMT_26 144 1 +SHAMT_27 133 1 +SHAMT_28 170 1 +SHAMT_29 144 1 +SHAMT_30 158 1 +SHAMT_31 177 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 8 0 8 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 1333 1 +auto[1] 1214 1 +auto[2] 1328 1 +auto[3] 1222 1 +auto[4] 1272 1 +auto[5] 1335 1 +auto[6] 1302 1 +auto[7] 1256 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2726 1 +BIT30_1 2169 1 +BIT29_1 2199 1 +BIT28_1 2239 1 +BIT27_1 2200 1 +BIT26_1 2150 1 +BIT25_1 2139 1 +BIT24_1 2177 1 +BIT23_1 2134 1 +BIT22_1 2147 1 +BIT21_1 2125 1 +BIT20_1 2117 1 +BIT19_1 2142 1 +BIT18_1 2187 1 +BIT17_1 2174 1 +BIT16_1 2336 1 +BIT15_1 2578 1 +BIT14_1 2546 1 +BIT13_1 2606 1 +BIT12_1 2572 1 +BIT11_1 2575 1 +BIT10_1 2621 1 +BIT9_1 2621 1 +BIT8_1 2539 1 +BIT7_1 2851 1 +BIT6_1 2841 1 +BIT5_1 2930 1 +BIT4_1 3270 1 +BIT3_1 3166 1 +BIT2_1 3196 1 +BIT1_1 2830 1 +BIT0_1 3134 1 +BIT31_0 7536 1 +BIT30_0 8093 1 +BIT29_0 8063 1 +BIT28_0 8023 1 +BIT27_0 8062 1 +BIT26_0 8112 1 +BIT25_0 8123 1 +BIT24_0 8085 1 +BIT23_0 8128 1 +BIT22_0 8115 1 +BIT21_0 8137 1 +BIT20_0 8145 1 +BIT19_0 8120 1 +BIT18_0 8075 1 +BIT17_0 8088 1 +BIT16_0 7926 1 +BIT15_0 7684 1 +BIT14_0 7716 1 +BIT13_0 7656 1 +BIT12_0 7690 1 +BIT11_0 7687 1 +BIT10_0 7641 1 +BIT9_0 7641 1 +BIT8_0 7723 1 +BIT7_0 7411 1 +BIT6_0 7421 1 +BIT5_0 7332 1 +BIT4_0 6992 1 +BIT3_0 7096 1 +BIT2_0 7066 1 +BIT1_0 7432 1 +BIT0_0 7128 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_imm_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 12 0 12 100.00 + + +User Defined Bins for cp_imm_toggle + + +Bins + +NAME COUNT AT LEAST +BIT5_1 5058 1 +BIT4_1 7598 1 +BIT3_1 7683 1 +BIT2_1 7641 1 +BIT1_1 7709 1 +BIT0_1 7695 1 +BIT5_0 5204 1 +BIT4_0 2664 1 +BIT3_0 2579 1 +BIT2_0 2621 1 +BIT1_0 2553 1 +BIT0_0 2567 1 + + +Group : uvma_isacov_pkg::cg_cr_add + +=============================================================================== +Group : uvma_isacov_pkg::cg_cr_add +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_add_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cr_add + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 0 0 0 + + +Variables for Group uvma_isacov_pkg::cg_cr_add + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_add_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_add + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_add_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 294 0 294 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_add_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs2 31 0 31 100.00 100 1 1 32 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 3 0 3 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rd_rs2_hazard 31 0 31 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32c_add_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rdrs1_rs2 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 426 1 +auto[2] 412 1 +auto[3] 501 1 +auto[4] 440 1 +auto[5] 383 1 +auto[6] 479 1 +auto[7] 409 1 +auto[8] 423 1 +auto[9] 386 1 +auto[10] 438 1 +auto[11] 960 1 +auto[12] 417 1 +auto[13] 416 1 +auto[14] 412 1 +auto[15] 431 1 +auto[16] 442 1 +auto[17] 460 1 +auto[18] 409 1 +auto[19] 448 1 +auto[20] 392 1 +auto[21] 406 1 +auto[22] 433 1 +auto[23] 397 1 +auto[24] 413 1 +auto[25] 430 1 +auto[26] 398 1 +auto[27] 452 1 +auto[28] 468 1 +auto[29] 443 1 +auto[30] 423 1 +auto[31] 419 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS2_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 465 1 +auto[2] 470 1 +auto[3] 430 1 +auto[4] 470 1 +auto[5] 476 1 +auto[6] 485 1 +auto[7] 459 1 +auto[8] 428 1 +auto[9] 470 1 +auto[10] 448 1 +auto[11] 512 1 +auto[12] 1019 1 +auto[13] 472 1 +auto[14] 539 1 +auto[15] 429 1 +auto[16] 482 1 +auto[17] 479 1 +auto[18] 441 1 +auto[19] 475 1 +auto[20] 479 1 +auto[21] 482 1 +auto[22] 511 1 +auto[23] 468 1 +auto[24] 470 1 +auto[25] 476 1 +auto[26] 447 1 +auto[27] 468 1 +auto[28] 445 1 +auto[29] 461 1 +auto[30] 452 1 +auto[31] 456 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 5786 1 +auto_POSITIVE 4936 1 +auto_NEGATIVE 4342 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs2_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 4639 1 +auto_POSITIVE 5656 1 +auto_NEGATIVE 4769 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 2642 1 +auto_POSITIVE 6319 1 +auto_NEGATIVE 6103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 31 0 31 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_01 48 1 +RD_02 57 1 +RD_03 72 1 +RD_04 63 1 +RD_05 54 1 +RD_06 70 1 +RD_07 65 1 +RD_08 54 1 +RD_09 46 1 +RD_0a 48 1 +RD_0b 74 1 +RD_0c 62 1 +RD_0d 58 1 +RD_0e 73 1 +RD_0f 70 1 +RD_10 74 1 +RD_11 62 1 +RD_12 55 1 +RD_13 66 1 +RD_14 56 1 +RD_15 72 1 +RD_16 79 1 +RD_17 62 1 +RD_18 67 1 +RD_19 65 1 +RD_1a 62 1 +RD_1b 58 1 +RD_1c 58 1 +RD_1d 51 1 +RD_1e 44 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4342 1 +BIT30_1 2876 1 +BIT29_1 2909 1 +BIT28_1 2930 1 +BIT27_1 2778 1 +BIT26_1 2813 1 +BIT25_1 2778 1 +BIT24_1 2793 1 +BIT23_1 2779 1 +BIT22_1 2792 1 +BIT21_1 2764 1 +BIT20_1 2801 1 +BIT19_1 2773 1 +BIT18_1 2799 1 +BIT17_1 2826 1 +BIT16_1 3025 1 +BIT15_1 3293 1 +BIT14_1 3680 1 +BIT13_1 3740 1 +BIT12_1 3476 1 +BIT11_1 3272 1 +BIT10_1 3333 1 +BIT9_1 3297 1 +BIT8_1 3129 1 +BIT7_1 3555 1 +BIT6_1 3883 1 +BIT5_1 3497 1 +BIT4_1 3812 1 +BIT3_1 3876 1 +BIT2_1 3831 1 +BIT1_1 3640 1 +BIT0_1 3985 1 +BIT31_0 10722 1 +BIT30_0 12188 1 +BIT29_0 12155 1 +BIT28_0 12134 1 +BIT27_0 12286 1 +BIT26_0 12251 1 +BIT25_0 12286 1 +BIT24_0 12271 1 +BIT23_0 12285 1 +BIT22_0 12272 1 +BIT21_0 12300 1 +BIT20_0 12263 1 +BIT19_0 12291 1 +BIT18_0 12265 1 +BIT17_0 12238 1 +BIT16_0 12039 1 +BIT15_0 11771 1 +BIT14_0 11384 1 +BIT13_0 11324 1 +BIT12_0 11588 1 +BIT11_0 11792 1 +BIT10_0 11731 1 +BIT9_0 11767 1 +BIT8_0 11935 1 +BIT7_0 11509 1 +BIT6_0 11181 1 +BIT5_0 11567 1 +BIT4_0 11252 1 +BIT3_0 11188 1 +BIT2_0 11233 1 +BIT1_0 11424 1 +BIT0_0 11079 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 4769 1 +BIT30_1 2928 1 +BIT29_1 2941 1 +BIT28_1 2934 1 +BIT27_1 2803 1 +BIT26_1 2774 1 +BIT25_1 2778 1 +BIT24_1 2777 1 +BIT23_1 2738 1 +BIT22_1 2757 1 +BIT21_1 2724 1 +BIT20_1 2736 1 +BIT19_1 2810 1 +BIT18_1 2778 1 +BIT17_1 2778 1 +BIT16_1 3014 1 +BIT15_1 3676 1 +BIT14_1 3662 1 +BIT13_1 3889 1 +BIT12_1 3611 1 +BIT11_1 4163 1 +BIT10_1 4234 1 +BIT9_1 3740 1 +BIT8_1 3194 1 +BIT7_1 4061 1 +BIT6_1 3983 1 +BIT5_1 4086 1 +BIT4_1 5234 1 +BIT3_1 4857 1 +BIT2_1 5324 1 +BIT1_1 3761 1 +BIT0_1 4201 1 +BIT31_0 10295 1 +BIT30_0 12136 1 +BIT29_0 12123 1 +BIT28_0 12130 1 +BIT27_0 12261 1 +BIT26_0 12290 1 +BIT25_0 12286 1 +BIT24_0 12287 1 +BIT23_0 12326 1 +BIT22_0 12307 1 +BIT21_0 12340 1 +BIT20_0 12328 1 +BIT19_0 12254 1 +BIT18_0 12286 1 +BIT17_0 12286 1 +BIT16_0 12050 1 +BIT15_0 11388 1 +BIT14_0 11402 1 +BIT13_0 11175 1 +BIT12_0 11453 1 +BIT11_0 10901 1 +BIT10_0 10830 1 +BIT9_0 11324 1 +BIT8_0 11870 1 +BIT7_0 11003 1 +BIT6_0 11081 1 +BIT5_0 10978 1 +BIT4_0 9830 1 +BIT3_0 10207 1 +BIT2_0 9740 1 +BIT1_0 11303 1 +BIT0_0 10863 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6103 1 +BIT30_1 3746 1 +BIT29_1 3792 1 +BIT28_1 3759 1 +BIT27_1 3599 1 +BIT26_1 3601 1 +BIT25_1 3591 1 +BIT24_1 3606 1 +BIT23_1 3551 1 +BIT22_1 3555 1 +BIT21_1 3553 1 +BIT20_1 3576 1 +BIT19_1 3624 1 +BIT18_1 3589 1 +BIT17_1 3673 1 +BIT16_1 4042 1 +BIT15_1 4693 1 +BIT14_1 5079 1 +BIT13_1 5324 1 +BIT12_1 4848 1 +BIT11_1 5109 1 +BIT10_1 5155 1 +BIT9_1 4743 1 +BIT8_1 4427 1 +BIT7_1 5595 1 +BIT6_1 4638 1 +BIT5_1 5448 1 +BIT4_1 6213 1 +BIT3_1 5862 1 +BIT2_1 6124 1 +BIT1_1 5277 1 +BIT0_1 4886 1 +BIT31_0 8961 1 +BIT30_0 11318 1 +BIT29_0 11272 1 +BIT28_0 11305 1 +BIT27_0 11465 1 +BIT26_0 11463 1 +BIT25_0 11473 1 +BIT24_0 11458 1 +BIT23_0 11513 1 +BIT22_0 11509 1 +BIT21_0 11511 1 +BIT20_0 11488 1 +BIT19_0 11440 1 +BIT18_0 11475 1 +BIT17_0 11391 1 +BIT16_0 11022 1 +BIT15_0 10371 1 +BIT14_0 9985 1 +BIT13_0 9740 1 +BIT12_0 10216 1 +BIT11_0 9955 1 +BIT10_0 9909 1 +BIT9_0 10321 1 +BIT8_0 10637 1 +BIT7_0 9469 1 +BIT6_0 10426 1 +BIT5_0 9616 1 +BIT4_0 8851 1 +BIT3_0 9202 1 +BIT2_0 8940 1 +BIT1_0 9787 1 +BIT0_0 10178 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rdrs1_rs2 + + +Samples crossed: cp_c_rdrs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rdrs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sra_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 390 0 390 100.00 +Crosses 96 0 96 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sra_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sra_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 390 0 390 100.00 +Crosses 96 0 96 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sra_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 3 0 3 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 3 0 3 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sra_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 96 0 96 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 621 1 +auto[1] 607 1 +auto[2] 639 1 +auto[3] 602 1 +auto[4] 630 1 +auto[5] 612 1 +auto[6] 725 1 +auto[7] 638 1 +auto[8] 627 1 +auto[9] 605 1 +auto[10] 639 1 +auto[11] 615 1 +auto[12] 722 1 +auto[13] 632 1 +auto[14] 638 1 +auto[15] 650 1 +auto[16] 558 1 +auto[17] 620 1 +auto[18] 631 1 +auto[19] 626 1 +auto[20] 610 1 +auto[21] 725 1 +auto[22] 596 1 +auto[23] 730 1 +auto[24] 644 1 +auto[25] 629 1 +auto[26] 654 1 +auto[27] 589 1 +auto[28] 758 1 +auto[29] 628 1 +auto[30] 609 1 +auto[31] 609 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 664 1 +auto[1] 604 1 +auto[2] 611 1 +auto[3] 642 1 +auto[4] 627 1 +auto[5] 673 1 +auto[6] 669 1 +auto[7] 768 1 +auto[8] 629 1 +auto[9] 605 1 +auto[10] 629 1 +auto[11] 603 1 +auto[12] 642 1 +auto[13] 625 1 +auto[14] 622 1 +auto[15] 623 1 +auto[16] 618 1 +auto[17] 672 1 +auto[18] 599 1 +auto[19] 834 1 +auto[20] 642 1 +auto[21] 585 1 +auto[22] 606 1 +auto[23] 666 1 +auto[24] 597 1 +auto[25] 627 1 +auto[26] 594 1 +auto[27] 588 1 +auto[28] 647 1 +auto[29] 604 1 +auto[30] 703 1 +auto[31] 600 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 675 1 +auto[1] 673 1 +auto[2] 614 1 +auto[3] 723 1 +auto[4] 608 1 +auto[5] 707 1 +auto[6] 674 1 +auto[7] 651 1 +auto[8] 656 1 +auto[9] 581 1 +auto[10] 606 1 +auto[11] 649 1 +auto[12] 657 1 +auto[13] 620 1 +auto[14] 631 1 +auto[15] 572 1 +auto[16] 561 1 +auto[17] 652 1 +auto[18] 636 1 +auto[19] 577 1 +auto[20] 615 1 +auto[21] 592 1 +auto[22] 572 1 +auto[23] 688 1 +auto[24] 613 1 +auto[25] 749 1 +auto[26] 682 1 +auto[27] 641 1 +auto[28] 693 1 +auto[29] 605 1 +auto[30] 618 1 +auto[31] 627 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 81 1 +RD_01 74 1 +RD_02 76 1 +RD_03 67 1 +RD_04 68 1 +RD_05 75 1 +RD_06 80 1 +RD_07 58 1 +RD_08 58 1 +RD_09 55 1 +RD_0a 66 1 +RD_0b 71 1 +RD_0c 61 1 +RD_0d 74 1 +RD_0e 69 1 +RD_0f 66 1 +RD_10 58 1 +RD_11 64 1 +RD_12 60 1 +RD_13 68 1 +RD_14 64 1 +RD_15 66 1 +RD_16 53 1 +RD_17 82 1 +RD_18 68 1 +RD_19 68 1 +RD_1a 67 1 +RD_1b 57 1 +RD_1c 84 1 +RD_1d 68 1 +RD_1e 51 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 71 1 +RD_01 68 1 +RD_02 82 1 +RD_03 65 1 +RD_04 68 1 +RD_05 66 1 +RD_06 74 1 +RD_07 67 1 +RD_08 65 1 +RD_09 54 1 +RD_0a 63 1 +RD_0b 77 1 +RD_0c 70 1 +RD_0d 63 1 +RD_0e 67 1 +RD_0f 63 1 +RD_10 59 1 +RD_11 67 1 +RD_12 57 1 +RD_13 62 1 +RD_14 74 1 +RD_15 62 1 +RD_16 56 1 +RD_17 91 1 +RD_18 68 1 +RD_19 60 1 +RD_1a 64 1 +RD_1b 61 1 +RD_1c 74 1 +RD_1d 68 1 +RD_1e 55 1 +RD_1f 72 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7161 1 +auto_POSITIVE 6970 1 +auto_NEGATIVE 6287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 9436 1 +SHAMT_01 1553 1 +SHAMT_02 343 1 +SHAMT_03 281 1 +SHAMT_04 278 1 +SHAMT_05 200 1 +SHAMT_06 244 1 +SHAMT_07 188 1 +SHAMT_08 340 1 +SHAMT_09 194 1 +SHAMT_0a 219 1 +SHAMT_0b 176 1 +SHAMT_0c 236 1 +SHAMT_0d 179 1 +SHAMT_0e 230 1 +SHAMT_0f 228 1 +SHAMT_10 394 1 +SHAMT_11 208 1 +SHAMT_12 180 1 +SHAMT_13 154 1 +SHAMT_14 255 1 +SHAMT_15 183 1 +SHAMT_16 193 1 +SHAMT_17 171 1 +SHAMT_18 272 1 +SHAMT_19 178 1 +SHAMT_1a 220 1 +SHAMT_1b 214 1 +SHAMT_1c 1470 1 +SHAMT_1d 241 1 +SHAMT_1e 367 1 +SHAMT_1f 1393 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 3 0 3 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_NON_ZERO 0 Excluded +NON_ZERO_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9770 1 +auto_POSITIVE 4361 1 +auto_NEGATIVE 6287 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6287 1 +BIT30_1 4016 1 +BIT29_1 3917 1 +BIT28_1 3986 1 +BIT27_1 3855 1 +BIT26_1 3876 1 +BIT25_1 3774 1 +BIT24_1 3802 1 +BIT23_1 3728 1 +BIT22_1 3801 1 +BIT21_1 3784 1 +BIT20_1 3763 1 +BIT19_1 3791 1 +BIT18_1 3797 1 +BIT17_1 3773 1 +BIT16_1 3962 1 +BIT15_1 4896 1 +BIT14_1 4682 1 +BIT13_1 5004 1 +BIT12_1 4871 1 +BIT11_1 5333 1 +BIT10_1 5427 1 +BIT9_1 4935 1 +BIT8_1 4362 1 +BIT7_1 5381 1 +BIT6_1 4604 1 +BIT5_1 4747 1 +BIT4_1 6099 1 +BIT3_1 6238 1 +BIT2_1 6264 1 +BIT1_1 4898 1 +BIT0_1 5595 1 +BIT31_0 14131 1 +BIT30_0 16402 1 +BIT29_0 16501 1 +BIT28_0 16432 1 +BIT27_0 16563 1 +BIT26_0 16542 1 +BIT25_0 16644 1 +BIT24_0 16616 1 +BIT23_0 16690 1 +BIT22_0 16617 1 +BIT21_0 16634 1 +BIT20_0 16655 1 +BIT19_0 16627 1 +BIT18_0 16621 1 +BIT17_0 16645 1 +BIT16_0 16456 1 +BIT15_0 15522 1 +BIT14_0 15736 1 +BIT13_0 15414 1 +BIT12_0 15547 1 +BIT11_0 15085 1 +BIT10_0 14991 1 +BIT9_0 15483 1 +BIT8_0 16056 1 +BIT7_0 15037 1 +BIT6_0 15814 1 +BIT5_0 15671 1 +BIT4_0 14319 1 +BIT3_0 14180 1 +BIT2_0 14154 1 +BIT1_0 15520 1 +BIT0_0 14823 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6415 1 +BIT30_1 4177 1 +BIT29_1 4142 1 +BIT28_1 4070 1 +BIT27_1 4026 1 +BIT26_1 4040 1 +BIT25_1 3994 1 +BIT24_1 3949 1 +BIT23_1 4015 1 +BIT22_1 3906 1 +BIT21_1 3887 1 +BIT20_1 3842 1 +BIT19_1 3906 1 +BIT18_1 4032 1 +BIT17_1 3876 1 +BIT16_1 4211 1 +BIT15_1 4917 1 +BIT14_1 4887 1 +BIT13_1 5127 1 +BIT12_1 4905 1 +BIT11_1 5492 1 +BIT10_1 5506 1 +BIT9_1 4822 1 +BIT8_1 4268 1 +BIT7_1 5158 1 +BIT6_1 4527 1 +BIT5_1 4697 1 +BIT4_1 6093 1 +BIT3_1 6157 1 +BIT2_1 6056 1 +BIT1_1 4801 1 +BIT0_1 5741 1 +BIT31_0 14003 1 +BIT30_0 16241 1 +BIT29_0 16276 1 +BIT28_0 16348 1 +BIT27_0 16392 1 +BIT26_0 16378 1 +BIT25_0 16424 1 +BIT24_0 16469 1 +BIT23_0 16403 1 +BIT22_0 16512 1 +BIT21_0 16531 1 +BIT20_0 16576 1 +BIT19_0 16512 1 +BIT18_0 16386 1 +BIT17_0 16542 1 +BIT16_0 16207 1 +BIT15_0 15501 1 +BIT14_0 15531 1 +BIT13_0 15291 1 +BIT12_0 15513 1 +BIT11_0 14926 1 +BIT10_0 14912 1 +BIT9_0 15596 1 +BIT8_0 16150 1 +BIT7_0 15260 1 +BIT6_0 15891 1 +BIT5_0 15721 1 +BIT4_0 14325 1 +BIT3_0 14261 1 +BIT2_0 14362 1 +BIT1_0 15617 1 +BIT0_0 14677 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6287 1 +BIT30_1 5371 1 +BIT29_1 5125 1 +BIT28_1 5145 1 +BIT27_1 5051 1 +BIT26_1 4986 1 +BIT25_1 4933 1 +BIT24_1 4856 1 +BIT23_1 4846 1 +BIT22_1 4788 1 +BIT21_1 4779 1 +BIT20_1 4722 1 +BIT19_1 4750 1 +BIT18_1 4678 1 +BIT17_1 4646 1 +BIT16_1 4645 1 +BIT15_1 5039 1 +BIT14_1 4931 1 +BIT13_1 5066 1 +BIT12_1 4976 1 +BIT11_1 5184 1 +BIT10_1 5203 1 +BIT9_1 5027 1 +BIT8_1 4754 1 +BIT7_1 5158 1 +BIT6_1 4848 1 +BIT5_1 4947 1 +BIT4_1 5339 1 +BIT3_1 5502 1 +BIT2_1 5263 1 +BIT1_1 4798 1 +BIT0_1 4877 1 +BIT31_0 14131 1 +BIT30_0 15047 1 +BIT29_0 15293 1 +BIT28_0 15273 1 +BIT27_0 15367 1 +BIT26_0 15432 1 +BIT25_0 15485 1 +BIT24_0 15562 1 +BIT23_0 15572 1 +BIT22_0 15630 1 +BIT21_0 15639 1 +BIT20_0 15696 1 +BIT19_0 15668 1 +BIT18_0 15740 1 +BIT17_0 15772 1 +BIT16_0 15773 1 +BIT15_0 15379 1 +BIT14_0 15487 1 +BIT13_0 15352 1 +BIT12_0 15442 1 +BIT11_0 15234 1 +BIT10_0 15215 1 +BIT9_0 15391 1 +BIT8_0 15664 1 +BIT7_0 15260 1 +BIT6_0 15570 1 +BIT5_0 15471 1 +BIT4_0 15079 1 +BIT3_0 14916 1 +BIT2_0 15155 1 +BIT1_0 15620 1 +BIT0_0 15541 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 96 0 96 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_NON_ZERO] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (32 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO SHAMT_00 4102 1 +auto_ZERO SHAMT_01 481 1 +auto_ZERO SHAMT_02 90 1 +auto_ZERO SHAMT_03 73 1 +auto_ZERO SHAMT_04 80 1 +auto_ZERO SHAMT_05 50 1 +auto_ZERO SHAMT_06 55 1 +auto_ZERO SHAMT_07 56 1 +auto_ZERO SHAMT_08 107 1 +auto_ZERO SHAMT_09 50 1 +auto_ZERO SHAMT_0a 55 1 +auto_ZERO SHAMT_0b 49 1 +auto_ZERO SHAMT_0c 65 1 +auto_ZERO SHAMT_0d 49 1 +auto_ZERO SHAMT_0e 72 1 +auto_ZERO SHAMT_0f 58 1 +auto_ZERO SHAMT_10 119 1 +auto_ZERO SHAMT_11 47 1 +auto_ZERO SHAMT_12 41 1 +auto_ZERO SHAMT_13 44 1 +auto_ZERO SHAMT_14 77 1 +auto_ZERO SHAMT_15 45 1 +auto_ZERO SHAMT_16 40 1 +auto_ZERO SHAMT_17 38 1 +auto_ZERO SHAMT_18 97 1 +auto_ZERO SHAMT_19 46 1 +auto_ZERO SHAMT_1a 56 1 +auto_ZERO SHAMT_1b 60 1 +auto_ZERO SHAMT_1c 418 1 +auto_ZERO SHAMT_1d 77 1 +auto_ZERO SHAMT_1e 101 1 +auto_ZERO SHAMT_1f 363 1 +auto_POSITIVE SHAMT_00 2865 1 +auto_POSITIVE SHAMT_01 608 1 +auto_POSITIVE SHAMT_02 146 1 +auto_POSITIVE SHAMT_03 118 1 +auto_POSITIVE SHAMT_04 101 1 +auto_POSITIVE SHAMT_05 74 1 +auto_POSITIVE SHAMT_06 92 1 +auto_POSITIVE SHAMT_07 68 1 +auto_POSITIVE SHAMT_08 123 1 +auto_POSITIVE SHAMT_09 78 1 +auto_POSITIVE SHAMT_0a 75 1 +auto_POSITIVE SHAMT_0b 69 1 +auto_POSITIVE SHAMT_0c 92 1 +auto_POSITIVE SHAMT_0d 79 1 +auto_POSITIVE SHAMT_0e 74 1 +auto_POSITIVE SHAMT_0f 84 1 +auto_POSITIVE SHAMT_10 142 1 +auto_POSITIVE SHAMT_11 81 1 +auto_POSITIVE SHAMT_12 72 1 +auto_POSITIVE SHAMT_13 56 1 +auto_POSITIVE SHAMT_14 88 1 +auto_POSITIVE SHAMT_15 80 1 +auto_POSITIVE SHAMT_16 77 1 +auto_POSITIVE SHAMT_17 61 1 +auto_POSITIVE SHAMT_18 92 1 +auto_POSITIVE SHAMT_19 77 1 +auto_POSITIVE SHAMT_1a 89 1 +auto_POSITIVE SHAMT_1b 96 1 +auto_POSITIVE SHAMT_1c 553 1 +auto_POSITIVE SHAMT_1d 87 1 +auto_POSITIVE SHAMT_1e 116 1 +auto_POSITIVE SHAMT_1f 457 1 +auto_NEGATIVE SHAMT_00 2469 1 +auto_NEGATIVE SHAMT_01 464 1 +auto_NEGATIVE SHAMT_02 107 1 +auto_NEGATIVE SHAMT_03 90 1 +auto_NEGATIVE SHAMT_04 97 1 +auto_NEGATIVE SHAMT_05 76 1 +auto_NEGATIVE SHAMT_06 97 1 +auto_NEGATIVE SHAMT_07 64 1 +auto_NEGATIVE SHAMT_08 110 1 +auto_NEGATIVE SHAMT_09 66 1 +auto_NEGATIVE SHAMT_0a 89 1 +auto_NEGATIVE SHAMT_0b 58 1 +auto_NEGATIVE SHAMT_0c 79 1 +auto_NEGATIVE SHAMT_0d 51 1 +auto_NEGATIVE SHAMT_0e 84 1 +auto_NEGATIVE SHAMT_0f 86 1 +auto_NEGATIVE SHAMT_10 133 1 +auto_NEGATIVE SHAMT_11 80 1 +auto_NEGATIVE SHAMT_12 67 1 +auto_NEGATIVE SHAMT_13 54 1 +auto_NEGATIVE SHAMT_14 90 1 +auto_NEGATIVE SHAMT_15 58 1 +auto_NEGATIVE SHAMT_16 76 1 +auto_NEGATIVE SHAMT_17 72 1 +auto_NEGATIVE SHAMT_18 83 1 +auto_NEGATIVE SHAMT_19 55 1 +auto_NEGATIVE SHAMT_1a 75 1 +auto_NEGATIVE SHAMT_1b 58 1 +auto_NEGATIVE SHAMT_1c 499 1 +auto_NEGATIVE SHAMT_1d 77 1 +auto_NEGATIVE SHAMT_1e 150 1 +auto_NEGATIVE SHAMT_1f 573 1 + + +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + +=============================================================================== +Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_sll_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32i_srl_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 388 0 388 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_sll_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_sll_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 388 0 388 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_sll_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_sll_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 678 1 +auto[1] 683 1 +auto[2] 622 1 +auto[3] 599 1 +auto[4] 660 1 +auto[5] 602 1 +auto[6] 613 1 +auto[7] 648 1 +auto[8] 632 1 +auto[9] 647 1 +auto[10] 610 1 +auto[11] 649 1 +auto[12] 619 1 +auto[13] 625 1 +auto[14] 577 1 +auto[15] 615 1 +auto[16] 648 1 +auto[17] 629 1 +auto[18] 673 1 +auto[19] 779 1 +auto[20] 637 1 +auto[21] 665 1 +auto[22] 652 1 +auto[23] 624 1 +auto[24] 576 1 +auto[25] 595 1 +auto[26] 653 1 +auto[27] 648 1 +auto[28] 731 1 +auto[29] 595 1 +auto[30] 568 1 +auto[31] 589 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 610 1 +auto[1] 588 1 +auto[2] 655 1 +auto[3] 641 1 +auto[4] 595 1 +auto[5] 624 1 +auto[6] 666 1 +auto[7] 632 1 +auto[8] 738 1 +auto[9] 603 1 +auto[10] 663 1 +auto[11] 627 1 +auto[12] 600 1 +auto[13] 587 1 +auto[14] 679 1 +auto[15] 589 1 +auto[16] 655 1 +auto[17] 639 1 +auto[18] 642 1 +auto[19] 625 1 +auto[20] 623 1 +auto[21] 670 1 +auto[22] 595 1 +auto[23] 633 1 +auto[24] 773 1 +auto[25] 619 1 +auto[26] 624 1 +auto[27] 616 1 +auto[28] 618 1 +auto[29] 631 1 +auto[30] 658 1 +auto[31] 623 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 680 1 +auto[1] 640 1 +auto[2] 602 1 +auto[3] 672 1 +auto[4] 567 1 +auto[5] 621 1 +auto[6] 622 1 +auto[7] 602 1 +auto[8] 586 1 +auto[9] 671 1 +auto[10] 594 1 +auto[11] 588 1 +auto[12] 741 1 +auto[13] 763 1 +auto[14] 677 1 +auto[15] 638 1 +auto[16] 588 1 +auto[17] 575 1 +auto[18] 651 1 +auto[19] 623 1 +auto[20] 645 1 +auto[21] 623 1 +auto[22] 571 1 +auto[23] 694 1 +auto[24] 600 1 +auto[25] 604 1 +auto[26] 693 1 +auto[27] 656 1 +auto[28] 603 1 +auto[29] 618 1 +auto[30] 702 1 +auto[31] 631 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 72 1 +RD_01 69 1 +RD_02 75 1 +RD_03 77 1 +RD_04 59 1 +RD_05 68 1 +RD_06 59 1 +RD_07 60 1 +RD_08 70 1 +RD_09 82 1 +RD_0a 68 1 +RD_0b 51 1 +RD_0c 74 1 +RD_0d 60 1 +RD_0e 75 1 +RD_0f 66 1 +RD_10 65 1 +RD_11 66 1 +RD_12 86 1 +RD_13 74 1 +RD_14 69 1 +RD_15 69 1 +RD_16 62 1 +RD_17 52 1 +RD_18 49 1 +RD_19 72 1 +RD_1a 66 1 +RD_1b 74 1 +RD_1c 77 1 +RD_1d 61 1 +RD_1e 69 1 +RD_1f 66 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 74 1 +RD_01 68 1 +RD_02 78 1 +RD_03 74 1 +RD_04 58 1 +RD_05 73 1 +RD_06 58 1 +RD_07 64 1 +RD_08 76 1 +RD_09 76 1 +RD_0a 68 1 +RD_0b 57 1 +RD_0c 79 1 +RD_0d 66 1 +RD_0e 85 1 +RD_0f 63 1 +RD_10 60 1 +RD_11 67 1 +RD_12 78 1 +RD_13 80 1 +RD_14 69 1 +RD_15 59 1 +RD_16 64 1 +RD_17 49 1 +RD_18 50 1 +RD_19 64 1 +RD_1a 66 1 +RD_1b 63 1 +RD_1c 70 1 +RD_1d 60 1 +RD_1e 78 1 +RD_1f 75 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 7055 1 +auto_NON_ZERO 13286 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 9180 1 +SHAMT_01 1500 1 +SHAMT_02 401 1 +SHAMT_03 248 1 +SHAMT_04 372 1 +SHAMT_05 209 1 +SHAMT_06 231 1 +SHAMT_07 191 1 +SHAMT_08 304 1 +SHAMT_09 231 1 +SHAMT_0a 252 1 +SHAMT_0b 188 1 +SHAMT_0c 269 1 +SHAMT_0d 171 1 +SHAMT_0e 218 1 +SHAMT_0f 223 1 +SHAMT_10 341 1 +SHAMT_11 155 1 +SHAMT_12 235 1 +SHAMT_13 153 1 +SHAMT_14 289 1 +SHAMT_15 186 1 +SHAMT_16 213 1 +SHAMT_17 210 1 +SHAMT_18 303 1 +SHAMT_19 171 1 +SHAMT_1a 234 1 +SHAMT_1b 194 1 +SHAMT_1c 1431 1 +SHAMT_1d 212 1 +SHAMT_1e 358 1 +SHAMT_1f 1468 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 8120 1 +auto_NON_ZERO 12221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6271 1 +BIT30_1 4104 1 +BIT29_1 4056 1 +BIT28_1 4107 1 +BIT27_1 3954 1 +BIT26_1 3919 1 +BIT25_1 3918 1 +BIT24_1 3901 1 +BIT23_1 3907 1 +BIT22_1 3911 1 +BIT21_1 3905 1 +BIT20_1 3927 1 +BIT19_1 3871 1 +BIT18_1 3928 1 +BIT17_1 3899 1 +BIT16_1 4201 1 +BIT15_1 4923 1 +BIT14_1 4845 1 +BIT13_1 5180 1 +BIT12_1 4871 1 +BIT11_1 5470 1 +BIT10_1 5447 1 +BIT9_1 4927 1 +BIT8_1 4344 1 +BIT7_1 5247 1 +BIT6_1 4639 1 +BIT5_1 4763 1 +BIT4_1 6103 1 +BIT3_1 6216 1 +BIT2_1 6179 1 +BIT1_1 5018 1 +BIT0_1 5735 1 +BIT31_0 14070 1 +BIT30_0 16237 1 +BIT29_0 16285 1 +BIT28_0 16234 1 +BIT27_0 16387 1 +BIT26_0 16422 1 +BIT25_0 16423 1 +BIT24_0 16440 1 +BIT23_0 16434 1 +BIT22_0 16430 1 +BIT21_0 16436 1 +BIT20_0 16414 1 +BIT19_0 16470 1 +BIT18_0 16413 1 +BIT17_0 16442 1 +BIT16_0 16140 1 +BIT15_0 15418 1 +BIT14_0 15496 1 +BIT13_0 15161 1 +BIT12_0 15470 1 +BIT11_0 14871 1 +BIT10_0 14894 1 +BIT9_0 15414 1 +BIT8_0 15997 1 +BIT7_0 15094 1 +BIT6_0 15702 1 +BIT5_0 15578 1 +BIT4_0 14238 1 +BIT3_0 14125 1 +BIT2_0 14162 1 +BIT1_0 15323 1 +BIT0_0 14606 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6197 1 +BIT30_1 4037 1 +BIT29_1 4020 1 +BIT28_1 4030 1 +BIT27_1 3891 1 +BIT26_1 3908 1 +BIT25_1 3817 1 +BIT24_1 3831 1 +BIT23_1 3827 1 +BIT22_1 3849 1 +BIT21_1 3822 1 +BIT20_1 3913 1 +BIT19_1 3904 1 +BIT18_1 3933 1 +BIT17_1 3872 1 +BIT16_1 4052 1 +BIT15_1 4954 1 +BIT14_1 4852 1 +BIT13_1 5203 1 +BIT12_1 4930 1 +BIT11_1 5494 1 +BIT10_1 5540 1 +BIT9_1 4870 1 +BIT8_1 4415 1 +BIT7_1 5331 1 +BIT6_1 4670 1 +BIT5_1 4882 1 +BIT4_1 6153 1 +BIT3_1 6227 1 +BIT2_1 6251 1 +BIT1_1 5017 1 +BIT0_1 5710 1 +BIT31_0 14144 1 +BIT30_0 16304 1 +BIT29_0 16321 1 +BIT28_0 16311 1 +BIT27_0 16450 1 +BIT26_0 16433 1 +BIT25_0 16524 1 +BIT24_0 16510 1 +BIT23_0 16514 1 +BIT22_0 16492 1 +BIT21_0 16519 1 +BIT20_0 16428 1 +BIT19_0 16437 1 +BIT18_0 16408 1 +BIT17_0 16469 1 +BIT16_0 16289 1 +BIT15_0 15387 1 +BIT14_0 15489 1 +BIT13_0 15138 1 +BIT12_0 15411 1 +BIT11_0 14847 1 +BIT10_0 14801 1 +BIT9_0 15471 1 +BIT8_0 15926 1 +BIT7_0 15010 1 +BIT6_0 15671 1 +BIT5_0 15459 1 +BIT4_0 14188 1 +BIT3_0 14114 1 +BIT2_0 14090 1 +BIT1_0 15324 1 +BIT0_0 14631 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 5391 1 +BIT30_1 3975 1 +BIT29_1 3855 1 +BIT28_1 3791 1 +BIT27_1 3451 1 +BIT26_1 3279 1 +BIT25_1 3269 1 +BIT24_1 3218 1 +BIT23_1 3179 1 +BIT22_1 3154 1 +BIT21_1 3083 1 +BIT20_1 2986 1 +BIT19_1 2964 1 +BIT18_1 2889 1 +BIT17_1 2987 1 +BIT16_1 2972 1 +BIT15_1 3240 1 +BIT14_1 3045 1 +BIT13_1 3240 1 +BIT12_1 3050 1 +BIT11_1 3277 1 +BIT10_1 3092 1 +BIT9_1 2729 1 +BIT8_1 2515 1 +BIT7_1 2786 1 +BIT6_1 2441 1 +BIT5_1 2555 1 +BIT4_1 3016 1 +BIT3_1 2916 1 +BIT2_1 2754 1 +BIT1_1 2422 1 +BIT0_1 2111 1 +BIT31_0 14950 1 +BIT30_0 16366 1 +BIT29_0 16486 1 +BIT28_0 16550 1 +BIT27_0 16890 1 +BIT26_0 17062 1 +BIT25_0 17072 1 +BIT24_0 17123 1 +BIT23_0 17162 1 +BIT22_0 17187 1 +BIT21_0 17258 1 +BIT20_0 17355 1 +BIT19_0 17377 1 +BIT18_0 17452 1 +BIT17_0 17354 1 +BIT16_0 17369 1 +BIT15_0 17101 1 +BIT14_0 17296 1 +BIT13_0 17101 1 +BIT12_0 17291 1 +BIT11_0 17064 1 +BIT10_0 17249 1 +BIT9_0 17612 1 +BIT8_0 17826 1 +BIT7_0 17555 1 +BIT6_0 17900 1 +BIT5_0 17786 1 +BIT4_0 17325 1 +BIT3_0 17425 1 +BIT2_0 17587 1 +BIT1_0 17919 1 +BIT0_0 18230 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO SHAMT_00 3885 1 +auto_ZERO SHAMT_01 432 1 +auto_ZERO SHAMT_02 131 1 +auto_ZERO SHAMT_03 78 1 +auto_ZERO SHAMT_04 93 1 +auto_ZERO SHAMT_05 58 1 +auto_ZERO SHAMT_06 64 1 +auto_ZERO SHAMT_07 42 1 +auto_ZERO SHAMT_08 75 1 +auto_ZERO SHAMT_09 68 1 +auto_ZERO SHAMT_0a 62 1 +auto_ZERO SHAMT_0b 65 1 +auto_ZERO SHAMT_0c 81 1 +auto_ZERO SHAMT_0d 46 1 +auto_ZERO SHAMT_0e 54 1 +auto_ZERO SHAMT_0f 56 1 +auto_ZERO SHAMT_10 106 1 +auto_ZERO SHAMT_11 42 1 +auto_ZERO SHAMT_12 72 1 +auto_ZERO SHAMT_13 39 1 +auto_ZERO SHAMT_14 55 1 +auto_ZERO SHAMT_15 44 1 +auto_ZERO SHAMT_16 56 1 +auto_ZERO SHAMT_17 61 1 +auto_ZERO SHAMT_18 100 1 +auto_ZERO SHAMT_19 40 1 +auto_ZERO SHAMT_1a 68 1 +auto_ZERO SHAMT_1b 54 1 +auto_ZERO SHAMT_1c 461 1 +auto_ZERO SHAMT_1d 58 1 +auto_ZERO SHAMT_1e 95 1 +auto_ZERO SHAMT_1f 414 1 +auto_NON_ZERO SHAMT_00 5295 1 +auto_NON_ZERO SHAMT_01 1068 1 +auto_NON_ZERO SHAMT_02 270 1 +auto_NON_ZERO SHAMT_03 170 1 +auto_NON_ZERO SHAMT_04 279 1 +auto_NON_ZERO SHAMT_05 151 1 +auto_NON_ZERO SHAMT_06 167 1 +auto_NON_ZERO SHAMT_07 149 1 +auto_NON_ZERO SHAMT_08 229 1 +auto_NON_ZERO SHAMT_09 163 1 +auto_NON_ZERO SHAMT_0a 190 1 +auto_NON_ZERO SHAMT_0b 123 1 +auto_NON_ZERO SHAMT_0c 188 1 +auto_NON_ZERO SHAMT_0d 125 1 +auto_NON_ZERO SHAMT_0e 164 1 +auto_NON_ZERO SHAMT_0f 167 1 +auto_NON_ZERO SHAMT_10 235 1 +auto_NON_ZERO SHAMT_11 113 1 +auto_NON_ZERO SHAMT_12 163 1 +auto_NON_ZERO SHAMT_13 114 1 +auto_NON_ZERO SHAMT_14 234 1 +auto_NON_ZERO SHAMT_15 142 1 +auto_NON_ZERO SHAMT_16 157 1 +auto_NON_ZERO SHAMT_17 149 1 +auto_NON_ZERO SHAMT_18 203 1 +auto_NON_ZERO SHAMT_19 131 1 +auto_NON_ZERO SHAMT_1a 166 1 +auto_NON_ZERO SHAMT_1b 140 1 +auto_NON_ZERO SHAMT_1c 970 1 +auto_NON_ZERO SHAMT_1d 154 1 +auto_NON_ZERO SHAMT_1e 263 1 +auto_NON_ZERO SHAMT_1f 1054 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32i_srl_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32i_srl_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 388 0 388 100.00 +Crosses 64 0 64 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32i_srl_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 32 +cp_rs2 32 0 32 100.00 100 1 1 32 +cp_rd 32 0 32 100.00 100 1 1 32 +cp_rd_rs1_hazard 32 0 32 100.00 100 1 1 0 +cp_rd_rs2_hazard 32 0 32 100.00 100 1 1 0 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs2_value 32 0 32 100.00 100 1 1 0 +cp_rd_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rd_toggle 64 0 64 100.00 100 1 1 0 + + +Crosses for Group Instance uvma_isacov_pkg.rv32i_srl_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rd_rs1_rs2 0 0 0 1 0 +cross_rs1_rs2_value 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +auto[0] 602 1 +auto[1] 641 1 +auto[2] 561 1 +auto[3] 618 1 +auto[4] 610 1 +auto[5] 601 1 +auto[6] 654 1 +auto[7] 689 1 +auto[8] 622 1 +auto[9] 635 1 +auto[10] 585 1 +auto[11] 593 1 +auto[12] 625 1 +auto[13] 683 1 +auto[14] 595 1 +auto[15] 624 1 +auto[16] 649 1 +auto[17] 675 1 +auto[18] 619 1 +auto[19] 617 1 +auto[20] 608 1 +auto[21] 646 1 +auto[22] 634 1 +auto[23] 659 1 +auto[24] 735 1 +auto[25] 614 1 +auto[26] 624 1 +auto[27] 608 1 +auto[28] 643 1 +auto[29] 636 1 +auto[30] 690 1 +auto[31] 674 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +auto[0] 677 1 +auto[1] 637 1 +auto[2] 708 1 +auto[3] 567 1 +auto[4] 601 1 +auto[5] 611 1 +auto[6] 618 1 +auto[7] 576 1 +auto[8] 684 1 +auto[9] 685 1 +auto[10] 651 1 +auto[11] 626 1 +auto[12] 656 1 +auto[13] 597 1 +auto[14] 667 1 +auto[15] 639 1 +auto[16] 577 1 +auto[17] 629 1 +auto[18] 666 1 +auto[19] 607 1 +auto[20] 621 1 +auto[21] 584 1 +auto[22] 644 1 +auto[23] 646 1 +auto[24] 682 1 +auto[25] 704 1 +auto[26] 624 1 +auto[27] 604 1 +auto[28] 632 1 +auto[29] 596 1 +auto[30] 643 1 +auto[31] 610 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 32 0 32 100.00 + + +Automatically Generated Bins for cp_rd + + +Bins + +NAME COUNT AT LEAST +auto[0] 634 1 +auto[1] 630 1 +auto[2] 639 1 +auto[3] 605 1 +auto[4] 668 1 +auto[5] 646 1 +auto[6] 652 1 +auto[7] 602 1 +auto[8] 585 1 +auto[9] 606 1 +auto[10] 661 1 +auto[11] 617 1 +auto[12] 651 1 +auto[13] 615 1 +auto[14] 626 1 +auto[15] 580 1 +auto[16] 631 1 +auto[17] 666 1 +auto[18] 594 1 +auto[19] 692 1 +auto[20] 609 1 +auto[21] 554 1 +auto[22] 651 1 +auto[23] 625 1 +auto[24] 652 1 +auto[25] 672 1 +auto[26] 680 1 +auto[27] 638 1 +auto[28] 723 1 +auto[29] 620 1 +auto[30] 609 1 +auto[31] 636 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs1_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs1_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 56 1 +RD_01 68 1 +RD_02 57 1 +RD_03 66 1 +RD_04 78 1 +RD_05 70 1 +RD_06 73 1 +RD_07 75 1 +RD_08 60 1 +RD_09 67 1 +RD_0a 60 1 +RD_0b 69 1 +RD_0c 82 1 +RD_0d 67 1 +RD_0e 60 1 +RD_0f 65 1 +RD_10 65 1 +RD_11 75 1 +RD_12 80 1 +RD_13 71 1 +RD_14 62 1 +RD_15 53 1 +RD_16 67 1 +RD_17 63 1 +RD_18 70 1 +RD_19 74 1 +RD_1a 76 1 +RD_1b 61 1 +RD_1c 73 1 +RD_1d 66 1 +RD_1e 74 1 +RD_1f 64 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_rs2_hazard + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rd_rs2_hazard + + +Bins + +NAME COUNT AT LEAST +RD_00 65 1 +RD_01 72 1 +RD_02 63 1 +RD_03 63 1 +RD_04 71 1 +RD_05 75 1 +RD_06 91 1 +RD_07 59 1 +RD_08 57 1 +RD_09 69 1 +RD_0a 76 1 +RD_0b 74 1 +RD_0c 84 1 +RD_0d 71 1 +RD_0e 67 1 +RD_0f 68 1 +RD_10 60 1 +RD_11 80 1 +RD_12 78 1 +RD_13 72 1 +RD_14 63 1 +RD_15 62 1 +RD_16 61 1 +RD_17 76 1 +RD_18 78 1 +RD_19 73 1 +RD_1a 67 1 +RD_1b 71 1 +RD_1c 77 1 +RD_1d 69 1 +RD_1e 78 1 +RD_1f 45 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 6965 1 +auto_NON_ZERO 13304 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2_value + + +Bins + +NAME COUNT AT LEAST +SHAMT_00 9312 1 +SHAMT_01 1522 1 +SHAMT_02 342 1 +SHAMT_03 280 1 +SHAMT_04 314 1 +SHAMT_05 183 1 +SHAMT_06 229 1 +SHAMT_07 193 1 +SHAMT_08 350 1 +SHAMT_09 207 1 +SHAMT_0a 240 1 +SHAMT_0b 197 1 +SHAMT_0c 257 1 +SHAMT_0d 157 1 +SHAMT_0e 218 1 +SHAMT_0f 223 1 +SHAMT_10 364 1 +SHAMT_11 195 1 +SHAMT_12 189 1 +SHAMT_13 152 1 +SHAMT_14 253 1 +SHAMT_15 170 1 +SHAMT_16 191 1 +SHAMT_17 185 1 +SHAMT_18 264 1 +SHAMT_19 166 1 +SHAMT_1a 227 1 +SHAMT_1b 197 1 +SHAMT_1c 1386 1 +SHAMT_1d 229 1 +SHAMT_1e 341 1 +SHAMT_1f 1536 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rd_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 9477 1 +auto_NON_ZERO 10792 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6396 1 +BIT30_1 4118 1 +BIT29_1 4089 1 +BIT28_1 4187 1 +BIT27_1 4038 1 +BIT26_1 3949 1 +BIT25_1 3887 1 +BIT24_1 4015 1 +BIT23_1 3830 1 +BIT22_1 3910 1 +BIT21_1 3946 1 +BIT20_1 3895 1 +BIT19_1 3887 1 +BIT18_1 3858 1 +BIT17_1 3843 1 +BIT16_1 4148 1 +BIT15_1 5078 1 +BIT14_1 5047 1 +BIT13_1 5400 1 +BIT12_1 5010 1 +BIT11_1 5715 1 +BIT10_1 5730 1 +BIT9_1 4815 1 +BIT8_1 4270 1 +BIT7_1 5369 1 +BIT6_1 4623 1 +BIT5_1 4898 1 +BIT4_1 6259 1 +BIT3_1 6230 1 +BIT2_1 6262 1 +BIT1_1 4898 1 +BIT0_1 5651 1 +BIT31_0 13873 1 +BIT30_0 16151 1 +BIT29_0 16180 1 +BIT28_0 16082 1 +BIT27_0 16231 1 +BIT26_0 16320 1 +BIT25_0 16382 1 +BIT24_0 16254 1 +BIT23_0 16439 1 +BIT22_0 16359 1 +BIT21_0 16323 1 +BIT20_0 16374 1 +BIT19_0 16382 1 +BIT18_0 16411 1 +BIT17_0 16426 1 +BIT16_0 16121 1 +BIT15_0 15191 1 +BIT14_0 15222 1 +BIT13_0 14869 1 +BIT12_0 15259 1 +BIT11_0 14554 1 +BIT10_0 14539 1 +BIT9_0 15454 1 +BIT8_0 15999 1 +BIT7_0 14900 1 +BIT6_0 15646 1 +BIT5_0 15371 1 +BIT4_0 14010 1 +BIT3_0 14039 1 +BIT2_0 14007 1 +BIT1_0 15371 1 +BIT0_0 14618 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 6184 1 +BIT30_1 4088 1 +BIT29_1 4048 1 +BIT28_1 4143 1 +BIT27_1 3982 1 +BIT26_1 3944 1 +BIT25_1 3846 1 +BIT24_1 3947 1 +BIT23_1 3907 1 +BIT22_1 3911 1 +BIT21_1 3968 1 +BIT20_1 3940 1 +BIT19_1 3899 1 +BIT18_1 3905 1 +BIT17_1 3867 1 +BIT16_1 4076 1 +BIT15_1 4957 1 +BIT14_1 4925 1 +BIT13_1 5174 1 +BIT12_1 4978 1 +BIT11_1 5452 1 +BIT10_1 5467 1 +BIT9_1 4844 1 +BIT8_1 4291 1 +BIT7_1 5288 1 +BIT6_1 4715 1 +BIT5_1 4846 1 +BIT4_1 6045 1 +BIT3_1 6195 1 +BIT2_1 6065 1 +BIT1_1 4940 1 +BIT0_1 5792 1 +BIT31_0 14085 1 +BIT30_0 16181 1 +BIT29_0 16221 1 +BIT28_0 16126 1 +BIT27_0 16287 1 +BIT26_0 16325 1 +BIT25_0 16423 1 +BIT24_0 16322 1 +BIT23_0 16362 1 +BIT22_0 16358 1 +BIT21_0 16301 1 +BIT20_0 16329 1 +BIT19_0 16370 1 +BIT18_0 16364 1 +BIT17_0 16402 1 +BIT16_0 16193 1 +BIT15_0 15312 1 +BIT14_0 15344 1 +BIT13_0 15095 1 +BIT12_0 15291 1 +BIT11_0 14817 1 +BIT10_0 14802 1 +BIT9_0 15425 1 +BIT8_0 15978 1 +BIT7_0 14981 1 +BIT6_0 15554 1 +BIT5_0 15423 1 +BIT4_0 14224 1 +BIT3_0 14074 1 +BIT2_0 14204 1 +BIT1_0 15329 1 +BIT0_0 14477 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rd_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rd_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2511 1 +BIT30_1 2083 1 +BIT29_1 2063 1 +BIT28_1 2099 1 +BIT27_1 2186 1 +BIT26_1 2100 1 +BIT25_1 2126 1 +BIT24_1 2249 1 +BIT23_1 2229 1 +BIT22_1 2208 1 +BIT21_1 2364 1 +BIT20_1 2374 1 +BIT19_1 2453 1 +BIT18_1 2337 1 +BIT17_1 2410 1 +BIT16_1 2608 1 +BIT15_1 3080 1 +BIT14_1 3208 1 +BIT13_1 3394 1 +BIT12_1 3277 1 +BIT11_1 3559 1 +BIT10_1 3618 1 +BIT9_1 3452 1 +BIT8_1 3098 1 +BIT7_1 3530 1 +BIT6_1 3313 1 +BIT5_1 3526 1 +BIT4_1 4098 1 +BIT3_1 4706 1 +BIT2_1 4606 1 +BIT1_1 4185 1 +BIT0_1 5172 1 +BIT31_0 17758 1 +BIT30_0 18186 1 +BIT29_0 18206 1 +BIT28_0 18170 1 +BIT27_0 18083 1 +BIT26_0 18169 1 +BIT25_0 18143 1 +BIT24_0 18020 1 +BIT23_0 18040 1 +BIT22_0 18061 1 +BIT21_0 17905 1 +BIT20_0 17895 1 +BIT19_0 17816 1 +BIT18_0 17932 1 +BIT17_0 17859 1 +BIT16_0 17661 1 +BIT15_0 17189 1 +BIT14_0 17061 1 +BIT13_0 16875 1 +BIT12_0 16992 1 +BIT11_0 16710 1 +BIT10_0 16651 1 +BIT9_0 16817 1 +BIT8_0 17171 1 +BIT7_0 16739 1 +BIT6_0 16956 1 +BIT5_0 16743 1 +BIT4_0 16171 1 +BIT3_0 15563 1 +BIT2_0 15663 1 +BIT1_0 16084 1 +BIT0_0 15097 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rd_rs1_rs2 + + +Samples crossed: cp_rd cp_rs1 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +User Defined Cross Bins 0 0 0 + + +User Defined Cross Bins for cross_rd_rs1_rs2 + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_OFF 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs1_rs2_value + + +Samples crossed: cp_rs1_value cp_rs2_value +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 64 0 64 100.00 + + +Automatically Generated Cross Bins for cross_rs1_rs2_value + + +Excluded/Illegal bins + +cp_rs1_value cp_rs2_value COUNT STATUS +[auto_POSITIVE , auto_NEGATIVE] [SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f] -- Excluded (64 bins) + + +Covered bins + +cp_rs1_value cp_rs2_value COUNT AT LEAST +auto_ZERO SHAMT_00 3977 1 +auto_ZERO SHAMT_01 422 1 +auto_ZERO SHAMT_02 88 1 +auto_ZERO SHAMT_03 59 1 +auto_ZERO SHAMT_04 80 1 +auto_ZERO SHAMT_05 57 1 +auto_ZERO SHAMT_06 62 1 +auto_ZERO SHAMT_07 59 1 +auto_ZERO SHAMT_08 93 1 +auto_ZERO SHAMT_09 52 1 +auto_ZERO SHAMT_0a 60 1 +auto_ZERO SHAMT_0b 46 1 +auto_ZERO SHAMT_0c 70 1 +auto_ZERO SHAMT_0d 43 1 +auto_ZERO SHAMT_0e 60 1 +auto_ZERO SHAMT_0f 66 1 +auto_ZERO SHAMT_10 94 1 +auto_ZERO SHAMT_11 56 1 +auto_ZERO SHAMT_12 43 1 +auto_ZERO SHAMT_13 42 1 +auto_ZERO SHAMT_14 77 1 +auto_ZERO SHAMT_15 40 1 +auto_ZERO SHAMT_16 52 1 +auto_ZERO SHAMT_17 47 1 +auto_ZERO SHAMT_18 97 1 +auto_ZERO SHAMT_19 33 1 +auto_ZERO SHAMT_1a 72 1 +auto_ZERO SHAMT_1b 47 1 +auto_ZERO SHAMT_1c 412 1 +auto_ZERO SHAMT_1d 66 1 +auto_ZERO SHAMT_1e 93 1 +auto_ZERO SHAMT_1f 400 1 +auto_NON_ZERO SHAMT_00 5335 1 +auto_NON_ZERO SHAMT_01 1100 1 +auto_NON_ZERO SHAMT_02 254 1 +auto_NON_ZERO SHAMT_03 221 1 +auto_NON_ZERO SHAMT_04 234 1 +auto_NON_ZERO SHAMT_05 126 1 +auto_NON_ZERO SHAMT_06 167 1 +auto_NON_ZERO SHAMT_07 134 1 +auto_NON_ZERO SHAMT_08 257 1 +auto_NON_ZERO SHAMT_09 155 1 +auto_NON_ZERO SHAMT_0a 180 1 +auto_NON_ZERO SHAMT_0b 151 1 +auto_NON_ZERO SHAMT_0c 187 1 +auto_NON_ZERO SHAMT_0d 114 1 +auto_NON_ZERO SHAMT_0e 158 1 +auto_NON_ZERO SHAMT_0f 157 1 +auto_NON_ZERO SHAMT_10 270 1 +auto_NON_ZERO SHAMT_11 139 1 +auto_NON_ZERO SHAMT_12 146 1 +auto_NON_ZERO SHAMT_13 110 1 +auto_NON_ZERO SHAMT_14 176 1 +auto_NON_ZERO SHAMT_15 130 1 +auto_NON_ZERO SHAMT_16 139 1 +auto_NON_ZERO SHAMT_17 138 1 +auto_NON_ZERO SHAMT_18 167 1 +auto_NON_ZERO SHAMT_19 133 1 +auto_NON_ZERO SHAMT_1a 155 1 +auto_NON_ZERO SHAMT_1b 150 1 +auto_NON_ZERO SHAMT_1c 974 1 +auto_NON_ZERO SHAMT_1d 163 1 +auto_NON_ZERO SHAMT_1e 248 1 +auto_NON_ZERO SHAMT_1f 1136 1 + + +Group : uvma_isacov_pkg::cg_cr_j + +=============================================================================== +Group : uvma_isacov_pkg::cg_cr_j +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv + +2 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_jalr_cg +100.00 1 100 1 64 64 uvma_isacov_pkg.rv32c_jr_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvma_isacov_pkg::cg_cr_j + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 97 0 97 100.00 + + +Variables for Group uvma_isacov_pkg::cg_cr_j + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_jalr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_j + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_jalr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 97 0 97 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_jalr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 8 1 +auto[2] 3 1 +auto[3] 14 1 +auto[4] 9 1 +auto[5] 8 1 +auto[6] 4 1 +auto[7] 18 1 +auto[8] 12 1 +auto[9] 31 1 +auto[10] 17 1 +auto[11] 6 1 +auto[12] 16 1 +auto[13] 7 1 +auto[14] 5 1 +auto[15] 4 1 +auto[16] 9 1 +auto[17] 11 1 +auto[18] 3 1 +auto[19] 10 1 +auto[20] 6 1 +auto[21] 3 1 +auto[22] 3 1 +auto[23] 1 1 +auto[24] 6 1 +auto[25] 9 1 +auto[26] 3 1 +auto[27] 15 1 +auto[28] 19 1 +auto[29] 12 1 +auto[30] 10 1 +auto[31] 20 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 301 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 301 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 1 1 +BIT15_1 1 1 +BIT14_1 1 1 +BIT13_1 32 1 +BIT12_1 32 1 +BIT11_1 82 1 +BIT10_1 98 1 +BIT9_1 152 1 +BIT8_1 178 1 +BIT7_1 144 1 +BIT6_1 128 1 +BIT5_1 155 1 +BIT4_1 137 1 +BIT3_1 142 1 +BIT2_1 135 1 +BIT1_1 121 1 +BIT0_1 131 1 +BIT31_0 1 1 +BIT30_0 301 1 +BIT29_0 301 1 +BIT28_0 301 1 +BIT27_0 301 1 +BIT26_0 301 1 +BIT25_0 301 1 +BIT24_0 301 1 +BIT23_0 301 1 +BIT22_0 301 1 +BIT21_0 301 1 +BIT20_0 301 1 +BIT19_0 301 1 +BIT18_0 301 1 +BIT17_0 301 1 +BIT16_0 301 1 +BIT15_0 301 1 +BIT14_0 301 1 +BIT13_0 270 1 +BIT12_0 270 1 +BIT11_0 220 1 +BIT10_0 204 1 +BIT9_0 150 1 +BIT8_0 124 1 +BIT7_0 158 1 +BIT6_0 174 1 +BIT5_0 147 1 +BIT4_0 165 1 +BIT3_0 160 1 +BIT2_0 167 1 +BIT1_0 181 1 +BIT0_0 171 1 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvma_isacov_pkg.rv32c_jr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvma_isacov_pkg::cg_cr_j + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvma_isacov_pkg.rv32c_jr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 97 0 97 100.00 + + +Variables for Group Instance uvma_isacov_pkg.rv32c_jr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_c_rdrs1 31 0 31 100.00 100 1 1 32 +cp_rs1_value 2 0 2 100.00 100 1 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_c_rdrs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 31 0 31 100.00 + + +Automatically Generated Bins for cp_c_rdrs1 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RDRS1_NOT_ZERO 0 Excluded +[auto[0]] 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto[1] 96 1 +auto[2] 8 1 +auto[3] 10 1 +auto[4] 15 1 +auto[5] 17 1 +auto[6] 5 1 +auto[7] 2 1 +auto[8] 12 1 +auto[9] 9 1 +auto[10] 9 1 +auto[11] 10 1 +auto[12] 7 1 +auto[13] 11 1 +auto[14] 4 1 +auto[15] 3 1 +auto[16] 4 1 +auto[17] 15 1 +auto[18] 9 1 +auto[19] 22 1 +auto[20] 6 1 +auto[21] 8 1 +auto[22] 14 1 +auto[23] 7 1 +auto[24] 1 1 +auto[25] 5 1 +auto[26] 3 1 +auto[27] 5 1 +auto[28] 1 1 +auto[29] 34 1 +auto[30] 8 1 +auto[31] 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_value + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Automatically Generated Bins 2 0 2 100.00 + + +Automatically Generated Bins for cp_rs1_value + + +Excluded/Illegal bins + +NAME COUNT STATUS +auto_POSITIVE 0 Excluded +auto_NEGATIVE 0 Excluded +NEG_OFF 0 Excluded +POS_OFF 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +auto_ZERO 1 1 +auto_NON_ZERO 360 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 360 1 +BIT30_1 1 1 +BIT29_1 1 1 +BIT28_1 1 1 +BIT27_1 1 1 +BIT26_1 1 1 +BIT25_1 1 1 +BIT24_1 1 1 +BIT23_1 1 1 +BIT22_1 1 1 +BIT21_1 1 1 +BIT20_1 1 1 +BIT19_1 1 1 +BIT18_1 1 1 +BIT17_1 1 1 +BIT16_1 5 1 +BIT15_1 11 1 +BIT14_1 39 1 +BIT13_1 78 1 +BIT12_1 88 1 +BIT11_1 124 1 +BIT10_1 115 1 +BIT9_1 147 1 +BIT8_1 204 1 +BIT7_1 174 1 +BIT6_1 170 1 +BIT5_1 189 1 +BIT4_1 157 1 +BIT3_1 211 1 +BIT2_1 170 1 +BIT1_1 141 1 +BIT0_1 131 1 +BIT31_0 1 1 +BIT30_0 360 1 +BIT29_0 360 1 +BIT28_0 360 1 +BIT27_0 360 1 +BIT26_0 360 1 +BIT25_0 360 1 +BIT24_0 360 1 +BIT23_0 360 1 +BIT22_0 360 1 +BIT21_0 360 1 +BIT20_0 360 1 +BIT19_0 360 1 +BIT18_0 360 1 +BIT17_0 360 1 +BIT16_0 356 1 +BIT15_0 350 1 +BIT14_0 322 1 +BIT13_0 283 1 +BIT12_0 273 1 +BIT11_0 237 1 +BIT10_0 246 1 +BIT9_0 214 1 +BIT8_0 157 1 +BIT7_0 187 1 +BIT6_0 191 1 +BIT5_0 172 1 +BIT4_0 204 1 +BIT3_0 150 1 +BIT2_0 191 1 +BIT1_0 220 1 +BIT0_0 230 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr6.pmpaddr6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR6 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 176 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER5 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr37.pmpaddr37__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR37 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR37 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 23 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 1 0 1 100.00 100 1 1 0 +PMP58CFG 1 0 1 100.00 100 1 1 0 +PMP57CFG 1 0 1 100.00 100 1 1 0 +PMP56CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg14.pmpcfg14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 1 0 1 100.00 100 1 1 0 +PMP58CFG 1 0 1 100.00 100 1 1 0 +PMP57CFG 1 0 1 100.00 100 1 1 0 +PMP56CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP59CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP59CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP58CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP58CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP57CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP57CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP56CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP56CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +Group : uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycleh::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycleh.mcycleh__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycleh.mcycleh__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycleh.mcycleh__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mcycleh.mcycleh__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLEH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MCYCLEH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 71 1 +other_values[1431655766:2863311530] 9 1 +other_values[2863311531:ffffffff] 11 1 +reset_value 181 1 + + +Group : uvme_cva6_pkg::reg_minstreth::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstreth::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstreth.minstreth__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstreth.minstreth__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstreth.minstreth__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.minstreth.minstreth__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRETH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MINSTRETH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 71 1 +other_values[1431655766:2863311530] 8 1 +other_values[2863311531:ffffffff] 12 1 +reset_value 166 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER16 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 20 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 1 0 1 100.00 100 1 1 0 +PMP30CFG 1 0 1 100.00 100 1 1 0 +PMP29CFG 1 0 1 100.00 100 1 1 0 +PMP28CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg7.pmpcfg7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 1 0 1 100.00 100 1 1 0 +PMP30CFG 1 0 1 100.00 100 1 1 0 +PMP29CFG 1 0 1 100.00 100 1 1 0 +PMP28CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP31CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP31CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP30CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP30CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP29CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP29CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP28CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP28CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 205 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent25.mhpmevent25__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT25 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent3.mhpmevent3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT3 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 215 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr40.pmpaddr40__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR40 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR40 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER22 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr43.pmpaddr43__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR43 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR43 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 129 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr28.pmpaddr28__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR28 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 21 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent24.mhpmevent24__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT24 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr5.pmpaddr5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR5 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 45 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER8H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 235 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent27.mhpmevent27__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT27 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 34 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr22.pmpaddr22__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR22 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 150 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr60.pmpaddr60__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR60 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR60 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr49.pmpaddr49__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR49 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR49 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 28 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent26.mhpmevent26__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT26 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mtvec::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtvec::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtvec.mtvec__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtvec.mtvec__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtvec.mtvec__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group Instance csr_reg_cov.mtvec.mtvec__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable BASE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for BASE + + +Bins + +NAME COUNT AT LEAST +other_values 2416 1 +reset_value 9 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MODE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MODE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 8 1 +illegal_values[2:3] 16 1 +legal_values 2401 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER11 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent20.mhpmevent20__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT20 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 46 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr47.pmpaddr47__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR47 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR47 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 134 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent21.mhpmevent21__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT21 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr26.pmpaddr26__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR26 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 135 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent22.mhpmevent22__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT22 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER29 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER7H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 215 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent23.mhpmevent23__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT23 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mcycle::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycle::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycle.mcycle__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycle.mcycle__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycle.mcycle__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mcycle.mcycle__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MCYCLE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 94 1 +other_values[1431655766:2863311530] 15 1 +other_values[2863311531:ffffffff] 20 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 4 0 4 100.00 100 1 1 0 +PMP14CFG 4 0 4 100.00 100 1 1 0 +PMP13CFG 4 0 4 100.00 100 1 1 0 +PMP12CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg3.pmpcfg3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 4 0 4 100.00 100 1 1 0 +PMP14CFG 4 0 4 100.00 100 1 1 0 +PMP13CFG 4 0 4 100.00 100 1 1 0 +PMP12CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP15CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP15CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 16 1 +legal_values 124 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP14CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP14CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 11 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 18 1 +legal_values 126 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP13CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP13CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 18 1 +legal_values 122 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP12CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP12CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 90 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 14 1 +legal_values 44 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent9.mhpmevent9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT9 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr62.pmpaddr62__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR62 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR62 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 7 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER20 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr20.pmpaddr20__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR20 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 32 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 15 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 1 0 1 100.00 100 1 1 0 +PMP22CFG 1 0 1 100.00 100 1 1 0 +PMP21CFG 1 0 1 100.00 100 1 1 0 +PMP20CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg5.pmpcfg5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 1 0 1 100.00 100 1 1 0 +PMP22CFG 1 0 1 100.00 100 1 1 0 +PMP21CFG 1 0 1 100.00 100 1 1 0 +PMP20CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP23CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP23CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP22CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP22CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP21CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP21CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP20CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP20CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 1 0 1 100.00 100 1 1 0 +PMP6CFG 1 0 1 100.00 100 1 1 0 +PMP5CFG 1 0 1 100.00 100 1 1 0 +PMP4CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg1.pmpcfg1__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 1 0 1 100.00 100 1 1 0 +PMP6CFG 1 0 1 100.00 100 1 1 0 +PMP5CFG 1 0 1 100.00 100 1 1 0 +PMP4CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP7CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP7CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP6CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP6CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP5CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP5CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP4CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP4CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 254 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER18 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 222 1 + + +Group : uvme_cva6_pkg::reg_mstatus::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatus::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatus.mstatus__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 1 0 1 100.00 100 1 1 0 +SPELP 1 0 1 100.00 100 1 1 0 +TSR 1 0 1 100.00 100 1 1 0 +TW 1 0 1 100.00 100 1 1 0 +TVM 1 0 1 100.00 100 1 1 0 +MXR 1 0 1 100.00 100 1 1 0 +SUM 1 0 1 100.00 100 1 1 0 +MPRV 1 0 1 100.00 100 1 1 0 +XS 1 0 1 100.00 100 1 1 0 +FS 1 0 1 100.00 100 1 1 0 +MPP 1 0 1 100.00 100 1 1 0 +SPP 1 0 1 100.00 100 1 1 0 +MPIE 2 0 2 100.00 100 1 1 0 +UBE 1 0 1 100.00 100 1 1 0 +SPIE 1 0 1 100.00 100 1 1 0 +UPIE 1 0 1 100.00 100 1 1 0 +MIE 2 0 2 100.00 100 1 1 0 +SIE 1 0 1 100.00 100 1 1 0 +UIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatus.mstatus__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatus.mstatus__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 21 0 21 100.00 + + +Variables for Group Instance csr_reg_cov.mstatus.mstatus__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 1 0 1 100.00 100 1 1 0 +SPELP 1 0 1 100.00 100 1 1 0 +TSR 1 0 1 100.00 100 1 1 0 +TW 1 0 1 100.00 100 1 1 0 +TVM 1 0 1 100.00 100 1 1 0 +MXR 1 0 1 100.00 100 1 1 0 +SUM 1 0 1 100.00 100 1 1 0 +MPRV 1 0 1 100.00 100 1 1 0 +XS 1 0 1 100.00 100 1 1 0 +FS 1 0 1 100.00 100 1 1 0 +MPP 1 0 1 100.00 100 1 1 0 +SPP 1 0 1 100.00 100 1 1 0 +MPIE 2 0 2 100.00 100 1 1 0 +UBE 1 0 1 100.00 100 1 1 0 +SPIE 1 0 1 100.00 100 1 1 0 +UPIE 1 0 1 100.00 100 1 1 0 +MIE 2 0 2 100.00 100 1 1 0 +SIE 1 0 1 100.00 100 1 1 0 +UIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SD + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SD + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SPELP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TSR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for TSR + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TW + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for TW + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TVM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for TVM + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MXR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MXR + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SUM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SUM + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPRV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPRV + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable XS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for XS + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable FS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for FS + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPP + + +Bins + +NAME COUNT AT LEAST +legal_values_3 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SPP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 212152 1 +reset_value 53418 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UBE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SPIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UPIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 57 1 +reset_value 265513 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 265570 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr41.pmpaddr41__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR41 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR41 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER13 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 204 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr4.pmpaddr4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR4 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 158 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr24.pmpaddr24__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR24 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent28.mhpmevent28__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT28 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent29.mhpmevent29__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT29 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr45.pmpaddr45__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR45 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR45 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 23 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 14 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER15 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent19.mhpmevent19__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT19 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 208 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr53.pmpaddr53__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR53 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR53 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 136 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr11.pmpaddr11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR11 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 177 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER30 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 4 0 4 100.00 100 1 1 0 +PMP18CFG 4 0 4 100.00 100 1 1 0 +PMP17CFG 4 0 4 100.00 100 1 1 0 +PMP16CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg4.pmpcfg4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 4 0 4 100.00 100 1 1 0 +PMP18CFG 4 0 4 100.00 100 1 1 0 +PMP17CFG 4 0 4 100.00 100 1 1 0 +PMP16CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP19CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP19CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 7 1 +legal_values 102 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP18CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP18CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 9 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP17CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP17CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 10 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP16CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP16CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 72 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 10 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent18.mhpmevent18__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT18 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 + + +Group : uvme_cva6_pkg::reg_mtval::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtval::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtval.mtval__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtval::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtval::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtval.mtval__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtval.mtval__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mtval.mtval__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MTVAL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MTVAL + + +Bins + +NAME COUNT AT LEAST +legal_values_0 283 1 + + +Group : uvme_cva6_pkg::reg_mcycle::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycle::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycle.mcycle__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycle.mcycle__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycle.mcycle__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mcycle.mcycle__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MCYCLE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 245 1 +other_values[1431655766:2863311530] 13 1 +other_values[2863311531:ffffffff] 17 1 +reset_value 3 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr38.pmpaddr38__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR38 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR38 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 14 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr32.pmpaddr32__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR32 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR32 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 7 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr59.pmpaddr59__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR59 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR59 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent6.mhpmevent6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT6 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER5 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 44 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr57.pmpaddr57__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR57 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR57 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 114 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr15.pmpaddr15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR15 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 188 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER26 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mie::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mie::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mie.mie__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mie::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mie::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 2 0 2 100.00 100 1 1 0 +MEIE 1 0 1 100.00 100 1 1 0 +VSEIE 2 0 2 100.00 100 1 1 0 +SEIE 2 0 2 100.00 100 1 1 0 +UEIE 2 0 2 100.00 100 1 1 0 +MTIE 1 0 1 100.00 100 1 1 0 +VSTIE 2 0 2 100.00 100 1 1 0 +STIE 2 0 2 100.00 100 1 1 0 +UTIE 2 0 2 100.00 100 1 1 0 +MSIE 2 0 2 100.00 100 1 1 0 +VSSIE 2 0 2 100.00 100 1 1 0 +SSIE 2 0 2 100.00 100 1 1 0 +USIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mie.mie__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mie.mie__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 + + +Variables for Group Instance csr_reg_cov.mie.mie__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 2 0 2 100.00 100 1 1 0 +MEIE 1 0 1 100.00 100 1 1 0 +VSEIE 2 0 2 100.00 100 1 1 0 +SEIE 2 0 2 100.00 100 1 1 0 +UEIE 2 0 2 100.00 100 1 1 0 +MTIE 1 0 1 100.00 100 1 1 0 +VSTIE 2 0 2 100.00 100 1 1 0 +STIE 2 0 2 100.00 100 1 1 0 +UTIE 2 0 2 100.00 100 1 1 0 +MSIE 2 0 2 100.00 100 1 1 0 +VSSIE 2 0 2 100.00 100 1 1 0 +SSIE 2 0 2 100.00 100 1 1 0 +USIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SGEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 41 1 +legal_values 2514 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MEIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 195 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 40 1 +legal_values 2515 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 198 1 +legal_values 2357 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UEIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 194 1 +legal_values 2361 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MTIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 193 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSTIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 38 1 +legal_values 2517 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for STIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 191 1 +legal_values 2364 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UTIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 235 1 +legal_values 2320 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MSIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 235 1 +legal_values 2320 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSSIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 78 1 +legal_values 2477 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SSIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 227 1 +legal_values 2328 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for USIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 234 1 +legal_values 2321 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr5.pmpaddr5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR5 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 177 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr36.pmpaddr36__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR36 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR36 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 122 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr25.pmpaddr25__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR25 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 124 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr7.pmpaddr7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR7 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 185 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER4 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 192 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr19.pmpaddr19__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR19 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 122 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent13.mhpmevent13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT13 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 199 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 1 0 1 100.00 100 1 1 0 +PMP38CFG 1 0 1 100.00 100 1 1 0 +PMP37CFG 1 0 1 100.00 100 1 1 0 +PMP36CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg9.pmpcfg9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 1 0 1 100.00 100 1 1 0 +PMP38CFG 1 0 1 100.00 100 1 1 0 +PMP37CFG 1 0 1 100.00 100 1 1 0 +PMP36CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP39CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP39CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP38CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP38CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP37CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP37CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP36CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP36CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 221 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr4.pmpaddr4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR4 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 41 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER17 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 179 1 + + +Group : uvme_cva6_pkg::reg_mstatus::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatus::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatus.mstatus__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 39 0 39 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 2 0 2 100.00 100 1 1 0 +SPELP 2 0 2 100.00 100 1 1 0 +TSR 2 0 2 100.00 100 1 1 0 +TW 2 0 2 100.00 100 1 1 0 +TVM 2 0 2 100.00 100 1 1 0 +MXR 2 0 2 100.00 100 1 1 0 +SUM 2 0 2 100.00 100 1 1 0 +MPRV 2 0 2 100.00 100 1 1 0 +XS 3 0 3 100.00 100 1 1 0 +FS 3 0 3 100.00 100 1 1 0 +MPP 3 0 3 100.00 100 1 1 0 +SPP 2 0 2 100.00 100 1 1 0 +MPIE 1 0 1 100.00 100 1 1 0 +UBE 2 0 2 100.00 100 1 1 0 +SPIE 2 0 2 100.00 100 1 1 0 +UPIE 2 0 2 100.00 100 1 1 0 +MIE 1 0 1 100.00 100 1 1 0 +SIE 2 0 2 100.00 100 1 1 0 +UIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatus.mstatus__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatus.mstatus__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 39 0 39 100.00 + + +Variables for Group Instance csr_reg_cov.mstatus.mstatus__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SD 2 0 2 100.00 100 1 1 0 +SPELP 2 0 2 100.00 100 1 1 0 +TSR 2 0 2 100.00 100 1 1 0 +TW 2 0 2 100.00 100 1 1 0 +TVM 2 0 2 100.00 100 1 1 0 +MXR 2 0 2 100.00 100 1 1 0 +SUM 2 0 2 100.00 100 1 1 0 +MPRV 2 0 2 100.00 100 1 1 0 +XS 3 0 3 100.00 100 1 1 0 +FS 3 0 3 100.00 100 1 1 0 +MPP 3 0 3 100.00 100 1 1 0 +SPP 2 0 2 100.00 100 1 1 0 +MPIE 1 0 1 100.00 100 1 1 0 +UBE 2 0 2 100.00 100 1 1 0 +SPIE 2 0 2 100.00 100 1 1 0 +UPIE 2 0 2 100.00 100 1 1 0 +MIE 1 0 1 100.00 100 1 1 0 +SIE 2 0 2 100.00 100 1 1 0 +UIE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SD + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SD + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 35 1 +legal_values 2954 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SPELP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 25 1 +legal_values 2964 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TSR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for TSR + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 28 1 +legal_values 2961 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TW + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for TW + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 28 1 +legal_values 2961 1 + + +------------------------------------------------------------------------------- + +Summary for Variable TVM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for TVM + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 2959 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MXR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MXR + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 2959 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SUM + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SUM + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 2959 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPRV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPRV + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 28 1 +legal_values 2961 1 + + +------------------------------------------------------------------------------- + +Summary for Variable XS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for XS + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 9 1 +illegal_values[2:3] 37 1 +legal_values 2943 1 + + +------------------------------------------------------------------------------- + +Summary for Variable FS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for FS + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 9 1 +illegal_values[2:3] 44 1 +legal_values 2936 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MPP + + +Bins + +NAME COUNT AT LEAST +illegal_values[0] 604 1 +illegal_values[1:2] 19 1 +legal_values 2366 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SPP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 34 1 +legal_values 2955 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 186 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UBE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 33 1 +legal_values 2956 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SPIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 182 1 +legal_values 2807 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UPIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UPIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 222 1 +legal_values 2767 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 74 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 225 1 +legal_values 2764 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UIE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 72 1 +legal_values 2917 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr30.pmpaddr30__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR30 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent12.mhpmevent12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT12 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 208 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 4 0 4 100.00 100 1 1 0 +PMP42CFG 4 0 4 100.00 100 1 1 0 +PMP41CFG 4 0 4 100.00 100 1 1 0 +PMP40CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg10.pmpcfg10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 4 0 4 100.00 100 1 1 0 +PMP42CFG 4 0 4 100.00 100 1 1 0 +PMP41CFG 4 0 4 100.00 100 1 1 0 +PMP40CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP43CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP43CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 9 1 +legal_values 100 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP42CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP42CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 9 1 +legal_values 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP41CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP41CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 2 1 +illegal_values[ab:ff] 9 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP40CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP40CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 77 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 7 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mip::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mip::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mip.mip__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mip::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mip::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 1 0 1 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 1 0 1 100.00 100 1 1 0 +SEIP 1 0 1 100.00 100 1 1 0 +UEIP 1 0 1 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 1 0 1 100.00 100 1 1 0 +STIP 1 0 1 100.00 100 1 1 0 +UTIP 1 0 1 100.00 100 1 1 0 +MSIP 1 0 1 100.00 100 1 1 0 +VSSIP 1 0 1 100.00 100 1 1 0 +SSIP 1 0 1 100.00 100 1 1 0 +USIP 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mip.mip__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mip.mip__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group Instance csr_reg_cov.mip.mip__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 1 0 1 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 1 0 1 100.00 100 1 1 0 +SEIP 1 0 1 100.00 100 1 1 0 +UEIP 1 0 1 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 1 0 1 100.00 100 1 1 0 +STIP 1 0 1 100.00 100 1 1 0 +UTIP 1 0 1 100.00 100 1 1 0 +MSIP 1 0 1 100.00 100 1 1 0 +VSSIP 1 0 1 100.00 100 1 1 0 +SSIP 1 0 1 100.00 100 1 1 0 +USIP 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SGEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MEIP + + +Bins + +NAME COUNT AT LEAST +other_values[1] 136518 1 +reset_value 69046 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MTIP + + +Bins + +NAME COUNT AT LEAST +other_values[1] 164505 1 +reset_value 41059 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSTIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for STIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UTIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MSIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSSIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SSIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for USIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205564 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent11.mhpmevent11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT11 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 219 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent10.mhpmevent10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT10 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 198 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER9H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 192 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr13.pmpaddr13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR13 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 42 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr51.pmpaddr51__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR51 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR51 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 40 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 4 0 4 100.00 100 1 1 0 +PMP46CFG 4 0 4 100.00 100 1 1 0 +PMP45CFG 4 0 4 100.00 100 1 1 0 +PMP44CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg11.pmpcfg11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 4 0 4 100.00 100 1 1 0 +PMP46CFG 4 0 4 100.00 100 1 1 0 +PMP45CFG 4 0 4 100.00 100 1 1 0 +PMP44CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP47CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP47CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 7 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP46CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP46CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 8 1 +legal_values 102 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP45CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP45CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 9 1 +legal_values 98 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP44CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP44CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 73 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 7 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER23 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 224 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent31.mhpmevent31__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT31 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 230 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent16.mhpmevent16__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT16 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 211 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 4 0 4 100.00 100 1 1 0 +PMP62CFG 4 0 4 100.00 100 1 1 0 +PMP61CFG 4 0 4 100.00 100 1 1 0 +PMP60CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg15.pmpcfg15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 4 0 4 100.00 100 1 1 0 +PMP62CFG 4 0 4 100.00 100 1 1 0 +PMP61CFG 4 0 4 100.00 100 1 1 0 +PMP60CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP63CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP63CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 15 1 +illegal_values[ab:ff] 8 1 +legal_values 107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP62CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP62CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 2 1 +illegal_values[ab:ff] 8 1 +legal_values 117 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP61CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP61CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 11 1 +legal_values 108 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP60CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP60CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 73 1 +illegal_values[56:aa] 14 1 +illegal_values[ab:ff] 8 1 +legal_values 38 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr34.pmpaddr34__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR34 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR34 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 21 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent5.mhpmevent5__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT5 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 188 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER24 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 200 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent17.mhpmevent17__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT17 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent30.mhpmevent30__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT30 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 199 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent14.mhpmevent14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT14 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 174 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER6H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 215 1 + + +Group : uvme_cva6_pkg::reg_mhartid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhartid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhartid.mhartid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHARTID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhartid.mhartid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhartid.mhartid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhartid.mhartid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHARTID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHARTID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHARTID + + +Bins + +NAME COUNT AT LEAST +legal_values_0 2367 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr17.pmpaddr17__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR17 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 4 0 4 100.00 100 1 1 0 +PMP34CFG 4 0 4 100.00 100 1 1 0 +PMP33CFG 4 0 4 100.00 100 1 1 0 +PMP32CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg8.pmpcfg8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 4 0 4 100.00 100 1 1 0 +PMP34CFG 4 0 4 100.00 100 1 1 0 +PMP33CFG 4 0 4 100.00 100 1 1 0 +PMP32CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP35CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP35CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 11 1 +legal_values 112 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP34CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP34CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 7 1 +legal_values 121 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP33CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP33CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 10 1 +illegal_values[ab:ff] 11 1 +legal_values 111 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP32CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP32CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 83 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 13 1 +legal_values 36 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr55.pmpaddr55__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR55 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR55 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent15.mhpmevent15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT15 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mconfigptr.mconfigptr__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCONFIGPTR 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mconfigptr.mconfigptr__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mconfigptr.mconfigptr__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mconfigptr.mconfigptr__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCONFIGPTR 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCONFIGPTR + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MCONFIGPTR + + +Bins + +NAME COUNT AT LEAST +legal_values_0 6 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr42.pmpaddr42__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR42 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR42 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 141 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER10 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 52 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_mcause::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcause::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcause.mcause__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcause::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcause::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcause.mcause__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcause.mcause__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mcause.mcause__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCAUSE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MCAUSE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 99 1 +other_values[1431655766:2863311530] 16 1 +other_values[2863311531:ffffffff] 20 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr1.pmpaddr1__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR1 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 41 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::cg_illegal_m + +=============================================================================== +Group : uvme_cva6_pkg::cg_illegal_m +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.illegal_m_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_illegal_m + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 12 0 12 100.00 +Crosses 11 0 11 100.00 + + +Variables for Group uvme_cva6_pkg::cg_illegal_m + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 3 0 3 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.illegal_m_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_m + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.illegal_m_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 12 0 12 100.00 +Crosses 11 0 11 100.00 + + +Variables for Group Instance uvme_cva6_pkg.illegal_m_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 3 0 3 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.illegal_m_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_exc_illegal_0 2 0 2 100.00 100 1 1 0 +cross_exc_illegal_1 3 0 3 100.00 100 1 1 0 +cross_exc_illegal_2 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_opcode + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_illegal_opcode + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_OPCODE[00:32,34:3f] 13564 1 +ILLEGAL_OPCODE[40:7f] 28127 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for cp_illegal_funct3 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_FUNCT3[0:1] 21998 1 +ILLEGAL_FUNCT3[2:3] 12024 1 +ILLEGAL_FUNCT3[4:7] 8531 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 6 0 6 100.00 + + +User Defined Bins for cp_illegal_funct7 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[00,02:2a] 19750 1 +ILLEGAL_NOPCODE_FUNCT7[2b:54] 11609 1 +ILLEGAL_NOPCODE_FUNCT7[55:7f] 7014 1 +ILLEGAL_FUNCT7[00,02:2a] 3 1 +ILLEGAL_FUNCT7[2b:54] 558 1 +ILLEGAL_FUNCT7[55:7f] 301 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_illegal + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_illegal + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_INSTR 42553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_0 + + +Samples crossed: cp_illegal_opcode cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 2 0 2 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_0 + + +Bins + +cp_illegal_opcode cp_is_illegal COUNT AT LEAST +ILLEGAL_OPCODE[00:32,34:3f] ILLEGAL_INSTR 13564 1 +ILLEGAL_OPCODE[40:7f] ILLEGAL_INSTR 28127 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_1 + + +Samples crossed: cp_illegal_funct3 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 3 0 3 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_1 + + +Bins + +cp_illegal_funct3 cp_is_illegal COUNT AT LEAST +ILLEGAL_FUNCT3[0:1] ILLEGAL_INSTR 21998 1 +ILLEGAL_FUNCT3[2:3] ILLEGAL_INSTR 12024 1 +ILLEGAL_FUNCT3[4:7] ILLEGAL_INSTR 8531 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_2 + + +Samples crossed: cp_illegal_funct7 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_2 + + +Bins + +cp_illegal_funct7 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[00,02:2a] ILLEGAL_INSTR 19750 1 +ILLEGAL_NOPCODE_FUNCT7[2b:54] ILLEGAL_INSTR 11609 1 +ILLEGAL_NOPCODE_FUNCT7[55:7f] ILLEGAL_INSTR 7014 1 +ILLEGAL_FUNCT7[00,02:2a] ILLEGAL_INSTR 3 1 +ILLEGAL_FUNCT7[2b:54] ILLEGAL_INSTR 558 1 +ILLEGAL_FUNCT7[55:7f] ILLEGAL_INSTR 301 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr29.pmpaddr29__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR29 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 24 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 4 0 4 100.00 100 1 1 0 +PMP26CFG 4 0 4 100.00 100 1 1 0 +PMP25CFG 4 0 4 100.00 100 1 1 0 +PMP24CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg6.pmpcfg6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 4 0 4 100.00 100 1 1 0 +PMP26CFG 4 0 4 100.00 100 1 1 0 +PMP25CFG 4 0 4 100.00 100 1 1 0 +PMP24CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP27CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP27CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 9 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP26CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP26CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 10 1 +legal_values 107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP25CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP25CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 13 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP24CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP24CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 76 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 10 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr61.pmpaddr61__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR61 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR61 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 148 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr23.pmpaddr23__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR23 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER3H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 206 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr48.pmpaddr48__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR48 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR48 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 6 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 13 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER28 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 60 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mepc::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mepc::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mepc.mepc__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mepc::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mepc::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mepc.mepc__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mepc.mepc__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mepc.mepc__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MEPC + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MEPC + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 36 1 +other_values[1431655766:2863311530] 482793 1 +other_values[2863311531:ffffffff] 8 1 +reset_value 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER23 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr46.pmpaddr46__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR46 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR46 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr27.pmpaddr27__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR27 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 142 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent4.mhpmevent4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT4 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER3 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent7.mhpmevent7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT7 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 210 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 4 0 4 100.00 100 1 1 0 +PMP2CFG 4 0 4 100.00 100 1 1 0 +PMP1CFG 4 0 4 100.00 100 1 1 0 +PMP0CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg0.pmpcfg0__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 4 0 4 100.00 100 1 1 0 +PMP2CFG 4 0 4 100.00 100 1 1 0 +PMP1CFG 4 0 4 100.00 100 1 1 0 +PMP0CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP3CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP3CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 16 1 +legal_values 137 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP2CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP2CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 11 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 13 1 +legal_values 139 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP1CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP1CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 13 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 16 1 +legal_values 128 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP0CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP0CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 84 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 14 1 +legal_values 58 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER6 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 4 0 4 100.00 100 1 1 0 +PMP58CFG 4 0 4 100.00 100 1 1 0 +PMP57CFG 4 0 4 100.00 100 1 1 0 +PMP56CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg14.pmpcfg14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP59CFG 4 0 4 100.00 100 1 1 0 +PMP58CFG 4 0 4 100.00 100 1 1 0 +PMP57CFG 4 0 4 100.00 100 1 1 0 +PMP56CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP59CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP59CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 7 1 +legal_values 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP58CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP58CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 1 1 +illegal_values[ab:ff] 9 1 +legal_values 113 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP57CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP57CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 14 1 +legal_values 100 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP56CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP56CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 69 1 +illegal_values[56:aa] 12 1 +illegal_values[ab:ff] 9 1 +legal_values 36 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr21.pmpaddr21__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR21 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr63.pmpaddr63__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR63 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR63 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 22 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER12 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 187 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 4 0 4 100.00 100 1 1 0 +PMP30CFG 4 0 4 100.00 100 1 1 0 +PMP29CFG 4 0 4 100.00 100 1 1 0 +PMP28CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg7.pmpcfg7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP31CFG 4 0 4 100.00 100 1 1 0 +PMP30CFG 4 0 4 100.00 100 1 1 0 +PMP29CFG 4 0 4 100.00 100 1 1 0 +PMP28CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP31CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP31CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 2 1 +illegal_values[56:aa] 15 1 +illegal_values[ab:ff] 8 1 +legal_values 99 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP30CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP30CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 5 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 8 1 +legal_values 105 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP29CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP29CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 12 1 +illegal_values[56:aa] 5 1 +illegal_values[ab:ff] 11 1 +legal_values 96 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP28CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP28CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 72 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 7 1 +legal_values 34 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr44.pmpaddr44__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR44 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR44 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 126 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent9.mhpmevent9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT9 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 207 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr40.pmpaddr40__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR40 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR40 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR40 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 8 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_misa::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_misa::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.misa.misa__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_misa::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 7 0 7 100.00 + + +Variables for Group uvme_cva6_pkg::reg_misa::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 3 0 3 100.00 100 1 1 0 +EXTENSIONS 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.misa.misa__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.misa.misa__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 7 0 7 100.00 + + +Variables for Group Instance csr_reg_cov.misa.misa__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 3 0 3 100.00 100 1 1 0 +EXTENSIONS 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MXL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MXL + + +Bins + +NAME COUNT AT LEAST +illegal_values[0] 150 1 +illegal_values[2:3] 25 1 +legal_values 2347 1 + + +------------------------------------------------------------------------------- + +Summary for Variable EXTENSIONS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for EXTENSIONS + + +Bins + +NAME COUNT AT LEAST +illegal_values[0000000:0001105,0001107:1555555] 2500 1 +illegal_values[1555556:2aaaaaa] 8 1 +illegal_values[2aaaaab:3ffffff] 13 1 +legal_values 1 1 + + +Group : uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcycleh::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcycleh.mcycleh__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcycleh.mcycleh__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcycleh.mcycleh__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mcycleh.mcycleh__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCYCLEH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCYCLEH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MCYCLEH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 95 1 +other_values[1431655766:2863311530] 13 1 +other_values[2863311531:ffffffff] 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER7 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 200 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr0.pmpaddr0__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR0 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR0 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 170 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 1 0 1 100.00 100 1 1 0 +PMP54CFG 1 0 1 100.00 100 1 1 0 +PMP53CFG 1 0 1 100.00 100 1 1 0 +PMP52CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg13.pmpcfg13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 1 0 1 100.00 100 1 1 0 +PMP54CFG 1 0 1 100.00 100 1 1 0 +PMP53CFG 1 0 1 100.00 100 1 1 0 +PMP52CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP55CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP55CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP54CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP54CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP53CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP53CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP52CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP52CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 202 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER21 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 204 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr25.pmpaddr25__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR25 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 33 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER4H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER19 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 220 1 + + +Group : uvme_cva6_pkg::reg_mie::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mie::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mie.mie__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mie::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mie::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 1 0 1 100.00 100 1 1 0 +MEIE 2 0 2 100.00 100 1 1 0 +VSEIE 1 0 1 100.00 100 1 1 0 +SEIE 1 0 1 100.00 100 1 1 0 +UEIE 1 0 1 100.00 100 1 1 0 +MTIE 2 0 2 100.00 100 1 1 0 +VSTIE 1 0 1 100.00 100 1 1 0 +STIE 1 0 1 100.00 100 1 1 0 +UTIE 1 0 1 100.00 100 1 1 0 +MSIE 1 0 1 100.00 100 1 1 0 +VSSIE 1 0 1 100.00 100 1 1 0 +SSIE 1 0 1 100.00 100 1 1 0 +USIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mie.mie__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mie::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mie.mie__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 15 0 15 100.00 + + +Variables for Group Instance csr_reg_cov.mie.mie__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIE 1 0 1 100.00 100 1 1 0 +MEIE 2 0 2 100.00 100 1 1 0 +VSEIE 1 0 1 100.00 100 1 1 0 +SEIE 1 0 1 100.00 100 1 1 0 +UEIE 1 0 1 100.00 100 1 1 0 +MTIE 2 0 2 100.00 100 1 1 0 +VSTIE 1 0 1 100.00 100 1 1 0 +STIE 1 0 1 100.00 100 1 1 0 +UTIE 1 0 1 100.00 100 1 1 0 +MSIE 1 0 1 100.00 100 1 1 0 +VSSIE 1 0 1 100.00 100 1 1 0 +SSIE 1 0 1 100.00 100 1 1 0 +USIE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SGEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MEIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 27 1 +reset_value 268 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UEIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MTIE + + +Bins + +NAME COUNT AT LEAST +other_values[1] 25 1 +reset_value 270 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSTIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for STIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for UTIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MSIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for VSSIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SSIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for USIE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 295 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr44.pmpaddr44__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR44 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR44 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR44 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER27 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 1 0 1 100.00 100 1 1 0 +PMP62CFG 1 0 1 100.00 100 1 1 0 +PMP61CFG 1 0 1 100.00 100 1 1 0 +PMP60CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg15.pmpcfg15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP63CFG 1 0 1 100.00 100 1 1 0 +PMP62CFG 1 0 1 100.00 100 1 1 0 +PMP61CFG 1 0 1 100.00 100 1 1 0 +PMP60CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP63CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP63CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP62CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP62CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP61CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP61CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP60CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP60CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 243 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr10.pmpaddr10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR10 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 176 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr52.pmpaddr52__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR52 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR52 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr42.pmpaddr42__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR42 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR42 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR42 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr39.pmpaddr39__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR39 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR39 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 24 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 4 0 4 100.00 100 1 1 0 +PMP54CFG 4 0 4 100.00 100 1 1 0 +PMP53CFG 4 0 4 100.00 100 1 1 0 +PMP52CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg13.pmpcfg13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP55CFG 4 0 4 100.00 100 1 1 0 +PMP54CFG 4 0 4 100.00 100 1 1 0 +PMP53CFG 4 0 4 100.00 100 1 1 0 +PMP52CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP55CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP55CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 10 1 +legal_values 103 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP54CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP54CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 2 1 +illegal_values[ab:ff] 10 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP53CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP53CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 9 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 12 1 +legal_values 95 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP52CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP52CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 69 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 11 1 +legal_values 39 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent8.mhpmevent8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT8 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr33.pmpaddr33__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR33 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR33 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr58.pmpaddr58__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR58 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR58 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 18 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER31 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 206 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER14 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr14.pmpaddr14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR14 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 168 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr56.pmpaddr56__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR56 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR56 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 115 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr1.pmpaddr1__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR1 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR1 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 155 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr37.pmpaddr37__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR37 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR37 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR37 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_minstreth::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstreth::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstreth.minstreth__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstreth.minstreth__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstreth.minstreth__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.minstreth.minstreth__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRETH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRETH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MINSTRETH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 99 1 +other_values[1431655766:2863311530] 17 1 +other_values[2863311531:ffffffff] 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER5H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 49 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER9 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr18.pmpaddr18__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR18 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 123 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr0.pmpaddr0__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR0 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR0 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR0 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 40 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER25 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_mcause::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mcause::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mcause.mcause__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mcause::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mcause::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mcause.mcause__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mcause::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mcause.mcause__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mcause.mcause__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MCAUSE 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MCAUSE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MCAUSE + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 60186 1 +other_values[1431655766:2863311530] 410512 1 +other_values[2863311531:ffffffff] 4 1 +reset_value 28 1 + + +Group : uvme_cva6_pkg::reg_mimpid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mimpid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mimpid.mimpid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MIMPID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mimpid.mimpid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mimpid.mimpid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mimpid.mimpid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MIMPID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MIMPID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MIMPID + + +Bins + +NAME COUNT AT LEAST +legal_values_0 10 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr31.pmpaddr31__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR31 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 14 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 4 0 4 100.00 100 1 1 0 +PMP10CFG 4 0 4 100.00 100 1 1 0 +PMP9CFG 4 0 4 100.00 100 1 1 0 +PMP8CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg2.pmpcfg2__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 4 0 4 100.00 100 1 1 0 +PMP10CFG 4 0 4 100.00 100 1 1 0 +PMP9CFG 4 0 4 100.00 100 1 1 0 +PMP8CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP11CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP11CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 13 1 +illegal_values[ab:ff] 17 1 +legal_values 125 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP10CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP10CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 17 1 +legal_values 130 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP9CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP9CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 12 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 19 1 +legal_values 119 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP8CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP8CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 67 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 21 1 +legal_values 64 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 1 0 1 100.00 100 1 1 0 +PMP50CFG 1 0 1 100.00 100 1 1 0 +PMP49CFG 1 0 1 100.00 100 1 1 0 +PMP48CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg12.pmpcfg12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 1 0 1 100.00 100 1 1 0 +PMP50CFG 1 0 1 100.00 100 1 1 0 +PMP49CFG 1 0 1 100.00 100 1 1 0 +PMP48CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP51CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP51CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP50CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP50CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP49CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP49CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP48CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP48CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +Group : uvme_cva6_pkg::reg_minstret::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstret::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstret.minstret__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstret::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstret::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstret.minstret__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstret.minstret__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.minstret.minstret__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRET + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MINSTRET + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 106 1 +other_values[1431655766:2863311530] 11 1 +other_values[2863311531:ffffffff] 19 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr50.pmpaddr50__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR50 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR50 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr12.pmpaddr12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR12 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER8 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 219 1 + + +Group : uvme_cva6_pkg::reg_misa::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_misa::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.misa.misa__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_misa::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group uvme_cva6_pkg::reg_misa::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 1 0 1 100.00 100 1 1 0 +EXTENSIONS 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.misa.misa__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_misa::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.misa.misa__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 2 0 2 100.00 + + +Variables for Group Instance csr_reg_cov.misa.misa__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MXL 1 0 1 100.00 100 1 1 0 +EXTENSIONS 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MXL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MXL + + +Bins + +NAME COUNT AT LEAST +legal_values_1 261 1 + + +------------------------------------------------------------------------------- + +Summary for Variable EXTENSIONS + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for EXTENSIONS + + +Bins + +NAME COUNT AT LEAST +legal_values_0001106 261 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr35.pmpaddr35__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR35 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR35 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER16 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr29.pmpaddr29__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR29 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 110 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr54.pmpaddr54__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR54 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR54 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr16.pmpaddr16__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR16 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 6 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 13 1 + + +Group : uvme_cva6_pkg::reg_mepc::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mepc::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mepc.mepc__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mepc::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mepc::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mepc.mepc__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mepc::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mepc.mepc__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mepc.mepc__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MEPC 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MEPC + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MEPC + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 83 1 +other_values[1431655766:2863311530] 74478 1 +other_values[2863311531:ffffffff] 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER8H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER25H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 64 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER23H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 227 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER13H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent10.mhpmevent10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT10 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER15H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr20.pmpaddr20__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR20 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 135 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER7 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent11.mhpmevent11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT11 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr62.pmpaddr62__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR62 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR62 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR62 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 129 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER24H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 46 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER22H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent12.mhpmevent12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT12 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER22 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER12H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER14H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent13.mhpmevent13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT13 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr41.pmpaddr41__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR41 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR41 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR41 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 124 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr6.pmpaddr6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR6 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 40 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER27H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr3.pmpaddr3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR3 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 165 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr63.pmpaddr63__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR63 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR63 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR63 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER21H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr23.pmpaddr23__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR23 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR23 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER31H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 213 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent15.mhpmevent15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT15 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER11H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 201 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER17H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER6 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 183 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER29 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 221 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER7H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER7H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER7H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 70 1 +illegal_values[1431655766:2863311530] 15 1 +illegal_values[2863311531:ffffffff] 8 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr61.pmpaddr61__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR61 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR61 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR61 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 31 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 3 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr24.pmpaddr24__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR24 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 129 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent14.mhpmevent14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT14 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 41 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent30.mhpmevent30__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT30 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER26H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent17.mhpmevent17__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT17 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent7.mhpmevent7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT7 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 17 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER11 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 199 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER20H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 192 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER30H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER10H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 201 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER16H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 14 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 1 0 1 100.00 100 1 1 0 +PMP18CFG 1 0 1 100.00 100 1 1 0 +PMP17CFG 1 0 1 100.00 100 1 1 0 +PMP16CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg4.pmpcfg4__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP19CFG 1 0 1 100.00 100 1 1 0 +PMP18CFG 1 0 1 100.00 100 1 1 0 +PMP17CFG 1 0 1 100.00 100 1 1 0 +PMP16CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP19CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP19CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP18CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP18CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP17CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP17CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP16CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP16CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 207 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent16.mhpmevent16__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT16 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT16 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 45 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent31.mhpmevent31__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT31 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr45.pmpaddr45__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR45 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR45 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR45 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 125 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER27H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 189 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER21H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 60 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 34 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER31H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr2.pmpaddr2__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR2 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr43.pmpaddr43__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR43 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR43 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR43 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 29 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 17 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent4.mhpmevent4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT4 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 50 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER18 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 60 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER11H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER11H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER11H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 64 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER17H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 1 0 1 100.00 100 1 1 0 +PMP34CFG 1 0 1 100.00 100 1 1 0 +PMP33CFG 1 0 1 100.00 100 1 1 0 +PMP32CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg8.pmpcfg8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP35CFG 1 0 1 100.00 100 1 1 0 +PMP34CFG 1 0 1 100.00 100 1 1 0 +PMP33CFG 1 0 1 100.00 100 1 1 0 +PMP32CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP35CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP35CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP34CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP34CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP33CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP33CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP32CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP32CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 223 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr28.pmpaddr28__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR28 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 139 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER26H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 196 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 1 0 1 100.00 100 1 1 0 +PMP46CFG 1 0 1 100.00 100 1 1 0 +PMP45CFG 1 0 1 100.00 100 1 1 0 +PMP44CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg11.pmpcfg11__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP47CFG 1 0 1 100.00 100 1 1 0 +PMP46CFG 1 0 1 100.00 100 1 1 0 +PMP45CFG 1 0 1 100.00 100 1 1 0 +PMP44CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP47CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP47CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP46CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP46CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP45CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP45CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP44CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP44CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 222 1 + + +Group : uvme_cva6_pkg::reg_mtval::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtval::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtval.mtval__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtval::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtval::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtval.mtval__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtval::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtval.mtval__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mtval.mtval__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MTVAL 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MTVAL + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MTVAL + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 105 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 19 1 +legal_values 49 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER20H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 58 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER30H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr60.pmpaddr60__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR60 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR60 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR60 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr22.pmpaddr22__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR22 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR22 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 32 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 7 1 +legal_values 18 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER3 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 186 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER10H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent18.mhpmevent18__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT18 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER16H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER16H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER16H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 217 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr49.pmpaddr49__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR49 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR49 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR49 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 104 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent19.mhpmevent19__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT19 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 24 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER20 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER20 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 51 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 1 0 1 100.00 100 1 1 0 +PMP10CFG 1 0 1 100.00 100 1 1 0 +PMP9CFG 1 0 1 100.00 100 1 1 0 +PMP8CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg2.pmpcfg2__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP11CFG 1 0 1 100.00 100 1 1 0 +PMP10CFG 1 0 1 100.00 100 1 1 0 +PMP9CFG 1 0 1 100.00 100 1 1 0 +PMP8CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP11CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP11CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP10CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP10CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP9CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP9CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP8CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP8CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER25H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 203 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER23H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER23H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER23H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 65 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 1 0 1 100.00 100 1 1 0 +PMP42CFG 1 0 1 100.00 100 1 1 0 +PMP41CFG 1 0 1 100.00 100 1 1 0 +PMP40CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg10.pmpcfg10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP43CFG 1 0 1 100.00 100 1 1 0 +PMP42CFG 1 0 1 100.00 100 1 1 0 +PMP41CFG 1 0 1 100.00 100 1 1 0 +PMP40CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP43CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP43CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP42CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP42CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP41CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP41CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP40CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP40CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 208 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER13H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 65 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER15H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 184 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr47.pmpaddr47__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR47 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR47 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR47 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 31 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 16 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 1 0 1 100.00 100 1 1 0 +PMP2CFG 1 0 1 100.00 100 1 1 0 +PMP1CFG 1 0 1 100.00 100 1 1 0 +PMP0CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg0.pmpcfg0__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP3CFG 1 0 1 100.00 100 1 1 0 +PMP2CFG 1 0 1 100.00 100 1 1 0 +PMP1CFG 1 0 1 100.00 100 1 1 0 +PMP0CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP3CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP3CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP2CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP2CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP1CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP1CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP0CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP0CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 248 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER24H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER22H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER22H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER22H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 62 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 4 0 4 100.00 100 1 1 0 +PMP38CFG 4 0 4 100.00 100 1 1 0 +PMP37CFG 4 0 4 100.00 100 1 1 0 +PMP36CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg9.pmpcfg9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP39CFG 4 0 4 100.00 100 1 1 0 +PMP38CFG 4 0 4 100.00 100 1 1 0 +PMP37CFG 4 0 4 100.00 100 1 1 0 +PMP36CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP39CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP39CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 9 1 +legal_values 105 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP38CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP38CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 5 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 10 1 +legal_values 108 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP37CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP37CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 8 1 +legal_values 104 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP36CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP36CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 70 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 6 1 +legal_values 43 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER12H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 46 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 10 1 +legal_values 33 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr26.pmpaddr26__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR26 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR26 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 21 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER14H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 182 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER13 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER13 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 18 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mscratch::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mscratch::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mscratch.mscratch__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mscratch.mscratch__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mscratch.mscratch__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mscratch.mscratch__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MSCRATCH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MSCRATCH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 13573 1 +other_values[1431655766:2863311530] 2927 1 +other_values[2863311531:ffffffff] 2273 1 +reset_value 4848 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr3.pmpaddr3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR3 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 38 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr19.pmpaddr19__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR19 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 18 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER8 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 29 1 + + +Group : uvme_cva6_pkg::reg_mip::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mip::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mip.mip__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mip::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mip::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 2 0 2 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 2 0 2 100.00 100 1 1 0 +SEIP 2 0 2 100.00 100 1 1 0 +UEIP 2 0 2 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 2 0 2 100.00 100 1 1 0 +STIP 2 0 2 100.00 100 1 1 0 +UTIP 2 0 2 100.00 100 1 1 0 +MSIP 2 0 2 100.00 100 1 1 0 +VSSIP 2 0 2 100.00 100 1 1 0 +SSIP 2 0 2 100.00 100 1 1 0 +USIP 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mip.mip__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mip::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mip.mip__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 26 0 26 100.00 + + +Variables for Group Instance csr_reg_cov.mip.mip__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +SGEIP 2 0 2 100.00 100 1 1 0 +MEIP 2 0 2 100.00 100 1 1 0 +VSEIP 2 0 2 100.00 100 1 1 0 +SEIP 2 0 2 100.00 100 1 1 0 +UEIP 2 0 2 100.00 100 1 1 0 +MTIP 2 0 2 100.00 100 1 1 0 +VSTIP 2 0 2 100.00 100 1 1 0 +STIP 2 0 2 100.00 100 1 1 0 +UTIP 2 0 2 100.00 100 1 1 0 +MSIP 2 0 2 100.00 100 1 1 0 +VSSIP 2 0 2 100.00 100 1 1 0 +SSIP 2 0 2 100.00 100 1 1 0 +USIP 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable SGEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SGEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 29 1 +legal_values 195 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MEIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 197 1 +legal_values_1 27 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 27 1 +legal_values 197 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 37 1 +legal_values 187 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UEIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UEIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 29 1 +legal_values 195 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MTIP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 +legal_values_1 30 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSTIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 32 1 +legal_values 192 1 + + +------------------------------------------------------------------------------- + +Summary for Variable STIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for STIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 33 1 +legal_values 191 1 + + +------------------------------------------------------------------------------- + +Summary for Variable UTIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for UTIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 79 1 +legal_values 145 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MSIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 68 1 +legal_values 156 1 + + +------------------------------------------------------------------------------- + +Summary for Variable VSSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for VSSIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 75 1 +legal_values 149 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SSIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SSIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 71 1 +legal_values 153 1 + + +------------------------------------------------------------------------------- + +Summary for Variable USIP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for USIP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 70 1 +legal_values 154 1 + + +Group : uvme_cva6_pkg::reg_mstatush::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatush::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatush.mstatush__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 2 0 2 100.00 100 1 1 0 +MPV 2 0 2 100.00 100 1 1 0 +GVA 2 0 2 100.00 100 1 1 0 +MBE 2 0 2 100.00 100 1 1 0 +SBE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatush.mstatush__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatush.mstatush__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 10 0 10 100.00 + + +Variables for Group Instance csr_reg_cov.mstatush.mstatush__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 2 0 2 100.00 100 1 1 0 +MPV 2 0 2 100.00 100 1 1 0 +GVA 2 0 2 100.00 100 1 1 0 +MBE 2 0 2 100.00 100 1 1 0 +SBE 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPELP + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 20 1 +legal_values 161 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MPV + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 30 1 +legal_values 151 1 + + +------------------------------------------------------------------------------- + +Summary for Variable GVA + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for GVA + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 24 1 +legal_values 157 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for MBE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 25 1 +legal_values 156 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for SBE + + +Bins + +NAME COUNT AT LEAST +illegal_values[1] 72 1 +legal_values 109 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr30.pmpaddr30__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR30 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR30 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 128 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER15 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER15 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 216 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER30 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER30 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER30 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr51.pmpaddr51__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR51 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR51 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR51 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 151 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr13.pmpaddr13__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR13 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR13 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR13 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 172 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER12 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER12 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 61 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr48.pmpaddr48__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR48 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR48 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR48 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 7 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER29H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 196 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER19H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + +=============================================================================== +Group : uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_exception_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.exception_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 138 0 138 100.00 +Crosses 128 0 128 100.00 + + +Variables for Group uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_exception 5 0 5 100.00 100 1 1 0 +cp_trap 2 0 2 100.00 100 1 1 0 +cp_is_ebreak 1 0 1 100.00 100 1 1 0 +cp_is_dret 1 0 1 100.00 100 1 1 0 +cp_is_ecall 1 0 1 100.00 100 1 1 0 +cp_is_fencei 1 0 1 100.00 100 1 1 0 +cp_is_csr 1 0 1 100.00 100 1 1 0 +cp_is_write_csr 1 0 1 100.00 100 1 1 0 +cp_is_not_write_csr 1 0 1 100.00 100 1 1 0 +cp_illegal_csr 113 0 113 100.00 100 1 1 0 +cp_ro_csr 5 0 5 100.00 100 1 1 0 +cp_misalign_load 1 0 1 100.00 100 1 1 0 +cp_misalign_store 1 0 1 100.00 100 1 1 0 +cp_add_mem 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.exception_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.exception_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 138 0 138 100.00 +Crosses 128 0 128 100.00 + + +Variables for Group Instance uvme_cva6_pkg.exception_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_exception 5 0 5 100.00 100 1 1 0 +cp_trap 2 0 2 100.00 100 1 1 0 +cp_is_ebreak 1 0 1 100.00 100 1 1 0 +cp_is_dret 1 0 1 100.00 100 1 1 0 +cp_is_ecall 1 0 1 100.00 100 1 1 0 +cp_is_fencei 1 0 1 100.00 100 1 1 0 +cp_is_csr 1 0 1 100.00 100 1 1 0 +cp_is_write_csr 1 0 1 100.00 100 1 1 0 +cp_is_not_write_csr 1 0 1 100.00 100 1 1 0 +cp_illegal_csr 113 0 113 100.00 100 1 1 0 +cp_ro_csr 5 0 5 100.00 100 1 1 0 +cp_misalign_load 1 0 1 100.00 100 1 1 0 +cp_misalign_store 1 0 1 100.00 100 1 1 0 +cp_add_mem 4 0 4 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.exception_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_breakpoint 1 0 1 100.00 100 1 1 0 +cross_ecall 1 0 1 100.00 100 1 1 0 +cross_fencei 1 0 1 100.00 100 1 1 0 +cross_dret 1 0 1 100.00 100 1 1 0 +cross_illegal_csr 113 0 113 100.00 100 1 1 0 +cross_illegal_write_csr 5 0 5 100.00 100 1 1 0 +cross_misaligned_load 3 0 3 100.00 100 1 1 0 +cross_misaligned_store 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_exception + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 5 0 5 100.00 + + +User Defined Bins for cp_exception + + +Excluded/Illegal bins + +NAME COUNT STATUS +DEBUG_REQUEST 0 Excluded +STORE_PAGE_FAULT 0 Excluded +LOAD_PAGE_FAULT 0 Excluded +INSTR_PAGE_FAULT 0 Excluded +ENV_CALL_SMODE 0 Excluded +ENV_CALL_UMODE 0 Excluded +ST_ACCESS_FAULT 0 Excluded +LD_ACCESS_FAULT 0 Excluded +INSTR_ACCESS_FAULT 0 Excluded +INSTR_ADDR_MISALIGNED 0 Excluded +IGN_DEBUG_REQUEST 0 Excluded +IGN_PAGE_FAULT_EXC 0 Excluded +IGN_ENV_CALL_SMODE 0 Excluded +IGN_ENV_CALL_UMODE 0 Excluded +IGN_ACCESS_FAULT_EXC 0 Excluded +IGN_INSTR_ADDR_MISALIGNED_EXC 0 Excluded +IGN_ADDR_MISALIGNED_EXC 0 Excluded + + +Covered bins + +NAME COUNT AT LEAST +ENV_CALL_MMODE 1483 1 +ST_ADDR_MISALIGNED 7642 1 +LD_ADDR_MISALIGNED 10538 1 +ILLEGAL_INSTR 42553 1 +BREAKPOINT 9731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_trap + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_trap + + +Bins + +NAME COUNT AT LEAST +no_trap 11354295 1 +is_trap 71947 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_ebreak + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_ebreak + + +Bins + +NAME COUNT AT LEAST +is_ebreak 9731 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_dret + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_dret + + +Bins + +NAME COUNT AT LEAST +is_dret 5085 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_ecall + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_ecall + + +Bins + +NAME COUNT AT LEAST +is_ecall 1483 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_fencei + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_fencei + + +Bins + +NAME COUNT AT LEAST +is_fencei 1 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_csr + + +Bins + +NAME COUNT AT LEAST +is_csr_instr 1584848 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_write_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_write_csr + + +Bins + +NAME COUNT AT LEAST +is_csr_write 134617 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_not_write_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_not_write_csr + + +Bins + +NAME COUNT AT LEAST +is_not_csr_write 11291625 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 113 0 113 100.00 + + +User Defined Bins for cp_illegal_csr + + +Bins + +NAME COUNT AT LEAST +UNSUPPORTED_CSR_USTATUS 9853254 1 +UNSUPPORTED_CSR_FFLAGS 3 1 +UNSUPPORTED_CSR_FRM 3 1 +UNSUPPORTED_CSR_FCSR 3 1 +UNSUPPORTED_CSR_UIE 3 1 +UNSUPPORTED_CSR_UTVEC 3 1 +UNSUPPORTED_CSR_VSTART 3 1 +UNSUPPORTED_CSR_VXSTAT 3 1 +UNSUPPORTED_CSR_VXRM 3 1 +UNSUPPORTED_CSR_USCRATCH 3 1 +UNSUPPORTED_CSR_UEPC 3 1 +UNSUPPORTED_CSR_UCAUSE 3 1 +UNSUPPORTED_CSR_UTVAL 3 1 +UNSUPPORTED_CSR_UIP 3 1 +UNSUPPORTED_CSR_SSTATUS 3 1 +UNSUPPORTED_CSR_SEDELEG 3 1 +UNSUPPORTED_CSR_SIDELEG 3 1 +UNSUPPORTED_CSR_SIE 3 1 +UNSUPPORTED_CSR_STVEC 3 1 +UNSUPPORTED_CSR_SCOUNTEREN 3 1 +UNSUPPORTED_CSR_SSCRATCH 3 1 +UNSUPPORTED_CSR_SEPC 3 1 +UNSUPPORTED_CSR_SCAUSE 3 1 +UNSUPPORTED_CSR_STVAL 3 1 +UNSUPPORTED_CSR_SIP 3 1 +UNSUPPORTED_CSR_SATP 3 1 +UNSUPPORTED_CSR_MEDELEG 3 1 +UNSUPPORTED_CSR_MIDELEG 3 1 +UNSUPPORTED_CSR_MCOUNTEREN 3 1 +UNSUPPORTED_CSR_MENVCFG 3 1 +UNSUPPORTED_CSR_MENVCFGH 3 1 +UNSUPPORTED_CSR_MTINST 3 1 +UNSUPPORTED_CSR_MTVAL2 3 1 +UNSUPPORTED_CSR_MSECCFG 3 1 +UNSUPPORTED_CSR_MSECCFGH 3 1 +UNSUPPORTED_CSR_TSELECT 3 1 +UNSUPPORTED_CSR_TDATA1 3 1 +UNSUPPORTED_CSR_TDATA2 3 1 +UNSUPPORTED_CSR_TDATA3 3 1 +UNSUPPORTED_CSR_TINFO 3 1 +UNSUPPORTED_CSR_MCONTEXT 3 1 +UNSUPPORTED_CSR_SCONTEXT 3 1 +UNSUPPORTED_CSR_DCSR 3 1 +UNSUPPORTED_CSR_DPC 3 1 +UNSUPPORTED_CSR_DSCRATCH0 3 1 +UNSUPPORTED_CSR_DSCRATCH1 3 1 +UNSUPPORTED_CSR_CYCLE 10 1 +UNSUPPORTED_CSR_TIME 3 1 +UNSUPPORTED_CSR_INSTRET 10 1 +UNSUPPORTED_CSR_HPMCOUNTER3 3 1 +UNSUPPORTED_CSR_HPMCOUNTER4 3 1 +UNSUPPORTED_CSR_HPMCOUNTER5 3 1 +UNSUPPORTED_CSR_HPMCOUNTER6 3 1 +UNSUPPORTED_CSR_HPMCOUNTER7 3 1 +UNSUPPORTED_CSR_HPMCOUNTER8 3 1 +UNSUPPORTED_CSR_HPMCOUNTER9 3 1 +UNSUPPORTED_CSR_HPMCOUNTER10 3 1 +UNSUPPORTED_CSR_HPMCOUNTER11 3 1 +UNSUPPORTED_CSR_HPMCOUNTER12 3 1 +UNSUPPORTED_CSR_HPMCOUNTER13 3 1 +UNSUPPORTED_CSR_HPMCOUNTER14 3 1 +UNSUPPORTED_CSR_HPMCOUNTER15 3 1 +UNSUPPORTED_CSR_HPMCOUNTER16 3 1 +UNSUPPORTED_CSR_HPMCOUNTER17 3 1 +UNSUPPORTED_CSR_HPMCOUNTER18 3 1 +UNSUPPORTED_CSR_HPMCOUNTER19 3 1 +UNSUPPORTED_CSR_HPMCOUNTER20 3 1 +UNSUPPORTED_CSR_HPMCOUNTER21 3 1 +UNSUPPORTED_CSR_HPMCOUNTER22 3 1 +UNSUPPORTED_CSR_HPMCOUNTER23 3 1 +UNSUPPORTED_CSR_HPMCOUNTER24 3 1 +UNSUPPORTED_CSR_HPMCOUNTER25 3 1 +UNSUPPORTED_CSR_HPMCOUNTER26 3 1 +UNSUPPORTED_CSR_HPMCOUNTER27 3 1 +UNSUPPORTED_CSR_HPMCOUNTER28 3 1 +UNSUPPORTED_CSR_HPMCOUNTER29 3 1 +UNSUPPORTED_CSR_HPMCOUNTER30 3 1 +UNSUPPORTED_CSR_HPMCOUNTER31 3 1 +UNSUPPORTED_CSR_VL 3 1 +UNSUPPORTED_CSR_VTYPE 3 1 +UNSUPPORTED_CSR_VLENB 3 1 +UNSUPPORTED_CSR_CYCLEH 10 1 +UNSUPPORTED_CSR_TIMEH 3 1 +UNSUPPORTED_CSR_INSTRETH 10 1 +UNSUPPORTED_CSR_HPMCOUNTER3H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER4H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER5H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER6H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER7H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER8H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER9H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER10H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER11H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER12H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER13H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER14H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER15H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER16H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER17H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER18H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER19H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER20H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER21H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER22H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER23H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER24H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER25H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER26H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER27H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER28H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER29H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER30H 3 1 +UNSUPPORTED_CSR_HPMCOUNTER31H 3 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_ro_csr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 5 0 5 100.00 + + +User Defined Bins for cp_ro_csr + + +Bins + +NAME COUNT AT LEAST +ONLY_READ_CSR_MVENDORID 98 1 +ONLY_READ_CSR_MARCHID 15 1 +ONLY_READ_CSR_MIMPID 15 1 +ONLY_READ_CSR_MHARTID 2372 1 +ONLY_READ_CSR_MCONFIGPTR 7 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_misalign_load + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_misalign_load + + +Bins + +NAME COUNT AT LEAST +misalign_load 7794 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_misalign_store + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_misalign_store + + +Bins + +NAME COUNT AT LEAST +misalign_store 6238 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_add_mem + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for cp_add_mem + + +Bins + +NAME COUNT AT LEAST +add_mem_0 11091554 1 +add_mem_1 103156 1 +add_mem_2 133139 1 +add_mem_3 98393 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_breakpoint + + +Samples crossed: cp_exception cp_is_ebreak +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_breakpoint + + +Excluded/Illegal bins + +cp_exception cp_is_ebreak COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_ebreak] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_ebreak] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_ebreak] 0 Excluded + + +Covered bins + +cp_exception cp_is_ebreak COUNT AT LEAST +BREAKPOINT is_ebreak 9731 1 + + +User Defined Cross Bins for cross_breakpoint + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_ecall + + +Samples crossed: cp_exception cp_is_ecall +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_ecall + + +Excluded/Illegal bins + +cp_exception cp_is_ecall COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_ecall] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_ecall] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_ecall] 0 Excluded + + +Covered bins + +cp_exception cp_is_ecall COUNT AT LEAST +ENV_CALL_MMODE is_ecall 1483 1 + + +User Defined Cross Bins for cross_ecall + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_fencei + + +Samples crossed: cp_exception cp_is_fencei +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_fencei + + +Excluded/Illegal bins + +cp_exception cp_is_fencei COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_fencei] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_fencei] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_fencei] 0 Excluded + + +Covered bins + +cp_exception cp_is_fencei COUNT AT LEAST +ILLEGAL_INSTR is_fencei 1 1 + + +User Defined Cross Bins for cross_fencei + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_dret + + +Samples crossed: cp_exception cp_is_dret +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 1 0 1 100.00 +Automatically Generated Cross Bins 1 0 1 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_dret + + +Excluded/Illegal bins + +cp_exception cp_is_dret COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [is_dret] -- Excluded (4 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [is_dret] -- Excluded (5 bins) +[INSTR_ADDR_MISALIGNED] [is_dret] 0 Excluded + + +Covered bins + +cp_exception cp_is_dret COUNT AT LEAST +ILLEGAL_INSTR is_dret 5085 1 + + +User Defined Cross Bins for cross_dret + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_illegal_csr + + +Samples crossed: cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 113 0 113 100.00 +Automatically Generated Cross Bins 113 0 113 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_illegal_csr + + +Excluded/Illegal bins + +cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H] [is_csr_instr] [is_not_csr_write] -- Excluded (452 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H] [is_csr_instr] [is_not_csr_write] -- Excluded (565 bins) +[INSTR_ADDR_MISALIGNED] [UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H] [is_csr_instr] [is_not_csr_write] -- Excluded (113 bins) + + +Covered bins + +cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr COUNT AT LEAST +ILLEGAL_INSTR UNSUPPORTED_CSR_USTATUS is_csr_instr is_not_csr_write 7756 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_FFLAGS is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_FRM is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_FCSR is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UIE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UTVEC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VSTART is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VXSTAT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VXRM is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_USCRATCH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UEPC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UCAUSE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UTVAL is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_UIP is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SSTATUS is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SEDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SIDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SIE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_STVEC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SCOUNTEREN is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SSCRATCH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SEPC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SCAUSE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_STVAL is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SIP is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SATP is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MEDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MIDELEG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MCOUNTEREN is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MENVCFG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MENVCFGH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MTINST is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MTVAL2 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MSECCFG is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MSECCFGH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TSELECT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TDATA1 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TDATA2 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TDATA3 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TINFO is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_MCONTEXT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_SCONTEXT is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DCSR is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DPC is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DSCRATCH0 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_DSCRATCH1 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_CYCLE is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TIME is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_INSTRET is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER3 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER4 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER5 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER6 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER7 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER8 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER9 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER10 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER11 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER12 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER13 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER14 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER15 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER16 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER17 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER18 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER19 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER20 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER21 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER22 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER23 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER24 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER25 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER26 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER27 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER28 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER29 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER30 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER31 is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VL is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VTYPE is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_VLENB is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_CYCLEH is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_TIMEH is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_INSTRETH is_csr_instr is_not_csr_write 5 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER3H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER4H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER5H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER6H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER7H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER8H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER9H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER10H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER11H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER12H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER13H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER14H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER15H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER16H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER17H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER18H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER19H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER20H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER21H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER22H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER23H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER24H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER25H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER26H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER27H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER28H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER29H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER30H is_csr_instr is_not_csr_write 2 1 +ILLEGAL_INSTR UNSUPPORTED_CSR_HPMCOUNTER31H is_csr_instr is_not_csr_write 2 1 + + +User Defined Cross Bins for cross_illegal_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_illegal_write_csr + + +Samples crossed: cp_exception cp_ro_csr cp_is_write_csr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 5 0 5 100.00 +Automatically Generated Cross Bins 5 0 5 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_illegal_write_csr + + +Excluded/Illegal bins + +cp_exception cp_ro_csr cp_is_write_csr COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR] [is_csr_write] -- Excluded (20 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR] [is_csr_write] -- Excluded (25 bins) +[INSTR_ADDR_MISALIGNED] [ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR] [is_csr_write] -- Excluded (5 bins) + + +Covered bins + +cp_exception cp_ro_csr cp_is_write_csr COUNT AT LEAST +ILLEGAL_INSTR ONLY_READ_CSR_MVENDORID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MARCHID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MIMPID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MHARTID is_csr_write 5 1 +ILLEGAL_INSTR ONLY_READ_CSR_MCONFIGPTR is_csr_write 1 1 + + +User Defined Cross Bins for cross_illegal_write_csr + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_misaligned_load + + +Samples crossed: cp_exception cp_misalign_load cp_add_mem +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 3 0 3 100.00 +Automatically Generated Cross Bins 3 0 3 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_misaligned_load + + +Excluded/Illegal bins + +cp_exception cp_misalign_load cp_add_mem COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [misalign_load] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (16 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [misalign_load] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (20 bins) +[INSTR_ADDR_MISALIGNED] [misalign_load] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (4 bins) + + +Covered bins + +cp_exception cp_misalign_load cp_add_mem COUNT AT LEAST +LD_ADDR_MISALIGNED misalign_load add_mem_1 4612 1 +LD_ADDR_MISALIGNED misalign_load add_mem_2 1568 1 +LD_ADDR_MISALIGNED misalign_load add_mem_3 1614 1 + + +User Defined Cross Bins for cross_misaligned_load + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_EXC 0 Excluded +IGN_ADD 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Cross cross_misaligned_store + + +Samples crossed: cp_exception cp_misalign_store cp_add_mem +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +TOTAL 3 0 3 100.00 +Automatically Generated Cross Bins 3 0 3 100.00 +User Defined Cross Bins 0 0 0 + + +Automatically Generated Cross Bins for cross_misaligned_store + + +Excluded/Illegal bins + +cp_exception cp_misalign_store cp_add_mem COUNT STATUS +[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT] [misalign_store] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (16 bins) +[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT] [misalign_store] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (20 bins) +[INSTR_ADDR_MISALIGNED] [misalign_store] [add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3] -- Excluded (4 bins) + + +Covered bins + +cp_exception cp_misalign_store cp_add_mem COUNT AT LEAST +ST_ADDR_MISALIGNED misalign_store add_mem_1 3155 1 +ST_ADDR_MISALIGNED misalign_store add_mem_2 1533 1 +ST_ADDR_MISALIGNED misalign_store add_mem_3 1550 1 + + +User Defined Cross Bins for cross_misaligned_store + + +Excluded/Illegal bins + +NAME COUNT STATUS +IGN_EXC 0 Excluded +IGN_ADD 0 Excluded + + +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent29.mhpmevent29__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT29 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT29 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT29 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 194 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr34.pmpaddr34__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR34 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR34 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR34 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 117 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent28.mhpmevent28__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT28 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 189 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER9 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 222 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr21.pmpaddr21__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR21 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 121 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER28H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 218 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER18H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 200 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER26 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 206 1 + + +Group : uvme_cva6_pkg::reg_marchid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_marchid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.marchid.marchid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_marchid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_marchid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MARCHID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.marchid.marchid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_marchid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.marchid.marchid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.marchid.marchid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MARCHID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MARCHID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MARCHID + + +Bins + +NAME COUNT AT LEAST +legal_values_3 10 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr55.pmpaddr55__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR55 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR55 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR55 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 123 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr17.pmpaddr17__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR17 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR17 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent26.mhpmevent26__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT26 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT26 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT26 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 204 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr11.pmpaddr11__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR11 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR11 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR11 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 42 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr53.pmpaddr53__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR53 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR53 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR53 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 7 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER29H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER29H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER29H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 56 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 8 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER19H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 66 1 +illegal_values[1431655766:2863311530] 13 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER9H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER9H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER9H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 48 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent27.mhpmevent27__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT27 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 213 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr38.pmpaddr38__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR38 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR38 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR38 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 119 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER28H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 74 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr32.pmpaddr32__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR32 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR32 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR32 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 7 1 +illegal_values[1431655766:2863311530] 1 1 +illegal_values[2863311531:ffffffff] 1 1 +legal_values 13 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER18H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER18H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER18H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent24.mhpmevent24__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT24 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT24 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr46.pmpaddr46__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR46 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR46 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR46 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 30 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 4 1 +legal_values 15 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER17 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER17 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER17 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 53 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_mscratch::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mscratch::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mscratch.mscratch__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mscratch.mscratch__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mscratch.mscratch__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mscratch.mscratch__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MSCRATCH 3 0 3 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MSCRATCH + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for MSCRATCH + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 10634 1 +other_values[1431655766:2863311530] 1759 1 +other_values[2863311531:ffffffff] 1231 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER4H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 207 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr59.pmpaddr59__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR59 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR59 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR59 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 131 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent25.mhpmevent25__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT25 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT25 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 193 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER19 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER19 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER19 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 16 1 +legal_values 32 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr15.pmpaddr15__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR15 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR15 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR15 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 38 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 4 0 4 100.00 100 1 1 0 +PMP50CFG 4 0 4 100.00 100 1 1 0 +PMP49CFG 4 0 4 100.00 100 1 1 0 +PMP48CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg12.pmpcfg12__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP51CFG 4 0 4 100.00 100 1 1 0 +PMP50CFG 4 0 4 100.00 100 1 1 0 +PMP49CFG 4 0 4 100.00 100 1 1 0 +PMP48CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP51CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP51CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 7 1 +illegal_values[ab:ff] 8 1 +legal_values 109 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP50CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP50CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 3 1 +illegal_values[ab:ff] 9 1 +legal_values 111 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP49CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP49CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 7 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 9 1 +legal_values 107 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP48CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP48CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 80 1 +illegal_values[56:aa] 6 1 +illegal_values[ab:ff] 10 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr57.pmpaddr57__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR57 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR57 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR57 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 21 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER6H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER6H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER6H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 12 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent23.mhpmevent23__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT23 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT23 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT23 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 190 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr2.pmpaddr2__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR2 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR2 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent8.mhpmevent8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT8 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent22.mhpmevent22__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT22 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT22 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT22 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 233 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr36.pmpaddr36__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR36 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR36 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR36 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 25 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 1 0 1 100.00 100 1 1 0 +PMP14CFG 1 0 1 100.00 100 1 1 0 +PMP13CFG 1 0 1 100.00 100 1 1 0 +PMP12CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg3.pmpcfg3__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP15CFG 1 0 1 100.00 100 1 1 0 +PMP14CFG 1 0 1 100.00 100 1 1 0 +PMP13CFG 1 0 1 100.00 100 1 1 0 +PMP12CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP15CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP15CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP14CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP14CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP13CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP13CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP12CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP12CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 252 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent21.mhpmevent21__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT21 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT21 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 183 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER24 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER24 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER24 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 52 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 31 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent20.mhpmevent20__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT20 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT20 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMEVENT20 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 188 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 4 0 4 100.00 100 1 1 0 +PMP22CFG 4 0 4 100.00 100 1 1 0 +PMP21CFG 4 0 4 100.00 100 1 1 0 +PMP20CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg5.pmpcfg5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP23CFG 4 0 4 100.00 100 1 1 0 +PMP22CFG 4 0 4 100.00 100 1 1 0 +PMP21CFG 4 0 4 100.00 100 1 1 0 +PMP20CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP23CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP23CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 2 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 7 1 +legal_values 91 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP22CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP22CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 3 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 6 1 +legal_values 96 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP21CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP21CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 4 1 +illegal_values[56:aa] 4 1 +illegal_values[ab:ff] 11 1 +legal_values 90 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP20CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP20CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 60 1 +illegal_values[56:aa] 8 1 +illegal_values[ab:ff] 4 1 +legal_values 37 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER3H 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER3H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER3H + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 64 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr8.pmpaddr8__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR8 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 162 1 + + +Group : uvme_cva6_pkg::cg_illegal_i + +=============================================================================== +Group : uvme_cva6_pkg::cg_illegal_i +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.illegal_i_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_illegal_i + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 +Crosses 15 0 15 100.00 + + +Variables for Group uvme_cva6_pkg::cg_illegal_i + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 3 0 3 100.00 100 1 1 0 +cp_illegal_funct3 6 0 6 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.illegal_i_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_i + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.illegal_i_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 +Crosses 15 0 15 100.00 + + +Variables for Group Instance uvme_cva6_pkg.illegal_i_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 3 0 3 100.00 100 1 1 0 +cp_illegal_funct3 6 0 6 100.00 100 1 1 0 +cp_illegal_funct7 6 0 6 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.illegal_i_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_exc_illegal_0 3 0 3 100.00 100 1 1 0 +cross_exc_illegal_1 6 0 6 100.00 100 1 1 0 +cross_exc_illegal_2 6 0 6 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_opcode + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 3 0 3 100.00 + + +User Defined Bins for cp_illegal_opcode + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_OPCODE[00:02,04:0e,10:12,14:16,18:22,24:2b] 5965 1 +ILLEGAL_OPCODE[2c:32,34:36,38:54] 5478 1 +ILLEGAL_OPCODE[55:62,64:66,68:6e,70:72,74:7f] 3883 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 6 0 6 100.00 + + +User Defined Bins for cp_illegal_funct3 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3[0:1] 6777 1 +ILLEGAL_NOPCODE_FUNCT3[2:3] 2255 1 +ILLEGAL_NOPCODE_FUNCT3[4:7] 6294 1 +ILLEGAL_FUNCT3[0:1] 15221 1 +ILLEGAL_FUNCT3[2:3] 9769 1 +ILLEGAL_FUNCT3[4:7] 2237 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 6 0 6 100.00 + + +User Defined Bins for cp_illegal_funct7 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[01:1f,21:2b] 4242 1 +ILLEGAL_NOPCODE_FUNCT7[2c:55] 1575 1 +ILLEGAL_NOPCODE_FUNCT7[56:7f] 1637 1 +ILLEGAL_FUNCT7[01:1f,21:2b] 10864 1 +ILLEGAL_FUNCT7[2c:55] 10609 1 +ILLEGAL_FUNCT7[56:7f] 5510 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_illegal + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_illegal + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_INSTR 42553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_0 + + +Samples crossed: cp_illegal_opcode cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 3 0 3 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_0 + + +Bins + +cp_illegal_opcode cp_is_illegal COUNT AT LEAST +ILLEGAL_OPCODE[00:02,04:0e,10:12,14:16,18:22,24:2b] ILLEGAL_INSTR 5965 1 +ILLEGAL_OPCODE[2c:32,34:36,38:54] ILLEGAL_INSTR 5478 1 +ILLEGAL_OPCODE[55:62,64:66,68:6e,70:72,74:7f] ILLEGAL_INSTR 3883 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_1 + + +Samples crossed: cp_illegal_funct3 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_1 + + +Bins + +cp_illegal_funct3 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3[0:1] ILLEGAL_INSTR 6777 1 +ILLEGAL_NOPCODE_FUNCT3[2:3] ILLEGAL_INSTR 2255 1 +ILLEGAL_NOPCODE_FUNCT3[4:7] ILLEGAL_INSTR 6294 1 +ILLEGAL_FUNCT3[0:1] ILLEGAL_INSTR 15221 1 +ILLEGAL_FUNCT3[2:3] ILLEGAL_INSTR 9769 1 +ILLEGAL_FUNCT3[4:7] ILLEGAL_INSTR 2237 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_2 + + +Samples crossed: cp_illegal_funct7 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 6 0 6 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_2 + + +Bins + +cp_illegal_funct7 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT7[01:1f,21:2b] ILLEGAL_INSTR 4242 1 +ILLEGAL_NOPCODE_FUNCT7[2c:55] ILLEGAL_INSTR 1575 1 +ILLEGAL_NOPCODE_FUNCT7[56:7f] ILLEGAL_INSTR 1637 1 +ILLEGAL_FUNCT7[01:1f,21:2b] ILLEGAL_INSTR 10864 1 +ILLEGAL_FUNCT7[2c:55] ILLEGAL_INSTR 10609 1 +ILLEGAL_FUNCT7[56:7f] ILLEGAL_INSTR 5510 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent3.mhpmevent3__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT3 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT3 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr27.pmpaddr27__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR27 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR27 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 26 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER21 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER21 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER21 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 55 1 +illegal_values[1431655766:2863311530] 10 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.cus_add_rs3_rtype_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 0 0 0 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_add_rs3_rtype_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 192 0 192 100.00 +Crosses 0 0 0 + + +Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_rs1 32 0 32 100.00 100 1 1 0 +cp_rs2 32 0 32 100.00 100 1 1 0 +cp_rs3 0 0 0 1 0 +cp_rs1_toggle 64 0 64 100.00 100 1 1 0 +cp_rs2_toggle 64 0 64 100.00 100 1 1 0 +cp_rs3_toggle 0 0 0 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_rs3_rs2 0 0 0 1 0 +cross_rs3_rs1 0 0 0 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs1 + + +Bins + +NAME COUNT AT LEAST +RS1_00 168 1 +RS1_01 157 1 +RS1_02 161 1 +RS1_03 175 1 +RS1_04 160 1 +RS1_05 151 1 +RS1_06 158 1 +RS1_07 173 1 +RS1_08 168 1 +RS1_09 163 1 +RS1_0a 135 1 +RS1_0b 163 1 +RS1_0c 152 1 +RS1_0d 167 1 +RS1_0e 186 1 +RS1_0f 179 1 +RS1_10 167 1 +RS1_11 164 1 +RS1_12 187 1 +RS1_13 171 1 +RS1_14 166 1 +RS1_15 158 1 +RS1_16 157 1 +RS1_17 181 1 +RS1_18 163 1 +RS1_19 169 1 +RS1_1a 144 1 +RS1_1b 163 1 +RS1_1c 141 1 +RS1_1d 165 1 +RS1_1e 157 1 +RS1_1f 165 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 32 0 32 100.00 + + +User Defined Bins for cp_rs2 + + +Bins + +NAME COUNT AT LEAST +RS2_00 163 1 +RS2_01 173 1 +RS2_02 168 1 +RS2_03 167 1 +RS2_04 165 1 +RS2_05 155 1 +RS2_06 164 1 +RS2_07 166 1 +RS2_08 178 1 +RS2_09 151 1 +RS2_0a 165 1 +RS2_0b 149 1 +RS2_0c 155 1 +RS2_0d 163 1 +RS2_0e 173 1 +RS2_0f 170 1 +RS2_10 187 1 +RS2_11 161 1 +RS2_12 156 1 +RS2_13 169 1 +RS2_14 168 1 +RS2_15 151 1 +RS2_16 171 1 +RS2_17 175 1 +RS2_18 176 1 +RS2_19 166 1 +RS2_1a 162 1 +RS2_1b 169 1 +RS2_1c 158 1 +RS2_1d 146 1 +RS2_1e 154 1 +RS2_1f 140 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +User Defined Bins for cp_rs3 + + +Excluded/Illegal bins + +NAME COUNT STATUS +RS3_00 0 Excluded +RS3_01 0 Excluded +RS3_02 0 Excluded +RS3_03 0 Excluded +RS3_04 0 Excluded +RS3_05 0 Excluded +RS3_06 0 Excluded +RS3_07 0 Excluded +RS3_08 0 Excluded +RS3_09 0 Excluded +RS3_0a 0 Excluded +RS3_0b 0 Excluded +RS3_0c 0 Excluded +RS3_0d 0 Excluded +RS3_0e 0 Excluded +RS3_0f 0 Excluded +RS3_10 0 Excluded +RS3_11 0 Excluded +RS3_12 0 Excluded +RS3_13 0 Excluded +RS3_14 0 Excluded +RS3_15 0 Excluded +RS3_16 0 Excluded +RS3_17 0 Excluded +RS3_18 0 Excluded +RS3_19 0 Excluded +RS3_1a 0 Excluded +RS3_1b 0 Excluded +RS3_1c 0 Excluded +RS3_1d 0 Excluded +RS3_1e 0 Excluded +RS3_1f 0 Excluded +IGN_RS3 0 Excluded + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs1_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs1_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2456 1 +BIT30_1 2035 1 +BIT29_1 2001 1 +BIT28_1 1958 1 +BIT27_1 1902 1 +BIT26_1 1902 1 +BIT25_1 1937 1 +BIT24_1 1885 1 +BIT23_1 1909 1 +BIT22_1 1886 1 +BIT21_1 1879 1 +BIT20_1 1862 1 +BIT19_1 1901 1 +BIT18_1 1833 1 +BIT17_1 1881 1 +BIT16_1 2025 1 +BIT15_1 2162 1 +BIT14_1 2119 1 +BIT13_1 2000 1 +BIT12_1 2314 1 +BIT11_1 2277 1 +BIT10_1 2305 1 +BIT9_1 2205 1 +BIT8_1 1974 1 +BIT7_1 2182 1 +BIT6_1 1892 1 +BIT5_1 2027 1 +BIT4_1 2382 1 +BIT3_1 2451 1 +BIT2_1 2376 1 +BIT1_1 2016 1 +BIT0_1 1693 1 +BIT31_0 2776 1 +BIT30_0 3197 1 +BIT29_0 3231 1 +BIT28_0 3274 1 +BIT27_0 3330 1 +BIT26_0 3330 1 +BIT25_0 3295 1 +BIT24_0 3347 1 +BIT23_0 3323 1 +BIT22_0 3346 1 +BIT21_0 3353 1 +BIT20_0 3370 1 +BIT19_0 3331 1 +BIT18_0 3399 1 +BIT17_0 3351 1 +BIT16_0 3207 1 +BIT15_0 3070 1 +BIT14_0 3113 1 +BIT13_0 3232 1 +BIT12_0 2918 1 +BIT11_0 2955 1 +BIT10_0 2927 1 +BIT9_0 3027 1 +BIT8_0 3258 1 +BIT7_0 3050 1 +BIT6_0 3340 1 +BIT5_0 3205 1 +BIT4_0 2850 1 +BIT3_0 2781 1 +BIT2_0 2856 1 +BIT1_0 3216 1 +BIT0_0 3539 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs2_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 64 0 64 100.00 + + +User Defined Bins for cp_rs2_toggle + + +Bins + +NAME COUNT AT LEAST +BIT31_1 2508 1 +BIT30_1 1933 1 +BIT29_1 1995 1 +BIT28_1 1984 1 +BIT27_1 1861 1 +BIT26_1 1924 1 +BIT25_1 1978 1 +BIT24_1 1860 1 +BIT23_1 1917 1 +BIT22_1 1884 1 +BIT21_1 1885 1 +BIT20_1 1900 1 +BIT19_1 1839 1 +BIT18_1 1891 1 +BIT17_1 1805 1 +BIT16_1 2003 1 +BIT15_1 2156 1 +BIT14_1 2099 1 +BIT13_1 2021 1 +BIT12_1 2339 1 +BIT11_1 2380 1 +BIT10_1 2295 1 +BIT9_1 2111 1 +BIT8_1 2048 1 +BIT7_1 2158 1 +BIT6_1 1978 1 +BIT5_1 2003 1 +BIT4_1 2459 1 +BIT3_1 2468 1 +BIT2_1 2376 1 +BIT1_1 2000 1 +BIT0_1 1662 1 +BIT31_0 2726 1 +BIT30_0 3301 1 +BIT29_0 3239 1 +BIT28_0 3250 1 +BIT27_0 3373 1 +BIT26_0 3310 1 +BIT25_0 3256 1 +BIT24_0 3374 1 +BIT23_0 3317 1 +BIT22_0 3350 1 +BIT21_0 3349 1 +BIT20_0 3334 1 +BIT19_0 3395 1 +BIT18_0 3343 1 +BIT17_0 3429 1 +BIT16_0 3231 1 +BIT15_0 3078 1 +BIT14_0 3135 1 +BIT13_0 3213 1 +BIT12_0 2895 1 +BIT11_0 2854 1 +BIT10_0 2939 1 +BIT9_0 3123 1 +BIT8_0 3186 1 +BIT7_0 3076 1 +BIT6_0 3256 1 +BIT5_0 3231 1 +BIT4_0 2775 1 +BIT3_0 2766 1 +BIT2_0 2858 1 +BIT1_0 3234 1 +BIT0_0 3572 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_rs3_toggle + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 0 0 0 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs3_rs2 + + +Samples crossed: cp_rs3 cp_rs2 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +------------------------------------------------------------------------------- + +Summary for Cross cross_rs3_rs1 + + +Samples crossed: cp_rs3 cp_rs1 +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING + + +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 4 0 4 100.00 100 1 1 0 +PMP6CFG 4 0 4 100.00 100 1 1 0 +PMP5CFG 4 0 4 100.00 100 1 1 0 +PMP4CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg1.pmpcfg1__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 16 0 16 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP7CFG 4 0 4 100.00 100 1 1 0 +PMP6CFG 4 0 4 100.00 100 1 1 0 +PMP5CFG 4 0 4 100.00 100 1 1 0 +PMP4CFG 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP7CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP7CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 6 1 +illegal_values[56:aa] 17 1 +illegal_values[ab:ff] 19 1 +legal_values 129 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP6CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP6CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 10 1 +illegal_values[56:aa] 9 1 +illegal_values[ab:ff] 17 1 +legal_values 135 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP5CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP5CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 8 1 +illegal_values[56:aa] 11 1 +illegal_values[ab:ff] 25 1 +legal_values 127 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP4CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMP4CFG + + +Bins + +NAME COUNT AT LEAST +illegal_values[01:55] 72 1 +illegal_values[56:aa] 17 1 +illegal_values[ab:ff] 20 1 +legal_values 62 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr18.pmpaddr18__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR18 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR18 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR18 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 2 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 19 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr9.pmpaddr9__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR9 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 49 1 +illegal_values[1431655766:2863311530] 6 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr7.pmpaddr7__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR7 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR7 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR7 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 34 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 14 1 +legal_values 28 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr31.pmpaddr31__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR31 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR31 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 130 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER27 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER27 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER27 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 205 1 + + +Group : uvme_cva6_pkg::cg_cvxif_executed + +=============================================================================== +Group : uvme_cva6_pkg::cg_cvxif_executed +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.cus_cvxif_seq_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_cvxif_executed + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 +Crosses 104 0 104 100.00 + + +Variables for Group uvme_cva6_pkg::cg_cvxif_executed + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_prev_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_instr 10 0 10 100.00 100 1 1 0 +cp_prev_instr 10 0 10 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.cus_cvxif_seq_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_cvxif_executed + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 24 0 24 100.00 +Crosses 104 0 104 100.00 + + +Variables for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_prev_compressed_instr 2 0 2 100.00 100 1 1 0 +cp_instr 10 0 10 100.00 100 1 1 0 +cp_prev_instr 10 0 10 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_seq_cus_compressed_instr_x2 4 0 4 100.00 100 1 1 0 +cross_seq_cus_instr_x2 100 0 100 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_compressed_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_compressed_instr + + +Bins + +NAME COUNT AT LEAST +CUS_CADD 24128 1 +CUS_CNOP 24356 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_prev_compressed_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_prev_compressed_instr + + +Bins + +NAME COUNT AT LEAST +CUS_CADD 24082 1 +CUS_CNOP 24299 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_instr + + +Bins + +NAME COUNT AT LEAST +CUS_ADD_RS3_RTYPE 6415 1 +CUS_ADD_RS3_NMSUB 6572 1 +CUS_ADD_RS3_NMADD 6536 1 +CUS_ADD_RS3_MSUB 6374 1 +CUS_ADD_RS3_MADD 6598 1 +CUS_ADD_MULTI 6525 1 +CUS_DOUBLE_RS2 6440 1 +CUS_DOUBLE_RS1 6548 1 +CUS_ADD 12779 1 +CUS_NOP 13138 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_prev_instr + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 10 0 10 100.00 + + +User Defined Bins for cp_prev_instr + + +Bins + +NAME COUNT AT LEAST +CUS_ADD_RS3_RTYPE 6399 1 +CUS_ADD_RS3_NMSUB 6553 1 +CUS_ADD_RS3_NMADD 6516 1 +CUS_ADD_RS3_MSUB 6349 1 +CUS_ADD_RS3_MADD 6581 1 +CUS_ADD_MULTI 6510 1 +CUS_DOUBLE_RS2 6420 1 +CUS_DOUBLE_RS1 6530 1 +CUS_ADD 12753 1 +CUS_NOP 13116 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_cus_compressed_instr_x2 + + +Samples crossed: cp_compressed_instr cp_prev_compressed_instr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 4 0 4 100.00 + + +Automatically Generated Cross Bins for cross_seq_cus_compressed_instr_x2 + + +Bins + +cp_compressed_instr cp_prev_compressed_instr COUNT AT LEAST +CUS_CADD CUS_CADD 16069 1 +CUS_CADD CUS_CNOP 988 1 +CUS_CNOP CUS_CADD 997 1 +CUS_CNOP CUS_CNOP 16200 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_seq_cus_instr_x2 + + +Samples crossed: cp_instr cp_prev_instr +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 100 0 100 100.00 + + +Automatically Generated Cross Bins for cross_seq_cus_instr_x2 + + +Bins + +cp_instr cp_prev_instr COUNT AT LEAST +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_RTYPE 1922 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_NMSUB 413 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_NMADD 325 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_MSUB 359 1 +CUS_ADD_RS3_RTYPE CUS_ADD_RS3_MADD 378 1 +CUS_ADD_RS3_RTYPE CUS_ADD_MULTI 349 1 +CUS_ADD_RS3_RTYPE CUS_DOUBLE_RS2 403 1 +CUS_ADD_RS3_RTYPE CUS_DOUBLE_RS1 339 1 +CUS_ADD_RS3_RTYPE CUS_ADD 688 1 +CUS_ADD_RS3_RTYPE CUS_NOP 708 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_RTYPE 391 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_NMSUB 1942 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_NMADD 330 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_MSUB 362 1 +CUS_ADD_RS3_NMSUB CUS_ADD_RS3_MADD 379 1 +CUS_ADD_RS3_NMSUB CUS_ADD_MULTI 389 1 +CUS_ADD_RS3_NMSUB CUS_DOUBLE_RS2 377 1 +CUS_ADD_RS3_NMSUB CUS_DOUBLE_RS1 361 1 +CUS_ADD_RS3_NMSUB CUS_ADD 701 1 +CUS_ADD_RS3_NMSUB CUS_NOP 739 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_RTYPE 328 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_NMSUB 390 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_NMADD 1987 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_MSUB 351 1 +CUS_ADD_RS3_NMADD CUS_ADD_RS3_MADD 368 1 +CUS_ADD_RS3_NMADD CUS_ADD_MULTI 365 1 +CUS_ADD_RS3_NMADD CUS_DOUBLE_RS2 370 1 +CUS_ADD_RS3_NMADD CUS_DOUBLE_RS1 354 1 +CUS_ADD_RS3_NMADD CUS_ADD 666 1 +CUS_ADD_RS3_NMADD CUS_NOP 719 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_RTYPE 341 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_NMSUB 382 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_NMADD 363 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_MSUB 1848 1 +CUS_ADD_RS3_MSUB CUS_ADD_RS3_MADD 350 1 +CUS_ADD_RS3_MSUB CUS_ADD_MULTI 354 1 +CUS_ADD_RS3_MSUB CUS_DOUBLE_RS2 348 1 +CUS_ADD_RS3_MSUB CUS_DOUBLE_RS1 375 1 +CUS_ADD_RS3_MSUB CUS_ADD 689 1 +CUS_ADD_RS3_MSUB CUS_NOP 702 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_RTYPE 329 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_NMSUB 387 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_NMADD 348 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_MSUB 353 1 +CUS_ADD_RS3_MADD CUS_ADD_RS3_MADD 1996 1 +CUS_ADD_RS3_MADD CUS_ADD_MULTI 348 1 +CUS_ADD_RS3_MADD CUS_DOUBLE_RS2 387 1 +CUS_ADD_RS3_MADD CUS_DOUBLE_RS1 381 1 +CUS_ADD_RS3_MADD CUS_ADD 755 1 +CUS_ADD_RS3_MADD CUS_NOP 734 1 +CUS_ADD_MULTI CUS_ADD_RS3_RTYPE 420 1 +CUS_ADD_MULTI CUS_ADD_RS3_NMSUB 338 1 +CUS_ADD_MULTI CUS_ADD_RS3_NMADD 389 1 +CUS_ADD_MULTI CUS_ADD_RS3_MSUB 365 1 +CUS_ADD_MULTI CUS_ADD_RS3_MADD 341 1 +CUS_ADD_MULTI CUS_ADD_MULTI 2017 1 +CUS_ADD_MULTI CUS_DOUBLE_RS2 370 1 +CUS_ADD_MULTI CUS_DOUBLE_RS1 359 1 +CUS_ADD_MULTI CUS_ADD 689 1 +CUS_ADD_MULTI CUS_NOP 682 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_RTYPE 375 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_NMSUB 342 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_NMADD 374 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_MSUB 363 1 +CUS_DOUBLE_RS2 CUS_ADD_RS3_MADD 350 1 +CUS_DOUBLE_RS2 CUS_ADD_MULTI 374 1 +CUS_DOUBLE_RS2 CUS_DOUBLE_RS2 1885 1 +CUS_DOUBLE_RS2 CUS_DOUBLE_RS1 346 1 +CUS_DOUBLE_RS2 CUS_ADD 712 1 +CUS_DOUBLE_RS2 CUS_NOP 684 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_RTYPE 328 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_NMSUB 360 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_NMADD 360 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_MSUB 364 1 +CUS_DOUBLE_RS1 CUS_ADD_RS3_MADD 387 1 +CUS_DOUBLE_RS1 CUS_ADD_MULTI 365 1 +CUS_DOUBLE_RS1 CUS_DOUBLE_RS2 364 1 +CUS_DOUBLE_RS1 CUS_DOUBLE_RS1 1958 1 +CUS_DOUBLE_RS1 CUS_ADD 695 1 +CUS_DOUBLE_RS1 CUS_NOP 769 1 +CUS_ADD CUS_ADD_RS3_RTYPE 411 1 +CUS_ADD CUS_ADD_RS3_NMSUB 421 1 +CUS_ADD CUS_ADD_RS3_NMADD 419 1 +CUS_ADD CUS_ADD_RS3_MSUB 437 1 +CUS_ADD CUS_ADD_RS3_MADD 452 1 +CUS_ADD CUS_ADD_MULTI 457 1 +CUS_ADD CUS_DOUBLE_RS2 406 1 +CUS_ADD CUS_DOUBLE_RS1 448 1 +CUS_ADD CUS_ADD 3997 1 +CUS_ADD CUS_NOP 889 1 +CUS_NOP CUS_ADD_RS3_RTYPE 443 1 +CUS_NOP CUS_ADD_RS3_NMSUB 463 1 +CUS_NOP CUS_ADD_RS3_NMADD 468 1 +CUS_NOP CUS_ADD_RS3_MSUB 456 1 +CUS_NOP CUS_ADD_RS3_MADD 465 1 +CUS_NOP CUS_ADD_MULTI 434 1 +CUS_NOP CUS_DOUBLE_RS2 412 1 +CUS_NOP CUS_DOUBLE_RS1 426 1 +CUS_NOP CUS_ADD 967 1 +CUS_NOP CUS_NOP 4201 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent5.mhpmevent5__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT5 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT5 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT5 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 30 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER28 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER28 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER28 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr12.pmpaddr12__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR12 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR12 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR12 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 177 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr50.pmpaddr50__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR50 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR50 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR50 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 142 1 + + +Group : uvme_cva6_pkg::reg_mstatush::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mstatush::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mstatush.mstatush__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 1 0 1 100.00 100 1 1 0 +MPV 1 0 1 100.00 100 1 1 0 +GVA 1 0 1 100.00 100 1 1 0 +MBE 1 0 1 100.00 100 1 1 0 +SBE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mstatush.mstatush__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mstatush.mstatush__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 + + +Variables for Group Instance csr_reg_cov.mstatush.mstatush__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MPELP 1 0 1 100.00 100 1 1 0 +MPV 1 0 1 100.00 100 1 1 0 +GVA 1 0 1 100.00 100 1 1 0 +MBE 1 0 1 100.00 100 1 1 0 +SBE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MPELP + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPELP + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MPV + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MPV + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable GVA + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for GVA + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MBE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +------------------------------------------------------------------------------- + +Summary for Variable SBE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for SBE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 264 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER5H 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER5H + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER5H + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr9.pmpaddr9__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR9 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR9 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR9 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 202 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr35.pmpaddr35__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR35 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR35 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR35 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 132 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER31 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER31 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER31 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 63 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 19 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER14 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER14 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 195 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr16.pmpaddr16__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR16 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR16 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR16 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 7 1 + + +Group : uvme_cva6_pkg::reg_mtvec::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mtvec::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mtvec.mtvec__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mtvec.mtvec__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mtvec.mtvec__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 3 0 3 100.00 + + +Variables for Group Instance csr_reg_cov.mtvec.mtvec__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +BASE 2 0 2 100.00 100 1 1 0 +MODE 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable BASE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for BASE + + +Bins + +NAME COUNT AT LEAST +other_values 123 1 +reset_value 21 1 + + +------------------------------------------------------------------------------- + +Summary for Variable MODE + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MODE + + +Bins + +NAME COUNT AT LEAST +legal_values_0 144 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr54.pmpaddr54__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR54 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR54 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR54 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 131 1 + + +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 1 0 1 100.00 100 1 1 0 +PMP26CFG 1 0 1 100.00 100 1 1 0 +PMP25CFG 1 0 1 100.00 100 1 1 0 +PMP24CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpcfg6.pmpcfg6__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMP27CFG 1 0 1 100.00 100 1 1 0 +PMP26CFG 1 0 1 100.00 100 1 1 0 +PMP25CFG 1 0 1 100.00 100 1 1 0 +PMP24CFG 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP27CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP27CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP26CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP26CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP25CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP25CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +------------------------------------------------------------------------------- + +Summary for Variable PMP24CFG + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMP24CFG + + +Bins + +NAME COUNT AT LEAST +legal_values_00 218 1 + + +Group : uvme_cva6_pkg::cg_illegal_zicsr + +=============================================================================== +Group : uvme_cva6_pkg::cg_illegal_zicsr +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 uvme_cva6_pkg.illegal_zicsr_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::cg_illegal_zicsr + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::cg_illegal_zicsr + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 2 0 2 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : uvme_cva6_pkg.illegal_zicsr_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::cg_illegal_zicsr + + + +------------------------------------------------------------------------------- + +Summary for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 5 0 5 100.00 +Crosses 4 0 4 100.00 + + +Variables for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +cp_illegal_opcode 2 0 2 100.00 100 1 1 0 +cp_illegal_funct3 2 0 2 100.00 100 1 1 0 +cp_is_illegal 1 0 1 100.00 100 1 1 0 + + +Crosses for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + + +CROSS EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST PRINT MISSING COMMENT +cross_exc_illegal_0 2 0 2 100.00 100 1 1 0 +cross_exc_illegal_1 2 0 2 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_opcode + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_illegal_opcode + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_OPCODE[00:3e] 14149 1 +ILLEGAL_OPCODE[3f:72,74:7f] 5441 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_illegal_funct3 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 2 0 2 100.00 + + +User Defined Bins for cp_illegal_funct3 + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3 5727 1 +ILLEGAL_FUNCT3 10792 1 + + +------------------------------------------------------------------------------- + +Summary for Variable cp_is_illegal + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for cp_is_illegal + + +Bins + +NAME COUNT AT LEAST +ILLEGAL_INSTR 42553 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_0 + + +Samples crossed: cp_illegal_opcode cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 2 0 2 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_0 + + +Bins + +cp_illegal_opcode cp_is_illegal COUNT AT LEAST +ILLEGAL_OPCODE[00:3e] ILLEGAL_INSTR 14149 1 +ILLEGAL_OPCODE[3f:72,74:7f] ILLEGAL_INSTR 5441 1 + + +------------------------------------------------------------------------------- + +Summary for Cross cross_exc_illegal_1 + + +Samples crossed: cp_illegal_funct3 cp_is_illegal +CATEGORY EXPECTED UNCOVERED COVERED PERCENT MISSING +Automatically Generated Cross Bins 2 0 2 100.00 + + +Automatically Generated Cross Bins for cross_exc_illegal_1 + + +Bins + +cp_illegal_funct3 cp_is_illegal COUNT AT LEAST +ILLEGAL_NOPCODE_FUNCT3 ILLEGAL_INSTR 5727 1 +ILLEGAL_FUNCT3 ILLEGAL_INSTR 10792 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr52.pmpaddr52__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR52 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR52 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR52 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 22 1 +illegal_values[1431655766:2863311530] 5 1 +illegal_values[2863311531:ffffffff] 5 1 +legal_values 15 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr10.pmpaddr10__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR10 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR10 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 45 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr39.pmpaddr39__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR39 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR39 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR39 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 117 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER10 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER10 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MHPMCOUNTER10 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 191 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr8.pmpaddr8__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR8 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR8 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR8 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 27 1 +illegal_values[1431655766:2863311530] 8 1 +illegal_values[2863311531:ffffffff] 13 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr33.pmpaddr33__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR33 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR33 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR33 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 23 1 +illegal_values[1431655766:2863311530] 3 1 +illegal_values[2863311531:ffffffff] 6 1 +legal_values 20 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER4 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER4 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER4 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 57 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 11 1 +legal_values 25 1 + + +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMCOUNTER25 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMCOUNTER25 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMCOUNTER25 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 59 1 +illegal_values[1431655766:2863311530] 11 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 27 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr58.pmpaddr58__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR58 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR58 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for PMPADDR58 + + +Bins + +NAME COUNT AT LEAST +legal_values_0 120 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr56.pmpaddr56__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR56 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR56 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR56 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 20 1 +illegal_values[1431655766:2863311530] 4 1 +illegal_values[2863311531:ffffffff] 2 1 +legal_values 22 1 + + +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.pmpaddr14.pmpaddr14__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +PMPADDR14 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable PMPADDR14 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for PMPADDR14 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 42 1 +illegal_values[1431655766:2863311530] 7 1 +illegal_values[2863311531:ffffffff] 15 1 +legal_values 23 1 + + +Group : uvme_cva6_pkg::reg_minstret::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_minstret::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.minstret.minstret__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_minstret::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_minstret::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.minstret.minstret__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_minstret::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.minstret.minstret__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.minstret.minstret__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MINSTRET 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MINSTRET + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MINSTRET + + +Bins + +NAME COUNT AT LEAST +other_values[1:1431655765] 252 1 +other_values[1431655766:2863311530] 8 1 +other_values[2863311531:ffffffff] 8 1 +reset_value 4 1 + + +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mhpmevent6.mhpmevent6__write_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 4 0 4 100.00 + + +Variables for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MHPMEVENT6 4 0 4 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MHPMEVENT6 + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 4 0 4 100.00 + + +User Defined Bins for MHPMEVENT6 + + +Bins + +NAME COUNT AT LEAST +illegal_values[1:1431655765] 54 1 +illegal_values[1431655766:2863311530] 9 1 +illegal_values[2863311531:ffffffff] 12 1 +legal_values 26 1 + + +Group : uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + +=============================================================================== +Group : uvme_cva6_pkg::reg_mvendorid::reg_rd_cg +=============================================================================== +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING +100.00 100.00 1 100 1 1 64 64 + + +Source File(s) : + +/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv + +1 Instances: + +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING NAME +100.00 1 100 1 64 64 csr_reg_cov.mvendorid.mvendorid__read_cg + + + + +------------------------------------------------------------------------------- + +Summary for Group uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MVENDORID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Group Instance : csr_reg_cov.mvendorid.mvendorid__read_cg +=============================================================================== +SCORE WEIGHT GOAL AT LEAST AUTO BIN MAX PRINT MISSING +100.00 1 100 1 64 64 + + +Group: + +SCORE INSTANCES WEIGHT GOAL AT LEAST PER INSTANCE AUTO BIN MAX PRINT MISSING COMMENT NAME +100.00 100.00 1 100 1 1 64 64 uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + + +------------------------------------------------------------------------------- + +Summary for Group Instance csr_reg_cov.mvendorid.mvendorid__read_cg + + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +Variables 1 0 1 100.00 + + +Variables for Group Instance csr_reg_cov.mvendorid.mvendorid__read_cg + + +VARIABLE EXPECTED UNCOVERED COVERED PERCENT GOAL WEIGHT AT LEAST AUTO BIN MAX COMMENT +MVENDORID 1 0 1 100.00 100 1 1 0 + + +------------------------------------------------------------------------------- + +Summary for Variable MVENDORID + + +CATEGORY EXPECTED UNCOVERED COVERED PERCENT +User Defined Bins 1 0 1 100.00 + + +User Defined Bins for MVENDORID + + +Bins + +NAME COUNT AT LEAST +legal_values_1538 93 1 + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hierarchy.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hierarchy.txt new file mode 100644 index 00000000..c5d1b7e5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hierarchy.txt @@ -0,0 +1,159 @@ +Design Hierarchy + + +---------------- +SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 uvmt_cva6_tb + + ---------------- + SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 cva6_dut_wrap + + ---------------- + SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- cva6_tb_wrapper_i + + ---------------- + SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- cva6_only_pipeline.i_cva6_pipeline + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- commit_stage_i + 100.00 100.00 100.00 -- controller_i + 98.78 100.00 97.56 -- csr_regfile_i + 99.81 99.81 99.81 -- ex_stage_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- alu_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- gen_bitmanip.i_clz_64b + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- branch_unit_i + 100.00 100.00 100.00 -- csr_buffer_i + 100.00 100.00 100.00 -- gen_cvxif.cvxif_fu_i + 99.83 100.00 99.66 -- i_mult + + ---------------- + SCORE LINE COND ASSERT + 99.82 100.00 99.64 -- i_div + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_lzc_a + 100.00 100.00 100.00 -- i_lzc_b + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_multiplier + + ---------------- + SCORE LINE COND ASSERT + 99.83 99.65 100.00 -- lsu_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_load_unit + 100.00 100.00 100.00 -- i_store_unit + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- store_buffer_i + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- lsu_bypass_i + + ---------------- + SCORE LINE COND ASSERT + 99.70 100.00 99.39 -- i_frontend + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- bht_gen.i_bht + 100.00 100.00 100.00 -- gen_instr_scan[0].i_instr_scan + 100.00 100.00 100.00 -- i_instr_queue + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- gen_instr_fifo[0].i_fifo_instr_data + 100.00 100.00 100.00 -- gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- i_instr_realign + 100.00 100.00 100.00 -- ras_gen.i_ras + + ---------------- + SCORE LINE COND ASSERT + 99.12 99.42 98.81 -- id_stage_i + + ---------------- + SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- genblk1.genblk1[0].compressed_decoder_i + 100.00 100.00 100.00 -- genblk1.genblk6.i_cvxif_compressed_if_driver_i + 99.12 99.73 98.51 -- genblk2[0].decoder_i + + ---------------- + SCORE LINE COND ASSERT + 97.36 99.62 95.10 -- issue_stage_i + + ---------------- + SCORE LINE COND ASSERT + 97.46 100.00 94.92 -- i_issue_read_operands + + ---------------- + SCORE LINE COND ASSERT + 100.00 100.00 100.00 -- gen_asic_regfile.i_ariane_regfile + 94.19 -- 94.19 -- genblk5[0].i_sel_rs1 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs2 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs3 + 100.00 100.00 100.00 -- i_cvxif_issue_register_commit_if_driver + + ---------------- + SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- i_scoreboard + + ---------------- + SCORE LINE COND ASSERT + 92.31 -- -- 92.31 cvxif_assert + 100.00 -- -- 100.00 interrupt_assert + 61.29 -- -- 61.29 obi_fetch_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 u_assert + + ---------------- + SCORE LINE COND ASSERT + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 obi_load_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 u_assert + + ---------------- + SCORE LINE COND ASSERT + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 obi_store_assert + + ---------------- + SCORE LINE COND ASSERT + 61.29 -- -- 61.29 u_assert + + ---------------- + SCORE LINE COND ASSERT + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hvp.CVA6 Verification Master Plan.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hvp.CVA6 Verification Master Plan.txt new file mode 100644 index 00000000..c17fa441 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/hvp.CVA6 Verification Master Plan.txt @@ -0,0 +1,488 @@ +HVP Hierarchy + + + + +---------------- +SCORE LINE COND ASSERT GROUP weight description Comment NAME + 97.02 99.79 98.39 92.31 97.58 1 CVA6 Verification Master Plan CVA6 Verification Master Plan + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 94.94 -- -- 92.31 97.58 1 CVA6 features for programmer view Programmer view level + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.74 -- -- -- 99.74 1 Instruction Set Architecture + Specification: Done, Dvplan: Done, Verification execution: Done ISA + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.46 -- -- -- 99.46 1 I extension RV32I + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ADD + 100.00 -- -- -- 100.00 1 ADDI + 100.00 -- -- -- 100.00 1 AND + 100.00 -- -- -- 100.00 1 ANDI + 100.00 -- -- -- 100.00 1 AUIPC + 100.00 -- -- -- 100.00 1 BEQ + 100.00 -- -- -- 100.00 1 BGE + 100.00 -- -- -- 100.00 1 BGEU + 100.00 -- -- -- 100.00 1 BLT + 100.00 -- -- -- 100.00 1 BLTU + 100.00 -- -- -- 100.00 1 BNE + 100.00 -- -- -- 100.00 1 EBREAK + 100.00 -- -- -- 100.00 1 ECALL + 100.00 -- -- -- 100.00 1 FENCE + 85.42 -- -- -- 85.42 1 JAL + 92.03 -- -- -- 92.03 1 JALR + 100.00 -- -- -- 100.00 1 LB + 100.00 -- -- -- 100.00 1 LBU + 100.00 -- -- -- 100.00 1 LH + 100.00 -- -- -- 100.00 1 LHU + 100.00 -- -- -- 100.00 1 LUI + 100.00 -- -- -- 100.00 1 LW + 100.00 -- -- -- 100.00 1 MRET + 100.00 -- -- -- 100.00 1 OR + 100.00 -- -- -- 100.00 1 ORI + 100.00 -- -- -- 100.00 1 SB + 100.00 -- -- -- 100.00 1 SH + 100.00 -- -- -- 100.00 1 SLL + 100.00 -- -- -- 100.00 1 SLLI + 100.00 -- -- -- 100.00 1 SLT + 100.00 -- -- -- 100.00 1 SLTI + 100.00 -- -- -- 100.00 1 SLTIU + 100.00 -- -- -- 100.00 1 SLTU + 100.00 -- -- -- 100.00 1 SRA + 100.00 -- -- -- 100.00 1 SRAI + 100.00 -- -- -- 100.00 1 SRL + 100.00 -- -- -- 100.00 1 SRLI + 100.00 -- -- -- 100.00 1 SUB + 100.00 -- -- -- 100.00 1 SW + 100.00 -- -- -- 100.00 1 WFI + 100.00 -- -- -- 100.00 1 XOR + 100.00 -- -- -- 100.00 1 XORI + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 M extension RV32M + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 DIV + 100.00 -- -- -- 100.00 1 DIV_RESULTS + 100.00 -- -- -- 100.00 1 DIVU + 100.00 -- -- -- 100.00 1 DIVU_RESULTS + 100.00 -- -- -- 100.00 1 MUL + 100.00 -- -- -- 100.00 1 MULH + 100.00 -- -- -- 100.00 1 MULHSU + 100.00 -- -- -- 100.00 1 MULHU + 100.00 -- -- -- 100.00 1 REM + 100.00 -- -- -- 100.00 1 REM_RESULTS + 100.00 -- -- -- 100.00 1 REMU + 100.00 -- -- -- 100.00 1 REMU_RESULTS + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.97 -- -- -- 99.97 1 C extension RV32C + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ADD + 100.00 -- -- -- 100.00 1 ADDI4SPN + 100.00 -- -- -- 100.00 1 ADDI16SP + 100.00 -- -- -- 100.00 1 ADDI + 100.00 -- -- -- 100.00 1 AND + 100.00 -- -- -- 100.00 1 ANDI + 100.00 -- -- -- 100.00 1 BEQZ + 100.00 -- -- -- 100.00 1 BNEZ + 100.00 -- -- -- 100.00 1 EBREAK + 100.00 -- -- -- 100.00 1 J + 100.00 -- -- -- 100.00 1 JAL + 100.00 -- -- -- 100.00 1 JALR + 100.00 -- -- -- 100.00 1 JR + 100.00 -- -- -- 100.00 1 LI + 100.00 -- -- -- 100.00 1 LUI + 99.55 -- -- -- 99.55 1 LW + 100.00 -- -- -- 100.00 1 LWSP + 100.00 -- -- -- 100.00 1 MV + 100.00 -- -- -- 100.00 1 NOP + 100.00 -- -- -- 100.00 1 OR + 100.00 -- -- -- 100.00 1 SLLI + 100.00 -- -- -- 100.00 1 SRAI + 100.00 -- -- -- 100.00 1 SRLI + 100.00 -- -- -- 100.00 1 SUB + 99.61 -- -- -- 99.61 1 SW + 100.00 -- -- -- 100.00 1 SWSP + 100.00 -- -- -- 100.00 1 XOR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ZICSR extension RV32ZICSR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 CSRRC + 100.00 -- -- -- 100.00 1 CSRRCI + 100.00 -- -- -- 100.00 1 CSRRS + 100.00 -- -- -- 100.00 1 CSRRSI + 100.00 -- -- -- 100.00 1 CSRRW + 100.00 -- -- -- 100.00 1 CSRRWI + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.95 -- -- -- 99.95 1 ZCB extension RV32ZCB + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 MUL + 100.00 -- -- -- 100.00 1 ZEXT_B + 100.00 -- -- -- 100.00 1 ZEXT_H + 100.00 -- -- -- 100.00 1 SEXT_B + 100.00 -- -- -- 100.00 1 SEXT_H + 100.00 -- -- -- 100.00 1 NOT + 100.00 -- -- -- 100.00 1 SB + 99.80 -- -- -- 99.80 1 SH + 100.00 -- -- -- 100.00 1 LBU + 99.83 -- -- -- 99.83 1 LHU + 99.83 -- -- -- 99.83 1 LH + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.67 -- -- -- 99.67 1 Bitmanip extension RV32ZB + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RV32ZBA + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 SH1ADD + 100.00 -- -- -- 100.00 1 SH2ADD + 100.00 -- -- -- 100.00 1 SH3ADD + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RV32ZBB + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 ANDN + 100.00 -- -- -- 100.00 1 MAX + 100.00 -- -- -- 100.00 1 MAXU + 100.00 -- -- -- 100.00 1 MIN + 100.00 -- -- -- 100.00 1 MINU + 100.00 -- -- -- 100.00 1 ORN + 100.00 -- -- -- 100.00 1 ROL + 100.00 -- -- -- 100.00 1 ROR + 100.00 -- -- -- 100.00 1 XNOR + 100.00 -- -- -- 100.00 1 RORI + 100.00 -- -- -- 100.00 1 CLZ + 100.00 -- -- -- 100.00 1 CPOP + 100.00 -- -- -- 100.00 1 CTZ + 100.00 -- -- -- 100.00 1 ORC_B + 100.00 -- -- -- 100.00 1 REV8 + 100.00 -- -- -- 100.00 1 SEXT_B + 100.00 -- -- -- 100.00 1 SEXT_H + 100.00 -- -- -- 100.00 1 ZEXT_H + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RV32ZBC + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 CLMUL + 100.00 -- -- -- 100.00 1 CLMULH + 100.00 -- -- -- 100.00 1 CLMULR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 98.70 -- -- -- 98.70 1 RV32ZBS + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 BCLR + 100.00 -- -- -- 100.00 1 BCLRI + 100.00 -- -- -- 100.00 1 BINV + 100.00 -- -- -- 100.00 1 BINVI + 95.83 -- -- -- 95.83 1 BSET + 93.75 -- -- -- 93.75 1 BSETI + 100.00 -- -- -- 100.00 1 BEXT + 100.00 -- -- -- 100.00 1 BEXTI + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 98.84 -- -- -- 98.84 1 Instructions sequences Instructions execution sequences + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) Illegal instructions + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) I_EXT + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) M_EXT + 100.00 -- -- -- 100.00 1 RVFI limitation issue(#1338) ZICSR_EXT + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 CSR registers access. + Specification: Done, Dvplan: Done, Verification execution: Done CSR access + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 MSTATUS + 100.00 -- -- -- 100.00 1 MISA + 100.00 -- -- -- 100.00 1 MIE + 100.00 -- -- -- 100.00 1 MTVEC + 100.00 -- -- -- 100.00 1 MSTATUSH + 100.00 -- -- -- 100.00 1 MHPMEVENT3 + 100.00 -- -- -- 100.00 1 MHPMEVENT4 + 100.00 -- -- -- 100.00 1 MHPMEVENT5 + 100.00 -- -- -- 100.00 1 MHPMEVENT6 + 100.00 -- -- -- 100.00 1 MHPMEVENT7 + 100.00 -- -- -- 100.00 1 MHPMEVENT8 + 100.00 -- -- -- 100.00 1 MHPMEVENT9 + 100.00 -- -- -- 100.00 1 MHPMEVENT10 + 100.00 -- -- -- 100.00 1 MHPMEVENT11 + 100.00 -- -- -- 100.00 1 MHPMEVENT12 + 100.00 -- -- -- 100.00 1 MHPMEVENT13 + 100.00 -- -- -- 100.00 1 MHPMEVENT14 + 100.00 -- -- -- 100.00 1 MHPMEVENT15 + 100.00 -- -- -- 100.00 1 MHPMEVENT16 + 100.00 -- -- -- 100.00 1 MHPMEVENT17 + 100.00 -- -- -- 100.00 1 MHPMEVENT18 + 100.00 -- -- -- 100.00 1 MHPMEVENT19 + 100.00 -- -- -- 100.00 1 MHPMEVENT20 + 100.00 -- -- -- 100.00 1 MHPMEVENT21 + 100.00 -- -- -- 100.00 1 MHPMEVENT22 + 100.00 -- -- -- 100.00 1 MHPMEVENT23 + 100.00 -- -- -- 100.00 1 MHPMEVENT24 + 100.00 -- -- -- 100.00 1 MHPMEVENT25 + 100.00 -- -- -- 100.00 1 MHPMEVENT26 + 100.00 -- -- -- 100.00 1 MHPMEVENT27 + 100.00 -- -- -- 100.00 1 MHPMEVENT28 + 100.00 -- -- -- 100.00 1 MHPMEVENT29 + 100.00 -- -- -- 100.00 1 MHPMEVENT30 + 100.00 -- -- -- 100.00 1 MHPMEVENT31 + 100.00 -- -- -- 100.00 1 MSCRATCH + 100.00 -- -- -- 100.00 1 MEPC + 100.00 -- -- -- 100.00 1 MCAUSE + 100.00 -- -- -- 100.00 1 MTVAL + 100.00 -- -- -- 100.00 1 MIP + 100.00 -- -- -- 100.00 1 PMPCFG0 + 100.00 -- -- -- 100.00 1 PMPCFG1 + 100.00 -- -- -- 100.00 1 PMPCFG2 + 100.00 -- -- -- 100.00 1 PMPCFG3 + 100.00 -- -- -- 100.00 1 PMPCFG4 + 100.00 -- -- -- 100.00 1 PMPCFG5 + 100.00 -- -- -- 100.00 1 PMPCFG6 + 100.00 -- -- -- 100.00 1 PMPCFG7 + 100.00 -- -- -- 100.00 1 PMPCFG8 + 100.00 -- -- -- 100.00 1 PMPCFG9 + 100.00 -- -- -- 100.00 1 PMPCFG10 + 100.00 -- -- -- 100.00 1 PMPCFG11 + 100.00 -- -- -- 100.00 1 PMPCFG12 + 100.00 -- -- -- 100.00 1 PMPCFG13 + 100.00 -- -- -- 100.00 1 PMPCFG14 + 100.00 -- -- -- 100.00 1 PMPCFG15 + 100.00 -- -- -- 100.00 1 PMPADDR0 + 100.00 -- -- -- 100.00 1 PMPADDR1 + 100.00 -- -- -- 100.00 1 PMPADDR2 + 100.00 -- -- -- 100.00 1 PMPADDR3 + 100.00 -- -- -- 100.00 1 PMPADDR4 + 100.00 -- -- -- 100.00 1 PMPADDR5 + 100.00 -- -- -- 100.00 1 PMPADDR6 + 100.00 -- -- -- 100.00 1 PMPADDR7 + 100.00 -- -- -- 100.00 1 PMPADDR8 + 100.00 -- -- -- 100.00 1 PMPADDR9 + 100.00 -- -- -- 100.00 1 PMPADDR10 + 100.00 -- -- -- 100.00 1 PMPADDR11 + 100.00 -- -- -- 100.00 1 PMPADDR12 + 100.00 -- -- -- 100.00 1 PMPADDR13 + 100.00 -- -- -- 100.00 1 PMPADDR14 + 100.00 -- -- -- 100.00 1 PMPADDR15 + 100.00 -- -- -- 100.00 1 PMPADDR16 + 100.00 -- -- -- 100.00 1 PMPADDR17 + 100.00 -- -- -- 100.00 1 PMPADDR18 + 100.00 -- -- -- 100.00 1 PMPADDR19 + 100.00 -- -- -- 100.00 1 PMPADDR20 + 100.00 -- -- -- 100.00 1 PMPADDR21 + 100.00 -- -- -- 100.00 1 PMPADDR22 + 100.00 -- -- -- 100.00 1 PMPADDR23 + 100.00 -- -- -- 100.00 1 PMPADDR24 + 100.00 -- -- -- 100.00 1 PMPADDR25 + 100.00 -- -- -- 100.00 1 PMPADDR26 + 100.00 -- -- -- 100.00 1 PMPADDR27 + 100.00 -- -- -- 100.00 1 PMPADDR28 + 100.00 -- -- -- 100.00 1 PMPADDR29 + 100.00 -- -- -- 100.00 1 PMPADDR30 + 100.00 -- -- -- 100.00 1 PMPADDR31 + 100.00 -- -- -- 100.00 1 PMPADDR32 + 100.00 -- -- -- 100.00 1 PMPADDR33 + 100.00 -- -- -- 100.00 1 PMPADDR34 + 100.00 -- -- -- 100.00 1 PMPADDR35 + 100.00 -- -- -- 100.00 1 PMPADDR36 + 100.00 -- -- -- 100.00 1 PMPADDR37 + 100.00 -- -- -- 100.00 1 PMPADDR38 + 100.00 -- -- -- 100.00 1 PMPADDR39 + 100.00 -- -- -- 100.00 1 PMPADDR40 + 100.00 -- -- -- 100.00 1 PMPADDR41 + 100.00 -- -- -- 100.00 1 PMPADDR42 + 100.00 -- -- -- 100.00 1 PMPADDR43 + 100.00 -- -- -- 100.00 1 PMPADDR44 + 100.00 -- -- -- 100.00 1 PMPADDR45 + 100.00 -- -- -- 100.00 1 PMPADDR46 + 100.00 -- -- -- 100.00 1 PMPADDR47 + 100.00 -- -- -- 100.00 1 PMPADDR48 + 100.00 -- -- -- 100.00 1 PMPADDR49 + 100.00 -- -- -- 100.00 1 PMPADDR50 + 100.00 -- -- -- 100.00 1 PMPADDR51 + 100.00 -- -- -- 100.00 1 PMPADDR52 + 100.00 -- -- -- 100.00 1 PMPADDR53 + 100.00 -- -- -- 100.00 1 PMPADDR54 + 100.00 -- -- -- 100.00 1 PMPADDR55 + 100.00 -- -- -- 100.00 1 PMPADDR56 + 100.00 -- -- -- 100.00 1 PMPADDR57 + 100.00 -- -- -- 100.00 1 PMPADDR58 + 100.00 -- -- -- 100.00 1 PMPADDR59 + 100.00 -- -- -- 100.00 1 PMPADDR60 + 100.00 -- -- -- 100.00 1 PMPADDR61 + 100.00 -- -- -- 100.00 1 PMPADDR62 + 100.00 -- -- -- 100.00 1 PMPADDR63 + 100.00 -- -- -- 100.00 1 MCYCLE + 100.00 -- -- -- 100.00 1 MINSTRET + 100.00 -- -- -- 100.00 1 MHPMCOUNTER3 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER4 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER5 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER6 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER7 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER8 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER9 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER10 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER11 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER12 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER13 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER14 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER15 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER16 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER17 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER18 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER19 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER20 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER21 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER22 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER23 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER24 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER25 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER26 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER27 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER28 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER29 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER30 + 100.00 -- -- -- 100.00 1 MHPMCOUNTER31 + 100.00 -- -- -- 100.00 1 MCYCLEH + 100.00 -- -- -- 100.00 1 MINSTRETH + 100.00 -- -- -- 100.00 1 MHPMCOUNTER3H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER4H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER5H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER6H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER7H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER8H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER9H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER10H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER11H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER12H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER13H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER14H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER15H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER16H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER17H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER18H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER19H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER20H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER21H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER22H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER23H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER24H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER25H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER26H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER27H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER28H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER29H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER30H + 100.00 -- -- -- 100.00 1 MHPMCOUNTER31H + 100.00 -- -- -- 100.00 1 MVENDORID + 100.00 -- -- -- 100.00 1 MARCHID + 100.00 -- -- -- 100.00 1 MIMPID + 100.00 -- -- -- 100.00 1 MHARTID + 100.00 -- -- -- 100.00 1 MCONFIGPTR + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.57 -- -- -- 99.57 1 Interrupts and Exceptions. + Specification: Done, Dvplan: Done, Verification execution: Done. TRAPs + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.15 -- -- -- 99.15 1 Interrupts + 100.00 -- -- -- 100.00 1 Exceptions + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 91.66 -- -- 92.31 91.01 1 CV-X-IF + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 87.24 -- -- 92.31 82.18 1 Protocol + 99.84 -- -- -- 99.84 1 CV-XIF Instructions + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 100.00 -- -- -- 100.00 1 SEQUENCE + 99.92 -- -- -- 99.92 1 CUS_CADD + 99.85 -- -- -- 99.85 1 CUS_ADD + 99.78 -- -- -- 99.78 1 CUS_ADD_MULTI + 99.79 -- -- -- 99.79 1 CUS_DOUBLE_RS1 + 99.78 -- -- -- 99.78 1 CUS_DOUBLE_RS2 + 99.79 -- -- -- 99.79 1 CUS_ADD_RS3_MADD + 99.73 -- -- -- 99.73 1 CUS_ADD_RS3_MSUB + 99.79 -- -- -- 99.79 1 CUS_ADD_RS3_NMADD + 99.80 -- -- -- 99.80 1 CUS_ADD_RS3_NMSUB + 100.00 -- -- -- 100.00 1 CUS_ADD_RS3_RTYPE + + + ---------------- + SCORE LINE COND ASSERT GROUP weight description Comment NAME + 99.09 99.79 98.39 -- -- 1 Code coverage + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modinfo.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modinfo.txt new file mode 100644 index 00000000..beefc516 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modinfo.txt @@ -0,0 +1,27276 @@ +=============================================================================== +Module : uvma_obi_memory_1p2_assert +=============================================================================== +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_1p2_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert + 50.00 -- -- 50.00 uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert + 50.00 -- -- 50.00 uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvma_obi_memory_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 153606204 9882679 1155 +c_aid_stable 153606204 9882679 1155 +c_atomic_addr_aligned 153606204 0 0 +c_atop_stable 153606204 9882679 1155 +c_auser_stable 153606204 9882679 1155 +c_err_stable 153606204 0 0 +c_exokay_lr_sc 153606204 0 0 +c_exokay_stable 153606204 0 0 +c_memtype_stable 153606204 9882679 1155 +c_one_atomic_trn 153606204 28548535 0 +c_prot_stable 153606204 9882679 1155 +c_rchk_stable 153606204 0 0 +c_rdata_stable 153606204 0 0 +c_req_until_gnt 153606204 9882679 1155 +c_rid_follows_aid 153606204 18662331 0 +c_rid_stable 153606204 0 0 +c_rready_assert_no_rvalid 153606204 0 0 +c_rready_deassert_no_rvalid 153606204 0 5764 +c_ruser_stable 153606204 0 0 +c_wuser_stable 153606204 9882679 1155 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Module : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + + +Parent : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 u_assert + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 51202068 8238280 905 +c_aid_stable 51202068 8238280 905 +c_atomic_addr_aligned 51202068 0 0 +c_atop_stable 51202068 8238280 905 +c_auser_stable 51202068 8238280 905 +c_err_stable 51202068 0 0 +c_exokay_lr_sc 51202068 0 0 +c_exokay_stable 51202068 0 0 +c_memtype_stable 51202068 8238280 905 +c_one_atomic_trn 51202068 24112278 0 +c_prot_stable 51202068 8238280 905 +c_rchk_stable 51202068 0 0 +c_rdata_stable 51202068 0 0 +c_req_until_gnt 51202068 8238280 905 +c_rid_follows_aid 51202068 15871660 0 +c_rid_stable 51202068 0 0 +c_rready_assert_no_rvalid 51202068 0 0 +c_rready_deassert_no_rvalid 51202068 0 1469 +c_ruser_stable 51202068 0 0 +c_wuser_stable 51202068 8238280 905 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Module : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + + +Parent : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 u_assert + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 51202068 651997 250 +c_aid_stable 51202068 651997 250 +c_atomic_addr_aligned 51202068 0 0 +c_atop_stable 51202068 651997 250 +c_auser_stable 51202068 651997 250 +c_err_stable 51202068 0 0 +c_exokay_lr_sc 51202068 0 0 +c_exokay_stable 51202068 0 0 +c_memtype_stable 51202068 651997 250 +c_one_atomic_trn 51202068 2059374 0 +c_prot_stable 51202068 651997 250 +c_rchk_stable 51202068 0 0 +c_rdata_stable 51202068 0 0 +c_req_until_gnt 51202068 651997 250 +c_rid_follows_aid 51202068 1406193 0 +c_rid_stable 51202068 0 0 +c_rready_assert_no_rvalid 51202068 0 0 +c_rready_deassert_no_rvalid 51202068 0 1942 +c_ruser_stable 51202068 0 0 +c_wuser_stable 51202068 651997 250 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 50.00 -- -- 50.00 + + +Module : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + + +Parent : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 u_assert + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 20 20 100.00 10 50.00 +Cover sequences 0 0 0 +Total 20 20 100.00 10 50.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_achk_stable 51202068 992402 0 +c_aid_stable 51202068 992402 0 +c_atomic_addr_aligned 51202068 0 0 +c_atop_stable 51202068 992402 0 +c_auser_stable 51202068 992402 0 +c_err_stable 51202068 0 0 +c_exokay_lr_sc 51202068 0 0 +c_exokay_stable 51202068 0 0 +c_memtype_stable 51202068 992402 0 +c_one_atomic_trn 51202068 2376883 0 +c_prot_stable 51202068 992402 0 +c_rchk_stable 51202068 0 0 +c_rdata_stable 51202068 0 0 +c_req_until_gnt 51202068 992402 0 +c_rid_follows_aid 51202068 1384478 0 +c_rid_stable 51202068 0 0 +c_rready_assert_no_rvalid 51202068 0 0 +c_rready_deassert_no_rvalid 51202068 0 2353 +c_ruser_stable 51202068 0 0 +c_wuser_stable 51202068 992402 0 + + +=============================================================================== +Module : uvma_obi_memory_assert +=============================================================================== +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert + 81.82 -- -- 81.82 uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert + 81.82 -- -- 81.82 uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvma_obi_memory_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 153606204 28550891 0 +c_addr_stable 153606204 9882679 1155 +c_be_contiguous 153606204 28548535 0 +c_be_not_zero 153606204 28548535 0 +c_be_stable 153606204 9882679 1155 +c_gnt_assert_no_req 153606204 0 4490 +c_gnt_deassert_no_req 153606204 0 585 +c_r_after_a 153606204 18662331 0 +c_req_until_gnt 153606204 9882679 1155 +c_wdata_stable 153606204 9882679 1155 +c_we_stable 153606204 9882679 1155 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvma_obi_memory_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- obi_fetch_assert + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 51202068 24114634 0 +c_addr_stable 51202068 8238280 905 +c_be_contiguous 51202068 24112278 0 +c_be_not_zero 51202068 24112278 0 +c_be_stable 51202068 8238280 905 +c_gnt_assert_no_req 51202068 0 703 +c_gnt_deassert_no_req 51202068 0 17 +c_r_after_a 51202068 15871660 0 +c_req_until_gnt 51202068 8238280 905 +c_wdata_stable 51202068 8238280 905 +c_we_stable 51202068 8238280 905 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvma_obi_memory_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- obi_store_assert + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 51202068 2059374 0 +c_addr_stable 51202068 651997 250 +c_be_contiguous 51202068 2059374 0 +c_be_not_zero 51202068 2059374 0 +c_be_stable 51202068 651997 250 +c_gnt_assert_no_req 51202068 0 1717 +c_gnt_deassert_no_req 51202068 0 285 +c_r_after_a 51202068 1406193 0 +c_req_until_gnt 51202068 651997 250 +c_wdata_stable 51202068 651997 250 +c_we_stable 51202068 651997 250 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 81.82 -- -- 81.82 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME + 81.82 -- -- 81.82 uvma_obi_memory_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- obi_load_assert + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 gen_1p2.u_1p2_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 11 11 100.00 9 81.82 +Cover sequences 0 0 0 +Total 11 11 100.00 9 81.82 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_addr_be_consistent 51202068 2376883 0 +c_addr_stable 51202068 992402 0 +c_be_contiguous 51202068 2376883 0 +c_be_not_zero 51202068 2376883 0 +c_be_stable 51202068 992402 0 +c_gnt_assert_no_req 51202068 0 2070 +c_gnt_deassert_no_req 51202068 0 283 +c_r_after_a 51202068 1384478 0 +c_req_until_gnt 51202068 992402 0 +c_wdata_stable 51202068 992402 0 +c_we_stable 51202068 992402 0 + + +=============================================================================== +Module : uvma_cvxif_assert +=============================================================================== +SCORE LINE COND ASSERT + 92.31 -- -- 92.31 + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/uvma_cvxif_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 92.31 -- -- 92.31 uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvma_cvxif_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 13 13 100.00 12 92.31 +Cover sequences 0 0 0 +Total 13 13 100.00 12 92.31 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +cov_commit_for_issue 51202068 92906 0 +cov_commit_one_cycle 51202068 92906 0 +cov_compressed_instr 51202068 164164 0 +cov_reject_issue_req 51202068 33794 0 +cov_result_for_commit 51202068 59255 33651 +cov_result_stable 51202068 0 0 +cov_result_trn_end 51202068 59255 0 +cov_stable_issue 51202068 55459 0 +cov_uncompressed_resp 51202068 29335 0 +gen0[0].cov_rs 51202068 55423 0 +gen0[0].cov_rs_valid 51202068 55459 0 +gen0[1].cov_rs 51202068 55437 0 +gen0[1].cov_rs_valid 51202068 55459 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 92.31 -- -- 92.31 + + +Instance's subtree : + +SCORE LINE COND ASSERT + 92.31 -- -- 92.31 + + +Module : + +SCORE LINE COND ASSERT NAME + 92.31 -- -- 92.31 uvma_cvxif_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : rr_arb_tree +=============================================================================== +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + +Source File(s) : + +cva6/vendor/pulp-platform/common_cells/src/rr_arb_tree.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs1 + 94.19 -- 94.19 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs2 + 94.19 -- 94.19 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs3 + + + +------------------------------------------------------------------------------- +Cond Coverage for Module : rr_arb_tree + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs1 +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- rr_arb_tree + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs1 + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs2 +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- rr_arb_tree + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs2 + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs3 +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 94.19 -- 94.19 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 94.19 -- 94.19 -- rr_arb_tree + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.genblk5[0].i_sel_rs3 + + Total Covered Percent +Conditions 155 146 94.19 +Logical 155 146 94.19 +Non-Logical 0 0 +Event 0 0 + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 0)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(0))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[0].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[0].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[0].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[0].gen_level[0].Idx0] & gen_arbiter.gen_levels[0].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[0].Idx0] & gen_arbiter.gen_levels[1].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 1)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(1))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[1].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[1].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[1].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[1].gen_level[1].Idx0] & gen_arbiter.gen_levels[1].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[0].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[0].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[0].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[0].Idx0] & gen_arbiter.gen_levels[2].gen_level[0].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[1].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[1].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[1].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[1].Idx0] & gen_arbiter.gen_levels[2].gen_level[1].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Covered + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[2].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[2].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx1]) + +-1- Status + 0 Covered + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[2].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Not Covered + 1 1 Covered + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[2].Idx0] & gen_arbiter.gen_levels[2].gen_level[2].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 293 + EXPRESSION (gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1] | gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)]) + ---------------------------------1-------------------------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Unreachable + + LINE 296 + EXPRESSION + Number Term + 1 ((~gen_arbiter.req_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1])) | + 2 (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)])) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Covered + + LINE 296 + SUB-EXPRESSION (gen_arbiter.req_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 2)]) + ------------------------------------1----------------------------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 298 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? (idx_t'({1'b1, gen_arbiter.index_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]})) : (idx_t'({1'b0, gen_arbiter.index_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1][((gen_arbiter.NumLevels - (unsigned'(2))) - 2):0]}))) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 302 + EXPRESSION + Number Term + 1 gen_arbiter.gen_levels[2].gen_level[3].sel ? gen_arbiter.data_nodes[(gen_arbiter.gen_levels[2].gen_level[3].Idx1 + 1)] : gen_arbiter.data_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx1]) + +-1- Status + 0 Unreachable + 1 Covered + + LINE 303 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & ((~gen_arbiter.gen_levels[2].gen_level[3].sel))) + ---------------------------------1-------------------------------- -----------------------2----------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 304 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[2].gen_level[3].Idx0] & gen_arbiter.gen_levels[2].gen_level[3].sel) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(0 * 2)] | gen_arbiter.req_d[((0 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(0 * 2)])) | (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((0 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[0].sel ? data_i[((0 * 2) + 1)] : data_i[(0 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(0 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[0].sel))) + +-1- -2- -3- Status + 0 1 1 Not Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[0].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((0 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[0].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(1 * 2)] | gen_arbiter.req_d[((1 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Not Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(1 * 2)])) | (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((1 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Not Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[1].sel ? data_i[((1 * 2) + 1)] : data_i[(1 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(1 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[1].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[1].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((1 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[1].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Not Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(2 * 2)] | gen_arbiter.req_d[((2 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(2 * 2)])) | (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((2 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[2].sel ? data_i[((2 * 2) + 1)] : data_i[(2 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(2 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[2].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[2].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((2 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[2].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 267 + EXPRESSION (gen_arbiter.req_d[(3 * 2)] | gen_arbiter.req_d[((3 * 2) + 1)]) + -------------1------------ ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 270 + EXPRESSION (((~gen_arbiter.req_d[(3 * 2)])) | (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)])) + ---------------1--------------- --------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 270 + SUB-EXPRESSION (gen_arbiter.req_d[((3 * 2) + 1)] & gen_arbiter.rr_q[((gen_arbiter.NumLevels - 1) - 3)]) + ----------------1--------------- -------------------------2------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Unreachable + + LINE 273 + EXPRESSION (gen_arbiter.gen_levels[3].gen_level[3].sel ? data_i[((3 * 2) + 1)] : data_i[(3 * 2)]) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 274 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[(3 * 2)])) & + 3 ((~gen_arbiter.gen_levels[3].gen_level[3].sel))) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 275 + EXPRESSION + Number Term + 1 gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[3].Idx0] & + 2 ((AxiVldRdy | gen_arbiter.req_d[((3 * 2) + 1)])) & + 3 gen_arbiter.gen_levels[3].gen_level[3].sel) + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 282 + EXPRESSION (gen_arbiter.gnt_nodes[gen_arbiter.gen_levels[3].gen_level[4].Idx0] & ((AxiVldRdy | gen_arbiter.req_d[(4 * 2)]))) + ---------------------------------1-------------------------------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +=============================================================================== +Module : scoreboard +=============================================================================== +SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- + +Source File(s) : + +cva6/core/scoreboard.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 97.78 98.18 97.37 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_scoreboard + + + +------------------------------------------------------------------------------- +Line Coverage for Module : scoreboard + + Line No. Total Covered Percent +TOTAL 55 54 98.18 +ALWAYS 139 0 0 +ALWAYS 139 4 4 100.00 +ALWAYS 153 6 6 100.00 +ALWAYS 168 34 33 97.06 +ALWAYS 280 3 3 100.00 +ALWAYS 307 8 8 100.00 +INITIAL 325 0 0 + +138 always_comb begin : commit_ports +139 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +140 1/1 commit_instr_o[i] = mem_q[commit_pointer_q[i]].sbe; +141 1/1 commit_instr_o[i].trans_id = commit_pointer_q[i]; +142 1/1 commit_drop_o[i] = mem_q[commit_pointer_q[i]].cancelled; +143 end +144 end +145 +146 assign issue_pointer[0] = issue_pointer_q; +147 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +148 assign issue_pointer[i+1] = issue_pointer[i] + 'd1; +149 end +150 +151 // an instruction is ready for issue if we have place in the issue FIFO and it the decoder says it is valid +152 always_comb begin +153 1/1 issue_instr_o = decoded_instr_i; +154 1/1 orig_instr_o = orig_instr_i; +155 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +156 // make sure we assign the correct trans ID +157 1/1 issue_instr_o[i].trans_id = issue_pointer[i]; +158 +159 1/1 issue_instr_valid_o[i] = decoded_instr_valid_i[i] & ~issue_full[i]; +160 1/1 decoded_instr_ack_o[i] = issue_ack_i[i] & ~issue_full[i]; +161 end +162 end +163 +164 // maintain a FIFO with issued instructions +165 // keep track of all issued instructions +166 always_comb begin : issue_fifo +167 // default assignment +168 1/1 mem_n = mem_q; +169 1/1 num_issue = '0; +170 +171 // if we got a acknowledge from the issue stage, put this scoreboard entry in the queue +172 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +173 1/1 if (decoded_instr_valid_i[i] && decoded_instr_ack_o[i] && !flush_unissued_instr_i) begin +174 // the decoded instruction we put in there is valid (1st bit) +175 // increase the issue counter and advance issue pointer +176 1/1 num_issue += 'd1; +177 1/1 mem_n[issue_pointer[i]] = '{ +178 issued: 1'b1, +179 cancelled: 1'b0, +180 is_rd_fpr_flag: CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr(decoded_instr_i[i].op), +181 sbe: decoded_instr_i[i] +182 }; +183 end + MISSING_ELSE +184 end +185 +186 // ------------ +187 // FU NONE +188 // ------------ +189 1/1 for (int unsigned i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +190 // The FU is NONE -> this instruction is valid immediately +191 1/2 ==> if (mem_q[i].sbe.fu == ariane_pkg::NONE && mem_q[i].issued) mem_n[i].sbe.valid = 1'b1; + MISSING_ELSE +192 end +193 +194 // ------------ +195 // Write Back +196 // ------------ +197 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrWbPorts; i++) begin +198 // check if this instruction was issued (e.g.: it could happen after a flush that there is still +199 // something in the pipeline e.g. an incomplete memory operation) +200 1/1 if (wt_valid_i[i] && mem_q[trans_id_i[i]].issued) begin +201 1/1 if (CVA6Cfg.RVZCMP && mem_q[trans_id_i[i]].sbe.is_double_rd_macro_instr && mem_q[trans_id_i[i]].sbe.is_macro_instr) begin +202 unreachable if (mem_q[trans_id_i[i]].sbe.is_last_macro_instr) begin +203 unreachable mem_n[trans_id_i[i]].sbe.valid = 1'b1; +204 unreachable mem_n[8'(trans_id_i[i])-1].sbe.valid = 1'b1; +205 end else begin +206 unreachable mem_n[trans_id_i[i]].sbe.valid = 1'b0; +207 end +208 end else begin +209 1/1 mem_n[trans_id_i[i]].sbe.valid = 1'b1; +210 end +211 1/1 mem_n[trans_id_i[i]].sbe.result = wbdata_i[i]; +212 // save the target address of a branch (needed for debug in commit stage) +213 1/1 if (CVA6Cfg.DebugEn) begin +214 unreachable mem_n[trans_id_i[i]].sbe.bp.predict_address = resolved_branch_i.target_address; +215 end + MISSING_ELSE +216 1/1 if (mem_n[trans_id_i[i]].sbe.fu == ariane_pkg::CVXIF) begin +217 2/2 if (x_we_i) mem_n[trans_id_i[i]].sbe.rd = x_rd_i; +218 1/1 else mem_n[trans_id_i[i]].sbe.rd = 5'b0; +219 end + MISSING_ELSE +220 // write the exception back if it is valid +221 2/2 if (ex_i[i].valid) mem_n[trans_id_i[i]].sbe.ex = ex_i[i]; +222 // write the fflags back from the FPU (exception valid is never set), leave tval intact +223 1/1 else if(CVA6Cfg.FpPresent && (mem_q[trans_id_i[i]].sbe.fu == ariane_pkg::FPU || mem_q[trans_id_i[i]].sbe.fu == ariane_pkg::FPU_VEC)) begin +224 unreachable mem_n[trans_id_i[i]].sbe.ex.cause = ex_i[i].cause; +225 end + MISSING_ELSE +226 end + MISSING_ELSE +227 end +228 +229 // ------------ +230 // Cancel +231 // ------------ +232 1/1 if (CVA6Cfg.SpeculativeSb) begin +233 unreachable if (bmiss) begin +234 unreachable if (after_flu_wb != issue_pointer[0]) begin +235 unreachable mem_n[after_flu_wb].cancelled = 1'b1; +236 end + ==> MISSING_ELSE +237 end + ==> MISSING_ELSE +238 end + MISSING_ELSE +239 +240 // ------------ +241 // Commit Port +242 // ------------ +243 // we've got an acknowledge from commit +244 1/1 for (int i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +245 1/1 if (commit_ack_i[i]) begin +246 // this instruction is no longer in issue e.g.: it is considered finished +247 1/1 mem_n[commit_pointer_q[i]].issued = 1'b0; +248 1/1 mem_n[commit_pointer_q[i]].cancelled = 1'b0; +249 1/1 mem_n[commit_pointer_q[i]].sbe.valid = 1'b0; +250 end + MISSING_ELSE +251 end +252 +253 // ------ +254 // Flush +255 // ------ +256 1/1 if (flush_i) begin +257 1/1 for (int unsigned i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +258 // set all valid flags for all entries to zero +259 1/1 mem_n[i].issued = 1'b0; +260 1/1 mem_n[i].cancelled = 1'b0; +261 1/1 mem_n[i].sbe.valid = 1'b0; +262 1/1 mem_n[i].sbe.ex.valid = 1'b0; +263 end +264 end + MISSING_ELSE +265 end +266 +267 assign bmiss = resolved_branch_i.is_mispredict; +268 assign after_flu_wb = trans_id_i[ariane_pkg::FLU_WB] + 'd1; +269 +270 // FIFO counter updates +271 if (CVA6Cfg.NrCommitPorts == 2) begin : gen_commit_ports +272 assign num_commit = commit_ack_i[1] + commit_ack_i[0]; +273 end else begin : gen_one_commit_port +274 assign num_commit = commit_ack_i[0]; +275 end +276 +277 assign commit_pointer_n[0] = (flush_i) ? '0 : commit_pointer_q[0] + num_commit; +278 +279 always_comb begin : assign_issue_pointer_n +280 1/1 issue_pointer_n = issue_pointer[num_issue]; +281 2/2 if (flush_i) issue_pointer_n = '0; + MISSING_ELSE +282 end +283 +284 // precompute offsets for commit slots +285 for (genvar k = 1; k < CVA6Cfg.NrCommitPorts; k++) begin : gen_cnt_incr +286 assign commit_pointer_n[k] = (flush_i) ? '0 : commit_pointer_n[0] + unsigned'(k); +287 end +288 +289 // Forwarding logic +290 writeback_t [CVA6Cfg.NrWbPorts-1:0] wb; +291 for (genvar i = 0; i < CVA6Cfg.NrWbPorts; i++) begin +292 assign wb[i].valid = wt_valid_i[i]; +293 assign wb[i].data = wbdata_i[i]; +294 assign wb[i].ex_valid = ex_i[i].valid; +295 assign wb[i].trans_id = trans_id_i[i]; +296 end +297 +298 assign fwd_o.still_issued = still_issued; +299 assign fwd_o.issue_pointer = issue_pointer; +300 assign fwd_o.wb = wb; +301 for (genvar i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +302 assign fwd_o.sbe[i] = mem_q[i].sbe; +303 end +304 +305 // sequential process +306 always_ff @(posedge clk_i or negedge rst_ni) begin : regs +307 1/1 if (!rst_ni) begin +308 1/1 mem_q <= '{default: sb_mem_t'(0)}; +309 1/1 commit_pointer_q <= '0; +310 1/1 issue_pointer_q <= '0; +311 end else begin +312 1/1 issue_pointer_q <= issue_pointer_n; +313 1/1 mem_q <= mem_n; +314 1/1 mem_q[x_id_i].sbe.rd <= (x_transaction_accepted_i && ~x_issue_writeback_i) ? 5'b0 : mem_n[x_id_i].sbe.rd; +315 1/1 commit_pointer_q <= commit_pointer_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : scoreboard + + Total Covered Percent +Conditions 38 37 97.37 +Logical 38 37 97.37 +Non-Logical 0 0 +Event 0 0 + + LINE 159 + EXPRESSION (decoded_instr_valid_i[i] & ((~issue_full[i]))) + ------------1----------- ---------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 160 + EXPRESSION (issue_ack_i[i] & ((~issue_full[i]))) + -------1------ ---------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 173 + EXPRESSION (decoded_instr_valid_i[i] && decoded_instr_ack_o[i] && ((!flush_unissued_instr_i))) + ------------1----------- -----------2---------- -------------3------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 191 + EXPRESSION ((mem_q[i].sbe.fu == NONE) && mem_q[i].issued) + ------------1------------ -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Not Covered + + LINE 191 + SUB-EXPRESSION (mem_q[i].sbe.fu == NONE) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 200 + EXPRESSION (wt_valid_i[i] && mem_q[trans_id_i[i]].issued) + ------1------ -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 216 + EXPRESSION (mem_n[trans_id_i[i]].sbe.fu == CVXIF) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 234 + EXPRESSION (after_flu_wb != issue_pointer[0]) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 314 + EXPRESSION ((x_transaction_accepted_i && ((~x_issue_writeback_i))) ? 5'b0 : mem_n[x_id_i].sbe.rd) + ---------------------------1-------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 314 + SUB-EXPRESSION (x_transaction_accepted_i && ((~x_issue_writeback_i))) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 128 + EXPRESSION (((&issued_instrs_even_odd[0])) && ((&issued_instrs_even_odd[1]))) + ---------------1-------------- ---------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 277 + EXPRESSION (flush_i ? '0 : ((commit_pointer_q[0] + num_commit))) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 120 + EXPRESSION (mem_q[0].issued & ((~(1'b0 & mem_q[0].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 120 + EXPRESSION (mem_q[1].issued & ((~(1'b0 & mem_q[1].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 120 + EXPRESSION (mem_q[2].issued & ((~(1'b0 & mem_q[2].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 120 + EXPRESSION (mem_q[3].issued & ((~(1'b0 & mem_q[3].cancelled)))) + -------1------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_scoreboard +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 97.78 98.18 97.37 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 97.78 98.18 97.37 -- scoreboard + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- issue_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : load_store_unit +=============================================================================== +SCORE LINE COND ASSERT + 98.75 97.50 100.00 -- + +Source File(s) : + +cva6/core/load_store_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : load_store_unit + + Line No. Total Covered Percent +TOTAL 40 39 97.50 +ALWAYS 514 17 17 100.00 +ALWAYS 598 23 22 95.65 + +513 +514 1/1 ld_valid_i = 1'b0; +515 1/1 st_valid_i = 1'b0; +516 +517 1/1 translation_req = 1'b0; +518 1/1 mmu_vaddr = {CVA6Cfg.VLEN{1'b0}}; +519 1/1 mmu_tinst = {32{1'b0}}; +520 1/1 mmu_hs_ld_st_inst = 1'b0; +521 1/1 mmu_hlvx_inst = 1'b0; +522 +523 // check the operation to activate the right functional unit accordingly +524 1/1 unique case (lsu_ctrl.fu) +525 // all loads go here +526 LOAD: begin +527 1/1 ld_valid_i = lsu_ctrl.valid; +528 1/1 translation_req = ld_translation_req; +529 1/1 mmu_vaddr = ld_vaddr; +530 1/1 if (CVA6Cfg.RVH) begin +531 unreachable mmu_tinst = ld_tinst; +532 unreachable mmu_hs_ld_st_inst = ld_hs_ld_st_inst; +533 unreachable mmu_hlvx_inst = ld_hlvx_inst; +534 end + MISSING_ELSE +535 end +536 // all stores go here +537 STORE: begin +538 1/1 st_valid_i = lsu_ctrl.valid; +539 1/1 translation_req = st_translation_req; +540 1/1 mmu_vaddr = st_vaddr; +541 1/1 if (CVA6Cfg.RVH) begin +542 unreachable mmu_tinst = st_tinst; +543 unreachable mmu_hs_ld_st_inst = st_hs_ld_st_inst; +544 unreachable mmu_hlvx_inst = st_hlvx_inst; +545 end + MISSING_ELSE +546 end +547 // not relevant for the LSU +548 1/1 default: ; +549 endcase +550 end +551 +552 // ------------------------ +553 // Hypervisor Load/Store +554 // ------------------------ +555 // determine whether this is a hypervisor load or store +556 if (CVA6Cfg.RVH) begin +557 always_comb begin : hyp_ld_st +558 // check the operator to activate the right functional unit accordingly +559 hs_ld_st_inst = 1'b0; +560 hlvx_inst = 1'b0; +561 case (lsu_ctrl.operation) +562 // all loads go here +563 HLV_B, HLV_BU, HLV_H, HLV_HU, HLV_W, HSV_B, HSV_H, HSV_W, HLV_WU, HLV_D, HSV_D: begin +564 hs_ld_st_inst = 1'b1; +565 end +566 HLVX_WU, HLVX_HU: begin +567 hs_ld_st_inst = 1'b1; +568 hlvx_inst = 1'b1; +569 end +570 default: ; +571 endcase +572 end +573 end else begin +574 assign hs_ld_st_inst = 1'b0; +575 assign hlvx_inst = 1'b0; +576 end +577 +578 // --------------- +579 // Byte Enable +580 // --------------- +581 // we can generate the byte enable from the virtual address since the last +582 // 12 bit are the same anyway +583 // and we can always generate the byte enable from the address at hand +584 +585 if (CVA6Cfg.IS_XLEN64) begin : gen_8b_be +586 assign be_i = be_gen(vaddr_i[2:0], extract_transfer_size(fu_data_i.operation)); +587 end else begin : gen_4b_be +588 assign be_i = be_gen_32(vaddr_i[1:0], extract_transfer_size(fu_data_i.operation)); +589 end +590 +591 // ------------------------ +592 // Misaligned Exception +593 // ------------------------ +594 // we can detect a misaligned exception immediately +595 // the misaligned exception is passed to the functional unit via the MMU, which in case +596 // can augment the exception if other memory related exceptions like a page fault or access errors +597 always_comb begin : data_misaligned_detection +598 1/1 misaligned_exception = { +599 {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.GPLEN{1'b0}}, {32{1'b0}}, 1'b0, 1'b0 +600 }; +601 1/1 data_misaligned = 1'b0; +602 +603 1/1 if (lsu_ctrl.valid) begin +604 1/1 if (CVA6Cfg.IS_XLEN64) begin +605 unreachable case (lsu_ctrl.operation) +606 // double word +607 LD, SD, FLD, FSD, +608 AMO_LRD, AMO_SCD, +609 AMO_SWAPD, AMO_ADDD, AMO_ANDD, AMO_ORD, +610 AMO_XORD, AMO_MAXD, AMO_MAXDU, AMO_MIND, +611 AMO_MINDU, HLV_D, HSV_D: begin +612 unreachable if (lsu_ctrl.vaddr[2:0] != 3'b000) begin +613 unreachable data_misaligned = 1'b1; +614 end + ==> MISSING_ELSE +615 end +616 unreachable default: ; +617 endcase +618 end + MISSING_ELSE +619 1/1 case (lsu_ctrl.operation) +620 // word +621 LW, LWU, SW, FLW, FSW, +622 AMO_LRW, AMO_SCW, +623 AMO_SWAPW, AMO_ADDW, AMO_ANDW, AMO_ORW, +624 AMO_XORW, AMO_MAXW, AMO_MAXWU, AMO_MINW, +625 AMO_MINWU, HLV_W, HLV_WU, HLVX_WU, HSV_W: begin +626 1/1 if (lsu_ctrl.vaddr[1:0] != 2'b00) begin +627 1/1 data_misaligned = 1'b1; +628 end + MISSING_ELSE +629 end +630 // half word +631 LH, LHU, SH, FLH, FSH, HLV_H, HLV_HU, HLVX_HU, HSV_H: begin +632 1/1 if (lsu_ctrl.vaddr[0] != 1'b0) begin +633 1/1 data_misaligned = 1'b1; +634 end + MISSING_ELSE +635 end +636 // byte -> is always aligned +637 1/1 default: ; +638 endcase +639 end + MISSING_ELSE +640 +641 1/1 if (data_misaligned) begin +642 1/1 case (lsu_ctrl.fu) +643 LOAD: begin +644 1/1 misaligned_exception.cause = riscv::LD_ADDR_MISALIGNED; +645 1/1 misaligned_exception.valid = 1'b1; +646 1/1 if (CVA6Cfg.TvalEn) +647 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + MISSING_ELSE +648 1/1 if (CVA6Cfg.RVH) begin +649 unreachable misaligned_exception.tval2 = '0; +650 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +651 unreachable misaligned_exception.gva = ld_st_v_i; +652 end + MISSING_ELSE +653 end +654 STORE: begin +655 +656 1/1 misaligned_exception.cause = riscv::ST_ADDR_MISALIGNED; +657 1/1 misaligned_exception.valid = 1'b1; +658 1/1 if (CVA6Cfg.TvalEn) +659 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + MISSING_ELSE +660 1/1 if (CVA6Cfg.RVH) begin +661 unreachable misaligned_exception.tval2 = '0; +662 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +663 unreachable misaligned_exception.gva = ld_st_v_i; +664 end + MISSING_ELSE +665 end +666 0/1 ==> default: ; +667 endcase +668 end + MISSING_ELSE +669 +670 1/1 if (CVA6Cfg.MmuPresent && en_ld_st_translation_i && lsu_ctrl.overflow) begin +671 +672 unreachable case (lsu_ctrl.fu) +673 LOAD: begin +674 unreachable misaligned_exception.cause = riscv::LOAD_PAGE_FAULT; +675 unreachable misaligned_exception.valid = 1'b1; +676 unreachable if (CVA6Cfg.TvalEn) +677 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +678 unreachable if (CVA6Cfg.RVH) begin +679 unreachable misaligned_exception.tval2 = '0; +680 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +681 unreachable misaligned_exception.gva = ld_st_v_i; +682 end + ==> MISSING_ELSE +683 end +684 STORE: begin +685 unreachable misaligned_exception.cause = riscv::STORE_PAGE_FAULT; +686 unreachable misaligned_exception.valid = 1'b1; +687 unreachable if (CVA6Cfg.TvalEn) +688 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +689 unreachable if (CVA6Cfg.RVH) begin +690 unreachable misaligned_exception.tval2 = '0; +691 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +692 unreachable misaligned_exception.gva = ld_st_v_i; +693 end + ==> MISSING_ELSE +694 end +695 unreachable default: ; +696 endcase +697 end + MISSING_ELSE +698 +699 1/1 if (CVA6Cfg.MmuPresent && CVA6Cfg.RVH && en_ld_st_g_translation_i && !en_ld_st_translation_i && lsu_ctrl.g_overflow) begin +700 +701 unreachable case (lsu_ctrl.fu) +702 LOAD: begin +703 unreachable misaligned_exception.cause = riscv::LOAD_GUEST_PAGE_FAULT; +704 unreachable misaligned_exception.valid = 1'b1; +705 unreachable if (CVA6Cfg.TvalEn) +706 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +707 unreachable if (CVA6Cfg.RVH) begin +708 unreachable misaligned_exception.tval2 = '0; +709 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +710 unreachable misaligned_exception.gva = ld_st_v_i; +711 end + ==> MISSING_ELSE +712 end +713 STORE: begin +714 unreachable misaligned_exception.cause = riscv::STORE_GUEST_PAGE_FAULT; +715 unreachable misaligned_exception.valid = 1'b1; +716 unreachable if (CVA6Cfg.TvalEn) +717 unreachable misaligned_exception.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, lsu_ctrl.vaddr}; + ==> MISSING_ELSE +718 unreachable if (CVA6Cfg.RVH) begin +719 unreachable misaligned_exception.tval2 = '0; +720 unreachable misaligned_exception.tinst = lsu_ctrl.tinst; +721 unreachable misaligned_exception.gva = ld_st_v_i; +722 end + ==> MISSING_ELSE +723 end +724 unreachable default: ; +725 endcase +726 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : load_store_unit + + Total Covered Percent +Conditions 4 4 100.00 +Logical 4 4 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 612 + EXPRESSION (lsu_ctrl.vaddr[2:0] != 3'b0) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 626 + EXPRESSION (lsu_ctrl.vaddr[1:0] != 2'b0) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 632 + EXPRESSION (lsu_ctrl.vaddr[0] != 1'b0) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 98.75 97.50 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.83 99.65 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- load_store_unit + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_load_unit +100.00 100.00 100.00 -- i_store_unit +100.00 100.00 100.00 -- lsu_bypass_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : csr_regfile +=============================================================================== +SCORE LINE COND ASSERT + 98.78 100.00 97.56 -- + +Source File(s) : + +cva6/core/csr_regfile.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 98.78 100.00 97.56 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.csr_regfile_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : csr_regfile + + Line No. Total Covered Percent +TOTAL 549 549 100.00 +ALWAYS 379 159 159 100.00 +ALWAYS 933 272 272 100.00 +ALWAYS 2239 21 21 100.00 +ALWAYS 2303 8 8 100.00 +ALWAYS 2415 8 8 100.00 +ALWAYS 2448 5 5 100.00 +ALWAYS 2462 10 10 100.00 +ALWAYS 2518 5 5 100.00 +ALWAYS 2616 57 57 100.00 +ALWAYS 2781 0 0 +ALWAYS 2781 4 4 100.00 + +378 // a read access exception can only occur if we attempt to read a CSR which does not exist +379 1/1 read_access_exception = 1'b0; +380 1/1 virtual_read_access_exception = 1'b0; +381 1/1 csr_rdata = '0; +382 1/1 perf_addr_o = csr_addr.address[11:0]; +383 1/1 if (csr_read) begin +384 1/1 unique case (conv_csr_addr.address) +385 riscv::CSR_FFLAGS: begin +386 1/1 if (fp_csrs_usable) begin +387 unreachable csr_rdata = {{CVA6Cfg.XLEN - 5{1'b0}}, fcsr_q.fflags}; +388 end else begin +389 1/1 read_access_exception = 1'b1; +390 end +391 end +392 riscv::CSR_FRM: begin +393 1/1 if (fp_csrs_usable) begin +394 unreachable csr_rdata = {{CVA6Cfg.XLEN - 3{1'b0}}, fcsr_q.frm}; +395 end else begin +396 1/1 read_access_exception = 1'b1; +397 end +398 end +399 riscv::CSR_FCSR: begin +400 1/1 if (fp_csrs_usable) begin +401 unreachable csr_rdata = {{CVA6Cfg.XLEN - 8{1'b0}}, fcsr_q.frm, fcsr_q.fflags}; +402 end else begin +403 1/1 read_access_exception = 1'b1; +404 end +405 end +406 riscv::CSR_JVT: begin +407 1/1 if (CVA6Cfg.RVZCMT) begin +408 unreachable csr_rdata = {jvt_q.base, jvt_q.mode}; +409 end else begin +410 1/1 read_access_exception = 1'b1; +411 end +412 end +413 // non-standard extension +414 riscv::CSR_FTRAN: begin +415 1/1 if (fp_csrs_usable) begin +416 unreachable csr_rdata = {{CVA6Cfg.XLEN - 7{1'b0}}, fcsr_q.fprec}; +417 end else begin +418 1/1 read_access_exception = 1'b1; +419 end +420 end +421 // debug registers +422 riscv::CSR_DCSR: +423 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = {{CVA6Cfg.XLEN - 32{1'b0}}, dcsr_q}; +424 1/1 else read_access_exception = 1'b1; +425 riscv::CSR_DPC: +426 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = dpc_q; +427 1/1 else read_access_exception = 1'b1; +428 riscv::CSR_DSCRATCH0: +429 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = dscratch0_q; +430 1/1 else read_access_exception = 1'b1; +431 riscv::CSR_DSCRATCH1: +432 1/1(1 unreachable) if (CVA6Cfg.DebugEn) csr_rdata = dscratch1_q; +433 1/1 else read_access_exception = 1'b1; +434 // trigger module registers +435 1/1 riscv::CSR_TSELECT: read_access_exception = 1'b1; // not implemented +436 1/1 riscv::CSR_TDATA1: read_access_exception = 1'b1; // not implemented +437 1/1 riscv::CSR_TDATA2: read_access_exception = 1'b1; // not implemented +438 1/1 riscv::CSR_TDATA3: read_access_exception = 1'b1; // not implemented +439 riscv::CSR_VSSTATUS: +440 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vsstatus_extended; +441 1/1 else read_access_exception = 1'b1; +442 riscv::CSR_VSIE: +443 1/1 if (CVA6Cfg.RVH) +444 unreachable csr_rdata = (mie_q & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] & hideleg_q) >> 1; +445 1/1 else read_access_exception = 1'b1; +446 riscv::CSR_VSIP: +447 1/1 if (CVA6Cfg.RVH) +448 unreachable csr_rdata = (mip_q & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] & hideleg_q) >> 1; +449 1/1 else read_access_exception = 1'b1; +450 riscv::CSR_VSTVEC: +451 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vstvec_q; +452 1/1 else read_access_exception = 1'b1; +453 riscv::CSR_VSSCRATCH: +454 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vsscratch_q; +455 1/1 else read_access_exception = 1'b1; +456 riscv::CSR_VSEPC: +457 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vsepc_q; +458 1/1 else read_access_exception = 1'b1; +459 riscv::CSR_VSCAUSE: +460 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vscause_q; +461 1/1 else read_access_exception = 1'b1; +462 riscv::CSR_VSTVAL: +463 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = vstval_q; +464 1/1 else read_access_exception = 1'b1; +465 riscv::CSR_VSATP: +466 // intercept reads to VSATP if in VS-Mode and VTVM is enabled +467 1/1 if (CVA6Cfg.RVH) begin +468 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && hstatus_q.vtvm && v_q) +469 unreachable virtual_read_access_exception = 1'b1; +470 unreachable else csr_rdata = vsatp_q; +471 end else begin +472 1/1 read_access_exception = 1'b1; +473 end +474 // supervisor registers +475 riscv::CSR_SSTATUS: begin +476 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = mstatus_extended & SMODE_STATUS_READ_MASK[CVA6Cfg.XLEN-1:0]; +477 1/1 else read_access_exception = 1'b1; +478 end +479 riscv::CSR_SIE: +480 1/1 if (CVA6Cfg.RVS) +481 unreachable csr_rdata = (CVA6Cfg.RVH) ? mie_q & mideleg_q & ~HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] : mie_q & mideleg_q; +482 1/1 else read_access_exception = 1'b1; +483 riscv::CSR_SIP: +484 1/1 if (CVA6Cfg.RVS) +485 unreachable csr_rdata = (CVA6Cfg.RVH) ? mip_q & mideleg_q & ~HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] : mip_q & mideleg_q; +486 1/1 else read_access_exception = 1'b1; +487 riscv::CSR_STVEC: +488 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = stvec_q; +489 1/1 else read_access_exception = 1'b1; +490 riscv::CSR_SCOUNTEREN: +491 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = scounteren_q; +492 1/1 else read_access_exception = 1'b1; +493 riscv::CSR_SSCRATCH: +494 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = sscratch_q; +495 1/1 else read_access_exception = 1'b1; +496 riscv::CSR_SEPC: +497 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = sepc_q; +498 1/1 else read_access_exception = 1'b1; +499 riscv::CSR_SCAUSE: +500 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = scause_q; +501 1/1 else read_access_exception = 1'b1; +502 riscv::CSR_STVAL: +503 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = stval_q; +504 1/1 else read_access_exception = 1'b1; +505 riscv::CSR_SATP: begin +506 1/1 if (CVA6Cfg.RVS) begin +507 // intercept reads to SATP if in S-Mode and TVM is enabled +508 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && mstatus_q.tvm) begin +509 unreachable read_access_exception = 1'b1; +510 end else begin +511 unreachable csr_rdata = satp_q; +512 end +513 end else begin +514 1/1 read_access_exception = 1'b1; +515 end +516 end +517 riscv::CSR_SENVCFG: +518 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = '0 | fiom_q; +519 1/1 else read_access_exception = 1'b1; +520 // hypervisor mode registers +521 riscv::CSR_HSTATUS: +522 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hstatus_q[CVA6Cfg.XLEN-1:0]; +523 1/1 else read_access_exception = 1'b1; +524 riscv::CSR_HEDELEG: +525 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hedeleg_q; +526 1/1 else read_access_exception = 1'b1; +527 riscv::CSR_HIDELEG: +528 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hideleg_q; +529 1/1 else read_access_exception = 1'b1; +530 riscv::CSR_HIE: +531 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mie_q & HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +532 1/1 else read_access_exception = 1'b1; +533 riscv::CSR_HIP: +534 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mip_q & HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +535 1/1 else read_access_exception = 1'b1; +536 riscv::CSR_HVIP: +537 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mip_q & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +538 1/1 else read_access_exception = 1'b1; +539 riscv::CSR_HCOUNTEREN: +540 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = hcounteren_q; +541 1/1 else read_access_exception = 1'b1; +542 riscv::CSR_HTVAL: +543 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = htval_q; +544 1/1 else read_access_exception = 1'b1; +545 riscv::CSR_HTINST: +546 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = htinst_q; +547 1/1 else read_access_exception = 1'b1; +548 riscv::CSR_HGEIE: +549 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = '0; +550 1/1 else read_access_exception = 1'b1; +551 riscv::CSR_HGEIP: +552 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = '0; +553 1/1 else read_access_exception = 1'b1; +554 riscv::CSR_HENVCFG: +555 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = '0 | {{CVA6Cfg.XLEN - 1{1'b0}}, fiom_q}; +556 1/1 else read_access_exception = 1'b1; +557 riscv::CSR_HGATP: begin +558 1/1 if (CVA6Cfg.RVH) begin +559 // intercept reads to HGATP if in HS-Mode and TVM is enabled +560 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && !v_q && mstatus_q.tvm) begin +561 unreachable read_access_exception = 1'b1; +562 end else begin +563 unreachable csr_rdata = hgatp_q; +564 end +565 end else begin +566 1/1 read_access_exception = 1'b1; +567 end +568 end +569 +570 // machine mode registers +571 1/1 riscv::CSR_MSTATUS: csr_rdata = mstatus_extended; +572 riscv::CSR_MSTATUSH: +573 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = '0; +574 unreachable else read_access_exception = 1'b1; +575 1/1 riscv::CSR_MISA: csr_rdata = IsaCode; +576 riscv::CSR_MEDELEG: +577 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = medeleg_q; +578 1/1 else read_access_exception = 1'b1; +579 riscv::CSR_MIDELEG: +580 1/1(1 unreachable) if (CVA6Cfg.RVS) csr_rdata = mideleg_q; +581 1/1 else read_access_exception = 1'b1; +582 1/1 riscv::CSR_MIE: csr_rdata = mie_q; +583 1/1 riscv::CSR_MTVEC: csr_rdata = mtvec_q; +584 riscv::CSR_MCOUNTEREN: +585 1/1(1 unreachable) if (CVA6Cfg.RVU) csr_rdata = mcounteren_q; +586 1/1 else read_access_exception = 1'b1; +587 1/1 riscv::CSR_MSCRATCH: csr_rdata = mscratch_q; +588 1/1 riscv::CSR_MEPC: csr_rdata = mepc_q; +589 1/1 riscv::CSR_MCAUSE: csr_rdata = mcause_q; +590 riscv::CSR_MTVAL: +591 1/1(1 unreachable) if (CVA6Cfg.TvalEn) csr_rdata = mtval_q; +592 1/1 else csr_rdata = '0; +593 riscv::CSR_MTINST: +594 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mtinst_q; +595 1/1 else read_access_exception = 1'b1; +596 riscv::CSR_MTVAL2: +597 1/1(1 unreachable) if (CVA6Cfg.RVH) csr_rdata = mtval2_q; +598 1/1 else read_access_exception = 1'b1; +599 1/1 riscv::CSR_MIP: csr_rdata = mip_q; +600 riscv::CSR_MENVCFG: begin +601 1/1(1 unreachable) if (CVA6Cfg.RVU) csr_rdata = '0 | fiom_q; +602 1/1 else read_access_exception = 1'b1; +603 end +604 riscv::CSR_MENVCFGH: begin +605 1/1(1 unreachable) if (CVA6Cfg.RVU && CVA6Cfg.XLEN == 32) csr_rdata = '0; +606 1/1 else read_access_exception = 1'b1; +607 end +608 1/1 riscv::CSR_MVENDORID: csr_rdata = {{CVA6Cfg.XLEN - 32{1'b0}}, OPENHWGROUP_MVENDORID}; +609 1/1 riscv::CSR_MARCHID: csr_rdata = {{CVA6Cfg.XLEN - 32{1'b0}}, ARIANE_MARCHID}; +610 1/1 riscv::CSR_MIMPID: csr_rdata = '0; // not implemented +611 1/1 riscv::CSR_MHARTID: csr_rdata = hart_id_i; +612 1/1 riscv::CSR_MCONFIGPTR: csr_rdata = '0; // not implemented +613 riscv::CSR_MCOUNTINHIBIT: +614 1/1 csr_rdata = {{(CVA6Cfg.XLEN - (MHPMCounterNum + 3)) {1'b0}}, mcountinhibit_q}; +615 // Counters and Timers +616 1/1 riscv::CSR_MCYCLE: csr_rdata = cycle_q[CVA6Cfg.XLEN-1:0]; +617 riscv::CSR_MCYCLEH: +618 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = cycle_q[63:32]; +619 unreachable else read_access_exception = 1'b1; +620 1/1 riscv::CSR_MINSTRET: csr_rdata = instret_q[CVA6Cfg.XLEN-1:0]; +621 riscv::CSR_MINSTRETH: +622 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = instret_q[63:32]; +623 unreachable else read_access_exception = 1'b1; +624 riscv::CSR_CYCLE: +625 1/1(1 unreachable) if (CVA6Cfg.RVZicntr) csr_rdata = cycle_q[CVA6Cfg.XLEN-1:0]; +626 1/1 else read_access_exception = 1'b1; +627 riscv::CSR_CYCLEH: +628 1/1 if (CVA6Cfg.RVZicntr) +629 unreachable if (CVA6Cfg.XLEN == 32) csr_rdata = cycle_q[63:32]; +630 unreachable else read_access_exception = 1'b1; +631 1/1 else read_access_exception = 1'b1; +632 riscv::CSR_INSTRET: +633 1/1(1 unreachable) if (CVA6Cfg.RVZicntr) csr_rdata = instret_q[CVA6Cfg.XLEN-1:0]; +634 1/1 else read_access_exception = 1'b1; +635 riscv::CSR_INSTRETH: +636 1/1 if (CVA6Cfg.RVZicntr) +637 unreachable if (CVA6Cfg.XLEN == 32) csr_rdata = instret_q[63:32]; +638 unreachable else read_access_exception = 1'b1; +639 1/1 else read_access_exception = 1'b1; +640 //Event Selector +641 riscv::CSR_MHPM_EVENT_3, +642 riscv::CSR_MHPM_EVENT_4, +643 riscv::CSR_MHPM_EVENT_5, +644 riscv::CSR_MHPM_EVENT_6, +645 riscv::CSR_MHPM_EVENT_7, +646 riscv::CSR_MHPM_EVENT_8, +647 riscv::CSR_MHPM_EVENT_9, +648 riscv::CSR_MHPM_EVENT_10, +649 riscv::CSR_MHPM_EVENT_11, +650 riscv::CSR_MHPM_EVENT_12, +651 riscv::CSR_MHPM_EVENT_13, +652 riscv::CSR_MHPM_EVENT_14, +653 riscv::CSR_MHPM_EVENT_15, +654 riscv::CSR_MHPM_EVENT_16, +655 riscv::CSR_MHPM_EVENT_17, +656 riscv::CSR_MHPM_EVENT_18, +657 riscv::CSR_MHPM_EVENT_19, +658 riscv::CSR_MHPM_EVENT_20, +659 riscv::CSR_MHPM_EVENT_21, +660 riscv::CSR_MHPM_EVENT_22, +661 riscv::CSR_MHPM_EVENT_23, +662 riscv::CSR_MHPM_EVENT_24, +663 riscv::CSR_MHPM_EVENT_25, +664 riscv::CSR_MHPM_EVENT_26, +665 riscv::CSR_MHPM_EVENT_27, +666 riscv::CSR_MHPM_EVENT_28, +667 riscv::CSR_MHPM_EVENT_29, +668 riscv::CSR_MHPM_EVENT_30, +669 riscv::CSR_MHPM_EVENT_31 : +670 1/1 csr_rdata = perf_data_i; +671 +672 riscv::CSR_MHPM_COUNTER_3, +673 riscv::CSR_MHPM_COUNTER_4, +674 riscv::CSR_MHPM_COUNTER_5, +675 riscv::CSR_MHPM_COUNTER_6, +676 riscv::CSR_MHPM_COUNTER_7, +677 riscv::CSR_MHPM_COUNTER_8, +678 riscv::CSR_MHPM_COUNTER_9, +679 riscv::CSR_MHPM_COUNTER_10, +680 riscv::CSR_MHPM_COUNTER_11, +681 riscv::CSR_MHPM_COUNTER_12, +682 riscv::CSR_MHPM_COUNTER_13, +683 riscv::CSR_MHPM_COUNTER_14, +684 riscv::CSR_MHPM_COUNTER_15, +685 riscv::CSR_MHPM_COUNTER_16, +686 riscv::CSR_MHPM_COUNTER_17, +687 riscv::CSR_MHPM_COUNTER_18, +688 riscv::CSR_MHPM_COUNTER_19, +689 riscv::CSR_MHPM_COUNTER_20, +690 riscv::CSR_MHPM_COUNTER_21, +691 riscv::CSR_MHPM_COUNTER_22, +692 riscv::CSR_MHPM_COUNTER_23, +693 riscv::CSR_MHPM_COUNTER_24, +694 riscv::CSR_MHPM_COUNTER_25, +695 riscv::CSR_MHPM_COUNTER_26, +696 riscv::CSR_MHPM_COUNTER_27, +697 riscv::CSR_MHPM_COUNTER_28, +698 riscv::CSR_MHPM_COUNTER_29, +699 riscv::CSR_MHPM_COUNTER_30, +700 riscv::CSR_MHPM_COUNTER_31 : +701 1/1 csr_rdata = perf_data_i; +702 +703 riscv::CSR_MHPM_COUNTER_3H, +704 riscv::CSR_MHPM_COUNTER_4H, +705 riscv::CSR_MHPM_COUNTER_5H, +706 riscv::CSR_MHPM_COUNTER_6H, +707 riscv::CSR_MHPM_COUNTER_7H, +708 riscv::CSR_MHPM_COUNTER_8H, +709 riscv::CSR_MHPM_COUNTER_9H, +710 riscv::CSR_MHPM_COUNTER_10H, +711 riscv::CSR_MHPM_COUNTER_11H, +712 riscv::CSR_MHPM_COUNTER_12H, +713 riscv::CSR_MHPM_COUNTER_13H, +714 riscv::CSR_MHPM_COUNTER_14H, +715 riscv::CSR_MHPM_COUNTER_15H, +716 riscv::CSR_MHPM_COUNTER_16H, +717 riscv::CSR_MHPM_COUNTER_17H, +718 riscv::CSR_MHPM_COUNTER_18H, +719 riscv::CSR_MHPM_COUNTER_19H, +720 riscv::CSR_MHPM_COUNTER_20H, +721 riscv::CSR_MHPM_COUNTER_21H, +722 riscv::CSR_MHPM_COUNTER_22H, +723 riscv::CSR_MHPM_COUNTER_23H, +724 riscv::CSR_MHPM_COUNTER_24H, +725 riscv::CSR_MHPM_COUNTER_25H, +726 riscv::CSR_MHPM_COUNTER_26H, +727 riscv::CSR_MHPM_COUNTER_27H, +728 riscv::CSR_MHPM_COUNTER_28H, +729 riscv::CSR_MHPM_COUNTER_29H, +730 riscv::CSR_MHPM_COUNTER_30H, +731 riscv::CSR_MHPM_COUNTER_31H : +732 2/2 if (CVA6Cfg.XLEN == 32) csr_rdata = perf_data_i; +733 unreachable else read_access_exception = 1'b1; +734 +735 // Performance counters (User Mode - R/O Shadows) +736 riscv::CSR_HPM_COUNTER_3, +737 riscv::CSR_HPM_COUNTER_4, +738 riscv::CSR_HPM_COUNTER_5, +739 riscv::CSR_HPM_COUNTER_6, +740 riscv::CSR_HPM_COUNTER_7, +741 riscv::CSR_HPM_COUNTER_8, +742 riscv::CSR_HPM_COUNTER_9, +743 riscv::CSR_HPM_COUNTER_10, +744 riscv::CSR_HPM_COUNTER_11, +745 riscv::CSR_HPM_COUNTER_12, +746 riscv::CSR_HPM_COUNTER_13, +747 riscv::CSR_HPM_COUNTER_14, +748 riscv::CSR_HPM_COUNTER_15, +749 riscv::CSR_HPM_COUNTER_16, +750 riscv::CSR_HPM_COUNTER_17, +751 riscv::CSR_HPM_COUNTER_18, +752 riscv::CSR_HPM_COUNTER_19, +753 riscv::CSR_HPM_COUNTER_20, +754 riscv::CSR_HPM_COUNTER_21, +755 riscv::CSR_HPM_COUNTER_22, +756 riscv::CSR_HPM_COUNTER_23, +757 riscv::CSR_HPM_COUNTER_24, +758 riscv::CSR_HPM_COUNTER_25, +759 riscv::CSR_HPM_COUNTER_26, +760 riscv::CSR_HPM_COUNTER_27, +761 riscv::CSR_HPM_COUNTER_28, +762 riscv::CSR_HPM_COUNTER_29, +763 riscv::CSR_HPM_COUNTER_30, +764 riscv::CSR_HPM_COUNTER_31 : +765 1/1 if (CVA6Cfg.RVZihpm) begin +766 unreachable csr_rdata = perf_data_i; +767 end else begin +768 1/1 read_access_exception = 1'b1; +769 end +770 +771 riscv::CSR_HPM_COUNTER_3H, +772 riscv::CSR_HPM_COUNTER_4H, +773 riscv::CSR_HPM_COUNTER_5H, +774 riscv::CSR_HPM_COUNTER_6H, +775 riscv::CSR_HPM_COUNTER_7H, +776 riscv::CSR_HPM_COUNTER_8H, +777 riscv::CSR_HPM_COUNTER_9H, +778 riscv::CSR_HPM_COUNTER_10H, +779 riscv::CSR_HPM_COUNTER_11H, +780 riscv::CSR_HPM_COUNTER_12H, +781 riscv::CSR_HPM_COUNTER_13H, +782 riscv::CSR_HPM_COUNTER_14H, +783 riscv::CSR_HPM_COUNTER_15H, +784 riscv::CSR_HPM_COUNTER_16H, +785 riscv::CSR_HPM_COUNTER_17H, +786 riscv::CSR_HPM_COUNTER_18H, +787 riscv::CSR_HPM_COUNTER_19H, +788 riscv::CSR_HPM_COUNTER_20H, +789 riscv::CSR_HPM_COUNTER_21H, +790 riscv::CSR_HPM_COUNTER_22H, +791 riscv::CSR_HPM_COUNTER_23H, +792 riscv::CSR_HPM_COUNTER_24H, +793 riscv::CSR_HPM_COUNTER_25H, +794 riscv::CSR_HPM_COUNTER_26H, +795 riscv::CSR_HPM_COUNTER_27H, +796 riscv::CSR_HPM_COUNTER_28H, +797 riscv::CSR_HPM_COUNTER_29H, +798 riscv::CSR_HPM_COUNTER_30H, +799 riscv::CSR_HPM_COUNTER_31H : +800 1/1 if (CVA6Cfg.RVZihpm) begin +801 unreachable if (CVA6Cfg.XLEN == 32) csr_rdata = perf_data_i; +802 unreachable else read_access_exception = 1'b1; +803 end else begin +804 1/1 read_access_exception = 1'b1; +805 end +806 +807 // custom (non RISC-V) cache control +808 1/1 riscv::CSR_DCACHE: csr_rdata = dcache_q; +809 1/1 riscv::CSR_ICACHE: csr_rdata = icache_q; +810 // custom (non RISC-V) accelerator memory consistency mode +811 riscv::CSR_ACC_CONS: begin +812 1/1 if (CVA6Cfg.EnableAccelerator) begin +813 unreachable csr_rdata = acc_cons_q; +814 end else begin +815 1/1 read_access_exception = 1'b1; +816 end +817 end +818 // PMPs +819 riscv::CSR_PMPCFG0, +820 riscv::CSR_PMPCFG1, +821 riscv::CSR_PMPCFG2, +822 riscv::CSR_PMPCFG3, +823 riscv::CSR_PMPCFG4, +824 riscv::CSR_PMPCFG5, +825 riscv::CSR_PMPCFG6, +826 riscv::CSR_PMPCFG7, +827 riscv::CSR_PMPCFG8, +828 riscv::CSR_PMPCFG9, +829 riscv::CSR_PMPCFG10, +830 riscv::CSR_PMPCFG11, +831 riscv::CSR_PMPCFG12, +832 riscv::CSR_PMPCFG13, +833 riscv::CSR_PMPCFG14, +834 riscv::CSR_PMPCFG15: begin +835 // index is calculated using PMPCFG0 as the offset +836 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPCFG0; +837 +838 // if index is not even and XLEN==64, raise exception +839 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64 && index[0] == 1'b1) read_access_exception = 1'b1; +840 else begin +841 1/1 csr_rdata = pmpcfg_q[index*4+:CVA6Cfg.XLEN/8]; +842 end +843 end +844 // PMPADDR +845 riscv::CSR_PMPADDR0, +846 riscv::CSR_PMPADDR1, +847 riscv::CSR_PMPADDR2, +848 riscv::CSR_PMPADDR3, +849 riscv::CSR_PMPADDR4, +850 riscv::CSR_PMPADDR5, +851 riscv::CSR_PMPADDR6, +852 riscv::CSR_PMPADDR7, +853 riscv::CSR_PMPADDR8, +854 riscv::CSR_PMPADDR9, +855 riscv::CSR_PMPADDR10, +856 riscv::CSR_PMPADDR11, +857 riscv::CSR_PMPADDR12, +858 riscv::CSR_PMPADDR13, +859 riscv::CSR_PMPADDR14, +860 riscv::CSR_PMPADDR15, +861 riscv::CSR_PMPADDR16, +862 riscv::CSR_PMPADDR17, +863 riscv::CSR_PMPADDR18, +864 riscv::CSR_PMPADDR19, +865 riscv::CSR_PMPADDR20, +866 riscv::CSR_PMPADDR21, +867 riscv::CSR_PMPADDR22, +868 riscv::CSR_PMPADDR23, +869 riscv::CSR_PMPADDR24, +870 riscv::CSR_PMPADDR25, +871 riscv::CSR_PMPADDR26, +872 riscv::CSR_PMPADDR27, +873 riscv::CSR_PMPADDR28, +874 riscv::CSR_PMPADDR29, +875 riscv::CSR_PMPADDR30, +876 riscv::CSR_PMPADDR31, +877 riscv::CSR_PMPADDR32, +878 riscv::CSR_PMPADDR33, +879 riscv::CSR_PMPADDR34, +880 riscv::CSR_PMPADDR35, +881 riscv::CSR_PMPADDR36, +882 riscv::CSR_PMPADDR37, +883 riscv::CSR_PMPADDR38, +884 riscv::CSR_PMPADDR39, +885 riscv::CSR_PMPADDR40, +886 riscv::CSR_PMPADDR41, +887 riscv::CSR_PMPADDR42, +888 riscv::CSR_PMPADDR43, +889 riscv::CSR_PMPADDR44, +890 riscv::CSR_PMPADDR45, +891 riscv::CSR_PMPADDR46, +892 riscv::CSR_PMPADDR47, +893 riscv::CSR_PMPADDR48, +894 riscv::CSR_PMPADDR49, +895 riscv::CSR_PMPADDR50, +896 riscv::CSR_PMPADDR51, +897 riscv::CSR_PMPADDR52, +898 riscv::CSR_PMPADDR53, +899 riscv::CSR_PMPADDR54, +900 riscv::CSR_PMPADDR55, +901 riscv::CSR_PMPADDR56, +902 riscv::CSR_PMPADDR57, +903 riscv::CSR_PMPADDR58, +904 riscv::CSR_PMPADDR59, +905 riscv::CSR_PMPADDR60, +906 riscv::CSR_PMPADDR61, +907 riscv::CSR_PMPADDR62, +908 riscv::CSR_PMPADDR63: begin +909 // index is calculated using PMPADDR0 as the offset +910 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPADDR0; +911 // Important: we only support granularity 8 bytes (G=1) +912 // -> last bit of pmpaddr must be set 0/1 based on the mode: +913 // NA4, NAPOT: 1 +914 // TOR, OFF: 0 +915 1/1 if (CVA6Cfg.PMPNapotEn && pmpcfg_q[index].addr_mode[1] == 1'b1) +916 unreachable csr_rdata = {pmpaddr_q[index][CVA6Cfg.PLEN-3:1], 1'b1}; +917 1/1 else csr_rdata = {pmpaddr_q[index][CVA6Cfg.PLEN-3:1], 1'b0}; +918 end +919 1/1 default: read_access_exception = 1'b1; +920 endcase +921 end + MISSING_ELSE +922 end +923 // --------------------------- +924 // CSR Write and update logic +925 // --------------------------- +926 logic [CVA6Cfg.XLEN-1:0] mask; +927 always_comb begin : csr_update +928 automatic satp_t satp; +929 automatic satp_t vsatp; +930 automatic hgatp_t hgatp; +931 automatic logic [63:0] instret; +932 +933 1/1 if (CVA6Cfg.RVS) begin +934 unreachable satp = satp_q; +935 end + MISSING_ELSE +936 1/1 if (CVA6Cfg.RVH) begin +937 unreachable hgatp = hgatp_q; +938 unreachable vsatp = vsatp_q; +939 end + MISSING_ELSE +940 1/1 instret = instret_q; +941 +942 1/1 mcountinhibit_d = mcountinhibit_q; +943 +944 // -------------------- +945 // Counters +946 // -------------------- +947 1/1 cycle_d = cycle_q; +948 1/1 instret_d = instret_q; +949 1/1 if (!(debug_mode)) begin +950 // increase instruction retired counter +951 1/1 if (commit_ack_i[0] && !(ex_i.valid && CVA6Cfg.SpeculativeSb) && (!CVA6Cfg.PerfCounterEn || (CVA6Cfg.PerfCounterEn && !mcountinhibit_q[2]))) +952 1/1 instret++; + MISSING_ELSE +953 1/1 if (CVA6Cfg.NrCommitPorts != 1) +954 unreachable for (int i = 1; i < CVA6Cfg.NrCommitPorts; i++) begin +955 unreachable if (commit_ack_i[i] && !ex_i.valid && (!CVA6Cfg.PerfCounterEn || (CVA6Cfg.PerfCounterEn && !mcountinhibit_q[2]))) +956 unreachable instret++; + ==> MISSING_ELSE +957 end +958 instret_d = instret; + MISSING_ELSE +958 1/1 instret_d = instret; +959 // increment the cycle count +960 1/1 if (!CVA6Cfg.PerfCounterEn || (CVA6Cfg.PerfCounterEn && !mcountinhibit_q[0])) +961 1/1 cycle_d = cycle_q + 1'b1; +962 unreachable else cycle_d = cycle_q; +963 end + ==> MISSING_ELSE +964 +965 1/1 eret_o = 1'b0; +966 1/1 flush_o = 1'b0; +967 1/1 update_access_exception = 1'b0; +968 1/1 virtual_update_access_exception = 1'b0; +969 +970 1/1 set_debug_pc_o = 1'b0; +971 +972 1/1 perf_we_o = 1'b0; +973 1/1 perf_data_o = 'b0; +974 1/1 if (CVA6Cfg.RVZCMT) begin +975 unreachable jvt_d = jvt_q; +976 end + MISSING_ELSE +977 1/1 fcsr_d = fcsr_q; +978 +979 1/1 priv_lvl_d = priv_lvl_q; +980 1/1 if (CVA6Cfg.RVH) begin +981 unreachable v_d = v_q; +982 end + MISSING_ELSE +983 1/1 if (CVA6Cfg.DebugEn) begin +984 unreachable debug_mode_d = debug_mode_q; +985 end + MISSING_ELSE +986 +987 1/1 if (CVA6Cfg.DebugEn) begin +988 unreachable dcsr_d = dcsr_q; +989 unreachable dpc_d = dpc_q; +990 unreachable dscratch0_d = dscratch0_q; +991 unreachable dscratch1_d = dscratch1_q; +992 end + MISSING_ELSE +993 1/1 mstatus_d = mstatus_q; +994 1/1 if (CVA6Cfg.RVH) begin +995 unreachable hstatus_d = hstatus_q; +996 unreachable vsstatus_d = vsstatus_q; +997 end + MISSING_ELSE +998 +999 // check whether we come out of reset +1000 // this is a workaround. some tools have issues +1001 // having boot_addr_i in the asynchronous +1002 // reset assignment to mtvec_d, even though +1003 // boot_addr_i will be assigned a constant +1004 // on the top-level. +1005 1/1 if (mtvec_rst_load_q) begin +1006 1/1 mtvec_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, boot_addr_i} + 'h40; +1007 end else begin +1008 1/1 mtvec_d = mtvec_q; +1009 end +1010 +1011 1/1 if (CVA6Cfg.RVS) begin +1012 unreachable medeleg_d = medeleg_q; +1013 unreachable mideleg_d = mideleg_q; +1014 end + MISSING_ELSE +1015 1/1 mip_d = mip_q; +1016 1/1 mie_d = mie_q; +1017 1/1 mepc_d = mepc_q; +1018 1/1 mcause_d = mcause_q; +1019 1/1 mcounteren_d = mcounteren_q; +1020 1/1 mscratch_d = mscratch_q; +1021 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_d = mtval_q; + MISSING_ELSE +1022 1/1 if (CVA6Cfg.RVH) begin +1023 unreachable mtinst_d = mtinst_q; +1024 unreachable mtval2_d = mtval2_q; +1025 end + MISSING_ELSE +1026 +1027 1/1 fiom_d = fiom_q; +1028 1/1 dcache_d = dcache_q; +1029 1/1 icache_d = icache_q; +1030 1/1 acc_cons_d = acc_cons_q; +1031 +1032 1/1 if (CVA6Cfg.RVH) begin +1033 unreachable vstvec_d = vstvec_q; +1034 unreachable vsscratch_d = vsscratch_q; +1035 unreachable vsepc_d = vsepc_q; +1036 unreachable vscause_d = vscause_q; +1037 unreachable vstval_d = vstval_q; +1038 unreachable vsatp_d = vsatp_q; +1039 unreachable hgatp_d = hgatp_q; +1040 unreachable hedeleg_d = hedeleg_q; +1041 unreachable hideleg_d = hideleg_q; +1042 unreachable hgeie_d = hgeie_q; +1043 unreachable hcounteren_d = hcounteren_q; +1044 unreachable htinst_d = htinst_q; +1045 unreachable htval_d = htval_q; +1046 unreachable en_ld_st_g_translation_d = en_ld_st_g_translation_q; +1047 end + MISSING_ELSE +1048 +1049 1/1 if (CVA6Cfg.RVS) begin +1050 unreachable sepc_d = sepc_q; +1051 unreachable scause_d = scause_q; +1052 unreachable stvec_d = stvec_q; +1053 unreachable scounteren_d = scounteren_q; +1054 unreachable sscratch_d = sscratch_q; +1055 unreachable stval_d = stval_q; +1056 unreachable satp_d = satp_q; +1057 end + MISSING_ELSE +1058 +1059 1/1 en_ld_st_translation_d = en_ld_st_translation_q; +1060 1/1 dirty_fp_state_csr = 1'b0; +1061 +1062 1/1 pmpcfg_d = pmpcfg_q; +1063 1/1 pmpaddr_d = pmpaddr_q; +1064 +1065 // check for correct access rights and that we are writing +1066 1/1 if (csr_we) begin +1067 1/1 unique case (conv_csr_addr.address) +1068 // Floating-Point +1069 riscv::CSR_FFLAGS: begin +1070 1/1 if (fp_csrs_usable) begin +1071 unreachable dirty_fp_state_csr = 1'b1; +1072 unreachable fcsr_d.fflags = csr_wdata[4:0]; +1073 // this instruction has side-effects +1074 unreachable flush_o = 1'b1; +1075 end else begin +1076 1/1 update_access_exception = 1'b1; +1077 end +1078 end +1079 riscv::CSR_FRM: begin +1080 1/1 if (fp_csrs_usable) begin +1081 unreachable dirty_fp_state_csr = 1'b1; +1082 unreachable fcsr_d.frm = csr_wdata[2:0]; +1083 // this instruction has side-effects +1084 unreachable flush_o = 1'b1; +1085 end else begin +1086 1/1 update_access_exception = 1'b1; +1087 end +1088 end +1089 riscv::CSR_FCSR: begin +1090 1/1 if (fp_csrs_usable) begin +1091 unreachable dirty_fp_state_csr = 1'b1; +1092 unreachable fcsr_d[7:0] = csr_wdata[7:0]; // ignore writes to reserved space +1093 // this instruction has side-effects +1094 unreachable flush_o = 1'b1; +1095 end else begin +1096 1/1 update_access_exception = 1'b1; +1097 end +1098 end +1099 riscv::CSR_FTRAN: begin +1100 1/1 if (fp_csrs_usable) begin +1101 unreachable dirty_fp_state_csr = 1'b1; +1102 unreachable fcsr_d.fprec = csr_wdata[6:0]; // ignore writes to reserved space +1103 // this instruction has side-effects +1104 unreachable flush_o = 1'b1; +1105 end else begin +1106 1/1 update_access_exception = 1'b1; +1107 end +1108 end +1109 // debug CSR +1110 riscv::CSR_DCSR: begin +1111 1/1 if (CVA6Cfg.DebugEn) begin +1112 unreachable dcsr_d = csr_wdata[31:0]; +1113 // debug is implemented +1114 unreachable dcsr_d.xdebugver = 4'h4; +1115 // currently not supported +1116 unreachable dcsr_d.nmip = 1'b0; +1117 unreachable dcsr_d.stopcount = 1'b0; +1118 unreachable dcsr_d.stoptime = 1'b0; +1119 end else begin +1120 1/1 update_access_exception = 1'b1; +1121 end +1122 end +1123 riscv::CSR_DPC: +1124 1/1(1 unreachable) if (CVA6Cfg.DebugEn) dpc_d = csr_wdata; +1125 1/1 else update_access_exception = 1'b1; +1126 riscv::CSR_DSCRATCH0: +1127 1/1(1 unreachable) if (CVA6Cfg.DebugEn) dscratch0_d = csr_wdata; +1128 1/1 else update_access_exception = 1'b1; +1129 riscv::CSR_DSCRATCH1: +1130 1/1(1 unreachable) if (CVA6Cfg.DebugEn) dscratch1_d = csr_wdata; +1131 1/1 else update_access_exception = 1'b1; +1132 riscv::CSR_JVT: begin +1133 1/1 if (CVA6Cfg.RVZCMT) begin +1134 unreachable jvt_d.base = csr_wdata[CVA6Cfg.XLEN-1:6]; +1135 unreachable jvt_d.mode = 6'b000000; +1136 end else begin +1137 1/1 update_access_exception = 1'b1; +1138 end +1139 end +1140 // trigger module CSRs +1141 1/1 riscv::CSR_TSELECT: update_access_exception = 1'b1; // not implemented +1142 1/1 riscv::CSR_TDATA1: update_access_exception = 1'b1; // not implemented +1143 1/1 riscv::CSR_TDATA2: update_access_exception = 1'b1; // not implemented +1144 1/1 riscv::CSR_TDATA3: update_access_exception = 1'b1; // not implemented +1145 // virtual supervisor registers +1146 riscv::CSR_VSSTATUS: begin +1147 1/1 if (CVA6Cfg.RVH) begin +1148 unreachable mask = ariane_pkg::SMODE_STATUS_WRITE_MASK[CVA6Cfg.XLEN-1:0]; +1149 unreachable vsstatus_d = (vsstatus_q & ~{{64-CVA6Cfg.XLEN{1'b0}}, mask}) | {{64-CVA6Cfg.XLEN{1'b0}}, (csr_wdata & mask)}; +1150 // hardwire to zero if floating point extension is not present +1151 unreachable vsstatus_d.xs = riscv::Off; +1152 unreachable if (!CVA6Cfg.FpPresent) begin +1153 unreachable vsstatus_d.fs = riscv::Off; +1154 end + ==> MISSING_ELSE +1155 // this instruction has side-effects +1156 unreachable flush_o = 1'b1; +1157 end else begin +1158 1/1 update_access_exception = 1'b1; +1159 end +1160 end +1161 riscv::CSR_VSIE: +1162 1/1(1 unreachable) if (CVA6Cfg.RVH) mie_d = (mie_q & ~hideleg_q) | ((csr_wdata << 1) & hideleg_q); +1163 1/1 else update_access_exception = 1'b1; +1164 riscv::CSR_VSIP: begin +1165 1/1 if (CVA6Cfg.RVH) begin +1166 // only the virtual supervisor software interrupt is write-able, iff delegated +1167 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_VSSIP) & hideleg_q; +1168 unreachable mip_d = (mip_q & ~mask) | ((csr_wdata << 1) & mask); +1169 end else begin +1170 1/1 update_access_exception = 1'b1; +1171 end +1172 end +1173 riscv::CSR_VSTVEC: begin +1174 1/1 if (CVA6Cfg.RVH) begin +1175 unreachable vstvec_d = {csr_wdata[CVA6Cfg.XLEN-1:2], 1'b0, csr_wdata[0]}; +1176 end else begin +1177 1/1 update_access_exception = 1'b1; +1178 end +1179 end +1180 riscv::CSR_VSSCRATCH: +1181 1/1(1 unreachable) if (CVA6Cfg.RVH) vsscratch_d = csr_wdata; +1182 1/1 else update_access_exception = 1'b1; +1183 riscv::CSR_VSEPC: +1184 1/1(1 unreachable) if (CVA6Cfg.RVH) vsepc_d = {csr_wdata[CVA6Cfg.XLEN-1:1], 1'b0}; +1185 1/1 else update_access_exception = 1'b1; +1186 riscv::CSR_VSCAUSE: +1187 1/1(1 unreachable) if (CVA6Cfg.RVH) vscause_d = csr_wdata; +1188 1/1 else update_access_exception = 1'b1; +1189 riscv::CSR_VSTVAL: +1190 1/1(1 unreachable) if (CVA6Cfg.RVH) vstval_d = csr_wdata; +1191 1/1 else update_access_exception = 1'b1; +1192 // virtual supervisor address translation and protection +1193 riscv::CSR_VSATP: begin +1194 1/1 if (CVA6Cfg.RVH) begin +1195 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && hstatus_q.vtvm && v_q) begin +1196 unreachable virtual_update_access_exception = 1'b1; +1197 end else begin +1198 unreachable vsatp = satp_t'(csr_wdata); +1199 // only make ASID_LEN - 1 bit stick, that way software can figure out how many ASID bits are supported +1200 unreachable vsatp.asid = vsatp.asid & {{(CVA6Cfg.ASIDW - CVA6Cfg.ASID_WIDTH) {1'b0}}, {CVA6Cfg.ASID_WIDTH{1'b1}}}; +1201 // only update if we actually support this mode +1202 unreachable if (config_pkg::vm_mode_t'(vsatp.mode) == config_pkg::ModeOff || +1203 config_pkg::vm_mode_t'(vsatp.mode) == CVA6Cfg.MODE_SV) +1204 unreachable vsatp_d = vsatp; + ==> MISSING_ELSE +1205 end +1206 // changing the mode can have side-effects on address translation (e.g.: other instructions), re-fetch +1207 // the next instruction by executing a flush +1208 unreachable flush_o = 1'b1; +1209 end else begin +1210 1/1 update_access_exception = 1'b1; +1211 end +1212 end +1213 // sstatus is a subset of mstatus - mask it accordingly +1214 riscv::CSR_SSTATUS: begin +1215 1/1 if (CVA6Cfg.RVS) begin +1216 unreachable mask = ariane_pkg::SMODE_STATUS_WRITE_MASK[CVA6Cfg.XLEN-1:0]; +1217 unreachable mstatus_d = (mstatus_q & ~{{64-CVA6Cfg.XLEN{1'b0}}, mask}) | {{64-CVA6Cfg.XLEN{1'b0}}, (csr_wdata & mask)}; +1218 // hardwire to zero if floating point extension is not present +1219 unreachable if (!CVA6Cfg.FpPresent) begin +1220 unreachable mstatus_d.fs = riscv::Off; +1221 end + ==> MISSING_ELSE +1222 // hardwire to zero if vector extension is not present +1223 unreachable if (!CVA6Cfg.RVV) begin +1224 unreachable mstatus_d.vs = riscv::Off; +1225 end + ==> MISSING_ELSE +1226 // If h-extension is not enabled, priv level HS is reserved +1227 unreachable if (!CVA6Cfg.RVH) begin +1228 unreachable if (mstatus_d.mpp == riscv::PRIV_LVL_HS) begin +1229 unreachable mstatus_d.mpp = mstatus_q.mpp; +1230 end + ==> MISSING_ELSE +1231 end + ==> MISSING_ELSE +1232 // this instruction has side-effects +1233 unreachable flush_o = 1'b1; +1234 end else begin +1235 1/1 update_access_exception = 1'b1; +1236 end +1237 end +1238 // even machine mode interrupts can be visible and set-able to supervisor +1239 // if the corresponding bit in mideleg is set +1240 riscv::CSR_SIE: begin +1241 1/1 if (CVA6Cfg.RVS) begin +1242 unreachable mask = (CVA6Cfg.RVH) ? mideleg_q & ~HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] : mideleg_q; +1243 // the mideleg makes sure only delegate-able register (and therefore also only implemented registers) are written +1244 unreachable mie_d = (mie_q & ~mask) | (csr_wdata & mask); +1245 end else begin +1246 1/1 update_access_exception = 1'b1; +1247 end +1248 end +1249 +1250 riscv::CSR_SIP: begin +1251 1/1 if (CVA6Cfg.RVS) begin +1252 // only the supervisor software interrupt is write-able, iff delegated +1253 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) & mideleg_q; +1254 unreachable mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1255 end else begin +1256 1/1 update_access_exception = 1'b1; +1257 end +1258 end +1259 +1260 riscv::CSR_STVEC: +1261 1/1(1 unreachable) if (CVA6Cfg.RVS) stvec_d = {csr_wdata[CVA6Cfg.XLEN-1:2], 1'b0, csr_wdata[0]}; +1262 1/1 else update_access_exception = 1'b1; +1263 riscv::CSR_SCOUNTEREN: +1264 1/1(1 unreachable) if (CVA6Cfg.RVS) scounteren_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1265 1/1 else update_access_exception = 1'b1; +1266 riscv::CSR_SSCRATCH: +1267 1/1(1 unreachable) if (CVA6Cfg.RVS) sscratch_d = csr_wdata; +1268 1/1 else update_access_exception = 1'b1; +1269 riscv::CSR_SEPC: +1270 1/1(1 unreachable) if (CVA6Cfg.RVS) sepc_d = {csr_wdata[CVA6Cfg.XLEN-1:1], 1'b0}; +1271 1/1 else update_access_exception = 1'b1; +1272 riscv::CSR_SCAUSE: +1273 1/1(1 unreachable) if (CVA6Cfg.RVS) scause_d = csr_wdata; +1274 1/1 else update_access_exception = 1'b1; +1275 riscv::CSR_STVAL: +1276 1/1(1 unreachable) if (CVA6Cfg.RVS && CVA6Cfg.TvalEn) stval_d = csr_wdata; +1277 1/1 else update_access_exception = 1'b1; +1278 // supervisor address translation and protection +1279 riscv::CSR_SATP: begin +1280 1/1 if (CVA6Cfg.RVS) begin +1281 // intercept SATP writes if in S-Mode and TVM is enabled +1282 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && mstatus_q.tvm) update_access_exception = 1'b1; +1283 else begin +1284 unreachable satp = satp_t'(csr_wdata); +1285 // only make ASID_LEN - 1 bit stick, that way software can figure out how many ASID bits are supported +1286 unreachable satp.asid = satp.asid & {{(CVA6Cfg.ASIDW - CVA6Cfg.ASID_WIDTH) {1'b0}}, {CVA6Cfg.ASID_WIDTH{1'b1}}}; +1287 // only update if we actually support this mode +1288 unreachable if (config_pkg::vm_mode_t'(satp.mode) == config_pkg::ModeOff || +1289 config_pkg::vm_mode_t'(satp.mode) == CVA6Cfg.MODE_SV) +1290 unreachable satp_d = satp; + ==> MISSING_ELSE +1291 end +1292 // changing the mode can have side-effects on address translation (e.g.: other instructions), re-fetch +1293 // the next instruction by executing a flush +1294 unreachable flush_o = 1'b1; +1295 end else begin +1296 1/1 update_access_exception = 1'b1; +1297 end +1298 end +1299 riscv::CSR_SENVCFG: +1300 1/1(1 unreachable) if (CVA6Cfg.RVU) fiom_d = csr_wdata[0]; +1301 1/1 else update_access_exception = 1'b1; +1302 //hypervisor mode registers +1303 riscv::CSR_HSTATUS: begin +1304 1/1 if (CVA6Cfg.RVH) begin +1305 unreachable mask = ariane_pkg::HSTATUS_WRITE_MASK[CVA6Cfg.XLEN-1:0]; +1306 unreachable hstatus_d = (hstatus_q & ~{{64-CVA6Cfg.XLEN{1'b0}}, mask}) | {{64-CVA6Cfg.XLEN{1'b0}}, (csr_wdata & mask)}; +1307 // this instruction has side-effects +1308 unreachable flush_o = 1'b1; +1309 end else begin +1310 1/1 update_access_exception = 1'b1; +1311 end +1312 end +1313 riscv::CSR_HEDELEG: begin +1314 1/1 if (CVA6Cfg.RVH) begin +1315 unreachable mask = (1 << riscv::INSTR_ADDR_MISALIGNED) | +1316 (1 << riscv::INSTR_ACCESS_FAULT) | +1317 (1 << riscv::ILLEGAL_INSTR) | +1318 (1 << riscv::BREAKPOINT) | +1319 (1 << riscv::LD_ADDR_MISALIGNED) | +1320 (1 << riscv::LD_ACCESS_FAULT) | +1321 (1 << riscv::ST_ADDR_MISALIGNED) | +1322 (1 << riscv::ST_ACCESS_FAULT) | +1323 (1 << riscv::ENV_CALL_UMODE) | +1324 (1 << riscv::INSTR_PAGE_FAULT) | +1325 (1 << riscv::LOAD_PAGE_FAULT) | +1326 (1 << riscv::STORE_PAGE_FAULT); +1327 unreachable hedeleg_d = (hedeleg_q & ~mask) | (csr_wdata & mask); +1328 end else begin +1329 1/1 update_access_exception = 1'b1; +1330 end +1331 end +1332 riscv::CSR_HIDELEG: begin +1333 1/1 if (CVA6Cfg.RVH) begin +1334 unreachable hideleg_d = (hideleg_q & ~VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]) | (csr_wdata & VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]); +1335 end else begin +1336 1/1 update_access_exception = 1'b1; +1337 end +1338 end +1339 riscv::CSR_HIE: begin +1340 1/1 if (CVA6Cfg.RVH) begin +1341 unreachable mask = HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +1342 unreachable mie_d = (mie_q & ~mask) | (csr_wdata & mask); +1343 end else begin +1344 1/1 update_access_exception = 1'b1; +1345 end +1346 end +1347 riscv::CSR_HIP: begin +1348 1/1 if (CVA6Cfg.RVH) begin +1349 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_VSSIP); +1350 unreachable mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1351 end else begin +1352 1/1 update_access_exception = 1'b1; +1353 end +1354 end +1355 riscv::CSR_HVIP: begin +1356 1/1 if (CVA6Cfg.RVH) begin +1357 unreachable mask = VS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +1358 unreachable mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1359 end else begin +1360 1/1 update_access_exception = 1'b1; +1361 end +1362 end +1363 riscv::CSR_HCOUNTEREN: begin +1364 1/1 if (CVA6Cfg.RVH) begin +1365 unreachable hcounteren_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1366 end else begin +1367 1/1 update_access_exception = 1'b1; +1368 end +1369 end +1370 riscv::CSR_HTVAL: begin +1371 1/1 if (CVA6Cfg.RVH) begin +1372 unreachable htval_d = csr_wdata; +1373 end else begin +1374 1/1 update_access_exception = 1'b1; +1375 end +1376 end +1377 riscv::CSR_HTINST: begin +1378 1/1 if (CVA6Cfg.RVH) begin +1379 unreachable htinst_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1380 end else begin +1381 1/1 update_access_exception = 1'b1; +1382 end +1383 end +1384 //TODO Hyp: implement hgeie write +1385 riscv::CSR_HGEIE: begin +1386 1/1 if (!CVA6Cfg.RVH) begin +1387 1/1 update_access_exception = 1'b1; +1388 end + ==> MISSING_ELSE +1389 end +1390 riscv::CSR_HGATP: begin +1391 1/1 if (CVA6Cfg.RVH) begin +1392 // intercept HGATP writes if in HS-Mode and TVM is enabled +1393 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && !v_q && mstatus_q.tvm) +1394 unreachable update_access_exception = 1'b1; +1395 else begin +1396 unreachable hgatp = hgatp_t'(csr_wdata); +1397 //hardwire PPN[1:0] to zero +1398 unreachable hgatp[1:0] = 2'b0; +1399 // only make VMID_LEN - 1 bit stick, that way software can figure out how many VMID bits are supported +1400 unreachable hgatp.vmid = hgatp.vmid & {{(CVA6Cfg.VMIDW - CVA6Cfg.VMID_WIDTH) {1'b0}}, {CVA6Cfg.VMID_WIDTH{1'b1}}}; +1401 // only update if we actually support this mode +1402 unreachable if (config_pkg::vm_mode_t'(hgatp.mode) == config_pkg::ModeOff || +1403 config_pkg::vm_mode_t'(hgatp.mode) == CVA6Cfg.MODE_SV) +1404 unreachable hgatp_d = hgatp; + ==> MISSING_ELSE +1405 end +1406 // changing the mode can have side-effects on address translation (e.g.: other instructions), re-fetch +1407 // the next instruction by executing a flush +1408 unreachable flush_o = 1'b1; +1409 end else begin +1410 1/1 update_access_exception = 1'b1; +1411 end +1412 end +1413 riscv::CSR_HENVCFG: +1414 1/1(1 unreachable) if (CVA6Cfg.RVH) fiom_d = csr_wdata[0]; +1415 1/1 else update_access_exception = 1'b1; +1416 riscv::CSR_MSTATUS: begin +1417 1/1 mstatus_d = {{64 - CVA6Cfg.XLEN{1'b0}}, csr_wdata}; +1418 1/1 mstatus_d.xs = riscv::Off; +1419 1/1 if (!CVA6Cfg.FpPresent) begin +1420 1/1 mstatus_d.fs = riscv::Off; +1421 end + ==> MISSING_ELSE +1422 1/1 if (!CVA6Cfg.RVV) begin +1423 1/1 mstatus_d.vs = riscv::Off; +1424 end + ==> MISSING_ELSE +1425 1/1 if (!CVA6Cfg.RVS) begin +1426 1/1 mstatus_d.sie = riscv::Off; +1427 1/1 mstatus_d.spie = riscv::Off; +1428 1/1 mstatus_d.spp = riscv::Off; +1429 1/1 mstatus_d.sum = riscv::Off; +1430 1/1 mstatus_d.mxr = riscv::Off; +1431 1/1 mstatus_d.tvm = riscv::Off; +1432 1/1 mstatus_d.tsr = riscv::Off; +1433 end + ==> MISSING_ELSE +1434 1/1 if (!CVA6Cfg.RVU) begin +1435 1/1 mstatus_d.tw = riscv::Off; +1436 1/1 mstatus_d.mprv = riscv::Off; +1437 end + ==> MISSING_ELSE +1438 1/1 if ((!CVA6Cfg.RVH & mstatus_d.mpp == riscv::PRIV_LVL_HS) | +1439 (!CVA6Cfg.RVS & mstatus_d.mpp == riscv::PRIV_LVL_S) | +1440 (!CVA6Cfg.RVU & mstatus_d.mpp == riscv::PRIV_LVL_U)) begin +1441 1/1 mstatus_d.mpp = mstatus_q.mpp; +1442 end + MISSING_ELSE +1443 1/1 mstatus_d.wpri3 = 9'b0; +1444 1/1 mstatus_d.wpri1 = 1'b0; +1445 1/1 mstatus_d.wpri2 = 1'b0; +1446 1/1 mstatus_d.wpri0 = 1'b0; +1447 1/1 mstatus_d.ube = 1'b0; // CVA6 is little-endian +1448 // this register has side-effects on other registers, flush the pipeline +1449 1/1 flush_o = 1'b1; +1450 end +1451 1/1(1 unreachable) riscv::CSR_MSTATUSH: if (CVA6Cfg.XLEN != 32) update_access_exception = 1'b1; + MISSING_ELSE +1452 // MISA is WARL (Write Any Value, Reads Legal Value) +1453 1/1 riscv::CSR_MISA: ; +1454 // machine exception delegation register +1455 // 0 - 15 exceptions supported +1456 riscv::CSR_MEDELEG: begin +1457 1/1 if (CVA6Cfg.RVS) begin +1458 unreachable mask = (1 << riscv::INSTR_ADDR_MISALIGNED) | +1459 (1 << riscv::INSTR_ACCESS_FAULT) | +1460 (1 << riscv::ILLEGAL_INSTR) | +1461 (1 << riscv::BREAKPOINT) | +1462 (1 << riscv::LD_ADDR_MISALIGNED) | +1463 (1 << riscv::LD_ACCESS_FAULT) | +1464 (1 << riscv::ST_ADDR_MISALIGNED) | +1465 (1 << riscv::ST_ACCESS_FAULT) | +1466 (1 << riscv::ENV_CALL_UMODE) | +1467 ((CVA6Cfg.RVH ? 1 : 0) << riscv::ENV_CALL_VSMODE) | +1468 (1 << riscv::INSTR_PAGE_FAULT) | +1469 (1 << riscv::LOAD_PAGE_FAULT) | +1470 (1 << riscv::STORE_PAGE_FAULT) | +1471 ((CVA6Cfg.RVH ? 1 : 0) << riscv::INSTR_GUEST_PAGE_FAULT) | +1472 ((CVA6Cfg.RVH ? 1 : 0) << riscv::LOAD_GUEST_PAGE_FAULT) | +1473 ((CVA6Cfg.RVH ? 1 : 0) << riscv::VIRTUAL_INSTRUCTION) | +1474 ((CVA6Cfg.RVH ? 1 : 0) << riscv::STORE_GUEST_PAGE_FAULT); +1475 unreachable medeleg_d = (medeleg_q & ~mask) | (csr_wdata & mask); +1476 end else begin +1477 1/1 update_access_exception = 1'b1; +1478 end +1479 end +1480 // machine interrupt delegation register +1481 // we do not support user interrupt delegation +1482 riscv::CSR_MIDELEG: begin +1483 1/1 if (CVA6Cfg.RVS) begin +1484 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1485 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1486 | CVA6Cfg.XLEN'(riscv::MIP_SEIP); +1487 unreachable if (CVA6Cfg.RVH) begin +1488 unreachable mideleg_d = (mideleg_q & ~mask) | (csr_wdata & mask) | HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0]; +1489 end else begin +1490 unreachable mideleg_d = (mideleg_q & ~mask) | (csr_wdata & mask); +1491 end +1492 end else begin +1493 1/1 update_access_exception = 1'b1; +1494 end +1495 end +1496 // mask the register so that unsupported interrupts can never be set +1497 riscv::CSR_MIE: begin +1498 1/1 if (CVA6Cfg.RVH) begin +1499 unreachable mask = HS_DELEG_INTERRUPTS[CVA6Cfg.XLEN-1:0] +1500 | CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1501 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1502 | CVA6Cfg.XLEN'(riscv::MIP_SEIP) +1503 | CVA6Cfg.XLEN'(riscv::MIP_MSIP) +1504 | CVA6Cfg.XLEN'(riscv::MIP_MTIP) +1505 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); +1506 end else begin +1507 1/1 if (CVA6Cfg.RVS) begin +1508 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1509 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1510 | CVA6Cfg.XLEN'(riscv::MIP_SEIP) +1511 | CVA6Cfg.XLEN'(riscv::MIP_MSIP) +1512 | CVA6Cfg.XLEN'(riscv::MIP_MTIP) +1513 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); +1514 end else begin +1515 1/1 if (CVA6Cfg.SoftwareInterruptEn) begin +1516 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_MSIP) // same shift as MSIE +1517 | CVA6Cfg.XLEN'(riscv::MIP_MTIP) // same shift as MTIE +1518 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); // same shift as MEIE +1519 end else begin +1520 1/1 mask = CVA6Cfg.XLEN'(riscv::MIP_MTIP) // same shift as MTIE +1521 | CVA6Cfg.XLEN'(riscv::MIP_MEIP); // same shift as MEIE +1522 end +1523 end +1524 end +1525 1/1 mie_d = (mie_q & ~mask) | (csr_wdata & mask); // we only support supervisor and M-mode interrupts +1526 end +1527 +1528 riscv::CSR_MTVEC: begin +1529 2/2 if (!Vectored) mtvec_d = {csr_wdata[CVA6Cfg.XLEN-1:2], 1'b0, Vectored}; +1530 // we are in vector mode, this implementation requires the additional +1531 // alignment constraint of 64 * 4 bytes +1532 else +1533 unreachable mtvec_d = {csr_wdata[CVA6Cfg.XLEN-1:8], 7'b0, Vectored}; +1534 end +1535 riscv::CSR_MCOUNTEREN: begin +1536 1/1(1 unreachable) if (CVA6Cfg.RVU) mcounteren_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1537 1/1 else update_access_exception = 1'b1; +1538 end +1539 +1540 1/1 riscv::CSR_MSCRATCH: mscratch_d = csr_wdata; +1541 1/1 riscv::CSR_MEPC: mepc_d = {csr_wdata[CVA6Cfg.XLEN-1:1], 1'b0}; +1542 1/1 riscv::CSR_MCAUSE: mcause_d = csr_wdata; +1543 riscv::CSR_MTVAL: begin +1544 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_d = csr_wdata; + MISSING_ELSE +1545 end +1546 riscv::CSR_MTINST: +1547 1/1(1 unreachable) if (CVA6Cfg.RVH) mtinst_d = {{CVA6Cfg.XLEN - 32{1'b0}}, csr_wdata[31:0]}; +1548 1/1 else update_access_exception = 1'b1; +1549 riscv::CSR_MTVAL2: +1550 1/1(1 unreachable) if (CVA6Cfg.RVH) mtval2_d = csr_wdata; +1551 1/1 else update_access_exception = 1'b1; +1552 riscv::CSR_MIP: begin +1553 1/1 if (CVA6Cfg.RVH) begin +1554 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1555 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1556 | CVA6Cfg.XLEN'(riscv::MIP_SEIP) +1557 | CVA6Cfg.XLEN'(riscv::MIP_VSSIP); +1558 1/1 end else if (CVA6Cfg.RVS) begin +1559 unreachable mask = CVA6Cfg.XLEN'(riscv::MIP_SSIP) +1560 | CVA6Cfg.XLEN'(riscv::MIP_STIP) +1561 | CVA6Cfg.XLEN'(riscv::MIP_SEIP); +1562 end else begin +1563 1/1 mask = '0; +1564 end +1565 1/1 mip_d = (mip_q & ~mask) | (csr_wdata & mask); +1566 end +1567 1/1(1 unreachable) riscv::CSR_MENVCFG: if (CVA6Cfg.RVU) fiom_d = csr_wdata[0]; + MISSING_ELSE +1568 riscv::CSR_MENVCFGH: begin +1569 2/2 if (!CVA6Cfg.RVU || CVA6Cfg.XLEN != 32) update_access_exception = 1'b1; + ==> MISSING_ELSE +1570 end +1571 riscv::CSR_MCOUNTINHIBIT: +1572 1/1 if (CVA6Cfg.PerfCounterEn) +1573 unreachable mcountinhibit_d = {csr_wdata[MHPMCounterNum+2:2], 1'b0, csr_wdata[0]}; +1574 1/1 else mcountinhibit_d = '0; +1575 // performance counters +1576 1/1 riscv::CSR_MCYCLE: cycle_d[CVA6Cfg.XLEN-1:0] = csr_wdata; +1577 riscv::CSR_MCYCLEH: +1578 2/2 if (CVA6Cfg.XLEN == 32) cycle_d[63:32] = csr_wdata; +1579 unreachable else update_access_exception = 1'b1; +1580 1/1 riscv::CSR_MINSTRET: instret_d[CVA6Cfg.XLEN-1:0] = csr_wdata; +1581 riscv::CSR_MINSTRETH: +1582 2/2 if (CVA6Cfg.XLEN == 32) instret_d[63:32] = csr_wdata; +1583 unreachable else update_access_exception = 1'b1; +1584 //Event Selector +1585 riscv::CSR_MHPM_EVENT_3, +1586 riscv::CSR_MHPM_EVENT_4, +1587 riscv::CSR_MHPM_EVENT_5, +1588 riscv::CSR_MHPM_EVENT_6, +1589 riscv::CSR_MHPM_EVENT_7, +1590 riscv::CSR_MHPM_EVENT_8, +1591 riscv::CSR_MHPM_EVENT_9, +1592 riscv::CSR_MHPM_EVENT_10, +1593 riscv::CSR_MHPM_EVENT_11, +1594 riscv::CSR_MHPM_EVENT_12, +1595 riscv::CSR_MHPM_EVENT_13, +1596 riscv::CSR_MHPM_EVENT_14, +1597 riscv::CSR_MHPM_EVENT_15, +1598 riscv::CSR_MHPM_EVENT_16, +1599 riscv::CSR_MHPM_EVENT_17, +1600 riscv::CSR_MHPM_EVENT_18, +1601 riscv::CSR_MHPM_EVENT_19, +1602 riscv::CSR_MHPM_EVENT_20, +1603 riscv::CSR_MHPM_EVENT_21, +1604 riscv::CSR_MHPM_EVENT_22, +1605 riscv::CSR_MHPM_EVENT_23, +1606 riscv::CSR_MHPM_EVENT_24, +1607 riscv::CSR_MHPM_EVENT_25, +1608 riscv::CSR_MHPM_EVENT_26, +1609 riscv::CSR_MHPM_EVENT_27, +1610 riscv::CSR_MHPM_EVENT_28, +1611 riscv::CSR_MHPM_EVENT_29, +1612 riscv::CSR_MHPM_EVENT_30, +1613 riscv::CSR_MHPM_EVENT_31 : begin +1614 1/1 perf_we_o = 1'b1; +1615 1/1 perf_data_o = csr_wdata; +1616 end +1617 +1618 riscv::CSR_MHPM_COUNTER_3, +1619 riscv::CSR_MHPM_COUNTER_4, +1620 riscv::CSR_MHPM_COUNTER_5, +1621 riscv::CSR_MHPM_COUNTER_6, +1622 riscv::CSR_MHPM_COUNTER_7, +1623 riscv::CSR_MHPM_COUNTER_8, +1624 riscv::CSR_MHPM_COUNTER_9, +1625 riscv::CSR_MHPM_COUNTER_10, +1626 riscv::CSR_MHPM_COUNTER_11, +1627 riscv::CSR_MHPM_COUNTER_12, +1628 riscv::CSR_MHPM_COUNTER_13, +1629 riscv::CSR_MHPM_COUNTER_14, +1630 riscv::CSR_MHPM_COUNTER_15, +1631 riscv::CSR_MHPM_COUNTER_16, +1632 riscv::CSR_MHPM_COUNTER_17, +1633 riscv::CSR_MHPM_COUNTER_18, +1634 riscv::CSR_MHPM_COUNTER_19, +1635 riscv::CSR_MHPM_COUNTER_20, +1636 riscv::CSR_MHPM_COUNTER_21, +1637 riscv::CSR_MHPM_COUNTER_22, +1638 riscv::CSR_MHPM_COUNTER_23, +1639 riscv::CSR_MHPM_COUNTER_24, +1640 riscv::CSR_MHPM_COUNTER_25, +1641 riscv::CSR_MHPM_COUNTER_26, +1642 riscv::CSR_MHPM_COUNTER_27, +1643 riscv::CSR_MHPM_COUNTER_28, +1644 riscv::CSR_MHPM_COUNTER_29, +1645 riscv::CSR_MHPM_COUNTER_30, +1646 riscv::CSR_MHPM_COUNTER_31 : begin +1647 1/1 perf_we_o = 1'b1; +1648 1/1 perf_data_o = csr_wdata; +1649 end +1650 +1651 riscv::CSR_MHPM_COUNTER_3H, +1652 riscv::CSR_MHPM_COUNTER_4H, +1653 riscv::CSR_MHPM_COUNTER_5H, +1654 riscv::CSR_MHPM_COUNTER_6H, +1655 riscv::CSR_MHPM_COUNTER_7H, +1656 riscv::CSR_MHPM_COUNTER_8H, +1657 riscv::CSR_MHPM_COUNTER_9H, +1658 riscv::CSR_MHPM_COUNTER_10H, +1659 riscv::CSR_MHPM_COUNTER_11H, +1660 riscv::CSR_MHPM_COUNTER_12H, +1661 riscv::CSR_MHPM_COUNTER_13H, +1662 riscv::CSR_MHPM_COUNTER_14H, +1663 riscv::CSR_MHPM_COUNTER_15H, +1664 riscv::CSR_MHPM_COUNTER_16H, +1665 riscv::CSR_MHPM_COUNTER_17H, +1666 riscv::CSR_MHPM_COUNTER_18H, +1667 riscv::CSR_MHPM_COUNTER_19H, +1668 riscv::CSR_MHPM_COUNTER_20H, +1669 riscv::CSR_MHPM_COUNTER_21H, +1670 riscv::CSR_MHPM_COUNTER_22H, +1671 riscv::CSR_MHPM_COUNTER_23H, +1672 riscv::CSR_MHPM_COUNTER_24H, +1673 riscv::CSR_MHPM_COUNTER_25H, +1674 riscv::CSR_MHPM_COUNTER_26H, +1675 riscv::CSR_MHPM_COUNTER_27H, +1676 riscv::CSR_MHPM_COUNTER_28H, +1677 riscv::CSR_MHPM_COUNTER_29H, +1678 riscv::CSR_MHPM_COUNTER_30H, +1679 riscv::CSR_MHPM_COUNTER_31H : begin +1680 1/1 perf_we_o = 1'b1; +1681 2/2 if (CVA6Cfg.XLEN == 32) perf_data_o = csr_wdata; +1682 unreachable else update_access_exception = 1'b1; +1683 end +1684 +1685 1/1 riscv::CSR_DCACHE: dcache_d = {{CVA6Cfg.XLEN - 1{1'b0}}, csr_wdata[0]}; // enable bit +1686 1/1 riscv::CSR_ICACHE: icache_d = {{CVA6Cfg.XLEN - 1{1'b0}}, csr_wdata[0]}; // enable bit +1687 riscv::CSR_ACC_CONS: begin +1688 1/1 if (CVA6Cfg.EnableAccelerator) begin +1689 unreachable acc_cons_d = {{CVA6Cfg.XLEN - 1{1'b0}}, csr_wdata[0]}; // enable bit +1690 end else begin +1691 1/1 update_access_exception = 1'b1; +1692 end +1693 end +1694 // PMP locked logic +1695 // 1. refuse to update any locked entry +1696 // 2. also refuse to update the entry below a locked TOR entry +1697 // Note that writes to pmpcfg below a locked TOR entry are valid +1698 riscv::CSR_PMPCFG0, +1699 riscv::CSR_PMPCFG1, +1700 riscv::CSR_PMPCFG2, +1701 riscv::CSR_PMPCFG3, +1702 riscv::CSR_PMPCFG4, +1703 riscv::CSR_PMPCFG5, +1704 riscv::CSR_PMPCFG6, +1705 riscv::CSR_PMPCFG7, +1706 riscv::CSR_PMPCFG8, +1707 riscv::CSR_PMPCFG9, +1708 riscv::CSR_PMPCFG10, +1709 riscv::CSR_PMPCFG11, +1710 riscv::CSR_PMPCFG12, +1711 riscv::CSR_PMPCFG13, +1712 riscv::CSR_PMPCFG14, +1713 riscv::CSR_PMPCFG15: begin +1714 // index is calculated using PMPCFG0 as the offset +1715 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPCFG0; +1716 +1717 // if index is not even and XLEN==64, raise exception +1718 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64 && index[0] == 1'b1) update_access_exception = 1'b1; +1719 else begin +1720 1/1 for (int i = 0; i < CVA6Cfg.XLEN / 8; i++) begin +1721 2/2 if (!pmpcfg_q[index*4+i].locked) pmpcfg_d[index*4+i] = csr_wdata[i*8+:8]; + ==> MISSING_ELSE +1722 end +1723 end +1724 end +1725 riscv::CSR_PMPADDR0, +1726 riscv::CSR_PMPADDR1, +1727 riscv::CSR_PMPADDR2, +1728 riscv::CSR_PMPADDR3, +1729 riscv::CSR_PMPADDR4, +1730 riscv::CSR_PMPADDR5, +1731 riscv::CSR_PMPADDR6, +1732 riscv::CSR_PMPADDR7, +1733 riscv::CSR_PMPADDR8, +1734 riscv::CSR_PMPADDR9, +1735 riscv::CSR_PMPADDR10, +1736 riscv::CSR_PMPADDR11, +1737 riscv::CSR_PMPADDR12, +1738 riscv::CSR_PMPADDR13, +1739 riscv::CSR_PMPADDR14, +1740 riscv::CSR_PMPADDR15, +1741 riscv::CSR_PMPADDR16, +1742 riscv::CSR_PMPADDR17, +1743 riscv::CSR_PMPADDR18, +1744 riscv::CSR_PMPADDR19, +1745 riscv::CSR_PMPADDR20, +1746 riscv::CSR_PMPADDR21, +1747 riscv::CSR_PMPADDR22, +1748 riscv::CSR_PMPADDR23, +1749 riscv::CSR_PMPADDR24, +1750 riscv::CSR_PMPADDR25, +1751 riscv::CSR_PMPADDR26, +1752 riscv::CSR_PMPADDR27, +1753 riscv::CSR_PMPADDR28, +1754 riscv::CSR_PMPADDR29, +1755 riscv::CSR_PMPADDR30, +1756 riscv::CSR_PMPADDR31, +1757 riscv::CSR_PMPADDR32, +1758 riscv::CSR_PMPADDR33, +1759 riscv::CSR_PMPADDR34, +1760 riscv::CSR_PMPADDR35, +1761 riscv::CSR_PMPADDR36, +1762 riscv::CSR_PMPADDR37, +1763 riscv::CSR_PMPADDR38, +1764 riscv::CSR_PMPADDR39, +1765 riscv::CSR_PMPADDR40, +1766 riscv::CSR_PMPADDR41, +1767 riscv::CSR_PMPADDR42, +1768 riscv::CSR_PMPADDR43, +1769 riscv::CSR_PMPADDR44, +1770 riscv::CSR_PMPADDR45, +1771 riscv::CSR_PMPADDR46, +1772 riscv::CSR_PMPADDR47, +1773 riscv::CSR_PMPADDR48, +1774 riscv::CSR_PMPADDR49, +1775 riscv::CSR_PMPADDR50, +1776 riscv::CSR_PMPADDR51, +1777 riscv::CSR_PMPADDR52, +1778 riscv::CSR_PMPADDR53, +1779 riscv::CSR_PMPADDR54, +1780 riscv::CSR_PMPADDR55, +1781 riscv::CSR_PMPADDR56, +1782 riscv::CSR_PMPADDR57, +1783 riscv::CSR_PMPADDR58, +1784 riscv::CSR_PMPADDR59, +1785 riscv::CSR_PMPADDR60, +1786 riscv::CSR_PMPADDR61, +1787 riscv::CSR_PMPADDR62, +1788 riscv::CSR_PMPADDR63: begin +1789 // index is calculated using PMPADDR0 as the offset +1790 1/1 automatic logic [11:0] index = csr_addr.address[11:0] - riscv::CSR_PMPADDR0; +1791 // check if the entry or the entry above is locked +1792 1/1 if (CVA6Cfg.NrPMPEntries == 0 || (!pmpcfg_q[index].locked && !(pmpcfg_q[index+1].locked && pmpcfg_q[index+1].addr_mode == riscv::TOR))) begin +1793 1/1 pmpaddr_d[index] = csr_wdata[CVA6Cfg.PLEN-3:0]; +1794 end + ==> MISSING_ELSE +1795 end +1796 1/1 default: update_access_exception = 1'b1; +1797 endcase +1798 end + MISSING_ELSE +1799 1/1 if (CVA6Cfg.IS_XLEN64) begin +1800 unreachable mstatus_d.sxl = riscv::XLEN_64; +1801 unreachable mstatus_d.uxl = riscv::XLEN_64; +1802 end + MISSING_ELSE +1803 1/1 if (!CVA6Cfg.RVU) begin +1804 1/1 mstatus_d.mpp = riscv::PRIV_LVL_M; +1805 end + ==> MISSING_ELSE +1806 +1807 1/1 if (CVA6Cfg.RVH) begin +1808 unreachable hstatus_d.vsxl = riscv::XLEN_64; +1809 unreachable vsstatus_d.uxl = riscv::XLEN_64; +1810 end + MISSING_ELSE +1811 // mark the floating point extension register as dirty +1812 1/1 if (CVA6Cfg.FpPresent && (dirty_fp_state_csr || dirty_fp_state_i)) begin +1813 unreachable mstatus_d.fs = riscv::Dirty; +1814 unreachable if (CVA6Cfg.RVH) begin +1815 unreachable vsstatus_d.fs = v_q & riscv::Dirty; +1816 end + ==> MISSING_ELSE +1817 end + MISSING_ELSE +1818 // mark the vector extension register as dirty +1819 1/1 if (CVA6Cfg.RVV && dirty_v_state_i) begin +1820 unreachable mstatus_d.vs = riscv::Dirty; +1821 end + MISSING_ELSE +1822 // hardwired extension registers +1823 1/1 if (CVA6Cfg.RVS || CVA6Cfg.RVF) begin +1824 unreachable mstatus_d.sd = (mstatus_q.xs == riscv::Dirty) | (mstatus_q.fs == riscv::Dirty); +1825 end else begin +1826 1/1 mstatus_d.sd = riscv::Off; +1827 end +1828 1/1 if (CVA6Cfg.RVH) begin +1829 unreachable vsstatus_d.sd = (vsstatus_q.xs == riscv::Dirty) | (vsstatus_q.fs == riscv::Dirty); +1830 end + MISSING_ELSE +1831 +1832 // reserve PMPCFG bits 5 and 6 (hardwire to 0) +1833 1/1 if (CVA6Cfg.NrPMPEntries != 0) +1834 unreachable for (int i = 0; i < CVA6Cfg.NrPMPEntries; i++) pmpcfg_d[i].reserved = 2'b0; +1835 +1836 // write the floating point status register +1837 if (CVA6Cfg.FpPresent && csr_write_fflags_i) begin + MISSING_ELSE +1837 1/1 if (CVA6Cfg.FpPresent && csr_write_fflags_i) begin +1838 unreachable fcsr_d.fflags = csr_wdata_i[4:0] | fcsr_q.fflags; +1839 end + MISSING_ELSE +1840 +1841 // ---------------------------- +1842 // Accelerator FP imprecise exceptions +1843 // ---------------------------- +1844 +1845 // Update fflags as soon as a FP exception occurs in the accelerator +1846 // The exception is imprecise, and the fcsr.fflags update always happens immediately +1847 1/1 if (CVA6Cfg.EnableAccelerator) begin +1848 unreachable fcsr_d.fflags |= acc_fflags_ex_valid_i ? acc_fflags_ex_i : 5'b0; +1849 end + MISSING_ELSE +1850 +1851 // --------------------- +1852 // External Interrupts +1853 // --------------------- +1854 // Machine Mode External Interrupt Pending +1855 1/1 mip_d[riscv::IRQ_M_EXT] = irq_i[0]; +1856 // Machine software interrupt +1857 1/1 mip_d[riscv::IRQ_M_SOFT] = CVA6Cfg.SoftwareInterruptEn && ipi_i; +1858 // Timer interrupt pending, coming from platform timer +1859 1/1 mip_d[riscv::IRQ_M_TIMER] = time_irq_i; +1860 +1861 // ----------------------- +1862 // Manage Exception Stack +1863 // ----------------------- +1864 // update exception CSRs +1865 // we got an exception update cause, pc and stval register +1866 1/1 trap_to_priv_lvl = riscv::PRIV_LVL_M; +1867 1/1 trap_to_v = 1'b0; +1868 // Exception is taken and we are not in debug mode +1869 // exceptions in debug mode don't update any fields +1870 1/1 if (!debug_mode && ex_cause_is_not_debug_request && ex_i.valid) begin +1871 // do not flush, flush is reserved for CSR writes with side effects +1872 1/1 flush_o = 1'b0; +1873 // figure out where to trap to +1874 // a m-mode trap might be delegated if we are taking it in S mode +1875 // first figure out if this was an exception or an interrupt e.g.: look at bit (XLEN-1) +1876 // the cause register can only be $clog2(CVA6Cfg.XLEN) bits long (as we only support XLEN exceptions) +1877 1/1 if (CVA6Cfg.RVS) begin +1878 unreachable if ((ex_i.cause[CVA6Cfg.XLEN-1] && mideleg_q[ex_i.cause[$clog2( +1879 CVA6Cfg.XLEN +1880 )-1:0]]) || (~ex_i.cause[CVA6Cfg.XLEN-1] && medeleg_q[ex_i.cause[$clog2( +1881 CVA6Cfg.XLEN +1882 )-1:0]])) begin +1883 // traps never transition from a more-privileged mode to a less privileged mode +1884 // so if we are already in M mode, stay there +1885 unreachable trap_to_priv_lvl = (priv_lvl_o == riscv::PRIV_LVL_M) ? riscv::PRIV_LVL_M : riscv::PRIV_LVL_S; +1886 unreachable if (CVA6Cfg.RVH) begin +1887 unreachable if ((ex_i.cause[CVA6Cfg.XLEN-1] && hideleg_q[ex_i.cause[$clog2( +1888 CVA6Cfg.XLEN +1889 )-1:0]]) || (~ex_i.cause[CVA6Cfg.XLEN-1] && hedeleg_q[ex_i.cause[$clog2( +1890 CVA6Cfg.XLEN +1891 )-1:0]])) begin +1892 // trap to VS only if it is the currently active mode +1893 unreachable trap_to_v = v_q; +1894 end + ==> MISSING_ELSE +1895 end + ==> MISSING_ELSE +1896 end + ==> MISSING_ELSE +1897 end + MISSING_ELSE +1898 +1899 // trap to supervisor mode +1900 1/1 if (CVA6Cfg.RVS && trap_to_priv_lvl == riscv::PRIV_LVL_S) begin +1901 unreachable if (CVA6Cfg.RVH && trap_to_v) begin +1902 // update sstatus +1903 unreachable vsstatus_d.sie = 1'b0; +1904 unreachable vsstatus_d.spie = (CVA6Cfg.RVH) ? vsstatus_q.sie : '0; +1905 // this can either be user or supervisor mode +1906 unreachable vsstatus_d.spp = priv_lvl_q[0]; +1907 // set cause +1908 unreachable vscause_d = ex_i.cause[CVA6Cfg.XLEN-1] ? {ex_i.cause[CVA6Cfg.XLEN-1:2], 2'b01} : ex_i.cause; +1909 // set epc +1910 unreachable vsepc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +1911 // set vstval +1912 unreachable vstval_d = (ariane_pkg::ZERO_TVAL +1913 && (ex_i.cause inside { +1914 riscv::ILLEGAL_INSTR, +1915 riscv::BREAKPOINT, +1916 riscv::ENV_CALL_UMODE +1917 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : ex_i.tval; +1918 end else begin +1919 // update sstatus +1920 unreachable mstatus_d.sie = 1'b0; +1921 unreachable mstatus_d.spie = mstatus_q.sie; +1922 // this can either be user or supervisor mode +1923 unreachable mstatus_d.spp = priv_lvl_q[0]; +1924 // set cause +1925 unreachable scause_d = ex_i.cause; +1926 // set epc +1927 unreachable sepc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +1928 // set mtval or stval +1929 unreachable stval_d = (ariane_pkg::ZERO_TVAL +1930 && (ex_i.cause inside { +1931 riscv::ILLEGAL_INSTR, +1932 riscv::BREAKPOINT, +1933 riscv::ENV_CALL_UMODE, +1934 riscv::ENV_CALL_SMODE, +1935 riscv::ENV_CALL_MMODE +1936 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : ex_i.tval; +1937 unreachable if (CVA6Cfg.RVH) begin +1938 unreachable htinst_d = (ariane_pkg::ZERO_TVAL +1939 && (ex_i.cause inside { +1940 riscv::INSTR_ACCESS_FAULT, +1941 riscv::ILLEGAL_INSTR, +1942 riscv::BREAKPOINT, +1943 riscv::ENV_CALL_UMODE, +1944 riscv::ENV_CALL_SMODE, +1945 riscv::ENV_CALL_MMODE, +1946 riscv::INSTR_PAGE_FAULT, +1947 riscv::INSTR_GUEST_PAGE_FAULT, +1948 riscv::VIRTUAL_INSTRUCTION +1949 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : {{CVA6Cfg.XLEN - 32 {1'b0}}, ex_i.tinst}; +1950 unreachable hstatus_d.spvp = v_q ? priv_lvl_q[0] : hstatus_d.spvp; +1951 unreachable htval_d = {{CVA6Cfg.XLEN - CVA6Cfg.GPLEN + 2{1'b0}}, ex_i.tval2[CVA6Cfg.GPLEN-1:2]}; +1952 unreachable hstatus_d.gva = ex_i.gva; +1953 unreachable hstatus_d.spv = v_q; +1954 end + ==> MISSING_ELSE +1955 end +1956 // trap to machine mode +1957 end else begin +1958 // update mstatus +1959 1/1 mstatus_d.mie = 1'b0; +1960 1/1 mstatus_d.mpie = mstatus_q.mie; +1961 // save the previous privilege mode +1962 1/1 mstatus_d.mpp = priv_lvl_q; +1963 1/1 mcause_d = ex_i.cause; +1964 // set epc +1965 1/1 mepc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +1966 // set mtval or stval +1967 1/1 if (CVA6Cfg.TvalEn) begin +1968 unreachable mtval_d = (ariane_pkg::ZERO_TVAL +1969 && (ex_i.cause inside { +1970 riscv::ILLEGAL_INSTR, +1971 riscv::BREAKPOINT, +1972 riscv::ENV_CALL_UMODE, +1973 riscv::ENV_CALL_SMODE, +1974 riscv::ENV_CALL_MMODE +1975 } || ex_i.cause[CVA6Cfg.GPLEN-1])) ? '0 : ex_i.tval; +1976 end else begin +1977 1/1 mtval_d = '0; +1978 end +1979 +1980 1/1 if (CVA6Cfg.RVH) begin +1981 // save previous virtualization mode +1982 unreachable mstatus_d.mpv = v_q; +1983 unreachable mtinst_d = (ariane_pkg::ZERO_TVAL +1984 && (ex_i.cause inside { +1985 riscv::INSTR_ADDR_MISALIGNED, +1986 riscv::INSTR_ACCESS_FAULT, +1987 riscv::ILLEGAL_INSTR, +1988 riscv::BREAKPOINT, +1989 riscv::ENV_CALL_UMODE, +1990 riscv::ENV_CALL_SMODE, +1991 riscv::ENV_CALL_MMODE, +1992 riscv::INSTR_PAGE_FAULT, +1993 riscv::INSTR_GUEST_PAGE_FAULT, +1994 riscv::VIRTUAL_INSTRUCTION +1995 } || ex_i.cause[CVA6Cfg.XLEN-1])) ? '0 : {{CVA6Cfg.XLEN - 32 {1'b0}}, ex_i.tinst}; +1996 unreachable mtval2_d = {{CVA6Cfg.XLEN - CVA6Cfg.GPLEN + 2{1'b0}}, ex_i.tval2[CVA6Cfg.GPLEN-1:2]}; +1997 unreachable mstatus_d.gva = ex_i.gva; +1998 end + MISSING_ELSE +1999 end +2000 +2001 1/1 priv_lvl_d = trap_to_priv_lvl; +2002 1/1 if (CVA6Cfg.RVH) begin +2003 unreachable v_d = trap_to_v; +2004 end + MISSING_ELSE +2005 end + MISSING_ELSE +2006 +2007 // ------------------------------ +2008 // Debug +2009 // ------------------------------ +2010 // Explains why Debug Mode was entered. +2011 // When there are multiple reasons to enter Debug Mode in a single cycle, hardware should set cause to the cause with the highest priority. +2012 // 1: An ebreak instruction was executed. (priority 3) +2013 // 2: The Trigger Module caused a breakpoint exception. (priority 4) +2014 // 3: The debugger requested entry to Debug Mode. (priority 2) +2015 // 4: The hart single stepped because step was set. (priority 1) +2016 // we are currently not in debug mode and could potentially enter +2017 1/1 if (CVA6Cfg.DebugEn) begin +2018 unreachable if (!debug_mode) begin +2019 unreachable dcsr_d.prv = priv_lvl_o; +2020 // save virtualization mode bit +2021 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2022 // trigger module fired +2023 +2024 // caused by a breakpoint +2025 unreachable if (ex_i.valid && ex_i.cause == riscv::BREAKPOINT) begin +2026 unreachable dcsr_d.prv = priv_lvl_o; +2027 // save virtualization mode bit +2028 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2029 // check that we actually want to enter debug depending on the privilege level we are currently in +2030 unreachable unique case (priv_lvl_o) +2031 riscv::PRIV_LVL_M: begin +2032 unreachable debug_mode_d = dcsr_q.ebreakm; +2033 unreachable set_debug_pc_o = dcsr_q.ebreakm; +2034 end +2035 riscv::PRIV_LVL_S: begin +2036 unreachable if (CVA6Cfg.RVS) begin +2037 unreachable debug_mode_d = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvs : dcsr_q.ebreaks; +2038 unreachable set_debug_pc_o = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvs : dcsr_q.ebreaks; +2039 end + ==> MISSING_ELSE +2040 end +2041 riscv::PRIV_LVL_U: begin +2042 unreachable if (CVA6Cfg.RVU) begin +2043 unreachable debug_mode_d = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvu : dcsr_q.ebreaku; +2044 unreachable set_debug_pc_o = (CVA6Cfg.RVH && v_q) ? dcsr_q.ebreakvu : dcsr_q.ebreaku; +2045 end + ==> MISSING_ELSE +2046 end +2047 unreachable default: ; +2048 endcase +2049 // save PC of next this instruction e.g.: the next one to be executed +2050 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +2051 unreachable dcsr_d.cause = ariane_pkg::CauseBreakpoint; +2052 end + ==> MISSING_ELSE +2053 +2054 // we've got a debug request +2055 unreachable if (ex_i.valid && ex_i.cause == riscv::DEBUG_REQUEST) begin +2056 unreachable dcsr_d.prv = priv_lvl_o; +2057 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2058 // save the PC +2059 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +2060 // enter debug mode +2061 unreachable debug_mode_d = 1'b1; +2062 // jump to the base address +2063 unreachable set_debug_pc_o = 1'b1; +2064 // save the cause as external debug request +2065 unreachable dcsr_d.cause = ariane_pkg::CauseRequest; +2066 end + ==> MISSING_ELSE +2067 +2068 // single step enable and we just retired an instruction +2069 unreachable if (dcsr_q.step && commit_ack_i[0]) begin +2070 unreachable dcsr_d.prv = priv_lvl_o; +2071 unreachable dcsr_d.v = (!CVA6Cfg.RVH) ? 1'b0 : v_q; +2072 // valid CTRL flow change +2073 unreachable if (commit_instr_i.fu == CTRL_FLOW) begin +2074 // we saved the correct target address during execute +2075 unreachable dpc_d = { +2076 {CVA6Cfg.XLEN - CVA6Cfg.VLEN{commit_instr_i.bp.predict_address[CVA6Cfg.VLEN-1]}}, +2077 commit_instr_i.bp.predict_address +2078 }; +2079 // exception valid +2080 unreachable end else if (ex_i.valid) begin +2081 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, trap_vector_base_o}; +2082 // return from environment +2083 unreachable end else if (eret_o) begin +2084 unreachable dpc_d = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, epc_o}; +2085 // consecutive PC +2086 end else begin +2087 unreachable dpc_d = { +2088 {CVA6Cfg.XLEN - CVA6Cfg.VLEN{commit_instr_i.pc[CVA6Cfg.VLEN-1]}}, +2089 commit_instr_i.pc + (commit_instr_i.is_compressed ? 'h2 : 'h4) +2090 }; +2091 end +2092 unreachable debug_mode_d = 1'b1; +2093 unreachable set_debug_pc_o = 1'b1; +2094 unreachable dcsr_d.cause = ariane_pkg::CauseSingleStep; +2095 end + ==> MISSING_ELSE +2096 end + ==> MISSING_ELSE +2097 // go in halt-state again when we encounter an exception +2098 unreachable if (debug_mode && ex_i.valid && ex_i.cause == riscv::BREAKPOINT) begin +2099 unreachable set_debug_pc_o = 1'b1; +2100 end + ==> MISSING_ELSE +2101 end + MISSING_ELSE +2102 +2103 // ------------------------------ +2104 // MPRV - Modify Privilege Level +2105 // ------------------------------ +2106 // Set the address translation at which the load and stores should occur +2107 // we can use the previous values since changing the address translation will always involve a pipeline flush +2108 1/1 if (CVA6Cfg.RVH) begin +2109 unreachable if (mprv && (mstatus_q.mpv == 1'b0) && (config_pkg::vm_mode_t'(satp_q.mode) == CVA6Cfg.MODE_SV) && (mstatus_q.mpp != riscv::PRIV_LVL_M)) begin +2110 unreachable en_ld_st_translation_d = 1'b1; +2111 unreachable end else if (mprv && (mstatus_q.mpv == 1'b1)) begin +2112 unreachable if (satp_mode_is_sv) begin +2113 unreachable en_ld_st_translation_d = 1'b1; +2114 end else begin +2115 unreachable en_ld_st_translation_d = 1'b0; +2116 end +2117 end else begin // otherwise we go with the regular settings +2118 unreachable en_ld_st_translation_d = en_translation_o; +2119 end +2120 +2121 unreachable if (mprv && (mstatus_q.mpv == 1'b1)) begin +2122 unreachable if (config_pkg::vm_mode_t'(hgatp_q.mode) == CVA6Cfg.MODE_SV) begin +2123 unreachable en_ld_st_g_translation_d = 1'b1; +2124 end else begin +2125 unreachable en_ld_st_g_translation_d = 1'b0; +2126 end +2127 end else begin +2128 unreachable en_ld_st_g_translation_d = en_g_translation_o; +2129 end +2130 +2131 unreachable if (csr_hs_ld_st_inst_i) ld_st_priv_lvl_o = riscv::priv_lvl_t'(hstatus_q.spvp); +2132 unreachable else ld_st_priv_lvl_o = (mprv) ? mstatus_q.mpp : priv_lvl_o; +2133 +2134 unreachable ld_st_v_o = ((mprv ? mstatus_q.mpv : v_q) || (csr_hs_ld_st_inst_i)); +2135 +2136 unreachable en_ld_st_translation_o = (en_ld_st_translation_q && !csr_hs_ld_st_inst_i) || (config_pkg::vm_mode_t'(vsatp_q.mode) == CVA6Cfg.MODE_SV && csr_hs_ld_st_inst_i); +2137 +2138 unreachable en_ld_st_g_translation_o = (en_ld_st_g_translation_q && !csr_hs_ld_st_inst_i) || (csr_hs_ld_st_inst_i && config_pkg::vm_mode_t'(hgatp_q.mode) == CVA6Cfg.MODE_SV && csr_hs_ld_st_inst_i); +2139 end else begin +2140 1/1 if (CVA6Cfg.MmuPresent && mprv && satp_mode_is_sv && (mstatus_q.mpp != riscv::PRIV_LVL_M)) +2141 unreachable en_ld_st_translation_d = 1'b1; +2142 else // otherwise we go with the regular settings +2143 1/1 en_ld_st_translation_d = en_translation_o; +2144 +2145 1/1 if (CVA6Cfg.RVU) begin +2146 unreachable ld_st_priv_lvl_o = (mprv) ? mstatus_q.mpp : priv_lvl_o; +2147 end else begin +2148 1/1 ld_st_priv_lvl_o = priv_lvl_o; +2149 end +2150 1/1 en_ld_st_translation_o = en_ld_st_translation_q; +2151 1/1 ld_st_v_o = 1'b0; +2152 1/1 en_ld_st_g_translation_o = 1'b0; +2153 end +2154 // ------------------------------ +2155 // Return from Environment +2156 // ------------------------------ +2157 // When executing an xRET instruction, supposing xPP holds the value y, xIE is set to xPIE; the privilege +2158 // mode is changed to y; xPIE is set to 1; and xPP is set to U +2159 1/1 if (mret) begin +2160 // return from exception, IF doesn't care from where we are returning +2161 1/1 eret_o = 1'b1; +2162 // return to the previous privilege level and restore all enable flags +2163 // get the previous machine interrupt enable flag +2164 1/1 mstatus_d.mie = mstatus_q.mpie; +2165 // restore the previous privilege level +2166 1/1 priv_lvl_d = mstatus_q.mpp; +2167 1/1 mstatus_d.mpp = riscv::PRIV_LVL_M; +2168 1/1 if (CVA6Cfg.RVU) begin +2169 // set mpp to user mode +2170 unreachable mstatus_d.mpp = riscv::PRIV_LVL_U; +2171 end + MISSING_ELSE +2172 // set mpie to 1 +2173 1/1 mstatus_d.mpie = 1'b1; +2174 1/1 if (CVA6Cfg.RVH) begin +2175 // set virtualization mode +2176 unreachable v_d = mstatus_q.mpv; +2177 //set mstatus mpv to false +2178 unreachable mstatus_d.mpv = 1'b0; +2179 unreachable if (mstatus_q.mpp != riscv::PRIV_LVL_M) mstatus_d.mprv = 1'b0; + ==> MISSING_ELSE +2180 end + MISSING_ELSE +2181 end + MISSING_ELSE +2182 +2183 1/1 if (CVA6Cfg.RVS && sret && virtualization_off) begin +2184 // return from exception, IF doesn't care from where we are returning +2185 unreachable eret_o = 1'b1; +2186 // return the previous supervisor interrupt enable flag +2187 unreachable mstatus_d.sie = mstatus_q.spie; +2188 // restore the previous privilege level +2189 unreachable priv_lvl_d = riscv::priv_lvl_t'({1'b0, mstatus_q.spp}); +2190 // set spp to user mode +2191 unreachable mstatus_d.spp = 1'b0; +2192 // set spie to 1 +2193 unreachable mstatus_d.spie = 1'b1; +2194 unreachable if (CVA6Cfg.RVH) begin +2195 // set virtualization mode +2196 unreachable v_d = hstatus_q.spv; +2197 //set hstatus spv to false +2198 unreachable hstatus_d.spv = 1'b0; +2199 unreachable mstatus_d.mprv = 1'b0; +2200 end + ==> MISSING_ELSE +2201 end + MISSING_ELSE +2202 +2203 1/1 if (CVA6Cfg.RVH) begin +2204 unreachable if (sret && v_q) begin +2205 // return from exception, IF doesn't care from where we are returning +2206 unreachable eret_o = 1'b1; +2207 // return the previous supervisor interrupt enable flag +2208 unreachable vsstatus_d.sie = vsstatus_q.spie; +2209 // restore the previous privilege level +2210 unreachable priv_lvl_d = riscv::priv_lvl_t'({1'b0, vsstatus_q.spp}); +2211 // set spp to user mode +2212 unreachable vsstatus_d.spp = 1'b0; +2213 // set spie to 1 +2214 unreachable vsstatus_d.spie = 1'b1; +2215 end + ==> MISSING_ELSE +2216 end + MISSING_ELSE +2217 +2218 // return from debug mode +2219 1/1 if (CVA6Cfg.DebugEn) begin +2220 unreachable if (dret) begin +2221 // return from exception, IF doesn't care from where we are returning +2222 unreachable eret_o = 1'b1; +2223 // restore the previous privilege level +2224 unreachable priv_lvl_d = riscv::priv_lvl_t'(dcsr_q.prv); +2225 unreachable if (CVA6Cfg.RVH) begin +2226 // restore the previous virtualization mode +2227 unreachable v_d = dcsr_q.v; +2228 end + ==> MISSING_ELSE +2229 // actually return from debug mode +2230 unreachable debug_mode_d = 1'b0; +2231 end + ==> MISSING_ELSE +2232 end + MISSING_ELSE +2233 end +2234 +2235 // --------------------------- +2236 // CSR OP Select Logic +2237 // --------------------------- +2238 always_comb begin : csr_op_logic +2239 1/1 csr_wdata = csr_wdata_i; +2240 1/1 csr_we = 1'b1; +2241 1/1 csr_read = 1'b1; +2242 1/1 mret = 1'b0; +2243 1/1 sret = 1'b0; +2244 1/1 dret = 1'b0; +2245 +2246 1/1 unique case (csr_op_i) +2247 1/1 CSR_WRITE: csr_wdata = csr_wdata_i; +2248 1/1 CSR_SET: csr_wdata = csr_wdata_i | csr_rdata; +2249 1/1 CSR_CLEAR: csr_wdata = (~csr_wdata_i) & csr_rdata; +2250 1/1 CSR_READ: csr_we = 1'b0; +2251 MRET: begin +2252 // the return should not have any write or read side-effects +2253 1/1 csr_we = 1'b0; +2254 1/1 csr_read = 1'b0; +2255 1/1 mret = 1'b1; // signal a return from machine mode +2256 end +2257 default: begin +2258 1/1 if (CVA6Cfg.RVS && csr_op_i == SRET) begin +2259 // the return should not have any write or read side-effects +2260 unreachable csr_we = 1'b0; +2261 unreachable csr_read = 1'b0; +2262 unreachable sret = 1'b1; // signal a return from supervisor mode +2263 1/1 end else if (CVA6Cfg.DebugEn && csr_op_i == DRET) begin +2264 // the return should not have any write or read side-effects +2265 unreachable csr_we = 1'b0; +2266 unreachable csr_read = 1'b0; +2267 unreachable dret = 1'b1; // signal a return from debug mode +2268 end else begin +2269 1/1 csr_we = 1'b0; +2270 1/1 csr_read = 1'b0; +2271 end +2272 end +2273 endcase +2274 // if we are violating our privilges do not update the architectural state +2275 1/1 if (privilege_violation) begin +2276 1/1 csr_we = 1'b0; +2277 1/1 csr_read = 1'b0; +2278 end + MISSING_ELSE +2279 end +2280 +2281 assign irq_ctrl_o.mie = mie_q; +2282 assign irq_ctrl_o.mip = mip_q; +2283 if (CVA6Cfg.RVH) begin +2284 assign irq_ctrl_o.sie = (v_q) ? vsstatus_q.sie : mstatus_q.sie; +2285 end else begin +2286 assign irq_ctrl_o.sie = mstatus_q.sie; +2287 end +2288 assign irq_ctrl_o.mideleg = (CVA6Cfg.RVS) ? mideleg_q : '0; +2289 assign irq_ctrl_o.hideleg = (CVA6Cfg.RVH) ? hideleg_q : '0; +2290 +2291 // interrupts are enabled during single step or we are not stepping +2292 // No need to check interrupts during single step if we don't support DEBUG mode +2293 if (CVA6Cfg.DebugEn) begin +2294 assign irq_ctrl_o.global_enable = ~(debug_mode) & (~dcsr_q.step | dcsr_q.stepie) +2295 & ((mstatus_q.mie & (priv_lvl_o == riscv::PRIV_LVL_M | !CVA6Cfg.RVU)) +2296 | (CVA6Cfg.RVU & priv_lvl_o != riscv::PRIV_LVL_M)); +2297 end else begin +2298 assign irq_ctrl_o.global_enable = (mstatus_q.mie & (priv_lvl_o == riscv::PRIV_LVL_M | !CVA6Cfg.RVU)) +2299 | (CVA6Cfg.RVU & priv_lvl_o != riscv::PRIV_LVL_M); +2300 end +2301 +2302 always_comb begin : privilege_check +2303 1/1 if (CVA6Cfg.RVH) begin +2304 automatic riscv::priv_lvl_t access_priv; +2305 automatic riscv::priv_lvl_t curr_priv; +2306 automatic logic [SELECT_COUNTER_WIDTH-1:0] sel_cnt_en; +2307 // transforms S mode accesses into HS mode +2308 unreachable access_priv = (priv_lvl_o == riscv::PRIV_LVL_S && !v_q) ? riscv::PRIV_LVL_HS : priv_lvl_o; +2309 unreachable curr_priv = priv_lvl_o; +2310 unreachable sel_cnt_en = {{SELECT_COUNTER_WIDTH - 5{1'b0}}, csr_addr_i[4:0]}; +2311 // ----------------- +2312 // Privilege Check +2313 // ----------------- +2314 unreachable privilege_violation = 1'b0; +2315 unreachable virtual_privilege_violation = 1'b0; +2316 // if we are reading or writing, check for the correct privilege level this has +2317 // precedence over interrupts +2318 unreachable if (csr_op_i inside {CSR_WRITE, CSR_SET, CSR_CLEAR, CSR_READ}) begin +2319 unreachable if (access_priv < csr_addr.csr_decode.priv_lvl) begin +2320 unreachable if (v_q && csr_addr.csr_decode.priv_lvl <= riscv::PRIV_LVL_HS) +2321 unreachable virtual_privilege_violation = 1'b1; +2322 unreachable else privilege_violation = 1'b1; +2323 end + ==> MISSING_ELSE +2324 // check access to debug mode only CSRs +2325 unreachable if (!debug_mode && csr_addr_i[11:4] == 8'h7b) begin +2326 unreachable privilege_violation = 1'b1; +2327 end + ==> MISSING_ELSE +2328 // check counter-enabled counter CSR accesses +2329 // counter address range is C00 to C1F +2330 unreachable if (CVA6Cfg.RVZihpm) begin +2331 unreachable if (csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3 : riscv::CSR_HPM_COUNTER_31]} | +2332 csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3H : riscv::CSR_HPM_COUNTER_31H]}) begin +2333 unreachable if (curr_priv == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2334 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2335 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en]; +2336 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2337 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2338 unreachable if (v_q) begin +2339 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en] & hcounteren_q[sel_cnt_en]; +2340 end else begin +2341 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en]; +2342 end +2343 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2344 unreachable privilege_violation = 1'b0; +2345 end + ==> MISSING_ELSE +2346 end + ==> MISSING_ELSE +2347 end + ==> MISSING_ELSE +2348 unreachable if (CVA6Cfg.RVZicntr) begin +2349 unreachable if (csr_addr_i inside {[riscv::CSR_CYCLE : riscv::CSR_INSTRET]} | +2350 csr_addr_i inside {[riscv::CSR_CYCLEH : riscv::CSR_INSTRETH]}) begin +2351 unreachable if (curr_priv == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2352 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2353 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en]; +2354 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2355 unreachable virtual_privilege_violation = v_q & mcounteren_q[sel_cnt_en] & ~hcounteren_q[sel_cnt_en]; +2356 unreachable if (v_q) begin +2357 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en] & hcounteren_q[sel_cnt_en]; +2358 end else begin +2359 unreachable privilege_violation = ~mcounteren_q[sel_cnt_en] & ~scounteren_q[sel_cnt_en]; +2360 end +2361 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2362 unreachable privilege_violation = 1'b0; +2363 end + ==> MISSING_ELSE +2364 end + ==> MISSING_ELSE +2365 end + ==> MISSING_ELSE +2366 end + ==> MISSING_ELSE +2367 end else begin +2368 // ----------------- +2369 // Privilege Check +2370 // ----------------- +2371 1/1 privilege_violation = 1'b0; +2372 // if we are reading or writing, check for the correct privilege level this has +2373 // precedence over interrupts +2374 1/1 if (csr_op_i inside {CSR_WRITE, CSR_SET, CSR_CLEAR, CSR_READ}) begin +2375 1/1 if (CVA6Cfg.RVU && (riscv::priv_lvl_t'(priv_lvl_o & csr_addr.csr_decode.priv_lvl) != csr_addr.csr_decode.priv_lvl)) begin +2376 unreachable privilege_violation = 1'b1; +2377 end + MISSING_ELSE +2378 // check access to debug mode only CSRs +2379 1/1 if (!debug_mode && csr_addr_i[11:4] == 8'h7b) begin +2380 1/1 privilege_violation = 1'b1; +2381 end + MISSING_ELSE +2382 // check counter-enabled counter CSR accesses +2383 // counter address range is C00 to C1F +2384 1/1 if (CVA6Cfg.RVZihpm) begin +2385 unreachable if (csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3 : riscv::CSR_HPM_COUNTER_31]} | +2386 csr_addr_i inside {[riscv::CSR_HPM_COUNTER_3H : riscv::CSR_HPM_COUNTER_31H]}) begin +2387 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2388 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]]; +2389 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2390 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]] | ~scounteren_q[csr_addr_i[4:0]]; +2391 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2392 unreachable privilege_violation = 1'b0; +2393 end + ==> MISSING_ELSE +2394 end + ==> MISSING_ELSE +2395 end + MISSING_ELSE +2396 1/1 if (CVA6Cfg.RVZicntr) begin +2397 unreachable if (csr_addr_i inside {[riscv::CSR_CYCLE : riscv::CSR_INSTRET]} | +2398 csr_addr_i inside {[riscv::CSR_CYCLEH : riscv::CSR_INSTRETH]}) begin +2399 unreachable if (priv_lvl_o == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +2400 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]]; +2401 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +2402 unreachable privilege_violation = ~mcounteren_q[csr_addr_i[4:0]] | ~scounteren_q[csr_addr_i[4:0]]; +2403 unreachable end else if (priv_lvl_o == riscv::PRIV_LVL_M) begin +2404 unreachable privilege_violation = 1'b0; +2405 end + ==> MISSING_ELSE +2406 end + ==> MISSING_ELSE +2407 end + MISSING_ELSE +2408 end + MISSING_ELSE +2409 end +2410 end +2411 // ---------------------- +2412 // CSR Exception Control +2413 // ---------------------- +2414 always_comb begin : exception_ctrl +2415 1/1 csr_exception_o = { +2416 {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.XLEN{1'b0}}, {CVA6Cfg.GPLEN{1'b0}}, {32{1'b0}}, 1'b0, 1'b0 +2417 }; +2418 // ---------------------------------- +2419 // Illegal Access (decode exception) +2420 // ---------------------------------- +2421 // we got an exception in one of the processes above +2422 // throw an illegal instruction exception +2423 1/1 if (update_access_exception || read_access_exception) begin +2424 1/1 csr_exception_o.cause = riscv::ILLEGAL_INSTR; +2425 // we don't set the tval field as this will be set by the commit stage +2426 // this spares the extra wiring from commit to CSR and back to commit +2427 1/1 csr_exception_o.valid = 1'b1; +2428 end + MISSING_ELSE +2429 +2430 1/1 if (privilege_violation) begin +2431 1/1 csr_exception_o.cause = riscv::ILLEGAL_INSTR; +2432 1/1 csr_exception_o.valid = 1'b1; +2433 end + MISSING_ELSE +2434 +2435 1/1 if (CVA6Cfg.RVH) begin +2436 unreachable if (virtual_update_access_exception || virtual_read_access_exception || virtual_privilege_violation) begin +2437 unreachable csr_exception_o.cause = riscv::VIRTUAL_INSTRUCTION; +2438 unreachable csr_exception_o.valid = 1'b1; +2439 end + ==> MISSING_ELSE +2440 end + MISSING_ELSE +2441 end +2442 +2443 // ------------------- +2444 // Wait for Interrupt +2445 // ------------------- +2446 always_comb begin : wfi_ctrl +2447 // wait for interrupt register +2448 1/1 wfi_d = wfi_q; +2449 // if there is any (enabled) interrupt pending un-stall the core +2450 // also un-stall if we want to enter debug mode +2451 1/1 if (|(mip_q & mie_q) || (CVA6Cfg.DebugEn && debug_req_i) || irq_i[1]) begin +2452 1/1 wfi_d = 1'b0; +2453 // or alternatively if there is no exception pending and we are not in debug mode wait here +2454 // for the interrupt +2455 1/1 end else if (!debug_mode && csr_op_i == WFI && !ex_i.valid) begin +2456 1/1 wfi_d = 1'b1; +2457 end + MISSING_ELSE +2458 end +2459 +2460 // output assignments dependent on privilege mode +2461 always_comb begin : priv_output +2462 1/1 trap_vector_base_o = {mtvec_q[CVA6Cfg.VLEN-1:2], 2'b0}; +2463 // output user mode stvec +2464 1/1 if (CVA6Cfg.RVS) begin +2465 unreachable if (trap_to_priv_lvl == riscv::PRIV_LVL_S) begin +2466 unreachable trap_vector_base_o = (CVA6Cfg.RVH && trap_to_v) ? {vstvec_q[CVA6Cfg.VLEN-1:2], 2'b0} : {stvec_q[CVA6Cfg.VLEN-1:2], 2'b0}; +2467 end + ==> MISSING_ELSE +2468 end + MISSING_ELSE +2469 +2470 // if we are in debug mode jump to a specific address +2471 1/1 if (debug_mode) begin +2472 unreachable trap_vector_base_o = CVA6Cfg.DmBaseAddress[CVA6Cfg.VLEN-1:0] + CVA6Cfg.ExceptionAddress[CVA6Cfg.VLEN-1:0]; +2473 end + MISSING_ELSE +2474 +2475 // check if we are in vectored mode, if yes then do BASE + 4 * cause we +2476 // are imposing an additional alignment-constraint of 64 * 4 bytes since +2477 // we want to spare the costly addition. Furthermore check to which +2478 // privilege level we are jumping and whether the vectored mode is +2479 // activated for _that_ privilege level. +2480 1/1 if (ex_i.cause[CVA6Cfg.XLEN-1]) begin +2481 1/1 if (((CVA6Cfg.RVS || CVA6Cfg.RVU) && trap_to_priv_lvl == riscv::PRIV_LVL_M && (!CVA6Cfg.DirectVecOnly && mtvec_q[0])) || (!CVA6Cfg.RVS && !CVA6Cfg.RVU && (!CVA6Cfg.DirectVecOnly && mtvec_q[0]))) begin +2482 unreachable trap_vector_base_o[7:2] = ex_i.cause[5:0]; +2483 end + MISSING_ELSE +2484 1/1 if (CVA6Cfg.RVS) begin +2485 unreachable if (trap_to_priv_lvl == riscv::PRIV_LVL_S && !trap_to_v && stvec_q[0]) begin +2486 unreachable trap_vector_base_o[7:2] = ex_i.cause[5:0]; +2487 end + ==> MISSING_ELSE +2488 end + MISSING_ELSE +2489 1/1 if (CVA6Cfg.RVH) begin +2490 unreachable if (trap_to_priv_lvl == riscv::PRIV_LVL_S && trap_to_v && vstvec_q[0]) begin +2491 unreachable trap_vector_base_o[7:2] = {ex_i.cause[5:2], 2'b01}; +2492 end + ==> MISSING_ELSE +2493 end + MISSING_ELSE +2494 end + MISSING_ELSE +2495 +2496 1/1 epc_o = mepc_q[CVA6Cfg.VLEN-1:0]; +2497 // we are returning from supervisor or virtual supervisor mode, so take the sepc register +2498 1/1 if (CVA6Cfg.RVS) begin +2499 unreachable if (sret) begin +2500 unreachable epc_o = (CVA6Cfg.RVH && v_q) ? vsepc_q[CVA6Cfg.VLEN-1:0] : sepc_q[CVA6Cfg.VLEN-1:0]; +2501 end + ==> MISSING_ELSE +2502 end + MISSING_ELSE +2503 // we are returning from debug mode, to take the dpc register +2504 1/1 if (CVA6Cfg.DebugEn) begin +2505 unreachable if (dret) begin +2506 unreachable epc_o = dpc_q[CVA6Cfg.VLEN-1:0]; +2507 end + ==> MISSING_ELSE +2508 end + MISSING_ELSE +2509 end +2510 +2511 // ------------------- +2512 // Output Assignments +2513 // ------------------- +2514 always_comb begin +2515 // When the SEIP bit is read with a CSRRW, CSRRS, or CSRRC instruction, the value +2516 // returned in the rd destination register contains the logical-OR of the software-writable +2517 // bit and the interrupt signal from the interrupt controller. +2518 1/1 csr_rdata_o = csr_rdata; +2519 +2520 1/1 unique case (conv_csr_addr.address) +2521 riscv::CSR_MIP: +2522 1/1 csr_rdata_o = csr_rdata | ({{CVA6Cfg.XLEN - 1{1'b0}}, CVA6Cfg.RVS && irq_i[1]} << riscv::IRQ_S_EXT); +2523 // in supervisor mode we also need to check whether we delegated this bit +2524 riscv::CSR_SIP: begin +2525 1/1 if (CVA6Cfg.RVS) begin +2526 unreachable csr_rdata_o = csr_rdata +2527 | ({{CVA6Cfg.XLEN-1{1'b0}}, (irq_i[1] & mideleg_q[riscv::IRQ_S_EXT])} << riscv::IRQ_S_EXT); +2528 end + MISSING_ELSE +2529 end +2530 1/1 default: ; +2531 endcase +2532 end +2533 +2534 // in debug mode we execute with privilege level M +2535 assign priv_lvl_o = debug_mode ? riscv::PRIV_LVL_M : priv_lvl_q; +2536 assign v_o = CVA6Cfg.RVH ? v_q : 1'b0; +2537 assign virtualization_off = CVA6Cfg.RVH ? !v_q : 1'b0; +2538 // FPU outputs +2539 assign fflags_o = fcsr_q.fflags; +2540 assign frm_o = fcsr_q.frm; +2541 assign fprec_o = fcsr_q.fprec; +2542 //JVT outputs +2543 if (CVA6Cfg.RVZCMT) begin +2544 assign jvt_o.base = jvt_q.base; +2545 assign jvt_o.mode = jvt_q.mode; +2546 end else begin +2547 assign jvt_o.base = '0; +2548 assign jvt_o.mode = '0; +2549 end +2550 // MMU outputs +2551 assign satp_ppn_o = CVA6Cfg.RVS ? satp_q.ppn : '0; +2552 assign vsatp_ppn_o = CVA6Cfg.RVH ? vsatp_q.ppn : '0; +2553 assign hgatp_ppn_o = CVA6Cfg.RVH ? hgatp_q.ppn : '0; +2554 if (CVA6Cfg.RVS) begin +2555 assign asid_o = satp_q.asid[CVA6Cfg.ASID_WIDTH-1:0]; +2556 end else begin +2557 assign asid_o = '0; +2558 end +2559 assign vs_asid_o = CVA6Cfg.RVH ? vsatp_q.asid[CVA6Cfg.ASID_WIDTH-1:0] : '0; +2560 assign vmid_o = CVA6Cfg.RVH ? hgatp_q.vmid[CVA6Cfg.VMID_WIDTH-1:0] : '0; +2561 assign sum_o = mstatus_q.sum; +2562 assign vs_sum_o = CVA6Cfg.RVH ? vsstatus_q.sum : '0; +2563 assign hu_o = CVA6Cfg.RVH ? hstatus_q.hu : '0; +2564 // we support bare memory addressing and SV39 +2565 if (CVA6Cfg.RVH) begin +2566 assign en_translation_o = (((config_pkg::vm_mode_t'(satp_q.mode) == CVA6Cfg.MODE_SV && !v_q) || (config_pkg::vm_mode_t'(vsatp_q.mode) == CVA6Cfg.MODE_SV && v_q)) && +2567 priv_lvl_o != riscv::PRIV_LVL_M) +2568 ? 1'b1 +2569 : 1'b0; +2570 assign en_g_translation_o = (config_pkg::vm_mode_t'(hgatp_q.mode) == CVA6Cfg.MODE_SV && +2571 priv_lvl_o != riscv::PRIV_LVL_M && v_q) +2572 ? 1'b1 +2573 : 1'b0; +2574 end else if (CVA6Cfg.RVU) begin +2575 assign en_translation_o = (satp_mode_is_sv && priv_lvl_o != riscv::PRIV_LVL_M) ? 1'b1 : 1'b0; +2576 assign en_g_translation_o = 1'b0; +2577 end else begin +2578 assign en_translation_o = 1'b0; +2579 assign en_g_translation_o = 1'b0; +2580 end +2581 assign mxr_o = mstatus_q.mxr; +2582 assign vmxr_o = CVA6Cfg.RVH ? vsstatus_q.mxr : '0; +2583 if (CVA6Cfg.RVH) begin +2584 assign tvm_o = (v_q) ? hstatus_q.vtvm : mstatus_q.tvm; +2585 end else begin +2586 assign tvm_o = mstatus_q.tvm; +2587 end +2588 assign tw_o = mstatus_q.tw; +2589 assign vtw_o = CVA6Cfg.RVH ? hstatus_q.vtw : '0; +2590 if (CVA6Cfg.RVH) begin +2591 assign tsr_o = (v_q) ? hstatus_q.vtsr : mstatus_q.tsr; +2592 end else begin +2593 assign tsr_o = mstatus_q.tsr; +2594 end +2595 assign halt_csr_o = wfi_q; +2596 `ifdef PITON_ARIANE +2597 assign icache_en_o = icache_q[0]; +2598 `else +2599 assign icache_en_o = icache_q[0] & ~debug_mode; +2600 `endif +2601 assign dcache_en_o = dcache_q[0]; +2602 assign acc_cons_en_o = CVA6Cfg.EnableAccelerator ? acc_cons_q[0] : 1'b0; +2603 +2604 // determine if mprv needs to be considered if in debug mode +2605 if (CVA6Cfg.DebugEn) begin +2606 assign mprv = (debug_mode && !dcsr_q.mprven) ? 1'b0 : mstatus_q.mprv; +2607 end else begin +2608 assign mprv = mstatus_q.mprv; +2609 end +2610 assign debug_mode_o = debug_mode; +2611 assign single_step_o = CVA6Cfg.DebugEn ? dcsr_q.step : 1'b0; +2612 assign mcountinhibit_o = {{29 - MHPMCounterNum{1'b0}}, mcountinhibit_q}; +2613 +2614 // sequential process +2615 always_ff @(posedge clk_i or negedge rst_ni) begin +2616 1/1 if (~rst_ni) begin +2617 1/1 priv_lvl_q <= riscv::PRIV_LVL_M; +2618 // floating-point registers +2619 1/1 fcsr_q <= '0; +2620 1/1 if (CVA6Cfg.RVZCMT) begin +2621 unreachable jvt_q <= '0; +2622 end + MISSING_ELSE +2623 // debug signals +2624 1/1 if (CVA6Cfg.DebugEn) begin +2625 unreachable debug_mode_q <= 1'b0; +2626 unreachable dcsr_q <= '{xdebugver: 4'h4, prv: riscv::PRIV_LVL_M, default: '0}; +2627 unreachable dpc_q <= '0; +2628 unreachable dscratch0_q <= {CVA6Cfg.XLEN{1'b0}}; +2629 unreachable dscratch1_q <= {CVA6Cfg.XLEN{1'b0}}; +2630 end + MISSING_ELSE +2631 // machine mode registers +2632 1/1 mstatus_q <= 64'b0; +2633 // set to boot address + direct mode + 4 byte offset which is the initial trap +2634 1/1 mtvec_rst_load_q <= 1'b1; +2635 1/1 mtvec_q <= '0; +2636 1/1 mip_q <= {CVA6Cfg.XLEN{1'b0}}; +2637 1/1 mie_q <= {CVA6Cfg.XLEN{1'b0}}; +2638 1/1 mepc_q <= {CVA6Cfg.XLEN{1'b0}}; +2639 1/1 mcause_q <= {CVA6Cfg.XLEN{1'b0}}; +2640 1/1 mcounteren_q <= {CVA6Cfg.XLEN{1'b0}}; +2641 1/1 mscratch_q <= {CVA6Cfg.XLEN{1'b0}}; +2642 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_q <= {CVA6Cfg.XLEN{1'b0}}; + MISSING_ELSE +2643 1/1 fiom_q <= '0; +2644 1/1 dcache_q <= {{CVA6Cfg.XLEN - 1{1'b0}}, 1'b1}; +2645 1/1 icache_q <= {{CVA6Cfg.XLEN - 1{1'b0}}, 1'b1}; +2646 1/1 mcountinhibit_q <= '0; +2647 1/1 acc_cons_q <= {{CVA6Cfg.XLEN - 1{1'b0}}, CVA6Cfg.EnableAccelerator}; +2648 // supervisor mode registers +2649 1/1 if (CVA6Cfg.RVS) begin +2650 unreachable medeleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2651 unreachable mideleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2652 unreachable sepc_q <= {CVA6Cfg.XLEN{1'b0}}; +2653 unreachable scause_q <= {CVA6Cfg.XLEN{1'b0}}; +2654 unreachable stvec_q <= {CVA6Cfg.XLEN{1'b0}}; +2655 unreachable scounteren_q <= {CVA6Cfg.XLEN{1'b0}}; +2656 unreachable sscratch_q <= {CVA6Cfg.XLEN{1'b0}}; +2657 unreachable stval_q <= {CVA6Cfg.XLEN{1'b0}}; +2658 unreachable satp_q <= {CVA6Cfg.XLEN{1'b0}}; +2659 end + MISSING_ELSE +2660 +2661 1/1 if (CVA6Cfg.RVH) begin +2662 unreachable v_q <= '0; +2663 unreachable mtval2_q <= {CVA6Cfg.XLEN{1'b0}}; +2664 unreachable mtinst_q <= {CVA6Cfg.XLEN{1'b0}}; +2665 unreachable hstatus_q <= 64'b0; +2666 unreachable hedeleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2667 unreachable hideleg_q <= {CVA6Cfg.XLEN{1'b0}}; +2668 unreachable hgeie_q <= {CVA6Cfg.XLEN{1'b0}}; +2669 unreachable hgatp_q <= {CVA6Cfg.XLEN{1'b0}}; +2670 unreachable hcounteren_q <= {CVA6Cfg.XLEN{1'b0}}; +2671 unreachable htval_q <= {CVA6Cfg.XLEN{1'b0}}; +2672 unreachable htinst_q <= {CVA6Cfg.XLEN{1'b0}}; +2673 // virtual supervisor mode registers +2674 unreachable vsstatus_q <= 64'b0; +2675 unreachable vsepc_q <= {CVA6Cfg.XLEN{1'b0}}; +2676 unreachable vscause_q <= {CVA6Cfg.XLEN{1'b0}}; +2677 unreachable vstvec_q <= {CVA6Cfg.XLEN{1'b0}}; +2678 unreachable vsscratch_q <= {CVA6Cfg.XLEN{1'b0}}; +2679 unreachable vstval_q <= {CVA6Cfg.XLEN{1'b0}}; +2680 unreachable vsatp_q <= {CVA6Cfg.XLEN{1'b0}}; +2681 unreachable en_ld_st_g_translation_q <= 1'b0; +2682 end + MISSING_ELSE +2683 // timer and counters +2684 1/1 cycle_q <= 64'b0; +2685 1/1 instret_q <= 64'b0; +2686 // aux registers +2687 1/1 en_ld_st_translation_q <= 1'b0; +2688 // wait for interrupt +2689 1/1 wfi_q <= 1'b0; +2690 // pmp +2691 1/1 for (int i = 0; i < 64; i++) begin +2692 1/1 if (CVA6Cfg.NrPMPEntries != 0 && i < CVA6Cfg.NrPMPEntries) begin +2693 unreachable pmpcfg_q[i] <= riscv::pmpcfg_t'(CVA6Cfg.PMPCfgRstVal[i]); +2694 unreachable pmpaddr_q[i] <= CVA6Cfg.PMPAddrRstVal[i][CVA6Cfg.PLEN-3:0]; +2695 end else begin +2696 1/1 pmpcfg_q[i] <= '0; +2697 1/1 pmpaddr_q[i] <= '0; +2698 end +2699 end +2700 end else begin +2701 1/1 priv_lvl_q <= priv_lvl_d; +2702 // floating-point registers +2703 1/1 fcsr_q <= fcsr_d; +2704 1/1 if (CVA6Cfg.RVZCMT) begin +2705 unreachable jvt_q <= jvt_d; +2706 end + MISSING_ELSE +2707 // debug signals +2708 1/1 if (CVA6Cfg.DebugEn) begin +2709 unreachable debug_mode_q <= debug_mode_d; +2710 unreachable dcsr_q <= dcsr_d; +2711 unreachable dpc_q <= dpc_d; +2712 unreachable dscratch0_q <= dscratch0_d; +2713 unreachable dscratch1_q <= dscratch1_d; +2714 end + MISSING_ELSE +2715 // machine mode registers +2716 1/1 mstatus_q <= mstatus_d; +2717 1/1 mtvec_rst_load_q <= 1'b0; +2718 1/1 mtvec_q <= mtvec_d; +2719 1/1 mip_q <= mip_d; +2720 1/1 mie_q <= mie_d; +2721 1/1 mepc_q <= mepc_d; +2722 1/1 mcause_q <= mcause_d; +2723 1/1 mcounteren_q <= mcounteren_d; +2724 1/1 mscratch_q <= mscratch_d; +2725 1/1(1 unreachable) if (CVA6Cfg.TvalEn) mtval_q <= mtval_d; + MISSING_ELSE +2726 1/1 fiom_q <= fiom_d; +2727 1/1 dcache_q <= dcache_d; +2728 1/1 icache_q <= icache_d; +2729 1/1 mcountinhibit_q <= mcountinhibit_d; +2730 1/1 acc_cons_q <= acc_cons_d; +2731 // supervisor mode registers +2732 1/1 if (CVA6Cfg.RVS) begin +2733 unreachable medeleg_q <= medeleg_d; +2734 unreachable mideleg_q <= mideleg_d; +2735 unreachable sepc_q <= sepc_d; +2736 unreachable scause_q <= scause_d; +2737 unreachable stvec_q <= stvec_d; +2738 unreachable scounteren_q <= scounteren_d; +2739 unreachable sscratch_q <= sscratch_d; +2740 unreachable if (CVA6Cfg.TvalEn) stval_q <= stval_d; + ==> MISSING_ELSE +2741 unreachable satp_q <= satp_d; +2742 end + MISSING_ELSE +2743 1/1 if (CVA6Cfg.RVH) begin +2744 unreachable v_q <= v_d; +2745 unreachable mtval2_q <= mtval2_d; +2746 unreachable mtinst_q <= mtinst_d; +2747 // hypervisor mode registers +2748 unreachable hstatus_q <= hstatus_d; +2749 unreachable hedeleg_q <= hedeleg_d; +2750 unreachable hideleg_q <= hideleg_d; +2751 unreachable hgeie_q <= hgeie_d; +2752 unreachable hgatp_q <= hgatp_d; +2753 unreachable hcounteren_q <= hcounteren_d; +2754 unreachable htval_q <= htval_d; +2755 unreachable htinst_q <= htinst_d; +2756 // virtual supervisor mode registers +2757 unreachable vsstatus_q <= vsstatus_d; +2758 unreachable vsepc_q <= vsepc_d; +2759 unreachable vscause_q <= vscause_d; +2760 unreachable vstvec_q <= vstvec_d; +2761 unreachable vsscratch_q <= vsscratch_d; +2762 unreachable vstval_q <= vstval_d; +2763 unreachable vsatp_q <= vsatp_d; +2764 unreachable en_ld_st_g_translation_q <= en_ld_st_g_translation_d; +2765 end + MISSING_ELSE +2766 // timer and counters +2767 1/1 cycle_q <= cycle_d; +2768 1/1 instret_q <= instret_d; +2769 // aux registers +2770 1/1 en_ld_st_translation_q <= en_ld_st_translation_d; +2771 // wait for interrupt +2772 1/1 wfi_q <= wfi_d; +2773 // pmp +2774 1/1 pmpcfg_q <= pmpcfg_next; +2775 1/1 pmpaddr_q <= pmpaddr_next; +2776 end +2777 end +2778 +2779 // write logic pmp +2780 always_comb begin : write +2781 1/1 for (int i = 0; i < 64; i++) begin +2782 1/1 if (CVA6Cfg.NrPMPEntries != 0 && i < CVA6Cfg.NrPMPEntries) begin +2783 unreachable if (!CVA6Cfg.PMPEntryReadOnly[i]) begin +2784 // PMP locked logic is handled in the CSR write process above +2785 unreachable pmpcfg_next[i] = pmpcfg_d[i]; +2786 // We only support >=8-byte granularity, NA4 is not supported +2787 unreachable if ((!CVA6Cfg.PMPNapotEn && pmpcfg_d[i].addr_mode == riscv::NAPOT) ||pmpcfg_d[i].addr_mode == riscv::NA4) begin +2788 unreachable pmpcfg_next[i].addr_mode = pmpcfg_q[i].addr_mode; +2789 end + ==> MISSING_ELSE +2790 // Follow collective WARL spec for RWX fields +2791 unreachable if (pmpcfg_d[i].access_type.r == '0 && pmpcfg_d[i].access_type.w == '1) begin +2792 unreachable pmpcfg_next[i].access_type = pmpcfg_q[i].access_type; +2793 end + ==> MISSING_ELSE +2794 end else begin +2795 unreachable pmpcfg_next[i] = pmpcfg_q[i]; +2796 end +2797 unreachable if (!CVA6Cfg.PMPEntryReadOnly[i]) begin +2798 unreachable pmpaddr_next[i] = pmpaddr_d[i]; +2799 end else begin +2800 unreachable pmpaddr_next[i] = pmpaddr_q[i]; +2801 end +2802 end else begin +2803 1/1 pmpcfg_next[i] = '0; +2804 1/1 pmpaddr_next[i] = '0; + +------------------------------------------------------------------------------- +Cond Coverage for Module : csr_regfile + + Total Covered Percent +Conditions 41 40 97.56 +Logical 41 40 97.56 +Non-Logical 0 0 +Event 0 0 + + LINE 468 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && hstatus_q.vtvm && v_q) + -------------1------------ -------2------ -3- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 468 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 508 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && mstatus_q.tvm) + -------------1------------ ------2------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 508 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 560 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && ((!v_q)) && mstatus_q.tvm) + -------------1------------ ----2--- ------3------ + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 560 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 951 + EXPRESSION (commit_ack_i[0] && ((!(ex_i.valid && 1'b0))) && (((!1'b0) || (1'b0 && (!mcountinhibit_q[2]))))) + -------1------- ------------2------------ -----------------------3---------------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Covered + + LINE 955 + EXPRESSION (commit_ack_i[i] && ((!ex_i.valid)) && (((!1'b0) || (1'b0 && (!mcountinhibit_q[2]))))) + -------1------- -------2------- -----------------------3---------------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 1195 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && hstatus_q.vtvm && v_q) + -------------1------------ -------2------ -3- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 1195 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1202 + EXPRESSION ((vm_mode_t'(vsatp.mode) == ModeOff) || (vm_mode_t'(vsatp.mode) == 4'b1)) + -----------------1----------------- ----------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1202 + SUB-EXPRESSION (vm_mode_t'(vsatp.mode) == ModeOff) + -----------------1----------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1202 + SUB-EXPRESSION (vm_mode_t'(vsatp.mode) == 4'b1) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1228 + EXPRESSION (mstatus_d.mpp == PRIV_LVL_HS) + ---------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1282 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && mstatus_q.tvm) + -------------1------------ ------2------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1282 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1288 + EXPRESSION ((vm_mode_t'(satp.mode) == ModeOff) || (vm_mode_t'(satp.mode) == 4'b1)) + -----------------1---------------- ---------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1288 + SUB-EXPRESSION (vm_mode_t'(satp.mode) == ModeOff) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1288 + SUB-EXPRESSION (vm_mode_t'(satp.mode) == 4'b1) + ---------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1393 + EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && ((!v_q)) && mstatus_q.tvm) + -------------1------------ ----2--- ------3------ + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 1393 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1402 + EXPRESSION ((vm_mode_t'(hgatp.mode) == ModeOff) || (vm_mode_t'(hgatp.mode) == 4'b1)) + -----------------1----------------- ----------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1402 + SUB-EXPRESSION (vm_mode_t'(hgatp.mode) == ModeOff) + -----------------1----------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1402 + SUB-EXPRESSION (vm_mode_t'(hgatp.mode) == 4'b1) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1438 + EXPRESSION ((((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_HS)) | (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_S)) | (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_U))) + ----------------------1--------------------- ---------------------2--------------------- ---------------------3--------------------- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 1438 + SUB-EXPRESSION (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_HS)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 1438 + SUB-EXPRESSION (mstatus_d.mpp == PRIV_LVL_HS) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1438 + SUB-EXPRESSION (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_S)) + ----1---- --------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 1438 + SUB-EXPRESSION (mstatus_d.mpp == PRIV_LVL_S) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1438 + SUB-EXPRESSION (((!1'b0)) & (mstatus_d.mpp == PRIV_LVL_U)) + ----1---- --------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 1438 + SUB-EXPRESSION (mstatus_d.mpp == PRIV_LVL_U) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1824 + EXPRESSION ((mstatus_q.xs == Dirty) | (mstatus_q.fs == Dirty)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1824 + SUB-EXPRESSION (mstatus_q.xs == Dirty) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1824 + SUB-EXPRESSION (mstatus_q.fs == Dirty) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1829 + EXPRESSION ((vsstatus_q.xs == Dirty) | (vsstatus_q.fs == Dirty)) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1829 + SUB-EXPRESSION (vsstatus_q.xs == Dirty) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1829 + SUB-EXPRESSION (vsstatus_q.fs == Dirty) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1848 + EXPRESSION (acc_fflags_ex_valid_i ? acc_fflags_ex_i : 5'b0) + ----------1---------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1870 + EXPRESSION (((!debug_mode)) && ex_cause_is_not_debug_request && ex_i.valid) + -------1------- --------------2-------------- -----3---- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Covered + 1 1 1 Covered + + LINE 1885 + EXPRESSION ((priv_lvl_o == PRIV_LVL_M) ? PRIV_LVL_M : PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1885 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1908 + EXPRESSION (ex_i.cause[(32'b00000000000000000000000000100000 - 1)] ? ({ex_i.cause[32'b00000000000000000000000000011111:2], 2'b1}) : ex_i.cause) + ---------------------------1-------------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1912 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ex_i.tval) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1912 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1912 + SUB-EXPRESSION ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + ------------------------------------------1----------------------------------------- ---------------------------2-------------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1929 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ex_i.tval) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1929 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1929 + SUB-EXPRESSION + Number Term + 1 (ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || + 2 ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1938 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, ex_i.tinst})) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1938 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1938 + SUB-EXPRESSION + Number Term + 1 (ex_i.cause inside {riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || + 2 ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1950 + EXPRESSION (v_q ? priv_lvl_q[0] : hstatus_d.spvp) + -1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1968 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && (((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100010 - 1)]))) ? '0 : ex_i.tval) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1968 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 (((ex_i.cause inside {riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE}) || ex_i.cause[(32'b00000000000000000000000000100010 - 1)]))) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1983 + EXPRESSION + Number Term + 1 (ariane_pkg::ZERO_TVAL && ((ex_i.cause inside {riscv::INSTR_ADDR_MISALIGNED, riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) ? '0 : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, ex_i.tinst})) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1983 + SUB-EXPRESSION + Number Term + 1 ariane_pkg::ZERO_TVAL && + 2 ((ex_i.cause inside {riscv::INSTR_ADDR_MISALIGNED, riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || ex_i.cause[(32'b00000000000000000000000000100000 - 1)])) + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 1983 + SUB-EXPRESSION + Number Term + 1 (ex_i.cause inside {riscv::INSTR_ADDR_MISALIGNED, riscv::INSTR_ACCESS_FAULT, riscv::ILLEGAL_INSTR, riscv::BREAKPOINT, riscv::ENV_CALL_UMODE, riscv::ENV_CALL_SMODE, riscv::ENV_CALL_MMODE, riscv::INSTR_PAGE_FAULT, riscv::INSTR_GUEST_PAGE_FAULT, riscv::VIRTUAL_INSTRUCTION}) || + 2 ex_i.cause[(32'b00000000000000000000000000100000 - 1)]) + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2025 + EXPRESSION (ex_i.valid && (ex_i.cause == riscv::BREAKPOINT)) + -----1---- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2025 + SUB-EXPRESSION (ex_i.cause == riscv::BREAKPOINT) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2055 + EXPRESSION (ex_i.valid && (ex_i.cause == riscv::DEBUG_REQUEST)) + -----1---- ------------------2----------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2055 + SUB-EXPRESSION (ex_i.cause == riscv::DEBUG_REQUEST) + ------------------1----------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2069 + EXPRESSION (dcsr_q.step && commit_ack_i[0]) + -----1----- -------2------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2073 + EXPRESSION (commit_instr_i.fu == CTRL_FLOW) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2098 + EXPRESSION (debug_mode && ex_i.valid && (ex_i.cause == riscv::BREAKPOINT)) + -----1---- -----2---- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2098 + SUB-EXPRESSION (ex_i.cause == riscv::BREAKPOINT) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2109 + EXPRESSION (mprv && (mstatus_q.mpv == 1'b0) && (vm_mode_t'(satp_q.mode) == 4'b1) && (mstatus_q.mpp != PRIV_LVL_M)) + --1- -----------2----------- ----------------3---------------- --------------4-------------- + +-1- -2- -3- -4- Status + 0 1 1 1 Unreachable + 1 0 1 1 Unreachable + 1 1 0 1 Unreachable + 1 1 1 0 Unreachable + 1 1 1 1 Unreachable + + LINE 2109 + SUB-EXPRESSION (mstatus_q.mpv == 1'b0) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2109 + SUB-EXPRESSION (vm_mode_t'(satp_q.mode) == 4'b1) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2109 + SUB-EXPRESSION (mstatus_q.mpp != PRIV_LVL_M) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2111 + EXPRESSION (mprv && (mstatus_q.mpv == 1'b1)) + --1- -----------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2111 + SUB-EXPRESSION (mstatus_q.mpv == 1'b1) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2121 + EXPRESSION (mprv && (mstatus_q.mpv == 1'b1)) + --1- -----------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2121 + SUB-EXPRESSION (mstatus_q.mpv == 1'b1) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2122 + EXPRESSION (vm_mode_t'(hgatp_q.mode) == 4'b1) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2132 + EXPRESSION (mprv ? mstatus_q.mpp : priv_lvl_o) + --1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2134 + EXPRESSION ((mprv ? mstatus_q.mpv : v_q) || csr_hs_ld_st_inst_i) + --------------1------------- ---------2--------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2134 + SUB-EXPRESSION (mprv ? mstatus_q.mpv : v_q) + --1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2136 + EXPRESSION ((en_ld_st_translation_q && ((!csr_hs_ld_st_inst_i))) || ((vm_mode_t'(vsatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i)) + --------------------------1------------------------- -----------------------------2----------------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2136 + SUB-EXPRESSION (en_ld_st_translation_q && ((!csr_hs_ld_st_inst_i))) + -----------1---------- ------------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2136 + SUB-EXPRESSION ((vm_mode_t'(vsatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i) + -----------------1---------------- ---------2--------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2136 + SUB-EXPRESSION (vm_mode_t'(vsatp_q.mode) == 4'b1) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2138 + EXPRESSION ((en_ld_st_g_translation_q && ((!csr_hs_ld_st_inst_i))) || (csr_hs_ld_st_inst_i && (vm_mode_t'(hgatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i)) + ---------------------------1-------------------------- -----------------------------------------2---------------------------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2138 + SUB-EXPRESSION (en_ld_st_g_translation_q && ((!csr_hs_ld_st_inst_i))) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2138 + SUB-EXPRESSION (csr_hs_ld_st_inst_i && (vm_mode_t'(hgatp_q.mode) == 4'b1) && csr_hs_ld_st_inst_i) + ---------1--------- -----------------2---------------- ---------3--------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2138 + SUB-EXPRESSION (vm_mode_t'(hgatp_q.mode) == 4'b1) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2146 + EXPRESSION (mprv ? mstatus_q.mpp : priv_lvl_o) + --1- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2179 + EXPRESSION (mstatus_q.mpp != PRIV_LVL_M) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2204 + EXPRESSION (sret && v_q) + --1- -2- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2308 + EXPRESSION (((priv_lvl_o == PRIV_LVL_S) && ((!v_q))) ? PRIV_LVL_HS : priv_lvl_o) + --------------------1------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2308 + SUB-EXPRESSION ((priv_lvl_o == PRIV_LVL_S) && ((!v_q))) + -------------1------------ ----2--- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2308 + SUB-EXPRESSION (priv_lvl_o == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2320 + EXPRESSION (v_q && (csr_addr.csr_decode.priv_lvl <= PRIV_LVL_HS)) + -1- ----------------------2---------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2325 + EXPRESSION (((!debug_mode)) && (csr_addr_i[11:4] == 8'h7b)) + -------1------- -------------2------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2325 + SUB-EXPRESSION (csr_addr_i[11:4] == 8'h7b) + -------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2331 + EXPRESSION ((csr_addr_i inside {[CSR_HPM_COUNTER_3:CSR_HPM_COUNTER_31]}) | (csr_addr_i inside {[CSR_HPM_COUNTER_3H:CSR_HPM_COUNTER_31H]})) + ------------------------------1----------------------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2334 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2337 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2339 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en])) & hcounteren_q[sel_cnt_en]) + --------------1-------------- --------------2-------------- ------------3----------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2341 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en]))) + --------------1-------------- --------------2-------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2343 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2349 + EXPRESSION ((csr_addr_i inside {[CSR_CYCLE:CSR_INSTRET]}) | (csr_addr_i inside {[CSR_CYCLEH:CSR_INSTRETH]})) + ----------------------1---------------------- -----------------------2----------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2352 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2355 + EXPRESSION (v_q & mcounteren_q[sel_cnt_en] & ((~hcounteren_q[sel_cnt_en]))) + -1- ------------2----------- --------------3-------------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2357 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en])) & hcounteren_q[sel_cnt_en]) + --------------1-------------- --------------2-------------- ------------3----------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2359 + EXPRESSION (((~mcounteren_q[sel_cnt_en])) & ((~scounteren_q[sel_cnt_en]))) + --------------1-------------- --------------2-------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2361 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2379 + EXPRESSION (((!debug_mode)) && (csr_addr_i[11:4] == 8'h7b)) + -------1------- -------------2------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Covered + 1 1 Covered + + LINE 2379 + SUB-EXPRESSION (csr_addr_i[11:4] == 8'h7b) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 2385 + EXPRESSION ((csr_addr_i inside {[CSR_HPM_COUNTER_3:CSR_HPM_COUNTER_31]}) | (csr_addr_i inside {[CSR_HPM_COUNTER_3H:CSR_HPM_COUNTER_31H]})) + ------------------------------1----------------------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2390 + EXPRESSION (((~mcounteren_q[csr_addr_i[4:0]])) | ((~scounteren_q[csr_addr_i[4:0]]))) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2391 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2397 + EXPRESSION ((csr_addr_i inside {[CSR_CYCLE:CSR_INSTRET]}) | (csr_addr_i inside {[CSR_CYCLEH:CSR_INSTRETH]})) + ----------------------1---------------------- -----------------------2----------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2402 + EXPRESSION (((~mcounteren_q[csr_addr_i[4:0]])) | ((~scounteren_q[csr_addr_i[4:0]]))) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2403 + EXPRESSION (priv_lvl_o == PRIV_LVL_M) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2423 + EXPRESSION (update_access_exception || read_access_exception) + -----------1----------- ----------2---------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 2436 + EXPRESSION (virtual_update_access_exception || virtual_read_access_exception || virtual_privilege_violation) + ---------------1--------------- --------------2-------------- -------------3------------- + +-1- -2- -3- Status + 0 0 0 Unreachable + 0 0 1 Unreachable + 0 1 0 Unreachable + 1 0 0 Unreachable + + LINE 2451 + EXPRESSION (((|(mip_q & mie_q))) || ((1'b0 && debug_req_i)) || irq_i[1]) + ----------1--------- -----------2----------- ----3--- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Unreachable + 1 0 0 Covered + + LINE 2455 + EXPRESSION (((!debug_mode)) && (csr_op_i == WFI) && ((!ex_i.valid))) + -------1------- --------2-------- -------3------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Covered + 1 1 0 Not Covered + 1 1 1 Covered + + LINE 2455 + SUB-EXPRESSION (csr_op_i == WFI) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 2465 + EXPRESSION (trap_to_priv_lvl == PRIV_LVL_S) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2485 + EXPRESSION ((trap_to_priv_lvl == PRIV_LVL_S) && ((!trap_to_v)) && stvec_q[0]) + ----------------1--------------- -------2------ -----3---- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2485 + SUB-EXPRESSION (trap_to_priv_lvl == PRIV_LVL_S) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2490 + EXPRESSION ((trap_to_priv_lvl == PRIV_LVL_S) && trap_to_v && vstvec_q[0]) + ----------------1--------------- ----2---- -----3----- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 2490 + SUB-EXPRESSION (trap_to_priv_lvl == PRIV_LVL_S) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2787 + EXPRESSION ((((!1'b0)) && (pmpcfg_d[i].addr_mode == NAPOT)) || (pmpcfg_d[i].addr_mode == NA4)) + -----------------------1----------------------- ---------------2-------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 2787 + SUB-EXPRESSION (((!1'b0)) && (pmpcfg_d[i].addr_mode == NAPOT)) + ----1---- ----------------2--------------- + +-1- -2- Status + - 0 Unreachable + - 1 Unreachable + + LINE 2787 + SUB-EXPRESSION (pmpcfg_d[i].addr_mode == NAPOT) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2787 + SUB-EXPRESSION (pmpcfg_d[i].addr_mode == NA4) + ---------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2791 + EXPRESSION ((pmpcfg_d[i].access_type.r == '0) && (pmpcfg_d[i].access_type.w == '1)) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 2791 + SUB-EXPRESSION (pmpcfg_d[i].access_type.r == '0) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2791 + SUB-EXPRESSION (pmpcfg_d[i].access_type.w == '1) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 2535 + EXPRESSION (debug_mode ? PRIV_LVL_M : priv_lvl_q) + -----1---- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 2599 + EXPRESSION (icache_q[0] & ((~debug_mode))) + -----1----- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 2298 + EXPRESSION ((mstatus_q.mie & (((priv_lvl_o == PRIV_LVL_M) | (!1'b0)))) | ((1'b0 & (priv_lvl_o != PRIV_LVL_M)))) + -----------------------------1---------------------------- ------------------2------------------ + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 2298 + SUB-EXPRESSION (mstatus_q.mie & (((priv_lvl_o == PRIV_LVL_M) | (!1'b0)))) + ------1------ --------------------2------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 2087 + SUB-EXPRESSION (commit_instr_i.is_compressed ? 'h00000002 : 'h00000004) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.csr_regfile_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 98.78 100.00 97.56 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 98.78 100.00 97.56 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 98.78 100.00 97.56 -- csr_regfile + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : compressed_decoder +=============================================================================== +SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- + +Source File(s) : + +cva6/core/compressed_decoder.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.06 98.11 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk1[0].compressed_decoder_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : compressed_decoder + + Line No. Total Covered Percent +TOTAL 106 104 98.11 +ALWAYS 43 106 104 98.11 + +42 always_comb begin +43 1/1 illegal_instr_o = 1'b0; +44 1/1 is_compressed_o = 1'b1; +45 1/1 instr_o = instr_i; +46 1/1 is_macro_instr_o = 0; +47 1/1 is_zcmt_instr_o = 1'b0; +48 +49 // I: | imm[11:0] | rs1 | funct3 | rd | opcode | +50 // S: | imm[11:5] | rs2 | rs1 | funct3 | imm[4:0] | opcode | +51 1/1 unique case (instr_i[1:0]) +52 // C0 +53 riscv::OpcodeC0: begin +54 1/1 unique case (instr_i[15:13]) +55 riscv::OpcodeC0Addi4spn: begin +56 // c.addi4spn -> addi rd', x2, imm +57 1/1 instr_o = { +58 2'b0, +59 instr_i[10:7], +60 instr_i[12:11], +61 instr_i[5], +62 instr_i[6], +63 2'b00, +64 5'h02, +65 3'b000, +66 2'b01, +67 instr_i[4:2], +68 riscv::OpcodeOpImm +69 }; +70 2/2 if (instr_i[12:5] == 8'b0) illegal_instr_o = 1'b1; + MISSING_ELSE +71 end +72 +73 riscv::OpcodeC0Fld: begin +74 1/1 if (CVA6Cfg.FpPresent) begin +75 // c.fld -> fld rd', imm(rs1') +76 // CLD: | funct3 | imm[5:3] | rs1' | imm[7:6] | rd' | C0 | +77 unreachable instr_o = { +78 4'b0, +79 instr_i[6:5], +80 instr_i[12:10], +81 3'b000, +82 2'b01, +83 instr_i[9:7], +84 3'b011, +85 2'b01, +86 instr_i[4:2], +87 riscv::OpcodeLoadFp +88 }; +89 end else begin +90 1/1 illegal_instr_o = 1'b1; +91 end +92 end +93 +94 riscv::OpcodeC0Lw: begin +95 // c.lw -> lw rd', imm(rs1') +96 1/1 instr_o = { +97 5'b0, +98 instr_i[5], +99 instr_i[12:10], +100 instr_i[6], +101 2'b00, +102 2'b01, +103 instr_i[9:7], +104 3'b010, +105 2'b01, +106 instr_i[4:2], +107 riscv::OpcodeLoad +108 }; +109 end +110 +111 riscv::OpcodeC0Ld: begin +112 // RV64 +113 // c.ld -> ld rd', imm(rs1') +114 // RV32 +115 // c.flw -> flw fprd', imm(rs1') +116 1/1 if (CVA6Cfg.IS_XLEN64) begin +117 // CLD: | funct3 | imm[5:3] | rs1' | imm[7:6] | rd' | C0 | +118 unreachable instr_o = { +119 4'b0, +120 instr_i[6:5], +121 instr_i[12:10], +122 3'b000, +123 2'b01, +124 instr_i[9:7], +125 3'b011, +126 2'b01, +127 instr_i[4:2], +128 riscv::OpcodeLoad +129 }; +130 end else begin +131 1/1 if (CVA6Cfg.FpPresent) begin +132 // CFLW: | funct3 (change to LW) | imm[5:3] | rs1' | imm[2|6] | rd' | C0 | +133 unreachable instr_o = { +134 5'b0, +135 instr_i[5], +136 instr_i[12:10], +137 instr_i[6], +138 2'b00, +139 2'b01, +140 instr_i[9:7], +141 3'b010, +142 2'b01, +143 instr_i[4:2], +144 riscv::OpcodeLoadFp +145 }; +146 end else begin +147 1/1 illegal_instr_o = 1'b1; +148 end +149 end +150 end +151 +152 riscv::OpcodeC0Zcb: begin +153 1/1 if (CVA6Cfg.RVZCB) begin +154 1/1 unique case (instr_i[12:10]) +155 3'b000: begin +156 // c.lbu -> lbu rd', uimm(rs1') +157 1/1 instr_o = { +158 10'b0, +159 instr_i[5], +160 instr_i[6], +161 2'b01, +162 instr_i[9:7], +163 3'b100, +164 2'b01, +165 instr_i[4:2], +166 riscv::OpcodeLoad +167 }; +168 end +169 +170 3'b001: begin +171 1/1 if (instr_i[6]) begin +172 // c.lh -> lh rd', uimm(rs1') +173 1/1 instr_o = { +174 10'b0, +175 instr_i[5], +176 1'b0, +177 2'b01, +178 instr_i[9:7], +179 3'b001, +180 2'b01, +181 instr_i[4:2], +182 riscv::OpcodeLoad +183 }; +184 end else begin +185 // c.lhu -> lhu rd', uimm(rs1') +186 1/1 instr_o = { +187 10'b0, +188 instr_i[5], +189 1'b0, +190 2'b01, +191 instr_i[9:7], +192 3'b101, +193 2'b01, +194 instr_i[4:2], +195 riscv::OpcodeLoad +196 }; +197 end +198 end +199 +200 3'b010: begin +201 // c.sb -> sb rs2', uimm(rs1') +202 1/1 instr_o = { +203 7'b0, +204 2'b01, +205 instr_i[4:2], +206 2'b01, +207 instr_i[9:7], +208 3'b000, +209 3'b0, +210 instr_i[5], +211 instr_i[6], +212 riscv::OpcodeStore +213 }; +214 end +215 +216 3'b011: begin +217 // c.sh -> sh rs2', uimm(rs1') +218 1/1 instr_o = { +219 7'b0, +220 2'b01, +221 instr_i[4:2], +222 2'b01, +223 instr_i[9:7], +224 3'b001, +225 3'b0, +226 instr_i[5], +227 1'b0, +228 riscv::OpcodeStore +229 }; +230 end +231 +232 default: begin +233 1/1 illegal_instr_o = 1'b1; +234 end +235 endcase +236 +237 end else begin +238 unreachable instr_o = instr_i; +239 unreachable illegal_instr_o = 1'b1; +240 end +241 end +242 +243 riscv::OpcodeC0Fsd: begin +244 1/1 if (CVA6Cfg.FpPresent) begin +245 // c.fsd -> fsd rs2', imm(rs1') +246 unreachable instr_o = { +247 4'b0, +248 instr_i[6:5], +249 instr_i[12], +250 2'b01, +251 instr_i[4:2], +252 2'b01, +253 instr_i[9:7], +254 3'b011, +255 instr_i[11:10], +256 3'b000, +257 riscv::OpcodeStoreFp +258 }; +259 end else begin +260 1/1 illegal_instr_o = 1'b1; +261 end +262 end +263 +264 riscv::OpcodeC0Sw: begin +265 // c.sw -> sw rs2', imm(rs1') +266 1/1 instr_o = { +267 5'b0, +268 instr_i[5], +269 instr_i[12], +270 2'b01, +271 instr_i[4:2], +272 2'b01, +273 instr_i[9:7], +274 3'b010, +275 instr_i[11:10], +276 instr_i[6], +277 2'b00, +278 riscv::OpcodeStore +279 }; +280 end +281 +282 riscv::OpcodeC0Sd: begin +283 // RV64 +284 // c.sd -> sd rs2', imm(rs1') +285 // RV32 +286 // c.fsw -> fsw fprs2', imm(rs1') +287 1/1 if (CVA6Cfg.IS_XLEN64) begin +288 unreachable instr_o = { +289 4'b0, +290 instr_i[6:5], +291 instr_i[12], +292 2'b01, +293 instr_i[4:2], +294 2'b01, +295 instr_i[9:7], +296 3'b011, +297 instr_i[11:10], +298 3'b000, +299 riscv::OpcodeStore +300 }; +301 end else begin +302 1/1 if (CVA6Cfg.FpPresent) begin +303 unreachable instr_o = { +304 5'b0, +305 instr_i[5], +306 instr_i[12], +307 2'b01, +308 instr_i[4:2], +309 2'b01, +310 instr_i[9:7], +311 3'b010, +312 instr_i[11:10], +313 instr_i[6], +314 2'b00, +315 riscv::OpcodeStoreFp +316 }; +317 end else begin +318 1/1 illegal_instr_o = 1'b1; +319 end +320 end +321 end +322 +323 default: begin +324 0/1 ==> illegal_instr_o = 1'b1; +325 end +326 endcase +327 end +328 +329 // C1 +330 riscv::OpcodeC1: begin +331 1/1 unique case (instr_i[15:13]) +332 riscv::OpcodeC1Addi: begin +333 // c.addi -> addi rd, rd, nzimm +334 // c.nop -> addi 0, 0, 0 +335 1/1 instr_o = { +336 {6{instr_i[12]}}, +337 instr_i[12], +338 instr_i[6:2], +339 instr_i[11:7], +340 3'b0, +341 instr_i[11:7], +342 riscv::OpcodeOpImm +343 }; +344 end +345 +346 +347 riscv::OpcodeC1Addiw: begin // or riscv::OpcodeC1Jal for RV32IC +348 1/1 if (CVA6Cfg.IS_XLEN64) begin +349 // c.addiw -> addiw rd, rd, nzimm for RV64IC +350 unreachable if (instr_i[11:7] != 5'h0) begin // only valid if the destination is not r0 +351 unreachable instr_o = { +352 {6{instr_i[12]}}, +353 instr_i[12], +354 instr_i[6:2], +355 instr_i[11:7], +356 3'b0, +357 instr_i[11:7], +358 riscv::OpcodeOpImm32 +359 }; +360 end else begin +361 unreachable illegal_instr_o = 1'b1; +362 end +363 end else begin +364 // c.jal -> jal x1, imm for RV32IC only +365 1/1 instr_o = { +366 instr_i[12], +367 instr_i[8], +368 instr_i[10:9], +369 instr_i[6], +370 instr_i[7], +371 instr_i[2], +372 instr_i[11], +373 instr_i[5:3], +374 {9{instr_i[12]}}, +375 5'b1, +376 riscv::OpcodeJal +377 }; +378 +379 +380 +381 end +382 end +383 +384 riscv::OpcodeC1Li: begin +385 // c.li -> addi rd, x0, nzimm +386 1/1 instr_o = { +387 {6{instr_i[12]}}, +388 instr_i[12], +389 instr_i[6:2], +390 5'b0, +391 3'b0, +392 instr_i[11:7], +393 riscv::OpcodeOpImm +394 }; +395 end +396 +397 riscv::OpcodeC1LuiAddi16sp: begin +398 // c.lui -> lui rd, imm +399 1/1 instr_o = {{15{instr_i[12]}}, instr_i[6:2], instr_i[11:7], riscv::OpcodeLui}; +400 +401 1/1 if (instr_i[11:7] == 5'h02) begin +402 // c.addi16sp -> addi x2, x2, nzimm +403 1/1 instr_o = { +404 {3{instr_i[12]}}, +405 instr_i[4:3], +406 instr_i[5], +407 instr_i[2], +408 instr_i[6], +409 4'b0, +410 5'h02, +411 3'b000, +412 5'h02, +413 riscv::OpcodeOpImm +414 }; +415 end + MISSING_ELSE +416 +417 2/2 if ({instr_i[12], instr_i[6:2]} == 6'b0) illegal_instr_o = 1'b1; + MISSING_ELSE +418 end +419 +420 riscv::OpcodeC1MiscAlu: begin +421 1/1 unique case (instr_i[11:10]) +422 2'b00, 2'b01: begin +423 // 00: c.srli -> srli rd, rd, shamt +424 // 01: c.srai -> srai rd, rd, shamt +425 1/1 instr_o = { +426 1'b0, +427 instr_i[10], +428 4'b0, +429 instr_i[12], +430 instr_i[6:2], +431 2'b01, +432 instr_i[9:7], +433 3'b101, +434 2'b01, +435 instr_i[9:7], +436 riscv::OpcodeOpImm +437 }; +438 end +439 +440 2'b10: begin +441 // c.andi -> andi rd, rd, imm +442 1/1 instr_o = { +443 {6{instr_i[12]}}, +444 instr_i[12], +445 instr_i[6:2], +446 2'b01, +447 instr_i[9:7], +448 3'b111, +449 2'b01, +450 instr_i[9:7], +451 riscv::OpcodeOpImm +452 }; +453 end +454 +455 2'b11: begin +456 1/1 unique case ({ +457 instr_i[12], instr_i[6:5] +458 }) +459 3'b000: begin +460 // c.sub -> sub rd', rd', rs2' +461 1/1 instr_o = { +462 2'b01, +463 5'b0, +464 2'b01, +465 instr_i[4:2], +466 2'b01, +467 instr_i[9:7], +468 3'b000, +469 2'b01, +470 instr_i[9:7], +471 riscv::OpcodeOp +472 }; +473 end +474 +475 3'b001: begin +476 // c.xor -> xor rd', rd', rs2' +477 1/1 instr_o = { +478 7'b0, +479 2'b01, +480 instr_i[4:2], +481 2'b01, +482 instr_i[9:7], +483 3'b100, +484 2'b01, +485 instr_i[9:7], +486 riscv::OpcodeOp +487 }; +488 end +489 +490 3'b010: begin +491 // c.or -> or rd', rd', rs2' +492 1/1 instr_o = { +493 7'b0, +494 2'b01, +495 instr_i[4:2], +496 2'b01, +497 instr_i[9:7], +498 3'b110, +499 2'b01, +500 instr_i[9:7], +501 riscv::OpcodeOp +502 }; +503 end +504 +505 3'b011: begin +506 // c.and -> and rd', rd', rs2' +507 1/1 instr_o = { +508 7'b0, +509 2'b01, +510 instr_i[4:2], +511 2'b01, +512 instr_i[9:7], +513 3'b111, +514 2'b01, +515 instr_i[9:7], +516 riscv::OpcodeOp +517 }; +518 end +519 +520 3'b100: begin +521 1/1 if (CVA6Cfg.IS_XLEN64) begin +522 // c.subw -> subw rd', rd', rs2' +523 unreachable instr_o = { +524 2'b01, +525 5'b0, +526 2'b01, +527 instr_i[4:2], +528 2'b01, +529 instr_i[9:7], +530 3'b000, +531 2'b01, +532 instr_i[9:7], +533 riscv::OpcodeOp32 +534 }; +535 end else begin +536 1/1 illegal_instr_o = 1'b1; +537 end +538 end +539 +540 3'b101: begin +541 1/1 if (CVA6Cfg.IS_XLEN64) begin +542 // c.addw -> addw rd', rd', rs2' +543 unreachable instr_o = { +544 2'b00, +545 5'b0, +546 2'b01, +547 instr_i[4:2], +548 2'b01, +549 instr_i[9:7], +550 3'b000, +551 2'b01, +552 instr_i[9:7], +553 riscv::OpcodeOp32 +554 }; +555 end else begin +556 1/1 illegal_instr_o = 1'b1; +557 end +558 end +559 +560 3'b110: begin +561 1/1 if (CVA6Cfg.RVZCB) begin +562 // c.mul -> mul rd', rd', rs2' +563 1/1 instr_o = { +564 6'b0, +565 1'b1, +566 2'b01, +567 instr_i[4:2], +568 2'b01, +569 instr_i[9:7], +570 3'b000, +571 2'b01, +572 instr_i[9:7], +573 riscv::OpcodeOp +574 }; +575 end else begin +576 unreachable instr_o = instr_i; +577 unreachable illegal_instr_o = 1'b1; +578 end +579 end +580 +581 3'b111: begin +582 1/1 if (CVA6Cfg.RVZCB) begin +583 +584 1/1 unique case (instr_i[4:2]) +585 3'b000: begin +586 // c.zext.b -> andi rd', rd', 0xff +587 1/1 instr_o = { +588 4'b0, +589 8'hFF, +590 2'b01, +591 instr_i[9:7], +592 3'b111, +593 2'b01, +594 instr_i[9:7], +595 riscv::OpcodeOpImm +596 }; +597 end +598 +599 3'b001: begin +600 1/1 if (CVA6Cfg.RVB) begin +601 // c.sext.b -> sext.b rd', rd' +602 1/1 instr_o = { +603 7'h30, +604 5'h4, +605 2'b01, +606 instr_i[9:7], +607 3'b001, +608 2'b01, +609 instr_i[9:7], +610 riscv::OpcodeOpImm +611 }; +612 unreachable end else illegal_instr_o = 1'b1; +613 end +614 +615 3'b010: begin +616 1/1 if (CVA6Cfg.RVB) begin +617 // c.zext.h -> zext.h rd', rd' +618 1/1 if (CVA6Cfg.IS_XLEN64) begin +619 unreachable instr_o = { +620 7'h4, +621 5'h0, +622 2'b01, +623 instr_i[9:7], +624 3'b100, +625 2'b01, +626 instr_i[9:7], +627 riscv::OpcodeOp32 +628 }; +629 end else begin +630 1/1 instr_o = { +631 7'h4, +632 5'h0, +633 2'b01, +634 instr_i[9:7], +635 3'b100, +636 2'b01, +637 instr_i[9:7], +638 riscv::OpcodeOp +639 }; +640 end +641 unreachable end else illegal_instr_o = 1'b1; +642 end +643 +644 3'b011: begin +645 1/1 if (CVA6Cfg.RVB) begin +646 // c.sext.h -> sext.h rd', rd' +647 1/1 instr_o = { +648 7'h30, +649 5'h5, +650 2'b01, +651 instr_i[9:7], +652 3'b001, +653 2'b01, +654 instr_i[9:7], +655 riscv::OpcodeOpImm +656 }; +657 unreachable end else illegal_instr_o = 1'b1; +658 end +659 +660 3'b100: begin +661 1/1 if (CVA6Cfg.RVB) begin +662 // c.zext.w -> add.uw +663 1/1 if (CVA6Cfg.IS_XLEN64) begin +664 unreachable instr_o = { +665 7'h4, +666 5'h0, +667 2'b01, +668 instr_i[9:7], +669 3'b000, +670 2'b01, +671 instr_i[9:7], +672 riscv::OpcodeOp32 +673 }; +674 end else begin +675 1/1 illegal_instr_o = 1'b1; +676 end +677 unreachable end else illegal_instr_o = 1'b1; +678 end +679 +680 3'b101: begin +681 // c.not -> xori rd', rd', -1 +682 1/1 instr_o = { +683 12'hFFF, +684 2'b01, +685 instr_i[9:7], +686 3'b100, +687 2'b01, +688 instr_i[9:7], +689 riscv::OpcodeOpImm +690 }; +691 end +692 +693 default: begin +694 1/1 instr_o = instr_i; +695 1/1 illegal_instr_o = 1; +696 end +697 endcase +698 end + ==> MISSING_ELSE +699 end +700 endcase +701 end +702 endcase +703 end +704 +705 riscv::OpcodeC1J: begin +706 // 101: c.j -> jal x0, imm +707 1/1 instr_o = { +708 instr_i[12], +709 instr_i[8], +710 instr_i[10:9], +711 instr_i[6], +712 instr_i[7], +713 instr_i[2], +714 instr_i[11], +715 instr_i[5:3], +716 {9{instr_i[12]}}, +717 4'b0, +718 ~instr_i[15], +719 riscv::OpcodeJal +720 }; +721 end +722 +723 riscv::OpcodeC1Beqz, riscv::OpcodeC1Bnez: begin +724 // 0: c.beqz -> beq rs1', x0, imm +725 // 1: c.bnez -> bne rs1', x0, imm +726 1/1 instr_o = { +727 {4{instr_i[12]}}, +728 instr_i[6:5], +729 instr_i[2], +730 5'b0, +731 2'b01, +732 instr_i[9:7], +733 2'b00, +734 instr_i[13], +735 instr_i[11:10], +736 instr_i[4:3], +737 instr_i[12], +738 riscv::OpcodeBranch +739 }; +740 end +741 endcase +742 end +743 +744 // C2 +745 riscv::OpcodeC2: begin +746 1/1 unique case (instr_i[15:13]) +747 riscv::OpcodeC2Slli: begin +748 // c.slli -> slli rd, rd, shamt +749 1/1 instr_o = { +750 6'b0, +751 instr_i[12], +752 instr_i[6:2], +753 instr_i[11:7], +754 3'b001, +755 instr_i[11:7], +756 riscv::OpcodeOpImm +757 }; +758 end +759 +760 riscv::OpcodeC2Fldsp: begin +761 1/1 if (CVA6Cfg.FpPresent) begin +762 // c.fldsp -> fld rd, imm(x2) +763 unreachable instr_o = { +764 3'b0, +765 instr_i[4:2], +766 instr_i[12], +767 instr_i[6:5], +768 3'b000, +769 5'h02, +770 3'b011, +771 instr_i[11:7], +772 riscv::OpcodeLoadFp +773 }; +774 end else begin +775 1/1 illegal_instr_o = 1'b1; +776 end +777 end +778 +779 riscv::OpcodeC2Lwsp: begin +780 // c.lwsp -> lw rd, imm(x2) +781 1/1 instr_o = { +782 4'b0, +783 instr_i[3:2], +784 instr_i[12], +785 instr_i[6:4], +786 2'b00, +787 5'h02, +788 3'b010, +789 instr_i[11:7], +790 riscv::OpcodeLoad +791 }; +792 2/2 if (instr_i[11:7] == 5'b0) illegal_instr_o = 1'b1; + MISSING_ELSE +793 end +794 +795 riscv::OpcodeC2Ldsp: begin +796 // RV64 +797 // c.ldsp -> ld rd, imm(x2) +798 // RV32 +799 // c.flwsp -> flw fprd, imm(x2) +800 1/1 if (CVA6Cfg.IS_XLEN64) begin +801 unreachable instr_o = { +802 3'b0, +803 instr_i[4:2], +804 instr_i[12], +805 instr_i[6:5], +806 3'b000, +807 5'h02, +808 3'b011, +809 instr_i[11:7], +810 riscv::OpcodeLoad +811 }; +812 unreachable if (instr_i[11:7] == 5'b0) illegal_instr_o = 1'b1; + ==> MISSING_ELSE +813 end else begin +814 1/1 if (CVA6Cfg.FpPresent) begin +815 unreachable instr_o = { +816 4'b0, +817 instr_i[3:2], +818 instr_i[12], +819 instr_i[6:4], +820 2'b00, +821 5'h02, +822 3'b010, +823 instr_i[11:7], +824 riscv::OpcodeLoadFp +825 }; +826 end else begin +827 1/1 illegal_instr_o = 1'b1; +828 end +829 end +830 end +831 +832 riscv::OpcodeC2JalrMvAdd: begin +833 1/1 if (instr_i[12] == 1'b0) begin +834 // c.mv -> add rd/rs1, x0, rs2 +835 1/1 instr_o = {7'b0, instr_i[6:2], 5'b0, 3'b0, instr_i[11:7], riscv::OpcodeOp}; +836 +837 1/1 if (instr_i[6:2] == 5'b0) begin +838 // c.jr -> jalr x0, rd/rs1, 0 +839 1/1 instr_o = {12'b0, instr_i[11:7], 3'b0, 5'b0, riscv::OpcodeJalr}; +840 // rs1 != 0 +841 1/1 illegal_instr_o = (instr_i[11:7] != '0) ? 1'b0 : 1'b1; +842 end + MISSING_ELSE +843 end else begin +844 // c.add -> add rd, rd, rs2 +845 1/1 instr_o = {7'b0, instr_i[6:2], instr_i[11:7], 3'b0, instr_i[11:7], riscv::OpcodeOp}; +846 +847 1/1 if (instr_i[6:2] == 5'b0) begin +848 1/1 if (instr_i[11:7] == 5'b0) begin +849 // c.ebreak -> ebreak +850 1/1 instr_o = {32'h00_10_00_73}; +851 end else begin +852 // c.jalr -> jalr x1, rs1, 0 +853 1/1 instr_o = {12'b0, instr_i[11:7], 3'b000, 5'b00001, riscv::OpcodeJalr}; +854 end +855 end + MISSING_ELSE +856 end +857 end +858 +859 riscv::OpcodeC2Fsdsp: begin +860 1/1 if (CVA6Cfg.FpPresent) begin +861 // c.fsdsp -> fsd rs2, imm(x2) +862 unreachable instr_o = { +863 3'b0, +864 instr_i[9:7], +865 instr_i[12], +866 instr_i[6:2], +867 5'h02, +868 3'b011, +869 instr_i[11:10], +870 3'b000, +871 riscv::OpcodeStoreFp +872 }; +873 1/1 end else if (CVA6Cfg.RVZCMP && (instr_i[12:10] == 3'b110 || instr_i[12:10] == 3'b111 || instr_i[12:10] == 3'b011)) begin +874 unreachable is_macro_instr_o = 1; +875 unreachable instr_o = instr_i; +876 1/1 end else if (CVA6Cfg.RVZCMT && (instr_i[12:10] == 3'b000)) //jt/jalt instruction +877 unreachable is_zcmt_instr_o = 1'b1; +878 1/1 else illegal_instr_o = 1'b1; +879 end +880 riscv::OpcodeC2Swsp: begin +881 // c.swsp -> sw rs2, imm(x2) +882 1/1 instr_o = { +883 4'b0, +884 instr_i[8:7], +885 instr_i[12], +886 instr_i[6:2], +887 5'h02, +888 3'b010, +889 instr_i[11:9], +890 2'b00, +891 riscv::OpcodeStore +892 }; +893 end +894 +895 riscv::OpcodeC2Sdsp: begin +896 // RV64 +897 // c.sdsp -> sd rs2, imm(x2) +898 // RV32 +899 // c.fswsp -> fsw fprs2, imm(x2) +900 1/1 if (CVA6Cfg.IS_XLEN64) begin +901 unreachable instr_o = { +902 3'b0, +903 instr_i[9:7], +904 instr_i[12], +905 instr_i[6:2], +906 5'h02, +907 3'b011, +908 instr_i[11:10], +909 3'b000, +910 riscv::OpcodeStore +911 }; +912 end else begin +913 1/1 if (CVA6Cfg.FpPresent) begin +914 unreachable instr_o = { +915 4'b0, +916 instr_i[8:7], +917 instr_i[12], +918 instr_i[6:2], +919 5'h02, +920 3'b010, +921 instr_i[11:9], +922 2'b00, +923 riscv::OpcodeStoreFp +924 }; +925 end else begin +926 1/1 illegal_instr_o = 1'b1; +927 end +928 end +929 end +930 +931 default: begin +932 0/1 ==> illegal_instr_o = 1'b1; +933 end +934 endcase +935 end +936 +937 // normal instruction +938 1/1 default: is_compressed_o = 1'b0; +939 endcase +940 +941 // Check if the instruction was illegal, if it was then output the offending instruction (zero-extended) +942 1/1 if (illegal_instr_o) begin +943 1/1 instr_o = instr_i; +944 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : compressed_decoder + + Total Covered Percent +Conditions 20 20 100.00 +Logical 20 20 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 70 + EXPRESSION (instr_i[12:5] == 8'b0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 350 + EXPRESSION (instr_i[11:7] != 5'b0) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 401 + EXPRESSION (instr_i[11:7] == 5'h02) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 417 + EXPRESSION ({instr_i[12], instr_i[6:2]} == 6'b0) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 792 + EXPRESSION (instr_i[11:7] == 5'b0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 812 + EXPRESSION (instr_i[11:7] == 5'b0) + -----------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 833 + EXPRESSION (instr_i[12] == 1'b0) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 837 + EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 841 + EXPRESSION ((instr_i[11:7] != '0) ? 1'b0 : 1'b1) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 841 + SUB-EXPRESSION (instr_i[11:7] != '0) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 847 + EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 848 + EXPRESSION (instr_i[11:7] == 5'b0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk1[0].compressed_decoder_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.06 98.11 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.06 98.11 100.00 -- compressed_decoder + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : decoder +=============================================================================== +SCORE LINE COND ASSERT + 99.12 99.73 98.51 -- + +Source File(s) : + +cva6/core/decoder.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.12 99.73 98.51 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk2[0].decoder_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : decoder + + Line No. Total Covered Percent +TOTAL 376 375 99.73 +ALWAYS 163 318 317 99.69 +ALWAYS 1497 24 24 100.00 +ALWAYS 1581 34 34 100.00 + +162 +163 1/1 imm_select = NOIMM; +164 1/1 is_control_flow_instr_o = 1'b0; +165 1/1 illegal_instr = 1'b0; +166 1/1 illegal_instr_non_bm = 1'b0; +167 1/1 illegal_instr_bm = 1'b0; +168 1/1 illegal_instr_zic = 1'b0; +169 1/1 virtual_illegal_instr = 1'b0; +170 1/1 instruction_o.pc = pc_i; +171 1/1 instruction_o.trans_id = '0; +172 1/1 instruction_o.fu = NONE; +173 1/1 instruction_o.op = ariane_pkg::ADD; +174 1/1 instruction_o.rs1 = '0; +175 1/1 instruction_o.rs2 = '0; +176 1/1 instruction_o.rd = '0; +177 1/1 instruction_o.use_pc = 1'b0; +178 1/1 instruction_o.is_compressed = is_compressed_i; +179 1/1 instruction_o.is_macro_instr = is_macro_instr_i; +180 1/1 instruction_o.is_last_macro_instr = is_last_macro_instr_i; +181 1/1 instruction_o.is_double_rd_macro_instr = is_double_rd_macro_instr_i; +182 1/1 instruction_o.use_zimm = 1'b0; +183 1/1 instruction_o.bp = branch_predict_i; +184 1/1 instruction_o.vfp = 1'b0; +185 1/1 instruction_o.is_zcmt = is_zcmt_i; +186 1/1 ecall = 1'b0; +187 1/1 ebreak = 1'b0; +188 1/1 check_fprm = 1'b0; +189 +190 1/1 if (~ex_i.valid) begin +191 1/1 case (instr.rtype.opcode) +192 riscv::OpcodeSystem: begin +193 1/1 instruction_o.fu = CSR; +194 1/1 instruction_o.rs1 = instr.itype.rs1; +195 1/1 instruction_o.rs2 = instr.rtype.rs2; //IMPROVEMENT: needs to be checked if better way is available +196 1/1 instruction_o.rd = instr.itype.rd; +197 +198 1/1 unique case (instr.itype.funct3) +199 3'b000: begin +200 // check if the RD and and RS1 fields are zero, this may be reset for the SENCE.VMA instruction +201 1/1 if (instr.itype.rs1 != '0 || instr.itype.rd != '0) begin +202 1/1 if (CVA6Cfg.RVH && v_i) begin +203 unreachable virtual_illegal_instr = 1'b1; +204 end else begin +205 1/1 illegal_instr = 1'b1; +206 end +207 end + MISSING_ELSE +208 // decode the immiediate field +209 1/1 case (instr.itype.imm) +210 // ECALL -> inject exception +211 1/1 12'b0: ecall = 1'b1; +212 // EBREAK -> inject exception +213 1/1 12'b1: ebreak = 1'b1; +214 // SRET +215 12'b1_0000_0010: begin +216 1/1 if (CVA6Cfg.RVS) begin +217 unreachable instruction_o.op = ariane_pkg::SRET; +218 // check privilege level, SRET can only be executed in S and M mode +219 // we'll just decode an illegal instruction if we are in the wrong privilege level +220 unreachable if (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U) begin +221 unreachable if (CVA6Cfg.RVH && v_i) begin +222 unreachable virtual_illegal_instr = 1'b1; +223 end else begin +224 unreachable illegal_instr = 1'b1; +225 end +226 // do not change privilege level if this is an illegal instruction +227 unreachable instruction_o.op = ariane_pkg::ADD; +228 end + ==> MISSING_ELSE +229 // if we are in S-Mode and Trap SRET (tsr) is set -> trap on illegal instruction +230 unreachable if (priv_lvl_i == riscv::PRIV_LVL_S && tsr_i) begin +231 unreachable if (CVA6Cfg.RVH && v_i) begin +232 unreachable virtual_illegal_instr = 1'b1; +233 end else begin +234 unreachable illegal_instr = 1'b1; +235 end +236 // do not change privilege level if this is an illegal instruction +237 unreachable instruction_o.op = ariane_pkg::ADD; +238 end + ==> MISSING_ELSE +239 end else begin +240 1/1 illegal_instr = 1'b1; +241 1/1 instruction_o.op = ariane_pkg::ADD; +242 end +243 end +244 // MRET +245 12'b11_0000_0010: begin +246 1/1 instruction_o.op = ariane_pkg::MRET; +247 // check privilege level, MRET can only be executed in M mode +248 // otherwise we decode an illegal instruction +249 1/1 if ((CVA6Cfg.RVS && priv_lvl_i == riscv::PRIV_LVL_S) || (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U)) +250 unreachable illegal_instr = 1'b1; + MISSING_ELSE +251 end +252 // DRET +253 12'b111_1011_0010: begin +254 1/1 instruction_o.op = ariane_pkg::DRET; +255 1/1 if (CVA6Cfg.DebugEn) begin +256 // check that we are in debug mode when executing this instruction +257 unreachable illegal_instr = (!debug_mode_i) ? 1'b1 : illegal_instr; +258 end else begin +259 1/1 illegal_instr = 1'b1; +260 end +261 end +262 // WFI +263 12'b1_0000_0101: begin +264 1/1 instruction_o.op = ariane_pkg::WFI; +265 // if timeout wait is set, trap on an illegal instruction in S Mode +266 // (after 0 cycles timeout) +267 1/1 if (CVA6Cfg.RVS && priv_lvl_i == riscv::PRIV_LVL_S && tw_i) begin +268 unreachable illegal_instr = 1'b1; +269 unreachable instruction_o.op = ariane_pkg::ADD; +270 end + MISSING_ELSE +271 1/1 if (CVA6Cfg.RVH && priv_lvl_i == riscv::PRIV_LVL_S && v_i && vtw_i && !tw_i) begin +272 unreachable virtual_illegal_instr = 1'b1; +273 unreachable instruction_o.op = ariane_pkg::ADD; +274 end + MISSING_ELSE +275 // we don't support U mode interrupts so WFI is illegal in this context +276 1/1 if (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U) begin +277 unreachable if (CVA6Cfg.RVH && v_i) virtual_illegal_instr = 1'b1; +278 unreachable else illegal_instr = 1'b1; +279 unreachable instruction_o.op = ariane_pkg::ADD; +280 end + MISSING_ELSE +281 end +282 // SFENCE.VMA +283 default: begin +284 1/1 if (instr.instr[31:25] == 7'b1001) begin +285 // check privilege level, SFENCE.VMA can only be executed in M/S mode +286 // only if S mode is supported +287 // otherwise decode an illegal instruction +288 1/1 if (CVA6Cfg.RVH && v_i) begin +289 unreachable virtual_illegal_instr = (priv_lvl_i == riscv::PRIV_LVL_S) ? 1'b0 : 1'b1; +290 end else begin +291 1/1 illegal_instr = (CVA6Cfg.RVS && (priv_lvl_i inside {riscv::PRIV_LVL_M, riscv::PRIV_LVL_S}) && instr.itype.rd == '0) ? 1'b0 : 1'b1; +292 end +293 1/1 instruction_o.op = ariane_pkg::SFENCE_VMA; +294 // check TVM flag and intercept SFENCE.VMA call if necessary +295 1/1 if (CVA6Cfg.RVS && priv_lvl_i == riscv::PRIV_LVL_S && tvm_i) begin +296 unreachable if (CVA6Cfg.RVH && v_i) virtual_illegal_instr = 1'b1; +297 unreachable else illegal_instr = 1'b1; +298 end + MISSING_ELSE +299 1/1 end else if (CVA6Cfg.RVH) begin +300 unreachable if (instr.instr[31:25] == 7'b10001) begin +301 // check privilege level, HFENCE.VVMA can only be executed in M/S mode +302 // otherwise decode an illegal instruction or virtual illegal instruction +303 unreachable if (v_i) begin +304 unreachable virtual_illegal_instr = 1'b1; +305 end else begin +306 unreachable illegal_instr = (priv_lvl_i inside {riscv::PRIV_LVL_M, riscv::PRIV_LVL_S}) ? 1'b0 : 1'b1; +307 end +308 unreachable instruction_o.op = ariane_pkg::HFENCE_VVMA; +309 unreachable end else if (instr.instr[31:25] == 7'b110001) begin +310 // check privilege level, HFENCE.GVMA can only be executed in M/S mode +311 // otherwise decode an illegal instruction or virtual illegal instruction +312 unreachable if (v_i) begin +313 unreachable virtual_illegal_instr = 1'b1; +314 end else begin +315 unreachable illegal_instr = (priv_lvl_i inside {riscv::PRIV_LVL_M, riscv::PRIV_LVL_S}) ? 1'b0 : 1'b1; +316 end +317 unreachable instruction_o.op = ariane_pkg::HFENCE_GVMA; +318 // check TVM flag and intercept HFENCE.GVMA call if necessary +319 unreachable if (priv_lvl_i == riscv::PRIV_LVL_S && !v_i && tvm_i) illegal_instr = 1'b1; + ==> MISSING_ELSE +320 end else begin +321 unreachable illegal_instr = 1'b1; +322 end +323 end else begin +324 1/1 illegal_instr = 1'b1; +325 end +326 end +327 endcase +328 end +329 3'b100: begin +330 // Hypervisor load/store instructions +331 1/1 if (CVA6Cfg.RVH) begin +332 unreachable if (instr.instr[25] != 1'b0) begin +333 unreachable instruction_o.fu = STORE; +334 unreachable imm_select = NOIMM; +335 unreachable instruction_o.rs1 = instr.stype.rs1; +336 unreachable instruction_o.rs2 = instr.stype.rs2; +337 end else begin +338 unreachable instruction_o.fu = LOAD; +339 unreachable imm_select = NOIMM; +340 unreachable instruction_o.rs1 = instr.itype.rs1; +341 unreachable instruction_o.rd = instr.itype.rd; +342 end +343 +344 // Hypervisor load/store instructions when V=1 cause virtual instruction +345 unreachable if (v_i) virtual_illegal_instr = 1'b1; +346 // Hypervisor load/store instructions in U-mode when hstatus.HU=0 cause an illegal instruction trap. +347 unreachable else if (!hu_i && priv_lvl_i == riscv::PRIV_LVL_U) illegal_instr = 1'b1; + ==> MISSING_ELSE +348 unreachable unique case (instr.rtype.funct7) +349 7'b011_0000: begin +350 unreachable if (instr.rtype.rs2 == 5'b0) begin +351 unreachable instruction_o.op = ariane_pkg::HLV_B; +352 end + ==> MISSING_ELSE +353 unreachable if (instr.rtype.rs2 == 5'b1) begin +354 unreachable instruction_o.op = ariane_pkg::HLV_BU; +355 end + ==> MISSING_ELSE +356 end +357 7'b011_0010: begin +358 unreachable if (instr.rtype.rs2 == 5'b0) begin +359 unreachable instruction_o.op = ariane_pkg::HLV_H; +360 end + ==> MISSING_ELSE +361 unreachable if (instr.rtype.rs2 == 5'b1) begin +362 unreachable instruction_o.op = ariane_pkg::HLV_HU; +363 end + ==> MISSING_ELSE +364 unreachable if (instr.rtype.rs2 == 5'b11) begin +365 unreachable instruction_o.op = ariane_pkg::HLVX_HU; +366 end + ==> MISSING_ELSE +367 end +368 7'b011_0100: begin +369 unreachable if (instr.rtype.rs2 == 5'b0) begin +370 unreachable instruction_o.op = ariane_pkg::HLV_W; +371 end + ==> MISSING_ELSE +372 unreachable if (instr.rtype.rs2 == 5'b1) begin +373 unreachable instruction_o.op = ariane_pkg::HLV_WU; +374 end + ==> MISSING_ELSE +375 unreachable if (instr.rtype.rs2 == 5'b11) begin +376 unreachable instruction_o.op = ariane_pkg::HLVX_WU; +377 end + ==> MISSING_ELSE +378 end +379 unreachable 7'b011_0001: instruction_o.op = ariane_pkg::HSV_B; +380 unreachable 7'b011_0011: instruction_o.op = ariane_pkg::HSV_H; +381 unreachable 7'b011_0101: instruction_o.op = ariane_pkg::HSV_W; +382 unreachable 7'b011_0110: instruction_o.op = ariane_pkg::HLV_D; +383 unreachable 7'b011_0111: instruction_o.op = ariane_pkg::HSV_D; +384 unreachable default: illegal_instr = 1'b1; +385 +386 endcase +387 unreachable tinst = { +388 instr.rtype.funct7, +389 instr.rtype.rs2, +390 5'b0, +391 instr.rtype.funct3, +392 instr.rtype.rd, +393 instr.rtype.opcode +394 }; +395 end else begin +396 1/1 illegal_instr = 1'b1; +397 end +398 end +399 // atomically swaps values in the CSR and integer register +400 3'b001: begin // CSRRW +401 1/1 imm_select = IIMM; +402 1/1 instruction_o.op = ariane_pkg::CSR_WRITE; +403 end +404 // atomically set values in the CSR and write back to rd +405 3'b010: begin // CSRRS +406 1/1 imm_select = IIMM; +407 // this is just a read +408 2/2 if (instr.itype.rs1 == '0) instruction_o.op = ariane_pkg::CSR_READ; +409 1/1 else instruction_o.op = ariane_pkg::CSR_SET; +410 end +411 // atomically clear values in the CSR and write back to rd +412 3'b011: begin // CSRRC +413 1/1 imm_select = IIMM; +414 // this is just a read +415 2/2 if (instr.itype.rs1 == '0) instruction_o.op = ariane_pkg::CSR_READ; +416 1/1 else instruction_o.op = ariane_pkg::CSR_CLEAR; +417 end +418 // use zimm and iimm +419 3'b101: begin // CSRRWI +420 1/1 instruction_o.rs1 = instr.itype.rs1; +421 1/1 imm_select = IIMM; +422 1/1 instruction_o.use_zimm = 1'b1; +423 1/1 instruction_o.op = ariane_pkg::CSR_WRITE; +424 end +425 3'b110: begin // CSRRSI +426 1/1 instruction_o.rs1 = instr.itype.rs1; +427 1/1 imm_select = IIMM; +428 1/1 instruction_o.use_zimm = 1'b1; +429 // this is just a read +430 2/2 if (instr.itype.rs1 == 5'b0) instruction_o.op = ariane_pkg::CSR_READ; +431 1/1 else instruction_o.op = ariane_pkg::CSR_SET; +432 end +433 3'b111: begin // CSRRCI +434 1/1 instruction_o.rs1 = instr.itype.rs1; +435 1/1 imm_select = IIMM; +436 1/1 instruction_o.use_zimm = 1'b1; +437 // this is just a read +438 2/2 if (instr.itype.rs1 == '0) instruction_o.op = ariane_pkg::CSR_READ; +439 1/1 else instruction_o.op = ariane_pkg::CSR_CLEAR; +440 end +441 0/1 ==> default: illegal_instr = 1'b1; +442 endcase +443 end +444 // Memory ordering instructions +445 riscv::OpcodeMiscMem: begin +446 1/1 instruction_o.fu = CSR; +447 1/1 instruction_o.rs1 = '0; +448 1/1 instruction_o.rs2 = '0; +449 1/1 instruction_o.rd = '0; +450 +451 1/1 case (instr.stype.funct3) +452 // FENCE +453 // Currently implemented as a whole DCache flush boldly ignoring other things +454 1/1 3'b000: instruction_o.op = ariane_pkg::FENCE; +455 // FENCE.I +456 3'b001: +457 1/1 if (CVA6Cfg.RVZifencei) begin +458 unreachable instruction_o.op = ariane_pkg::FENCE_I; +459 end else begin +460 1/1 illegal_instr = 1'b1; +461 end +462 1/1 default: illegal_instr = 1'b1; +463 endcase +464 end +465 +466 // -------------------------- +467 // Reg-Reg Operations +468 // -------------------------- +469 riscv::OpcodeOp: begin +470 // -------------------------------------------- +471 // Vectorial Floating-Point Reg-Reg Operations +472 // -------------------------------------------- +473 1/1 if (instr.rvftype.funct2 == 2'b10) begin // Prefix 10 for all Xfvec ops +474 // only generate decoder if FP extensions are enabled (static) +475 1/1 if (CVA6Cfg.FpPresent && CVA6Cfg.XFVec && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin +476 automatic logic allow_replication; // control honoring of replication flag +477 +478 unreachable instruction_o.fu = FPU_VEC; // Same unit, but sets 'vectorial' signal +479 unreachable instruction_o.rs1 = instr.rvftype.rs1; +480 unreachable instruction_o.rs2 = instr.rvftype.rs2; +481 unreachable instruction_o.rd = instr.rvftype.rd; +482 unreachable check_fprm = 1'b1; +483 unreachable allow_replication = 1'b1; +484 // decode vectorial FP instruction +485 unreachable unique case (instr.rvftype.vecfltop) +486 5'b00001: begin +487 unreachable instruction_o.op = ariane_pkg::FADD; // vfadd.vfmt - Vectorial FP Addition +488 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +489 unreachable instruction_o.rs2 = instr.rvftype.rs1; // Operand B is set to rs1 +490 unreachable imm_select = IIMM; // Operand C is set to rs2 +491 end +492 5'b00010: begin +493 unreachable instruction_o.op = ariane_pkg::FSUB; // vfsub.vfmt - Vectorial FP Subtraction +494 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +495 unreachable instruction_o.rs2 = instr.rvftype.rs1; // Operand B is set to rs1 +496 unreachable imm_select = IIMM; // Operand C is set to rs2 +497 end +498 5'b00011: +499 unreachable instruction_o.op = ariane_pkg::FMUL; // vfmul.vfmt - Vectorial FP Multiplication +500 5'b00100: +501 unreachable instruction_o.op = ariane_pkg::FDIV; // vfdiv.vfmt - Vectorial FP Division +502 5'b00101: begin +503 unreachable instruction_o.op = ariane_pkg::VFMIN; // vfmin.vfmt - Vectorial FP Minimum +504 unreachable check_fprm = 1'b0; // rounding mode irrelevant +505 end +506 5'b00110: begin +507 unreachable instruction_o.op = ariane_pkg::VFMAX; // vfmax.vfmt - Vectorial FP Maximum +508 unreachable check_fprm = 1'b0; // rounding mode irrelevant +509 end +510 5'b00111: begin +511 unreachable instruction_o.op = ariane_pkg::FSQRT; // vfsqrt.vfmt - Vectorial FP Square Root +512 unreachable allow_replication = 1'b0; // only one operand +513 unreachable if (instr.rvftype.rs2 != 5'b00000) illegal_instr = 1'b1; // rs2 must be 0 + ==> MISSING_ELSE +514 end +515 5'b01000: begin +516 unreachable instruction_o.op = ariane_pkg::FMADD; // vfmac.vfmt - Vectorial FP Multiply-Accumulate +517 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +518 end +519 5'b01001: begin +520 unreachable instruction_o.op = ariane_pkg::FMSUB; // vfmre.vfmt - Vectorial FP Multiply-Reduce +521 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +522 end +523 5'b01100: begin +524 unreachable unique case (instr.rvftype.rs2) inside // operation encoded in rs2, `inside` for matching ? +525 5'b00000: begin +526 unreachable instruction_o.rs2 = instr.rvftype.rs1; // set rs2 = rs1 so we can map FMV to SGNJ in the unit +527 unreachable if (instr.rvftype.repl) +528 unreachable instruction_o.op = ariane_pkg::FMV_X2F; // vfmv.vfmt.x - GPR to FPR Move +529 unreachable else instruction_o.op = ariane_pkg::FMV_F2X; // vfmv.x.vfmt - FPR to GPR Move +530 unreachable check_fprm = 1'b0; // no rounding for moves +531 end +532 5'b00001: begin +533 unreachable instruction_o.op = ariane_pkg::FCLASS; // vfclass.vfmt - Vectorial FP Classify +534 unreachable check_fprm = 1'b0; // no rounding for classification +535 unreachable allow_replication = 1'b0; // R must not be set +536 end +537 5'b00010: +538 unreachable instruction_o.op = ariane_pkg::FCVT_F2I; // vfcvt.x.vfmt - Vectorial FP to Int Conversion +539 5'b00011: +540 unreachable instruction_o.op = ariane_pkg::FCVT_I2F; // vfcvt.vfmt.x - Vectorial Int to FP Conversion +541 5'b001??: begin +542 unreachable instruction_o.op = ariane_pkg::FCVT_F2F; // vfcvt.vfmt.vfmt - Vectorial FP to FP Conversion +543 unreachable instruction_o.rs2 = instr.rvftype.rd; // set rs2 = rd as target vector for conversion +544 unreachable imm_select = IIMM; // rs2 holds part of the intruction +545 // TODO CHECK R bit for valid fmt combinations +546 // determine source format +547 unreachable unique case (instr.rvftype.rs2[21:20]) +548 // Only process instruction if corresponding extension is active (static) +549 unreachable 2'b00: if (~CVA6Cfg.RVFVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +550 unreachable 2'b01: if (~CVA6Cfg.XF16ALTVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +551 unreachable 2'b10: if (~CVA6Cfg.XF16Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +552 unreachable 2'b11: if (~CVA6Cfg.XF8Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +553 unreachable default: illegal_instr = 1'b1; +554 endcase +555 end +556 unreachable default: illegal_instr = 1'b1; +557 endcase +558 end +559 5'b01101: begin +560 unreachable check_fprm = 1'b0; // no rounding for sign-injection +561 unreachable instruction_o.op = ariane_pkg::VFSGNJ; // vfsgnj.vfmt - Vectorial FP Sign Injection +562 end +563 5'b01110: begin +564 unreachable check_fprm = 1'b0; // no rounding for sign-injection +565 unreachable instruction_o.op = ariane_pkg::VFSGNJN; // vfsgnjn.vfmt - Vectorial FP Negated Sign Injection +566 end +567 5'b01111: begin +568 unreachable check_fprm = 1'b0; // no rounding for sign-injection +569 unreachable instruction_o.op = ariane_pkg::VFSGNJX; // vfsgnjx.vfmt - Vectorial FP XORed Sign Injection +570 end +571 5'b10000: begin +572 unreachable check_fprm = 1'b0; // no rounding for comparisons +573 unreachable instruction_o.op = ariane_pkg::VFEQ; // vfeq.vfmt - Vectorial FP Equality +574 end +575 5'b10001: begin +576 unreachable check_fprm = 1'b0; // no rounding for comparisons +577 unreachable instruction_o.op = ariane_pkg::VFNE; // vfne.vfmt - Vectorial FP Non-Equality +578 end +579 5'b10010: begin +580 unreachable check_fprm = 1'b0; // no rounding for comparisons +581 unreachable instruction_o.op = ariane_pkg::VFLT; // vfle.vfmt - Vectorial FP Less Than +582 end +583 5'b10011: begin +584 unreachable check_fprm = 1'b0; // no rounding for comparisons +585 unreachable instruction_o.op = ariane_pkg::VFGE; // vfge.vfmt - Vectorial FP Greater or Equal +586 end +587 5'b10100: begin +588 unreachable check_fprm = 1'b0; // no rounding for comparisons +589 unreachable instruction_o.op = ariane_pkg::VFLE; // vfle.vfmt - Vectorial FP Less or Equal +590 end +591 5'b10101: begin +592 unreachable check_fprm = 1'b0; // no rounding for comparisons +593 unreachable instruction_o.op = ariane_pkg::VFGT; // vfgt.vfmt - Vectorial FP Greater Than +594 end +595 5'b11000: begin +596 unreachable instruction_o.op = ariane_pkg::VFCPKAB_S; // vfcpka/b.vfmt.s - Vectorial FP Cast-and-Pack from 2x FP32, lowest 4 entries +597 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +598 unreachable if (~CVA6Cfg.RVF) +599 unreachable illegal_instr = 1'b1; // if we don't support RVF, we can't cast from FP32 + ==> MISSING_ELSE +600 // check destination format +601 unreachable unique case (instr.rvftype.vfmt) +602 // Only process instruction if corresponding extension is active and FLEN suffices (static) +603 2'b00: begin +604 unreachable if (~CVA6Cfg.RVFVec) +605 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +606 unreachable if (instr.rvftype.repl) +607 unreachable illegal_instr = 1'b1; // no entries 2/3 in vector of 2 fp32 + ==> MISSING_ELSE +608 end +609 2'b01: begin +610 unreachable if (~CVA6Cfg.XF16ALTVec) +611 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +612 end +613 2'b10: begin +614 unreachable if (~CVA6Cfg.XF16Vec) +615 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +616 end +617 2'b11: begin +618 unreachable if (~CVA6Cfg.XF8Vec) +619 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +620 end +621 unreachable default: illegal_instr = 1'b1; +622 endcase +623 end +624 5'b11001: begin +625 unreachable instruction_o.op = ariane_pkg::VFCPKCD_S; // vfcpkc/d.vfmt.s - Vectorial FP Cast-and-Pack from 2x FP32, second 4 entries +626 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +627 unreachable if (~CVA6Cfg.RVF) +628 unreachable illegal_instr = 1'b1; // if we don't support RVF, we can't cast from FP32 + ==> MISSING_ELSE +629 // check destination format +630 unreachable unique case (instr.rvftype.vfmt) +631 // Only process instruction if corresponding extension is active and FLEN suffices (static) +632 unreachable 2'b00: illegal_instr = 1'b1; // no entries 4-7 in vector of 2 FP32 +633 unreachable 2'b01: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16ALT +634 unreachable 2'b10: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16 +635 2'b11: begin +636 unreachable if (~CVA6Cfg.XF8Vec) +637 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +638 end +639 unreachable default: illegal_instr = 1'b1; +640 endcase +641 end +642 5'b11010: begin +643 unreachable instruction_o.op = ariane_pkg::VFCPKAB_D; // vfcpka/b.vfmt.d - Vectorial FP Cast-and-Pack from 2x FP64, lowest 4 entries +644 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +645 unreachable if (~CVA6Cfg.RVD) +646 unreachable illegal_instr = 1'b1; // if we don't support RVD, we can't cast from FP64 + ==> MISSING_ELSE +647 // check destination format +648 unreachable unique case (instr.rvftype.vfmt) +649 // Only process instruction if corresponding extension is active and FLEN suffices (static) +650 2'b00: begin +651 unreachable if (~CVA6Cfg.RVFVec) +652 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +653 unreachable if (instr.rvftype.repl) +654 unreachable illegal_instr = 1'b1; // no entries 2/3 in vector of 2 fp32 + ==> MISSING_ELSE +655 end +656 2'b01: begin +657 unreachable if (~CVA6Cfg.XF16ALTVec) +658 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +659 end +660 2'b10: begin +661 unreachable if (~CVA6Cfg.XF16Vec) +662 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +663 end +664 2'b11: begin +665 unreachable if (~CVA6Cfg.XF8Vec) +666 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +667 end +668 unreachable default: illegal_instr = 1'b1; +669 endcase +670 end +671 5'b11011: begin +672 unreachable instruction_o.op = ariane_pkg::VFCPKCD_D; // vfcpka/b.vfmt.d - Vectorial FP Cast-and-Pack from 2x FP64, second 4 entries +673 unreachable imm_select = SIMM; // rd into result field (upper bits don't matter) +674 unreachable if (~CVA6Cfg.RVD) +675 unreachable illegal_instr = 1'b1; // if we don't support RVD, we can't cast from FP64 + ==> MISSING_ELSE +676 // check destination format +677 unreachable unique case (instr.rvftype.vfmt) +678 // Only process instruction if corresponding extension is active and FLEN suffices (static) +679 unreachable 2'b00: illegal_instr = 1'b1; // no entries 4-7 in vector of 2 FP32 +680 unreachable 2'b01: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16ALT +681 unreachable 2'b10: illegal_instr = 1'b1; // no entries 4-7 in vector of 4 FP16 +682 2'b11: begin +683 unreachable if (~CVA6Cfg.XF8Vec) +684 unreachable illegal_instr = 1'b1; // destination vector not supported + ==> MISSING_ELSE +685 end +686 unreachable default: illegal_instr = 1'b1; +687 endcase +688 end +689 unreachable default: illegal_instr = 1'b1; +690 endcase +691 +692 // check format +693 unreachable unique case (instr.rvftype.vfmt) +694 // Only process instruction if corresponding extension is active (static) +695 unreachable 2'b00: if (~CVA6Cfg.RVFVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +696 unreachable 2'b01: if (~CVA6Cfg.XF16ALTVec) illegal_instr = 1'b1; + ==> MISSING_ELSE +697 unreachable 2'b10: if (~CVA6Cfg.XF16Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +698 unreachable 2'b11: if (~CVA6Cfg.XF8Vec) illegal_instr = 1'b1; + ==> MISSING_ELSE +699 unreachable default: illegal_instr = 1'b1; +700 endcase +701 +702 // check disallowed replication +703 unreachable if (~allow_replication & instr.rvftype.repl) illegal_instr = 1'b1; + ==> MISSING_ELSE +704 +705 // check rounding mode +706 unreachable if (check_fprm) begin +707 unreachable unique case (frm_i) inside // actual rounding mode from frm csr +708 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +709 unreachable default: illegal_instr = 1'b1; +710 endcase +711 end + ==> MISSING_ELSE +712 +713 end else begin // No vectorial FP enabled (static) +714 1/1 illegal_instr = 1'b1; +715 end +716 +717 // --------------------------- +718 // Integer Reg-Reg Operations +719 // --------------------------- +720 end else begin +721 1/1 if (CVA6Cfg.RVB) begin +722 1/1 instruction_o.fu = (instr.rtype.funct7 == 7'b000_0001 || ((instr.rtype.funct7 == 7'b000_0101) && !(instr.rtype.funct3[14]))) ? MULT : ALU; +723 end else begin +724 unreachable instruction_o.fu = (instr.rtype.funct7 == 7'b000_0001) ? MULT : ALU; +725 end +726 1/1 instruction_o.rs1 = instr.rtype.rs1; +727 1/1 instruction_o.rs2 = instr.rtype.rs2; +728 1/1 instruction_o.rd = instr.rtype.rd; +729 +730 1/1 unique case ({ +731 instr.rtype.funct7, instr.rtype.funct3 +732 }) +733 1/1 {7'b000_0000, 3'b000} : instruction_o.op = ariane_pkg::ADD; // Add +734 1/1 {7'b010_0000, 3'b000} : instruction_o.op = ariane_pkg::SUB; // Sub +735 1/1 {7'b000_0000, 3'b010} : instruction_o.op = ariane_pkg::SLTS; // Set Lower Than +736 { +737 7'b000_0000, 3'b011 +738 } : +739 1/1 instruction_o.op = ariane_pkg::SLTU; // Set Lower Than Unsigned +740 1/1 {7'b000_0000, 3'b100} : instruction_o.op = ariane_pkg::XORL; // Xor +741 1/1 {7'b000_0000, 3'b110} : instruction_o.op = ariane_pkg::ORL; // Or +742 1/1 {7'b000_0000, 3'b111} : instruction_o.op = ariane_pkg::ANDL; // And +743 1/1 {7'b000_0000, 3'b001} : instruction_o.op = ariane_pkg::SLL; // Shift Left Logical +744 1/1 {7'b000_0000, 3'b101} : instruction_o.op = ariane_pkg::SRL; // Shift Right Logical +745 1/1 {7'b010_0000, 3'b101} : instruction_o.op = ariane_pkg::SRA; // Shift Right Arithmetic +746 // Multiplications +747 1/1 {7'b000_0001, 3'b000} : instruction_o.op = ariane_pkg::MUL; +748 1/1 {7'b000_0001, 3'b001} : instruction_o.op = ariane_pkg::MULH; +749 1/1 {7'b000_0001, 3'b010} : instruction_o.op = ariane_pkg::MULHSU; +750 1/1 {7'b000_0001, 3'b011} : instruction_o.op = ariane_pkg::MULHU; +751 1/1 {7'b000_0001, 3'b100} : instruction_o.op = ariane_pkg::DIV; +752 1/1 {7'b000_0001, 3'b101} : instruction_o.op = ariane_pkg::DIVU; +753 1/1 {7'b000_0001, 3'b110} : instruction_o.op = ariane_pkg::REM; +754 1/1 {7'b000_0001, 3'b111} : instruction_o.op = ariane_pkg::REMU; +755 default: begin +756 1/1 illegal_instr_non_bm = 1'b1; +757 end +758 endcase +759 1/1 if (CVA6Cfg.RVB) begin +760 1/1 unique case ({ +761 instr.rtype.funct7, instr.rtype.funct3 +762 }) +763 //Logical with Negate +764 1/1 {7'b010_0000, 3'b111} : instruction_o.op = ariane_pkg::ANDN; // Andn +765 1/1 {7'b010_0000, 3'b110} : instruction_o.op = ariane_pkg::ORN; // Orn +766 1/1 {7'b010_0000, 3'b100} : instruction_o.op = ariane_pkg::XNOR; // Xnor +767 //Shift and Add (Bitmanip) +768 1/1 {7'b001_0000, 3'b010} : instruction_o.op = ariane_pkg::SH1ADD; // Sh1add +769 1/1 {7'b001_0000, 3'b100} : instruction_o.op = ariane_pkg::SH2ADD; // Sh2add +770 1/1 {7'b001_0000, 3'b110} : instruction_o.op = ariane_pkg::SH3ADD; // Sh3add +771 // Integer maximum/minimum +772 1/1 {7'b000_0101, 3'b110} : instruction_o.op = ariane_pkg::MAX; // max +773 1/1 {7'b000_0101, 3'b111} : instruction_o.op = ariane_pkg::MAXU; // maxu +774 1/1 {7'b000_0101, 3'b100} : instruction_o.op = ariane_pkg::MIN; // min +775 1/1 {7'b000_0101, 3'b101} : instruction_o.op = ariane_pkg::MINU; // minu +776 // Single bit instructions +777 1/1 {7'b010_0100, 3'b001} : instruction_o.op = ariane_pkg::BCLR; // bclr +778 1/1 {7'b010_0100, 3'b101} : instruction_o.op = ariane_pkg::BEXT; // bext +779 1/1 {7'b011_0100, 3'b001} : instruction_o.op = ariane_pkg::BINV; // binv +780 1/1 {7'b001_0100, 3'b001} : instruction_o.op = ariane_pkg::BSET; // bset +781 // Carry-Less-Multiplication (clmul, clmulh, clmulr) +782 1/1 {7'b000_0101, 3'b001} : instruction_o.op = ariane_pkg::CLMUL; // clmul +783 1/1 {7'b000_0101, 3'b011} : instruction_o.op = ariane_pkg::CLMULH; // clmulh +784 1/1 {7'b000_0101, 3'b010} : instruction_o.op = ariane_pkg::CLMULR; // clmulr +785 // Bitwise Shifting +786 1/1 {7'b011_0000, 3'b001} : instruction_o.op = ariane_pkg::ROL; // rol +787 1/1 {7'b011_0000, 3'b101} : instruction_o.op = ariane_pkg::ROR; // ror +788 { +789 7'b000_0100, 3'b111 +790 } : begin +791 1/1(1 unreachable) if (CVA6Cfg.ZKN) instruction_o.op = ariane_pkg::PACK_H; //packh +792 1/1 else illegal_instr_bm = 1'b1; +793 end +794 // Zero Extend Op RV32 encoding +795 { +796 7'b000_0100, 3'b100 +797 } : begin +798 1/1 if (!CVA6Cfg.IS_XLEN64 && instr.instr[24:20] == 5'b00000) +799 1/1 instruction_o.op = ariane_pkg::ZEXTH; // Zero Extend Op RV32 encoding +800 1/1(1 unreachable) else if (CVA6Cfg.ZKN) instruction_o.op = ariane_pkg::PACK; // pack +801 1/1 else illegal_instr_bm = 1'b1; +802 end +803 default: begin +804 1/1 illegal_instr_bm = 1'b1; +805 end +806 endcase +807 end + ==> MISSING_ELSE +808 1/1 if (CVA6Cfg.RVZiCond) begin +809 unreachable unique case ({ +810 instr.rtype.funct7, instr.rtype.funct3 +811 }) +812 //Conditional move +813 unreachable {7'b000_0111, 3'b101} : instruction_o.op = ariane_pkg::CZERO_EQZ; // czero.eqz +814 unreachable {7'b000_0111, 3'b111} : instruction_o.op = ariane_pkg::CZERO_NEZ; // czero.nez +815 default: begin +816 unreachable illegal_instr_zic = 1'b1; +817 end +818 endcase +819 end + MISSING_ELSE +820 //VCS coverage on +821 1/1 unique case ({ +822 CVA6Cfg.RVB, CVA6Cfg.RVZiCond +823 }) +824 unreachable 2'b00: illegal_instr = illegal_instr_non_bm; +825 unreachable 2'b01: illegal_instr = illegal_instr_non_bm & illegal_instr_zic; +826 1/1 2'b10: illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +827 unreachable 2'b11: illegal_instr = illegal_instr_non_bm & illegal_instr_bm & illegal_instr_zic; +828 unreachable default: ; +829 endcase +830 end +831 end +832 +833 // -------------------------- +834 // 32bit Reg-Reg Operations +835 // -------------------------- +836 riscv::OpcodeOp32: begin +837 1/1 instruction_o.fu = (instr.rtype.funct7 == 7'b000_0001) ? MULT : ALU; +838 1/1 instruction_o.rs1 = instr.rtype.rs1; +839 1/1 instruction_o.rs2 = instr.rtype.rs2; +840 1/1 instruction_o.rd = instr.rtype.rd; +841 1/1 if (CVA6Cfg.IS_XLEN64) begin +842 unreachable unique case ({ +843 instr.rtype.funct7, instr.rtype.funct3 +844 }) +845 unreachable {7'b000_0000, 3'b000} : instruction_o.op = ariane_pkg::ADDW; // addw +846 unreachable {7'b010_0000, 3'b000} : instruction_o.op = ariane_pkg::SUBW; // subw +847 unreachable {7'b000_0000, 3'b001} : instruction_o.op = ariane_pkg::SLLW; // sllw +848 unreachable {7'b000_0000, 3'b101} : instruction_o.op = ariane_pkg::SRLW; // srlw +849 unreachable {7'b010_0000, 3'b101} : instruction_o.op = ariane_pkg::SRAW; // sraw +850 // Multiplications +851 unreachable {7'b000_0001, 3'b000} : instruction_o.op = ariane_pkg::MULW; +852 unreachable {7'b000_0001, 3'b100} : instruction_o.op = ariane_pkg::DIVW; +853 unreachable {7'b000_0001, 3'b101} : instruction_o.op = ariane_pkg::DIVUW; +854 unreachable {7'b000_0001, 3'b110} : instruction_o.op = ariane_pkg::REMW; +855 unreachable {7'b000_0001, 3'b111} : instruction_o.op = ariane_pkg::REMUW; +856 unreachable default: illegal_instr_non_bm = 1'b1; +857 endcase +858 unreachable if (CVA6Cfg.RVB) begin +859 unreachable unique case ({ +860 instr.rtype.funct7, instr.rtype.funct3 +861 }) +862 // Shift with Add (Unsigned Word) +863 unreachable {7'b001_0000, 3'b010} : instruction_o.op = ariane_pkg::SH1ADDUW; // sh1add.uw +864 unreachable {7'b001_0000, 3'b100} : instruction_o.op = ariane_pkg::SH2ADDUW; // sh2add.uw +865 unreachable {7'b001_0000, 3'b110} : instruction_o.op = ariane_pkg::SH3ADDUW; // sh3add.uw +866 // Unsigned word Op's +867 unreachable {7'b000_0100, 3'b000} : instruction_o.op = ariane_pkg::ADDUW; // add.uw +868 // Bitwise Shifting +869 unreachable {7'b011_0000, 3'b001} : instruction_o.op = ariane_pkg::ROLW; // rolw +870 unreachable {7'b011_0000, 3'b101} : instruction_o.op = ariane_pkg::RORW; // rorw +871 { +872 7'b000_0100, 3'b100 +873 } : begin +874 unreachable if (instr.instr[24:20] == 5'b00000) +875 unreachable instruction_o.op = ariane_pkg::ZEXTH; // Zero Extend Op RV64 encoding +876 unreachable else if (CVA6Cfg.ZKN) instruction_o.op = ariane_pkg::PACK_W; // packw +877 unreachable else illegal_instr_bm = 1'b1; +878 end +879 unreachable default: illegal_instr_bm = 1'b1; +880 endcase +881 unreachable illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +882 end else begin +883 unreachable illegal_instr = illegal_instr_non_bm; +884 end +885 1/1 end else illegal_instr = 1'b1; +886 end +887 // -------------------------------- +888 // Reg-Immediate Operations +889 // -------------------------------- +890 riscv::OpcodeOpImm: begin +891 1/1 instruction_o.fu = ALU; +892 1/1 imm_select = IIMM; +893 1/1 instruction_o.rs1 = instr.itype.rs1; +894 1/1 instruction_o.rd = instr.itype.rd; +895 1/1 unique case (instr.itype.funct3) +896 1/1 3'b000: instruction_o.op = ariane_pkg::ADD; // Add Immediate +897 1/1 3'b010: instruction_o.op = ariane_pkg::SLTS; // Set to one if Lower Than Immediate +898 3'b011: +899 1/1 instruction_o.op = ariane_pkg::SLTU; // Set to one if Lower Than Immediate Unsigned +900 1/1 3'b100: instruction_o.op = ariane_pkg::XORL; // Exclusive Or with Immediate +901 1/1 3'b110: instruction_o.op = ariane_pkg::ORL; // Or with Immediate +902 1/1 3'b111: instruction_o.op = ariane_pkg::ANDL; // And with Immediate +903 +904 3'b001: begin +905 1/1 instruction_o.op = ariane_pkg::SLL; // Shift Left Logical by Immediate +906 2/2 if (instr.instr[31:26] != 6'b0) illegal_instr_non_bm = 1'b1; + MISSING_ELSE +907 2/2 if (instr.instr[25] != 1'b0 && CVA6Cfg.XLEN == 32) illegal_instr_non_bm = 1'b1; + MISSING_ELSE +908 end +909 +910 3'b101: begin +911 1/1 if (instr.instr[31:26] == 6'b0) +912 1/1 instruction_o.op = ariane_pkg::SRL; // Shift Right Logical by Immediate +913 1/1 else if (instr.instr[31:26] == 6'b010_000) +914 1/1 instruction_o.op = ariane_pkg::SRA; // Shift Right Arithmetically by Immediate +915 1/1 else illegal_instr_non_bm = 1'b1; +916 2/2 if (instr.instr[25] != 1'b0 && CVA6Cfg.XLEN == 32) illegal_instr_non_bm = 1'b1; + MISSING_ELSE +917 end +918 endcase +919 1/1 if (CVA6Cfg.RVB) begin +920 1/1 unique case (instr.itype.funct3) +921 3'b001: begin +922 1/1 if (instr.instr[31:25] == 7'b0110000) begin +923 2/2 if (instr.instr[24:20] == 5'b00100) instruction_o.op = ariane_pkg::SEXTB; +924 2/2 else if (instr.instr[24:20] == 5'b00101) instruction_o.op = ariane_pkg::SEXTH; +925 2/2 else if (instr.instr[24:20] == 5'b00010) instruction_o.op = ariane_pkg::CPOP; +926 2/2 else if (instr.instr[24:20] == 5'b00000) instruction_o.op = ariane_pkg::CLZ; +927 2/2 else if (instr.instr[24:20] == 5'b00001) instruction_o.op = ariane_pkg::CTZ; +928 1/1 else illegal_instr_bm = 1'b1; +929 1/1 end else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b010010) +930 unreachable instruction_o.op = ariane_pkg::BCLRI; +931 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b0100100) +932 1/1 instruction_o.op = ariane_pkg::BCLRI; +933 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b011010) +934 unreachable instruction_o.op = ariane_pkg::BINVI; +935 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b0110100) +936 1/1 instruction_o.op = ariane_pkg::BINVI; +937 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b001010) +938 unreachable instruction_o.op = ariane_pkg::BSETI; +939 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b0010100) +940 1/1 instruction_o.op = ariane_pkg::BSETI; +941 1/1 else if (CVA6Cfg.ZKN && instr.instr[31:20] == 12'b000010001111) +942 unreachable instruction_o.op = ariane_pkg::ZIP; +943 1/1 else illegal_instr_bm = 1'b1; +944 end +945 3'b101: begin +946 2/2 if (instr.instr[31:20] == 12'b001010000111) instruction_o.op = ariane_pkg::ORCB; +947 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:20] == 12'b011010111000) +948 unreachable instruction_o.op = ariane_pkg::REV8; +949 1/1 else if (instr.instr[31:20] == 12'b011010011000) +950 1/1 instruction_o.op = ariane_pkg::REV8; +951 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b010_010) +952 unreachable instruction_o.op = ariane_pkg::BEXTI; +953 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b010_0100) +954 1/1 instruction_o.op = ariane_pkg::BEXTI; +955 1/1 else if (CVA6Cfg.IS_XLEN64 && instr.instr[31:26] == 6'b011_000) +956 unreachable instruction_o.op = ariane_pkg::RORI; +957 1/1 else if (CVA6Cfg.IS_XLEN32 && instr.instr[31:25] == 7'b011_0000) +958 1/1 instruction_o.op = ariane_pkg::RORI; +959 1/1 else if (CVA6Cfg.ZKN && instr.instr[31:20] == 12'b011010000111) +960 unreachable instruction_o.op = ariane_pkg::BREV8; +961 1/1 else if (CVA6Cfg.ZKN && instr.instr[31:20] == 12'b000010001111) +962 unreachable instruction_o.op = ariane_pkg::UNZIP; +963 1/1 else illegal_instr_bm = 1'b1; +964 end +965 1/1 default: illegal_instr_bm = 1'b1; +966 endcase +967 1/1 illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +968 end else begin +969 unreachable illegal_instr = illegal_instr_non_bm; +970 end +971 end +972 +973 // -------------------------------- +974 // 32 bit Reg-Immediate Operations +975 // -------------------------------- +976 riscv::OpcodeOpImm32: begin +977 1/1 instruction_o.fu = ALU; +978 1/1 imm_select = IIMM; +979 1/1 instruction_o.rs1 = instr.itype.rs1; +980 1/1 instruction_o.rd = instr.itype.rd; +981 1/1 if (CVA6Cfg.IS_XLEN64) begin +982 unreachable unique case (instr.itype.funct3) +983 unreachable 3'b000: instruction_o.op = ariane_pkg::ADDW; // Add Immediate +984 3'b001: begin +985 unreachable instruction_o.op = ariane_pkg::SLLW; // Shift Left Logical by Immediate +986 unreachable if (instr.instr[31:25] != 7'b0) illegal_instr_non_bm = 1'b1; + ==> MISSING_ELSE +987 end +988 3'b101: begin +989 unreachable if (instr.instr[31:25] == 7'b0) +990 unreachable instruction_o.op = ariane_pkg::SRLW; // Shift Right Logical by Immediate +991 unreachable else if (instr.instr[31:25] == 7'b010_0000) +992 unreachable instruction_o.op = ariane_pkg::SRAW; // Shift Right Arithmetically by Immediate +993 unreachable else illegal_instr_non_bm = 1'b1; +994 end +995 unreachable default: illegal_instr_non_bm = 1'b1; +996 endcase +997 unreachable if (CVA6Cfg.RVB) begin +998 unreachable unique case (instr.itype.funct3) +999 3'b001: begin +1000 unreachable if (instr.instr[31:25] == 7'b0110000) begin +1001 unreachable if (instr.instr[21:20] == 2'b10) instruction_o.op = ariane_pkg::CPOPW; +1002 unreachable else if (instr.instr[21:20] == 2'b00) instruction_o.op = ariane_pkg::CLZW; +1003 unreachable else if (instr.instr[21:20] == 2'b01) instruction_o.op = ariane_pkg::CTZW; +1004 unreachable else illegal_instr_bm = 1'b1; +1005 unreachable end else if (instr.instr[31:26] == 6'b000010) begin +1006 unreachable instruction_o.op = ariane_pkg::SLLIUW; // Shift Left Logic by Immediate (Unsigned Word) +1007 unreachable end else illegal_instr_bm = 1'b1; +1008 end +1009 3'b101: begin +1010 unreachable if (instr.instr[31:25] == 7'b011_0000) instruction_o.op = ariane_pkg::RORIW; +1011 unreachable else illegal_instr_bm = 1'b1; +1012 end +1013 unreachable default: illegal_instr_bm = 1'b1; +1014 endcase +1015 unreachable illegal_instr = illegal_instr_non_bm & illegal_instr_bm; +1016 end else begin +1017 unreachable illegal_instr = illegal_instr_non_bm; +1018 end +1019 +1020 1/1 end else illegal_instr = 1'b1; +1021 end +1022 // -------------------------------- +1023 // LSU +1024 // -------------------------------- +1025 riscv::OpcodeStore: begin +1026 1/1 instruction_o.fu = STORE; +1027 1/1 imm_select = SIMM; +1028 1/1 instruction_o.rs1 = instr.stype.rs1; +1029 1/1 instruction_o.rs2 = instr.stype.rs2; +1030 // determine store size +1031 1/1 unique case (instr.stype.funct3) +1032 1/1 3'b000: instruction_o.op = ariane_pkg::SB; +1033 1/1 3'b001: instruction_o.op = ariane_pkg::SH; +1034 1/1 3'b010: instruction_o.op = ariane_pkg::SW; +1035 3'b011: +1036 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64) instruction_o.op = ariane_pkg::SD; +1037 1/1 else illegal_instr = 1'b1; +1038 1/1 default: illegal_instr = 1'b1; +1039 endcase +1040 1/1 if (CVA6Cfg.RVH) begin +1041 unreachable tinst = {7'b0, instr.stype.rs2, 5'b0, instr.stype.funct3, 5'b0, instr.stype.opcode}; +1042 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1043 end + MISSING_ELSE +1044 end +1045 +1046 riscv::OpcodeLoad: begin +1047 1/1 instruction_o.fu = LOAD; +1048 1/1 imm_select = IIMM; +1049 1/1 instruction_o.rs1 = instr.itype.rs1; +1050 1/1 instruction_o.rd = instr.itype.rd; +1051 // determine load size and signed type +1052 1/1 unique case (instr.itype.funct3) +1053 1/1 3'b000: instruction_o.op = ariane_pkg::LB; +1054 1/1 3'b001: instruction_o.op = ariane_pkg::LH; +1055 1/1 3'b010: instruction_o.op = ariane_pkg::LW; +1056 1/1 3'b100: instruction_o.op = ariane_pkg::LBU; +1057 1/1 3'b101: instruction_o.op = ariane_pkg::LHU; +1058 3'b110: +1059 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64) instruction_o.op = ariane_pkg::LWU; +1060 1/1 else illegal_instr = 1'b1; +1061 3'b011: +1062 1/1(1 unreachable) if (CVA6Cfg.XLEN == 64) instruction_o.op = ariane_pkg::LD; +1063 1/1 else illegal_instr = 1'b1; +1064 1/1 default: illegal_instr = 1'b1; +1065 endcase +1066 1/1 if (CVA6Cfg.RVH) begin +1067 unreachable tinst = {17'b0, instr.itype.funct3, instr.itype.rd, instr.itype.opcode}; +1068 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1069 end + MISSING_ELSE +1070 end +1071 +1072 // -------------------------------- +1073 // Floating-Point Load/store +1074 // -------------------------------- +1075 riscv::OpcodeStoreFp: begin +1076 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1077 unreachable instruction_o.fu = STORE; +1078 unreachable imm_select = SIMM; +1079 unreachable instruction_o.rs1 = instr.stype.rs1; +1080 unreachable instruction_o.rs2 = instr.stype.rs2; +1081 // determine store size +1082 unreachable unique case (instr.stype.funct3) +1083 // Only process instruction if corresponding extension is active (static) +1084 3'b000: +1085 unreachable if (CVA6Cfg.XF8) instruction_o.op = ariane_pkg::FSB; +1086 unreachable else illegal_instr = 1'b1; +1087 3'b001: +1088 unreachable if (CVA6Cfg.XF16 | CVA6Cfg.XF16ALT) instruction_o.op = ariane_pkg::FSH; +1089 unreachable else illegal_instr = 1'b1; +1090 3'b010: +1091 unreachable if (CVA6Cfg.RVF) instruction_o.op = ariane_pkg::FSW; +1092 unreachable else illegal_instr = 1'b1; +1093 3'b011: +1094 unreachable if (CVA6Cfg.RVD) instruction_o.op = ariane_pkg::FSD; +1095 unreachable else illegal_instr = 1'b1; +1096 unreachable default: illegal_instr = 1'b1; +1097 endcase +1098 unreachable if (CVA6Cfg.RVH) begin +1099 unreachable tinst = {7'b0, instr.stype.rs2, 5'b0, instr.stype.funct3, 5'b0, instr.stype.opcode}; +1100 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1101 end + ==> MISSING_ELSE +1102 1/1 end else illegal_instr = 1'b1; +1103 end +1104 +1105 riscv::OpcodeLoadFp: begin +1106 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1107 unreachable instruction_o.fu = LOAD; +1108 unreachable imm_select = IIMM; +1109 unreachable instruction_o.rs1 = instr.itype.rs1; +1110 unreachable instruction_o.rd = instr.itype.rd; +1111 // determine load size +1112 unreachable unique case (instr.itype.funct3) +1113 // Only process instruction if corresponding extension is active (static) +1114 3'b000: +1115 unreachable if (CVA6Cfg.XF8) instruction_o.op = ariane_pkg::FLB; +1116 unreachable else illegal_instr = 1'b1; +1117 3'b001: +1118 unreachable if (CVA6Cfg.XF16 | CVA6Cfg.XF16ALT) instruction_o.op = ariane_pkg::FLH; +1119 unreachable else illegal_instr = 1'b1; +1120 3'b010: +1121 unreachable if (CVA6Cfg.RVF) instruction_o.op = ariane_pkg::FLW; +1122 unreachable else illegal_instr = 1'b1; +1123 3'b011: +1124 unreachable if (CVA6Cfg.RVD) instruction_o.op = ariane_pkg::FLD; +1125 unreachable else illegal_instr = 1'b1; +1126 unreachable default: illegal_instr = 1'b1; +1127 endcase +1128 unreachable if (CVA6Cfg.RVH) begin +1129 unreachable tinst = {17'b0, instr.itype.funct3, instr.itype.rd, instr.itype.opcode}; +1130 unreachable tinst[1] = is_compressed_i ? 1'b0 : 'b1; +1131 end + ==> MISSING_ELSE +1132 1/1 end else illegal_instr = 1'b1; +1133 end +1134 +1135 // ---------------------------------- +1136 // Floating-Point Reg-Reg Operations +1137 // ---------------------------------- +1138 riscv::OpcodeMadd, riscv::OpcodeMsub, riscv::OpcodeNmsub, riscv::OpcodeNmadd: begin +1139 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1140 unreachable instruction_o.fu = FPU; +1141 unreachable instruction_o.rs1 = instr.r4type.rs1; +1142 unreachable instruction_o.rs2 = instr.r4type.rs2; +1143 unreachable instruction_o.rd = instr.r4type.rd; +1144 unreachable imm_select = RS3; // rs3 into result field +1145 unreachable check_fprm = 1'b1; +1146 // select the correct fused operation +1147 unreachable unique case (instr.r4type.opcode) +1148 default: instruction_o.op = ariane_pkg::FMADD; // fmadd.fmt - FP Fused multiply-add +1149 riscv::OpcodeMsub: +1150 unreachable instruction_o.op = ariane_pkg::FMSUB; // fmsub.fmt - FP Fused multiply-subtract +1151 riscv::OpcodeNmsub: +1152 unreachable instruction_o.op = ariane_pkg::FNMSUB; // fnmsub.fmt - FP Negated fused multiply-subtract +1153 riscv::OpcodeNmadd: +1154 unreachable instruction_o.op = ariane_pkg::FNMADD; // fnmadd.fmt - FP Negated fused multiply-add +1148 unreachable default: instruction_o.op = ariane_pkg::FMADD; // fmadd.fmt - FP Fused multiply-add +1149 riscv::OpcodeMsub: +1150 instruction_o.op = ariane_pkg::FMSUB; // fmsub.fmt - FP Fused multiply-subtract +1151 riscv::OpcodeNmsub: +1152 instruction_o.op = ariane_pkg::FNMSUB; // fnmsub.fmt - FP Negated fused multiply-subtract +1153 riscv::OpcodeNmadd: +1154 instruction_o.op = ariane_pkg::FNMADD; // fnmadd.fmt - FP Negated fused multiply-add +1155 endcase +1156 +1157 // determine fp format +1158 unreachable unique case (instr.r4type.funct2) +1159 // Only process instruction if corresponding extension is active (static) +1160 unreachable 2'b00: if (~CVA6Cfg.RVF) illegal_instr = 1'b1; + ==> MISSING_ELSE +1161 unreachable 2'b01: if (~CVA6Cfg.RVD) illegal_instr = 1'b1; + ==> MISSING_ELSE +1162 unreachable 2'b10: if (~CVA6Cfg.XF16 & ~CVA6Cfg.XF16ALT) illegal_instr = 1'b1; + ==> MISSING_ELSE +1163 unreachable 2'b11: if (~CVA6Cfg.XF8) illegal_instr = 1'b1; + ==> MISSING_ELSE +1164 unreachable default: illegal_instr = 1'b1; +1165 endcase +1166 +1167 // check rounding mode +1168 unreachable if (check_fprm) begin +1169 unreachable unique case (instr.rftype.rm) inside +1170 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1171 3'b101: begin // Alternative Half-Precsision encded as fmt=10 and rm=101 +1172 unreachable if (~CVA6Cfg.XF16ALT || instr.rftype.fmt != 2'b10) illegal_instr = 1'b1; + ==> MISSING_ELSE +1173 unreachable unique case (frm_i) inside // actual rounding mode from frm csr +1174 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1175 unreachable default: illegal_instr = 1'b1; +1176 endcase +1177 end +1178 3'b111: begin +1179 // rounding mode from frm csr +1180 unreachable unique case (frm_i) inside +1181 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1182 unreachable default: illegal_instr = 1'b1; +1183 endcase +1184 end +1185 unreachable default: illegal_instr = 1'b1; +1186 endcase +1187 end + ==> MISSING_ELSE +1188 end else begin +1189 1/1 illegal_instr = 1'b1; +1190 end +1191 end +1192 +1193 riscv::OpcodeOpFp: begin +1194 1/1 if (CVA6Cfg.FpPresent && fs_i != riscv::Off && ((CVA6Cfg.RVH && (!v_i || vfs_i != riscv::Off)) || !CVA6Cfg.RVH)) begin // only generate decoder if FP extensions are enabled (static) +1195 unreachable instruction_o.fu = FPU; +1196 unreachable instruction_o.rs1 = instr.rftype.rs1; +1197 unreachable instruction_o.rs2 = instr.rftype.rs2; +1198 unreachable instruction_o.rd = instr.rftype.rd; +1199 unreachable check_fprm = 1'b1; +1200 // decode FP instruction +1201 unreachable unique case (instr.rftype.funct5) +1202 5'b00000: begin +1203 unreachable instruction_o.op = ariane_pkg::FADD; // fadd.fmt - FP Addition +1204 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +1205 unreachable instruction_o.rs2 = instr.rftype.rs1; // Operand B is set to rs1 +1206 unreachable imm_select = IIMM; // Operand C is set to rs2 +1207 end +1208 5'b00001: begin +1209 unreachable instruction_o.op = ariane_pkg::FSUB; // fsub.fmt - FP Subtraction +1210 unreachable instruction_o.rs1 = '0; // Operand A is set to 0 +1211 unreachable instruction_o.rs2 = instr.rftype.rs1; // Operand B is set to rs1 +1212 unreachable imm_select = IIMM; // Operand C is set to rs2 +1213 end +1214 unreachable 5'b00010: instruction_o.op = ariane_pkg::FMUL; // fmul.fmt - FP Multiplication +1215 unreachable 5'b00011: instruction_o.op = ariane_pkg::FDIV; // fdiv.fmt - FP Division +1216 5'b01011: begin +1217 unreachable instruction_o.op = ariane_pkg::FSQRT; // fsqrt.fmt - FP Square Root +1218 // rs2 must be zero +1219 unreachable if (instr.rftype.rs2 != 5'b00000) illegal_instr = 1'b1; + ==> MISSING_ELSE +1220 end +1221 5'b00100: begin +1222 unreachable instruction_o.op = ariane_pkg::FSGNJ; // fsgn{j[n]/jx}.fmt - FP Sign Injection +1223 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1224 unreachable if (CVA6Cfg.XF16ALT) begin // FP16ALT instructions encoded in rm separately (static) +1225 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010], [3'b100 : 3'b110]})) +1226 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1227 end else begin +1228 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010]})) illegal_instr = 1'b1; + ==> MISSING_ELSE +1229 end +1230 end +1231 5'b00101: begin +1232 unreachable instruction_o.op = ariane_pkg::FMIN_MAX; // fmin/fmax.fmt - FP Minimum / Maximum +1233 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1234 unreachable if (CVA6Cfg.XF16ALT) begin // FP16ALT instructions encoded in rm separately (static) +1235 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b001], [3'b100 : 3'b101]})) +1236 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1237 end else begin +1238 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b001]})) illegal_instr = 1'b1; + ==> MISSING_ELSE +1239 end +1240 end +1241 5'b01000: begin +1242 unreachable instruction_o.op = ariane_pkg::FCVT_F2F; // fcvt.fmt.fmt - FP to FP Conversion +1243 unreachable instruction_o.rs2 = instr.rvftype.rs1; // tie rs2 to rs1 to be safe (vectors use rs2) +1244 unreachable imm_select = IIMM; // rs2 holds part of the intruction +1245 unreachable if (|instr.rftype.rs2[24:23]) +1246 unreachable illegal_instr = 1'b1; // bits [22:20] used, other bits must be 0 + ==> MISSING_ELSE +1247 // check source format +1248 unreachable unique case (instr.rftype.rs2[22:20]) +1249 // Only process instruction if corresponding extension is active (static) +1250 unreachable 3'b000: if (~CVA6Cfg.RVF) illegal_instr = 1'b1; + ==> MISSING_ELSE +1251 unreachable 3'b001: if (~CVA6Cfg.RVD) illegal_instr = 1'b1; + ==> MISSING_ELSE +1252 unreachable 3'b010: if (~CVA6Cfg.XF16) illegal_instr = 1'b1; + ==> MISSING_ELSE +1253 unreachable 3'b110: if (~CVA6Cfg.XF16ALT) illegal_instr = 1'b1; + ==> MISSING_ELSE +1254 unreachable 3'b011: if (~CVA6Cfg.XF8) illegal_instr = 1'b1; + ==> MISSING_ELSE +1255 unreachable default: illegal_instr = 1'b1; +1256 endcase +1257 end +1258 5'b10100: begin +1259 unreachable instruction_o.op = ariane_pkg::FCMP; // feq/flt/fle.fmt - FP Comparisons +1260 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1261 unreachable if (CVA6Cfg.XF16ALT) begin // FP16ALT instructions encoded in rm separately (static) +1262 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010], [3'b100 : 3'b110]})) +1263 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1264 end else begin +1265 unreachable if (!(instr.rftype.rm inside {[3'b000 : 3'b010]})) illegal_instr = 1'b1; + ==> MISSING_ELSE +1266 end +1267 end +1268 5'b11000: begin +1269 unreachable instruction_o.op = ariane_pkg::FCVT_F2I; // fcvt.ifmt.fmt - FP to Int Conversion +1270 unreachable imm_select = IIMM; // rs2 holds part of the instruction +1271 unreachable if (|instr.rftype.rs2[24:22]) +1272 unreachable illegal_instr = 1'b1; // bits [21:20] used, other bits must be 0 + ==> MISSING_ELSE +1273 end +1274 5'b11010: begin +1275 unreachable instruction_o.op = ariane_pkg::FCVT_I2F; // fcvt.fmt.ifmt - Int to FP Conversion +1276 unreachable imm_select = IIMM; // rs2 holds part of the instruction +1277 unreachable if (|instr.rftype.rs2[24:22]) +1278 unreachable illegal_instr = 1'b1; // bits [21:20] used, other bits must be 0 + ==> MISSING_ELSE +1279 end +1280 5'b11100: begin +1281 unreachable instruction_o.rs2 = instr.rftype.rs1; // set rs2 = rs1 so we can map FMV to SGNJ in the unit +1282 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1283 unreachable if (instr.rftype.rm == 3'b000 || (CVA6Cfg.XF16ALT && instr.rftype.rm == 3'b100)) // FP16ALT has separate encoding +1284 unreachable instruction_o.op = ariane_pkg::FMV_F2X; // fmv.ifmt.fmt - FPR to GPR Move +1285 unreachable else if (instr.rftype.rm == 3'b001 || (CVA6Cfg.XF16ALT && instr.rftype.rm == 3'b101)) // FP16ALT has separate encoding +1286 unreachable instruction_o.op = ariane_pkg::FCLASS; // fclass.fmt - FP Classify +1287 unreachable else illegal_instr = 1'b1; +1288 // rs2 must be zero +1289 unreachable if (instr.rftype.rs2 != 5'b00000) illegal_instr = 1'b1; + ==> MISSING_ELSE +1290 end +1291 5'b11110: begin +1292 unreachable instruction_o.op = ariane_pkg::FMV_X2F; // fmv.fmt.ifmt - GPR to FPR Move +1293 unreachable instruction_o.rs2 = instr.rftype.rs1; // set rs2 = rs1 so we can map FMV to SGNJ in the unit +1294 unreachable check_fprm = 1'b0; // instruction encoded in rm, do the check here +1295 unreachable if (!(instr.rftype.rm == 3'b000 || (CVA6Cfg.XF16ALT && instr.rftype.rm == 3'b100))) +1296 unreachable illegal_instr = 1'b1; + ==> MISSING_ELSE +1297 // rs2 must be zero +1298 unreachable if (instr.rftype.rs2 != 5'b00000) illegal_instr = 1'b1; + ==> MISSING_ELSE +1299 end +1300 unreachable default: illegal_instr = 1'b1; +1301 endcase +1302 +1303 // check format +1304 unreachable unique case (instr.rftype.fmt) +1305 // Only process instruction if corresponding extension is active (static) +1306 unreachable 2'b00: if (~CVA6Cfg.RVF) illegal_instr = 1'b1; + ==> MISSING_ELSE +1307 unreachable 2'b01: if (~CVA6Cfg.RVD) illegal_instr = 1'b1; + ==> MISSING_ELSE +1308 unreachable 2'b10: if (~CVA6Cfg.XF16 & ~CVA6Cfg.XF16ALT) illegal_instr = 1'b1; + ==> MISSING_ELSE +1309 unreachable 2'b11: if (~CVA6Cfg.XF8) illegal_instr = 1'b1; + ==> MISSING_ELSE +1310 unreachable default: illegal_instr = 1'b1; +1311 endcase +1312 +1313 // check rounding mode +1314 unreachable if (check_fprm) begin +1315 unreachable unique case (instr.rftype.rm) inside +1316 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1317 3'b101: begin // Alternative Half-Precsision encded as fmt=10 and rm=101 +1318 unreachable if (~CVA6Cfg.XF16ALT || instr.rftype.fmt != 2'b10) illegal_instr = 1'b1; + ==> MISSING_ELSE +1319 unreachable unique case (frm_i) inside // actual rounding mode from frm csr +1320 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1321 unreachable default: illegal_instr = 1'b1; +1322 endcase +1323 end +1324 3'b111: begin +1325 // rounding mode from frm csr +1326 unreachable unique case (frm_i) inside +1327 unreachable [3'b000 : 3'b100]: ; //legal rounding modes +1328 unreachable default: illegal_instr = 1'b1; +1329 endcase +1330 end +1331 unreachable default: illegal_instr = 1'b1; +1332 endcase +1333 end + ==> MISSING_ELSE +1334 end else begin +1335 1/1 illegal_instr = 1'b1; +1336 end +1337 end +1338 +1339 // ---------------------------------- +1340 // Atomic Operations +1341 // ---------------------------------- +1342 riscv::OpcodeAmo: begin +1343 // we are going to use the load unit for AMOs +1344 1/1 instruction_o.fu = STORE; +1345 1/1 instruction_o.rs1 = instr.atype.rs1; +1346 1/1 instruction_o.rs2 = instr.atype.rs2; +1347 1/1 instruction_o.rd = instr.atype.rd; +1348 // TODO(zarubaf): Ordering +1349 // words +1350 1/1 if (CVA6Cfg.RVA && instr.stype.funct3 == 3'h2) begin +1351 unreachable unique case (instr.instr[31:27]) +1352 unreachable 5'h0: instruction_o.op = ariane_pkg::AMO_ADDW; +1353 unreachable 5'h1: instruction_o.op = ariane_pkg::AMO_SWAPW; +1354 5'h2: begin +1355 unreachable instruction_o.op = ariane_pkg::AMO_LRW; +1356 unreachable if (instr.atype.rs2 != 0) illegal_instr = 1'b1; + ==> MISSING_ELSE +1357 end +1358 unreachable 5'h3: instruction_o.op = ariane_pkg::AMO_SCW; +1359 unreachable 5'h4: instruction_o.op = ariane_pkg::AMO_XORW; +1360 unreachable 5'h8: instruction_o.op = ariane_pkg::AMO_ORW; +1361 unreachable 5'hC: instruction_o.op = ariane_pkg::AMO_ANDW; +1362 unreachable 5'h10: instruction_o.op = ariane_pkg::AMO_MINW; +1363 unreachable 5'h14: instruction_o.op = ariane_pkg::AMO_MAXW; +1364 unreachable 5'h18: instruction_o.op = ariane_pkg::AMO_MINWU; +1365 unreachable 5'h1C: instruction_o.op = ariane_pkg::AMO_MAXWU; +1366 unreachable default: illegal_instr = 1'b1; +1367 endcase +1368 // double words +1369 1/1 end else if (CVA6Cfg.IS_XLEN64 && CVA6Cfg.RVA && instr.stype.funct3 == 3'h3) begin +1370 unreachable unique case (instr.instr[31:27]) +1371 unreachable 5'h0: instruction_o.op = ariane_pkg::AMO_ADDD; +1372 unreachable 5'h1: instruction_o.op = ariane_pkg::AMO_SWAPD; +1373 5'h2: begin +1374 unreachable instruction_o.op = ariane_pkg::AMO_LRD; +1375 unreachable if (instr.atype.rs2 != 0) illegal_instr = 1'b1; + ==> MISSING_ELSE +1376 end +1377 unreachable 5'h3: instruction_o.op = ariane_pkg::AMO_SCD; +1378 unreachable 5'h4: instruction_o.op = ariane_pkg::AMO_XORD; +1379 unreachable 5'h8: instruction_o.op = ariane_pkg::AMO_ORD; +1380 unreachable 5'hC: instruction_o.op = ariane_pkg::AMO_ANDD; +1381 unreachable 5'h10: instruction_o.op = ariane_pkg::AMO_MIND; +1382 unreachable 5'h14: instruction_o.op = ariane_pkg::AMO_MAXD; +1383 unreachable 5'h18: instruction_o.op = ariane_pkg::AMO_MINDU; +1384 unreachable 5'h1C: instruction_o.op = ariane_pkg::AMO_MAXDU; +1385 unreachable default: illegal_instr = 1'b1; +1386 endcase +1387 end else begin +1388 1/1 illegal_instr = 1'b1; +1389 end +1390 1/1 if (CVA6Cfg.RVH) begin +1391 unreachable tinst = { +1392 instr.atype.funct5, +1393 instr.atype.aq, +1394 instr.atype.rl, +1395 instr.atype.rs2, +1396 5'b0, +1397 instr.atype.funct3, +1398 instr.atype.rd, +1399 instr.atype.opcode +1400 }; +1401 end + MISSING_ELSE +1402 end +1403 +1404 // -------------------------------- +1405 // Control Flow Instructions +1406 // -------------------------------- +1407 riscv::OpcodeBranch: begin +1408 1/1 imm_select = SBIMM; +1409 1/1 instruction_o.fu = CTRL_FLOW; +1410 1/1 instruction_o.rs1 = instr.stype.rs1; +1411 1/1 instruction_o.rs2 = instr.stype.rs2; +1412 +1413 1/1 is_control_flow_instr_o = 1'b1; +1414 +1415 1/1 case (instr.stype.funct3) +1416 1/1 3'b000: instruction_o.op = ariane_pkg::EQ; +1417 1/1 3'b001: instruction_o.op = ariane_pkg::NE; +1418 1/1 3'b100: instruction_o.op = ariane_pkg::LTS; +1419 1/1 3'b101: instruction_o.op = ariane_pkg::GES; +1420 1/1 3'b110: instruction_o.op = ariane_pkg::LTU; +1421 1/1 3'b111: instruction_o.op = ariane_pkg::GEU; +1422 default: begin +1423 1/1 is_control_flow_instr_o = 1'b0; +1424 1/1 illegal_instr = 1'b1; +1425 end +1426 endcase +1427 end +1428 // Jump and link register +1429 riscv::OpcodeJalr: begin +1430 1/1 instruction_o.fu = CTRL_FLOW; +1431 1/1 instruction_o.op = ariane_pkg::JALR; +1432 1/1 instruction_o.rs1 = instr.itype.rs1; +1433 1/1 imm_select = IIMM; +1434 1/1 instruction_o.rd = instr.itype.rd; +1435 1/1 is_control_flow_instr_o = 1'b1; +1436 // invalid jump and link register -> reserved for vector encoding +1437 2/2 if (instr.itype.funct3 != 3'b0) illegal_instr = 1'b1; + MISSING_ELSE +1438 end +1439 // Jump and link +1440 riscv::OpcodeJal: begin +1441 1/1 instruction_o.fu = CTRL_FLOW; +1442 1/1 imm_select = JIMM; +1443 1/1 instruction_o.rd = instr.utype.rd; +1444 1/1 is_control_flow_instr_o = 1'b1; +1445 end +1446 +1447 riscv::OpcodeAuipc: begin +1448 1/1 instruction_o.fu = ALU; +1449 1/1 imm_select = UIMM; +1450 1/1 instruction_o.use_pc = 1'b1; +1451 1/1 instruction_o.rd = instr.utype.rd; +1452 end +1453 +1454 riscv::OpcodeLui: begin +1455 1/1 imm_select = UIMM; +1456 1/1 instruction_o.fu = ALU; +1457 1/1 instruction_o.rd = instr.utype.rd; +1458 end +1459 +1460 1/1 default: illegal_instr = 1'b1; +1461 endcase +1462 end + MISSING_ELSE +1463 1/1 if (CVA6Cfg.CvxifEn) begin +1464 1/1 if (~ex_i.valid && (is_illegal_i || illegal_instr)) begin +1465 1/1 instruction_o.fu = CVXIF; +1466 1/1 instruction_o.rs1 = instr.r4type.rs1; +1467 1/1 instruction_o.rs2 = instr.r4type.rs2; +1468 1/1 instruction_o.rd = instr.r4type.rd; +1469 1/1 instruction_o.op = ariane_pkg::OFFLOAD; +1470 1/1 imm_select = instr.rtype.opcode == riscv::OpcodeMadd || +1471 instr.rtype.opcode == riscv::OpcodeMsub || +1472 instr.rtype.opcode == riscv::OpcodeNmadd || +1473 instr.rtype.opcode == riscv::OpcodeNmsub ? RS3 : MUX_RD_RS3; +1474 end + MISSING_ELSE +1475 end + ==> MISSING_ELSE +1476 +1477 // Accelerator instructions. +1478 // These can overwrite the previous decoding entirely. +1479 1/1 if (CVA6Cfg.EnableAccelerator) begin // only generate decoder if accelerators are enabled (static) +1480 unreachable if (is_accel) begin +1481 unreachable instruction_o.fu = acc_instruction.fu; +1482 unreachable instruction_o.vfp = acc_instruction.vfp; +1483 unreachable instruction_o.rs1 = acc_instruction.rs1; +1484 unreachable instruction_o.rs2 = acc_instruction.rs2; +1485 unreachable instruction_o.rd = acc_instruction.rd; +1486 unreachable instruction_o.op = acc_instruction.op; +1487 unreachable illegal_instr = acc_illegal_instr; +1488 unreachable is_control_flow_instr_o = acc_is_control_flow_instr; +1489 end + ==> MISSING_ELSE +1490 end + MISSING_ELSE +1491 end +1492 +1493 // -------------------------------- +1494 // Sign extend immediate +1495 // -------------------------------- +1496 always_comb begin : sign_extend +1497 1/1 imm_i_type = {{CVA6Cfg.XLEN - 12{instruction_i[31]}}, instruction_i[31:20]}; +1498 1/1 imm_s_type = { +1499 {CVA6Cfg.XLEN - 12{instruction_i[31]}}, instruction_i[31:25], instruction_i[11:7] +1500 }; +1501 1/1 imm_sb_type = { +1502 {CVA6Cfg.XLEN - 13{instruction_i[31]}}, +1503 instruction_i[31], +1504 instruction_i[7], +1505 instruction_i[30:25], +1506 instruction_i[11:8], +1507 1'b0 +1508 }; +1509 1/1 imm_u_type = { +1510 {CVA6Cfg.XLEN - 32{instruction_i[31]}}, instruction_i[31:12], 12'b0 +1511 }; // JAL, AUIPC, sign extended to 64 bit +1512 // if zcmt then xlen jump address assign to immidiate +1513 1/1 if (CVA6Cfg.RVZCMT && is_zcmt_i) begin +1514 unreachable imm_uj_type = {{CVA6Cfg.XLEN - 32{jump_address_i[31]}}, jump_address_i[31:0]}; +1515 end else begin +1516 1/1 imm_uj_type = { +1517 {CVA6Cfg.XLEN - 20{instruction_i[31]}}, +1518 instruction_i[19:12], +1519 instruction_i[20], +1520 instruction_i[30:21], +1521 1'b0 +1522 }; +1523 end +1524 +1525 // NOIMM, IIMM, SIMM, SBIMM, UIMM, JIMM, RS3 +1526 // select immediate +1527 1/1 case (imm_select) +1528 IIMM: begin +1529 1/1 instruction_o.result = imm_i_type; +1530 1/1 instruction_o.use_imm = 1'b1; +1531 end +1532 SIMM: begin +1533 1/1 instruction_o.result = imm_s_type; +1534 1/1 instruction_o.use_imm = 1'b1; +1535 end +1536 SBIMM: begin +1537 1/1 instruction_o.result = imm_sb_type; +1538 1/1 instruction_o.use_imm = 1'b1; +1539 end +1540 UIMM: begin +1541 1/1 instruction_o.result = imm_u_type; +1542 1/1 instruction_o.use_imm = 1'b1; +1543 end +1544 JIMM: begin +1545 1/1 instruction_o.result = imm_uj_type; +1546 1/1 instruction_o.use_imm = 1'b1; +1547 end +1548 RS3: begin +1549 // result holds address of fp operand rs3 +1550 1/1 instruction_o.result = {{CVA6Cfg.XLEN - 5{1'b0}}, instr.r4type.rs3}; +1551 1/1 instruction_o.use_imm = 1'b0; +1552 end +1553 MUX_RD_RS3: begin +1554 // result holds address of operand rs3 which is in rd field +1555 1/1 instruction_o.result = {{CVA6Cfg.XLEN - 5{1'b0}}, instr.rtype.rd}; +1556 1/1 instruction_o.use_imm = 1'b0; +1557 end +1558 default: begin +1559 1/1 instruction_o.result = {CVA6Cfg.XLEN{1'b0}}; +1560 1/1 instruction_o.use_imm = 1'b0; +1561 end +1562 endcase +1563 +1564 1/1 if (CVA6Cfg.EnableAccelerator) begin +1565 unreachable if (is_accel) begin +1566 unreachable instruction_o.result = acc_instruction.result; +1567 unreachable instruction_o.use_imm = acc_instruction.use_imm; +1568 end + ==> MISSING_ELSE +1569 end + MISSING_ELSE +1570 end +1571 +1572 // --------------------- +1573 // Exception handling +1574 // --------------------- +1575 logic [CVA6Cfg.XLEN-1:0] interrupt_cause; +1576 +1577 // this instruction has already executed if the exception is valid +1578 assign instruction_o.valid = instruction_o.ex.valid; +1579 +1580 always_comb begin : exception_handling +1581 1/1 interrupt_cause = '0; +1582 1/1 instruction_o.ex = ex_i; +1583 1/1 orig_instr_o = (is_compressed_i) ? {{CVA6Cfg.XLEN-16{1'b0}}, compressed_instr_i} : {{CVA6Cfg.XLEN-32{1'b0}}, instruction_i}; +1584 // look if we didn't already get an exception in any previous +1585 // stage - we should not overwrite it as we retain order regarding the exception +1586 1/1 if (~ex_i.valid) begin +1587 // if we didn't already get an exception save the instruction here as we may need it +1588 // in the commit stage if we got a access exception to one of the CSR registers +1589 1/1 if (CVA6Cfg.TvalEn) +1590 unreachable instruction_o.ex.tval = (is_compressed_i) ? {{CVA6Cfg.XLEN-16{1'b0}}, compressed_instr_i} : {{CVA6Cfg.XLEN-32{1'b0}}, instruction_i}; +1591 1/1 else instruction_o.ex.tval = '0; +1592 1/1(1 unreachable) if (CVA6Cfg.RVH) instruction_o.ex.tinst = tinst; +1593 1/1 else instruction_o.ex.tinst = '0; +1594 // instructions which will throw an exception are marked as valid +1595 // e.g.: they can be committed anytime and do not need to wait for any functional unit +1596 // check here if we decoded an invalid instruction or if the compressed decoder already decoded +1597 // a invalid instruction +1598 1/1 if (illegal_instr || is_illegal_i) begin +1599 1/1(1 unreachable) if (!CVA6Cfg.CvxifEn) instruction_o.ex.valid = 1'b1; + MISSING_ELSE +1600 // we decoded an illegal exception here +1601 1/1 instruction_o.ex.cause = riscv::ILLEGAL_INSTR; +1602 1/1 end else if (CVA6Cfg.RVH && virtual_illegal_instr) begin +1603 unreachable instruction_o.ex.valid = 1'b1; +1604 // we decoded an virtual illegal exception here +1605 unreachable instruction_o.ex.cause = riscv::VIRTUAL_INSTRUCTION; +1606 // we got an ecall, set the correct cause depending on the current privilege level +1607 1/1 end else if (ecall) begin +1608 // this exception is valid +1609 1/1 instruction_o.ex.valid = 1'b1; +1610 // depending on the privilege mode, set the appropriate cause +1611 1/1 if (priv_lvl_i == riscv::PRIV_LVL_S && CVA6Cfg.RVS) begin +1612 unreachable instruction_o.ex.cause = (CVA6Cfg.RVH && v_i) ? riscv::ENV_CALL_VSMODE : riscv::ENV_CALL_SMODE; +1613 1/1 end else if (priv_lvl_i == riscv::PRIV_LVL_U && CVA6Cfg.RVU) begin +1614 unreachable instruction_o.ex.cause = riscv::ENV_CALL_UMODE; +1615 // we are in M-mode +1616 end else begin +1617 1/1 instruction_o.ex.cause = riscv::ENV_CALL_MMODE; +1618 end +1619 1/1 end else if (ebreak) begin +1620 // this exception is valid +1621 1/1 instruction_o.ex.valid = 1'b1; +1622 // set breakpoint cause +1623 1/1 instruction_o.ex.cause = riscv::BREAKPOINT; +1624 // set gva bit +1625 1/1(1 unreachable) if (CVA6Cfg.RVH) instruction_o.ex.gva = v_i; +1626 1/1 else instruction_o.ex.gva = 1'b0; +1627 end + MISSING_ELSE +1628 // ----------------- +1629 // Interrupt Control +1630 // ----------------- +1631 // we decode an interrupt the same as an exception, hence it will be taken if the instruction did not +1632 // throw any previous exception. +1633 // we have three interrupt sources: external interrupts, software interrupts, timer interrupts (order of precedence) +1634 // for two privilege levels: Supervisor and Machine Mode +1635 // Virtual Supervisor Timer Interrupt +1636 1/1 if (CVA6Cfg.RVH) begin +1637 unreachable if (irq_ctrl_i.mie[riscv::IRQ_VS_TIMER] && irq_ctrl_i.mip[riscv::IRQ_VS_TIMER]) begin +1638 unreachable interrupt_cause = INTERRUPTS.VS_TIMER; +1639 end + ==> MISSING_ELSE +1640 // Virtual Supervisor Software Interrupt +1641 unreachable if (irq_ctrl_i.mie[riscv::IRQ_VS_SOFT] && irq_ctrl_i.mip[riscv::IRQ_VS_SOFT]) begin +1642 unreachable interrupt_cause = INTERRUPTS.VS_SW; +1643 end + ==> MISSING_ELSE +1644 // Virtual Supervisor External Interrupt +1645 unreachable if (irq_ctrl_i.mie[riscv::IRQ_VS_EXT] && (irq_ctrl_i.mip[riscv::IRQ_VS_EXT])) begin +1646 unreachable interrupt_cause = INTERRUPTS.VS_EXT; +1647 end + ==> MISSING_ELSE +1648 // Hypervisor Guest External Interrupts +1649 unreachable if (irq_ctrl_i.mie[riscv::IRQ_HS_EXT] && irq_ctrl_i.mip[riscv::IRQ_HS_EXT]) begin +1650 unreachable interrupt_cause = INTERRUPTS.HS_EXT; +1651 end + ==> MISSING_ELSE +1652 end + MISSING_ELSE +1653 1/1 if (CVA6Cfg.RVS) begin +1654 // Supervisor Timer Interrupt +1655 unreachable if (irq_ctrl_i.mie[riscv::IRQ_S_TIMER] && irq_ctrl_i.mip[riscv::IRQ_S_TIMER]) begin +1656 unreachable interrupt_cause = INTERRUPTS.S_TIMER; +1657 end + ==> MISSING_ELSE +1658 // Supervisor Software Interrupt +1659 unreachable if (irq_ctrl_i.mie[riscv::IRQ_S_SOFT] && irq_ctrl_i.mip[riscv::IRQ_S_SOFT]) begin +1660 unreachable interrupt_cause = INTERRUPTS.S_SW; +1661 end + ==> MISSING_ELSE +1662 // Supervisor External Interrupt +1663 // The logical-OR of the software-writable bit and the signal from the external interrupt controller is +1664 // used to generate external interrupts to the supervisor +1665 unreachable if (irq_ctrl_i.mie[riscv::IRQ_S_EXT] && (irq_ctrl_i.mip[riscv::IRQ_S_EXT] | irq_i[ariane_pkg::SupervisorIrq])) begin +1666 unreachable interrupt_cause = INTERRUPTS.S_EXT; +1667 end + ==> MISSING_ELSE +1668 end + MISSING_ELSE +1669 // Machine Timer Interrupt +1670 1/1 if (irq_ctrl_i.mip[riscv::IRQ_M_TIMER] && irq_ctrl_i.mie[riscv::IRQ_M_TIMER]) begin +1671 1/1 interrupt_cause = INTERRUPTS.M_TIMER; +1672 end + MISSING_ELSE +1673 1/1 if (CVA6Cfg.SoftwareInterruptEn) begin +1674 // Machine Mode Software Interrupt +1675 unreachable if (irq_ctrl_i.mip[riscv::IRQ_M_SOFT] && irq_ctrl_i.mie[riscv::IRQ_M_SOFT]) begin +1676 unreachable interrupt_cause = INTERRUPTS.M_SW; +1677 end + ==> MISSING_ELSE +1678 end + MISSING_ELSE +1679 // Machine Mode External Interrupt +1680 1/1 if (irq_ctrl_i.mip[riscv::IRQ_M_EXT] && irq_ctrl_i.mie[riscv::IRQ_M_EXT]) begin +1681 1/1 interrupt_cause = INTERRUPTS.M_EXT; +1682 end + MISSING_ELSE +1683 +1684 1/1 if (interrupt_cause[CVA6Cfg.XLEN-1] && irq_ctrl_i.global_enable) begin +1685 // However, if bit i in mideleg is set, interrupts are considered to be globally enabled if the hart’s current privilege +1686 // mode equals the delegated privilege mode (S or U) and that mode’s interrupt enable bit +1687 // (SIE or UIE in mstatus) is set, or if the current privilege mode is less than the delegated privilege mode. +1688 1/1 if (CVA6Cfg.RVS && irq_ctrl_i.mideleg[interrupt_cause[$clog2(CVA6Cfg.XLEN)-1:0]]) begin +1689 unreachable if (CVA6Cfg.RVH) begin : hyp_int_gen +1690 unreachable if (v_i && irq_ctrl_i.hideleg[interrupt_cause[$clog2(CVA6Cfg.XLEN)-1:0]]) begin +1691 unreachable if ((irq_ctrl_i.sie && priv_lvl_i == riscv::PRIV_LVL_S) || priv_lvl_i == riscv::PRIV_LVL_U) begin +1692 unreachable instruction_o.ex.valid = 1'b1; +1693 unreachable instruction_o.ex.cause = interrupt_cause; +1694 end + ==> MISSING_ELSE +1695 unreachable end else if (v_i && ~irq_ctrl_i.hideleg[interrupt_cause[$clog2( +1696 CVA6Cfg.XLEN +1697 )-1:0]]) begin +1698 unreachable instruction_o.ex.valid = 1'b1; +1699 unreachable instruction_o.ex.cause = interrupt_cause; +1700 unreachable end else if (!v_i && ((irq_ctrl_i.sie && priv_lvl_i == riscv::PRIV_LVL_S) || priv_lvl_i == riscv::PRIV_LVL_U) && ~irq_ctrl_i.hideleg[interrupt_cause[$clog2( +1701 CVA6Cfg.XLEN +1702 )-1:0]]) begin +1703 unreachable instruction_o.ex.valid = 1'b1; +1704 unreachable instruction_o.ex.cause = interrupt_cause; +1705 end + ==> MISSING_ELSE +1706 end else begin +1707 unreachable if ((CVA6Cfg.RVS && irq_ctrl_i.sie && priv_lvl_i == riscv::PRIV_LVL_S) || (CVA6Cfg.RVU && priv_lvl_i == riscv::PRIV_LVL_U)) begin +1708 unreachable instruction_o.ex.valid = 1'b1; +1709 unreachable instruction_o.ex.cause = interrupt_cause; +1710 end + ==> MISSING_ELSE +1711 end +1712 end else begin +1713 1/1 instruction_o.ex.valid = 1'b1; +1714 1/1 instruction_o.ex.cause = interrupt_cause; +1715 end +1716 end + MISSING_ELSE +1717 end + MISSING_ELSE +1718 +1719 // a debug request has precendece over everything else +1720 1/1 if (CVA6Cfg.DebugEn && debug_req_i && !debug_mode_i) begin +1721 unreachable instruction_o.ex.valid = 1'b1; +1722 unreachable instruction_o.ex.cause = riscv::DEBUG_REQUEST; +1723 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : decoder + + Total Covered Percent +Conditions 134 132 98.51 +Logical 134 132 98.51 +Non-Logical 0 0 +Event 0 0 + + LINE 201 + EXPRESSION ((instr.itype.rs1 != '0) || (instr.itype.rd != '0)) + -----------1----------- -----------2---------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 201 + SUB-EXPRESSION (instr.itype.rs1 != '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 201 + SUB-EXPRESSION (instr.itype.rd != '0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 230 + EXPRESSION ((priv_lvl_i == PRIV_LVL_S) && tsr_i) + -------------1------------ --2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 230 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 257 + EXPRESSION (((!debug_mode_i)) ? 1'b1 : illegal_instr) + --------1-------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 284 + EXPRESSION (instr.instr[31:25] == 7'b0001001) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 289 + EXPRESSION ((priv_lvl_i == PRIV_LVL_S) ? 1'b0 : 1'b1) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 289 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 300 + EXPRESSION (instr.instr[31:25] == 7'b0010001) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 306 + EXPRESSION ((priv_lvl_i inside {PRIV_LVL_M, PRIV_LVL_S}) ? 1'b0 : 1'b1) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 309 + EXPRESSION (instr.instr[31:25] == 7'b0110001) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 315 + EXPRESSION ((priv_lvl_i inside {PRIV_LVL_M, PRIV_LVL_S}) ? 1'b0 : 1'b1) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 319 + EXPRESSION ((priv_lvl_i == PRIV_LVL_S) && ((!v_i)) && tvm_i) + -------------1------------ ----2--- --3-- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 319 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 332 + EXPRESSION (instr.instr[25] != 1'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 347 + EXPRESSION (((!hu_i)) && (priv_lvl_i == PRIV_LVL_U)) + ----1---- -------------2------------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 347 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_U) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 350 + EXPRESSION (instr.rtype.rs2 == 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 353 + EXPRESSION (instr.rtype.rs2 == 5'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 358 + EXPRESSION (instr.rtype.rs2 == 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 361 + EXPRESSION (instr.rtype.rs2 == 5'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 364 + EXPRESSION (instr.rtype.rs2 == 5'b00011) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 369 + EXPRESSION (instr.rtype.rs2 == 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 372 + EXPRESSION (instr.rtype.rs2 == 5'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 375 + EXPRESSION (instr.rtype.rs2 == 5'b00011) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 408 + EXPRESSION (instr.itype.rs1 == '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 415 + EXPRESSION (instr.itype.rs1 == '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 430 + EXPRESSION (instr.itype.rs1 == 5'b0) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 438 + EXPRESSION (instr.itype.rs1 == '0) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 473 + EXPRESSION (instr.rvftype.funct2 == 2'b10) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 513 + EXPRESSION (instr.rvftype.rs2 != 5'b0) + -------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 703 + EXPRESSION (((~allow_replication)) & instr.rvftype.repl) + -----------1---------- ---------2-------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 722 + EXPRESSION (((instr.rtype.funct7 == 7'b1) || ((instr.rtype.funct7 == 7'b0000101) && ((!instr.rtype.funct3[14])))) ? MULT : ALU) + --------------------------------------------------1-------------------------------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 722 + SUB-EXPRESSION ((instr.rtype.funct7 == 7'b1) || ((instr.rtype.funct7 == 7'b0000101) && ((!instr.rtype.funct3[14])))) + --------------1------------- ---------------------------------2--------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 722 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b1) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 722 + SUB-EXPRESSION ((instr.rtype.funct7 == 7'b0000101) && ((!instr.rtype.funct3[14]))) + -----------------1---------------- -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 722 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b0000101) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 724 + EXPRESSION ((instr.rtype.funct7 == 7'b1) ? MULT : ALU) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 724 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b1) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 798 + EXPRESSION (((!1'b0)) && (instr.instr[24:20] == 5'b0)) + ----1---- --------------2------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 798 + SUB-EXPRESSION (instr.instr[24:20] == 5'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 825 + EXPRESSION (illegal_instr_non_bm & illegal_instr_zic) + ----------1--------- --------2-------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 826 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 827 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm & illegal_instr_zic) + ----------1--------- --------2------- --------3-------- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 837 + EXPRESSION ((instr.rtype.funct7 == 7'b1) ? MULT : ALU) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 837 + SUB-EXPRESSION (instr.rtype.funct7 == 7'b1) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 874 + EXPRESSION (instr.instr[24:20] == 5'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 881 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 906 + EXPRESSION (instr.instr[31:26] != 6'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 907 + EXPRESSION ((instr.instr[25] != 1'b0) && (32'b00000000000000000000000000100000 == 32)) + ------------1------------ ----------------------2--------------------- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 907 + SUB-EXPRESSION (instr.instr[25] != 1'b0) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 907 + SUB-EXPRESSION (32'b00000000000000000000000000100000 == 32) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Covered + + LINE 911 + EXPRESSION (instr.instr[31:26] == 6'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 913 + EXPRESSION (instr.instr[31:26] == 6'b010000) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 916 + EXPRESSION ((instr.instr[25] != 1'b0) && (32'b00000000000000000000000000100000 == 32)) + ------------1------------ ----------------------2--------------------- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 916 + SUB-EXPRESSION (instr.instr[25] != 1'b0) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 916 + SUB-EXPRESSION (32'b00000000000000000000000000100000 == 32) + ----------------------1--------------------- + +-1- Status + 0 Unreachable + 1 Covered + + LINE 922 + EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 923 + EXPRESSION (instr.instr[24:20] == 5'b00100) + ----------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 924 + EXPRESSION (instr.instr[24:20] == 5'b00101) + ----------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 925 + EXPRESSION (instr.instr[24:20] == 5'b00010) + ----------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 926 + EXPRESSION (instr.instr[24:20] == 5'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 927 + EXPRESSION (instr.instr[24:20] == 5'b1) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 931 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0100100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 931 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0100100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 935 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0110100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 935 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0110100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 939 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0010100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 939 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0010100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 946 + EXPRESSION (instr.instr[31:20] == 12'b001010000111) + --------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 949 + EXPRESSION (instr.instr[31:20] == 12'b011010011000) + --------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 953 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0100100)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 953 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0100100) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 957 + EXPRESSION (1'b1 && (instr.instr[31:25] == 7'b0110000)) + --1- -----------------2---------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 957 + SUB-EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 967 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 986 + EXPRESSION (instr.instr[31:25] != 7'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 989 + EXPRESSION (instr.instr[31:25] == 7'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 991 + EXPRESSION (instr.instr[31:25] == 7'b0100000) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1000 + EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1001 + EXPRESSION (instr.instr[21:20] == 2'b10) + --------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1002 + EXPRESSION (instr.instr[21:20] == 2'b0) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1003 + EXPRESSION (instr.instr[21:20] == 2'b1) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1005 + EXPRESSION (instr.instr[31:26] == 6'b000010) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1010 + EXPRESSION (instr.instr[31:25] == 7'b0110000) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1015 + EXPRESSION (illegal_instr_non_bm & illegal_instr_bm) + ----------1--------- --------2------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1042 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1068 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1100 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1130 + EXPRESSION (is_compressed_i ? 1'b0 : 'b1) + -------1------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1219 + EXPRESSION (instr.rftype.rs2 != 5'b0) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1283 + EXPRESSION ((instr.rftype.rm == 3'b0) || ((1'b0 && (instr.rftype.rm == 3'b100)))) + ------------1------------ -------------------2------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1283 + SUB-EXPRESSION (instr.rftype.rm == 3'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1285 + EXPRESSION ((instr.rftype.rm == 3'b1) || ((1'b0 && (instr.rftype.rm == 3'b101)))) + ------------1------------ -------------------2------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1285 + SUB-EXPRESSION (instr.rftype.rm == 3'b1) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1289 + EXPRESSION (instr.rftype.rs2 != 5'b0) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1295 + EXPRESSION ( ! ((instr.rftype.rm == 3'b0) || ((1'b0 && (instr.rftype.rm == 3'b100)))) ) + -----------------------------------1---------------------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1295 + SUB-EXPRESSION ((instr.rftype.rm == 3'b0) || ((1'b0 && (instr.rftype.rm == 3'b100)))) + ------------1------------ -------------------2------------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1295 + SUB-EXPRESSION (instr.rftype.rm == 3'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1298 + EXPRESSION (instr.rftype.rs2 != 5'b0) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1356 + EXPRESSION (instr.atype.rs2 != 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1375 + EXPRESSION (instr.atype.rs2 != 5'b0) + ------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1437 + EXPRESSION (instr.itype.funct3 != 3'b0) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1464 + EXPRESSION (((~ex_i.valid)) && (is_illegal_i || illegal_instr)) + -------1------- ---------------2--------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 1464 + SUB-EXPRESSION (is_illegal_i || illegal_instr) + ------1----- ------2------ + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Not Covered + + LINE 1470 + EXPRESSION + Number Term + 1 ((instr.rtype.opcode == riscv::OpcodeMadd) || (instr.rtype.opcode == riscv::OpcodeMsub) || (instr.rtype.opcode == riscv::OpcodeNmadd) || (instr.rtype.opcode == riscv::OpcodeNmsub)) ? RS3 : MUX_RD_RS3) + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION + Number Term + 1 (instr.rtype.opcode == riscv::OpcodeMadd) || + 2 (instr.rtype.opcode == riscv::OpcodeMsub) || + 3 (instr.rtype.opcode == riscv::OpcodeNmadd) || + 4 (instr.rtype.opcode == riscv::OpcodeNmsub)) + +-1- -2- -3- -4- Status + 0 0 0 0 Covered + 0 0 0 1 Covered + 0 0 1 0 Covered + 0 1 0 0 Covered + 1 0 0 0 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeMadd) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeMsub) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeNmadd) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1470 + SUB-EXPRESSION (instr.rtype.opcode == riscv::OpcodeNmsub) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1583 + EXPRESSION + Number Term + 1 is_compressed_i ? ({{(32'b00000000000000000000000000100000 - 16) {1'b0}}, compressed_instr_i}) : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, instruction_i})) + +-1- Status + 0 Covered + 1 Covered + + LINE 1590 + EXPRESSION + Number Term + 1 is_compressed_i ? ({{(32'b00000000000000000000000000100000 - 16) {1'b0}}, compressed_instr_i}) : ({{(32'b00000000000000000000000000100000 - 32) {1'b0}}, instruction_i})) + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1598 + EXPRESSION (illegal_instr || is_illegal_i) + ------1------ ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 1637 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_VS_TIMER] && irq_ctrl_i.mip[riscv::IRQ_VS_TIMER]) + -----------------1----------------- -----------------2----------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1641 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_VS_SOFT] && irq_ctrl_i.mip[riscv::IRQ_VS_SOFT]) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1645 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_VS_EXT] && irq_ctrl_i.mip[riscv::IRQ_VS_EXT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1649 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_HS_EXT] && irq_ctrl_i.mip[riscv::IRQ_HS_EXT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1655 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_S_TIMER] && irq_ctrl_i.mip[riscv::IRQ_S_TIMER]) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1659 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_S_SOFT] && irq_ctrl_i.mip[riscv::IRQ_S_SOFT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1665 + EXPRESSION (irq_ctrl_i.mie[riscv::IRQ_S_EXT] && (irq_ctrl_i.mip[riscv::IRQ_S_EXT] | irq_i[ariane_pkg::SupervisorIrq])) + ----------------1--------------- ----------------------------------2---------------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1665 + SUB-EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_S_EXT] | irq_i[ariane_pkg::SupervisorIrq]) + ----------------1--------------- ----------------2--------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1670 + EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_M_TIMER] && irq_ctrl_i.mie[riscv::IRQ_M_TIMER]) + -----------------1---------------- -----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1675 + EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_M_SOFT] && irq_ctrl_i.mie[riscv::IRQ_M_SOFT]) + ----------------1---------------- ----------------2---------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1680 + EXPRESSION (irq_ctrl_i.mip[riscv::IRQ_M_EXT] && irq_ctrl_i.mie[riscv::IRQ_M_EXT]) + ----------------1--------------- ----------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1684 + EXPRESSION (interrupt_cause[(32'b00000000000000000000000000100000 - 1)] && irq_ctrl_i.global_enable) + -----------------------------1----------------------------- ------------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1691 + EXPRESSION ((irq_ctrl_i.sie && (priv_lvl_i == PRIV_LVL_S)) || (priv_lvl_i == PRIV_LVL_U)) + -----------------------1---------------------- -------------2------------ + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 1691 + SUB-EXPRESSION (irq_ctrl_i.sie && (priv_lvl_i == PRIV_LVL_S)) + -------1------ -------------2------------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 1691 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_S) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1691 + SUB-EXPRESSION (priv_lvl_i == PRIV_LVL_U) + -------------1------------ + +-1- Status + 0 Unreachable + 1 Unreachable + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk2[0].decoder_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.12 99.73 98.51 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.12 99.73 98.51 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.12 99.73 98.51 -- decoder + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : serdiv +=============================================================================== +SCORE LINE COND ASSERT + 99.13 100.00 98.26 -- + +Source File(s) : + +cva6/core/serdiv.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div + + + +------------------------------------------------------------------------------- +Line Coverage for Module : serdiv + + Line No. Total Covered Percent +TOTAL 60 60 100.00 +ALWAYS 169 35 35 100.00 +ALWAYS 248 25 25 100.00 + +168 // default +169 1/1 state_d = state_q; +170 1/1 in_rdy_o = 1'b0; +171 1/1 out_vld_o = 1'b0; +172 1/1 load_en = 1'b0; +173 1/1 a_reg_en = 1'b0; +174 1/1 b_reg_en = 1'b0; +175 1/1 res_reg_en = 1'b0; +176 +177 1/1 unique case (state_q) +178 IDLE: begin +179 1/1 in_rdy_o = 1'b1; +180 +181 1/1 if (in_vld_i) begin +182 // CVA6: there is a cycle delay until the valid signal is asserted by the id stage +183 // Ara: we need a stable handshake +184 1/1 in_rdy_o = (STABLE_HANDSHAKE) ? 1'b1 : 1'b0; +185 1/1 a_reg_en = 1'b1; +186 1/1 b_reg_en = 1'b1; +187 1/1 load_en = 1'b1; +188 1/1 state_d = DIVIDE; +189 end + MISSING_ELSE +190 end +191 DIVIDE: begin +192 1/1 if (~(div_res_zero_q | op_b_zero_q | op_b_neg_one_q)) begin +193 1/1 a_reg_en = ab_comp; +194 1/1 b_reg_en = 1'b1; +195 1/1 res_reg_en = 1'b1; +196 end + MISSING_ELSE +197 // can end the division immediately if the result is known +198 1/1 if (div_res_zero_q | op_b_zero_q | op_b_neg_one_q) begin +199 1/1 out_vld_o = 1'b1; +200 1/1 state_d = FINISH; +201 1/1 if (out_rdy_i) begin +202 // in_rdy_o = 1'b1;// there is a cycle delay until the valid signal is asserted by the id stage +203 1/1 state_d = IDLE; +204 end + ==> MISSING_ELSE +205 1/1 end else if (cnt_zero) begin +206 1/1 state_d = FINISH; +207 end + MISSING_ELSE +208 end +209 FINISH: begin +210 1/1 out_vld_o = 1'b1; +211 +212 1/1 if (out_rdy_i) begin +213 // in_rdy_o = 1'b1;// there is a cycle delay until the valid signal is asserted by the id stage +214 1/1 state_d = IDLE; +215 end + ==> MISSING_ELSE +216 end +217 1/1 default: state_d = IDLE; +218 endcase +219 +220 1/1 if (flush_i) begin +221 1/1 a_reg_en = 1'b0; +222 1/1 b_reg_en = 1'b0; +223 1/1 load_en = 1'b0; +224 1/1 state_d = IDLE; +225 end + MISSING_ELSE +226 end +227 +228 ///////////////////////////////////// +229 // regs, flags +230 ///////////////////////////////////// +231 +232 // get flags +233 assign rem_sel_d = (load_en) ? opcode_i[1] : rem_sel_q; +234 assign comp_inv_d = (load_en) ? opcode_i[0] & op_b_sign : comp_inv_q; +235 assign op_b_zero_d = (load_en) ? op_b_zero : op_b_zero_q; +236 assign op_b_neg_one_d = (load_en) ? op_b_neg_one : op_b_neg_one_q; +237 assign res_inv_d = (load_en) ? (~op_b_zero | opcode_i[1]) & opcode_i[0] & (op_a_sign ^ op_b_sign ^ op_b_neg_one) : res_inv_q; +238 +239 // transaction id +240 assign id_d = (load_en) ? id_i : id_q; +241 assign id_o = id_q; +242 +243 assign op_a_d = (a_reg_en) ? add_out : op_a_q; +244 assign op_b_d = (b_reg_en) ? b_mux : op_b_q; +245 assign res_d = (load_en) ? '0 : (res_reg_en) ? {res_q[$high(res_q)-1:0], ab_comp} : res_q; +246 +247 always_ff @(posedge clk_i or negedge rst_ni) begin : p_regs +248 1/1 if (~rst_ni) begin +249 1/1 state_q <= IDLE; +250 1/1 op_a_q <= '0; +251 1/1 op_b_q <= '0; +252 1/1 res_q <= '0; +253 1/1 cnt_q <= '0; +254 1/1 id_q <= '0; +255 1/1 rem_sel_q <= 1'b0; +256 1/1 comp_inv_q <= 1'b0; +257 1/1 res_inv_q <= 1'b0; +258 1/1 op_b_zero_q <= 1'b0; +259 1/1 op_b_neg_one_q <= 1'b0; +260 1/1 div_res_zero_q <= 1'b0; +261 end else begin +262 1/1 state_q <= state_d; +263 1/1 op_a_q <= op_a_d; +264 1/1 op_b_q <= op_b_d; +265 1/1 res_q <= res_d; +266 1/1 cnt_q <= cnt_d; +267 1/1 id_q <= id_d; +268 1/1 rem_sel_q <= rem_sel_d; +269 1/1 comp_inv_q <= comp_inv_d; +270 1/1 res_inv_q <= res_inv_d; +271 1/1 op_b_zero_q <= op_b_zero_d; +272 1/1 op_b_neg_one_q <= op_b_neg_one_d; +273 1/1 div_res_zero_q <= div_res_zero_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : serdiv + + Total Covered Percent +Conditions 115 113 98.26 +Logical 115 113 98.26 +Non-Logical 0 0 +Event 0 0 + + LINE 192 + SUB-EXPRESSION (div_res_zero_q | op_b_zero_q | op_b_neg_one_q) + -------1------ -----2----- -------3------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 198 + EXPRESSION (div_res_zero_q | op_b_zero_q | op_b_neg_one_q) + -------1------ -----2----- -------3------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 103 + EXPRESSION (lzc_b_no_one & ((~op_b_sign))) + ------1----- -------2------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 104 + EXPRESSION (lzc_b_no_one & op_b_sign) + ------1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 106 + EXPRESSION ((opcode_i[0] & op_a_sign) ? ({(~op_a_i[(31 - 1):0]), 1'b1}) : op_a_i) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 106 + SUB-EXPRESSION (opcode_i[0] & op_a_sign) + -----1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 107 + EXPRESSION ((opcode_i[0] & op_b_sign) ? ((~op_b_i)) : op_b_i) + ------------1------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 107 + SUB-EXPRESSION (opcode_i[0] & op_b_sign) + -----1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 127 + EXPRESSION (lzc_a_no_one ? WIDTH : ({1'b0, lzc_a_result})) + ------1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 133 + EXPRESSION (load_en ? div_shift[6] : div_res_zero_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 139 + EXPRESSION (load_en & ( ~ (opcode_i[0] & (op_a_sign ^ op_b_sign)) )) + ---1--- ----------------------2---------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 139 + SUB-EXPRESSION (opcode_i[0] & (op_a_sign ^ op_b_sign)) + -----1----- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 139 + SUB-EXPRESSION (op_a_sign ^ op_b_sign) + ----1---- ----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 142 + EXPRESSION (load_en ? op_a_i : op_b_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 145 + EXPRESSION (load_en ? op_b : ({comp_inv_q, op_b_q[31:1]})) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + EXPRESSION (rem_sel_q ? (op_b_neg_one_q ? '0 : op_a_q) : (op_b_zero_q ? '1 : (op_b_neg_one_q ? op_a_q : res_q))) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + SUB-EXPRESSION (op_b_neg_one_q ? '0 : op_a_q) + -------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + SUB-EXPRESSION (op_b_zero_q ? '1 : (op_b_neg_one_q ? op_a_q : res_q)) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 148 + SUB-EXPRESSION (op_b_neg_one_q ? op_a_q : res_q) + -------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 151 + EXPRESSION (res_inv_q ? ((-$signed(out_mux))) : out_mux) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 154 + EXPRESSION (((op_a_q == op_b_q) | ((op_a_q > op_b_q) ^ comp_inv_q)) & (((|op_a_q)) | op_b_zero_q)) + ---------------------------1--------------------------- -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 154 + SUB-EXPRESSION ((op_a_q == op_b_q) | ((op_a_q > op_b_q) ^ comp_inv_q)) + ---------1-------- ----------------2--------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 154 + SUB-EXPRESSION (op_a_q == op_b_q) + ---------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 154 + SUB-EXPRESSION ((op_a_q > op_b_q) ^ comp_inv_q) + --------1-------- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 154 + SUB-EXPRESSION (((|op_a_q)) | op_b_zero_q) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 157 + EXPRESSION (load_en ? 0 : op_a_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 158 + EXPRESSION (pm_sel ? ((add_tmp + add_mux)) : ((add_tmp - $signed(add_mux)))) + ---1-- + +-1- Status + 0 Covered + 1 Covered + + LINE 164 + EXPRESSION (cnt_q == 5'b0) + -------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 165 + EXPRESSION (load_en ? div_shift[($clog2(WIDTH) - 1):0] : (((~cnt_zero)) ? ((cnt_q - 1)) : cnt_q)) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 165 + SUB-EXPRESSION (((~cnt_zero)) ? ((cnt_q - 1)) : cnt_q) + ------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 233 + EXPRESSION (load_en ? opcode_i[1] : rem_sel_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 234 + EXPRESSION (load_en ? (opcode_i[0] & op_b_sign) : comp_inv_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 234 + SUB-EXPRESSION (opcode_i[0] & op_b_sign) + -----1----- ----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 235 + EXPRESSION (load_en ? op_b_zero : op_b_zero_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 236 + EXPRESSION (load_en ? op_b_neg_one : op_b_neg_one_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 237 + EXPRESSION (load_en ? ((((~op_b_zero)) | opcode_i[1]) & opcode_i[0] & (op_a_sign ^ op_b_sign ^ op_b_neg_one)) : res_inv_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 237 + SUB-EXPRESSION ((((~op_b_zero)) | opcode_i[1]) & opcode_i[0] & (op_a_sign ^ op_b_sign ^ op_b_neg_one)) + ---------------1-------------- -----2----- -------------------3------------------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 237 + SUB-EXPRESSION (((~op_b_zero)) | opcode_i[1]) + -------1------ -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 237 + SUB-EXPRESSION (op_a_sign ^ op_b_sign ^ op_b_neg_one) + ----1---- ----2---- ------3----- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Not Covered + 0 1 0 Covered + 0 1 1 Covered + 1 0 0 Covered + 1 0 1 Not Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 240 + EXPRESSION (load_en ? id_i : id_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 243 + EXPRESSION (a_reg_en ? add_out : op_a_q) + ----1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 244 + EXPRESSION (b_reg_en ? b_mux : op_b_q) + ----1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 245 + EXPRESSION (load_en ? '0 : (res_reg_en ? ({res_q[(31 - 1):0], ab_comp}) : res_q)) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 245 + SUB-EXPRESSION (res_reg_en ? ({res_q[(31 - 1):0], ab_comp}) : res_q) + -----1---- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.13 100.00 98.26 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.82 100.00 99.64 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- serdiv + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_mult + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_lzc_a +100.00 100.00 100.00 -- i_lzc_b + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : frontend +=============================================================================== +SCORE LINE COND ASSERT + 99.24 100.00 98.47 -- + +Source File(s) : + +cva6/core/frontend/frontend.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend + + + +------------------------------------------------------------------------------- +Line Coverage for Module : frontend + + Line No. Total Covered Percent +TOTAL 159 159 100.00 +ALWAYS 243 38 38 100.00 +ALWAYS 319 3 3 100.00 +ALWAYS 412 11 11 100.00 +ALWAYS 440 10 10 100.00 +ALWAYS 496 18 18 100.00 +ALWAYS 572 11 11 100.00 +ALWAYS 601 16 16 100.00 +ALWAYS 664 22 22 100.00 +ALWAYS 727 30 30 100.00 + +242 always_comb begin +243 1/1 taken_rvi_cf = '0; +244 1/1 taken_rvc_cf = '0; +245 1/1 predict_address = '0; +246 +247 2/2 for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) cf_type[i] = ariane_pkg::NoCF; +248 +249 1/1 ras_push = 1'b0; +250 1/1 ras_pop = 1'b0; +251 1/1 ras_update = '0; +252 +253 // lower most prediction gets precedence +254 1/1 for (int i = CVA6Cfg.INSTR_PER_FETCH - 1; i >= 0; i--) begin +255 1/1 unique case ({ +256 is_branch[i], is_return[i], is_jump[i], is_jalr[i] +257 }) +258 1/1 4'b0000: ; // regular instruction e.g.: no branch +259 // unconditional jump to register, we need the BTB to resolve this +260 4'b0001: begin +261 1/1 ras_pop = 1'b0; +262 1/1 ras_push = 1'b0; +263 1/1 if (CVA6Cfg.BTBEntries != 0 && btb_prediction_shifted[i].valid) begin +264 unreachable predict_address = btb_prediction_shifted[i].target_address; +265 unreachable cf_type[i] = ariane_pkg::JumpR; +266 end + MISSING_ELSE +267 end +268 // its an unconditional jump to an immediate +269 4'b0010: begin +270 1/1 ras_pop = 1'b0; +271 1/1 ras_push = 1'b0; +272 1/1 taken_rvi_cf[i] = rvi_jump[i]; +273 1/1 taken_rvc_cf[i] = rvc_jump[i]; +274 1/1 cf_type[i] = ariane_pkg::Jump; +275 end +276 // return +277 4'b0100: begin +278 // make sure to only alter the RAS if we actually consumed the instruction +279 1/1 ras_pop = ras_predict.valid & instr_queue_consumed[i]; +280 1/1 ras_push = 1'b0; +281 1/1 predict_address = ras_predict.ra; +282 1/1 cf_type[i] = ariane_pkg::Return; +283 end +284 // branch prediction +285 4'b1000: begin +286 1/1 ras_pop = 1'b0; +287 1/1 ras_push = 1'b0; +288 // if we have a valid dynamic prediction use it +289 1/1 if (bht_prediction_shifted[i].valid) begin +290 1/1 taken_rvi_cf[i] = rvi_branch[i] & bht_prediction_shifted[i].taken; +291 1/1 taken_rvc_cf[i] = rvc_branch[i] & bht_prediction_shifted[i].taken; +292 // otherwise default to static prediction +293 end else begin +294 // set if immediate is negative - static prediction +295 1/1 taken_rvi_cf[i] = rvi_branch[i] & rvi_imm[i][CVA6Cfg.VLEN-1]; +296 1/1 taken_rvc_cf[i] = rvc_branch[i] & rvc_imm[i][CVA6Cfg.VLEN-1]; +297 end +298 1/1 if (taken_rvi_cf[i] || taken_rvc_cf[i]) begin +299 1/1 cf_type[i] = ariane_pkg::Branch; +300 end + MISSING_ELSE +301 end +302 1/1 default: ; +303 // default: $error("Decoded more than one control flow"); +304 endcase +305 // if this instruction, in addition, is a call, save the resulting address +306 // but only if we actually consumed the address +307 1/1 if (is_call[i]) begin +308 1/1 ras_push = instr_queue_consumed[i]; +309 1/1 ras_update = addr[i] + (rvc_call[i] ? 2 : 4); +310 end + MISSING_ELSE +311 // calculate the jump target address +312 1/1 if (taken_rvc_cf[i] || taken_rvi_cf[i]) begin +313 1/1 predict_address = addr[i] + (taken_rvc_cf[i] ? rvc_imm[i] : rvi_imm[i]); +314 end + MISSING_ELSE +315 end +316 end +317 // or reduce struct +318 always_comb begin +319 1/1 bp_valid = 1'b0; +320 // BP cannot be valid if we have a return instruction and the RAS is not giving a valid address +321 // Check that we encountered a control flow and that for a return the RAS +322 // contains a valid prediction. +323 1/1 for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) +324 1/1 bp_valid |= ((cf_type[i] != NoCF & cf_type[i] != Return) | ((cf_type[i] == Return) & ras_predict.valid)); +325 end +326 assign is_mispredict = resolved_branch_i.is_mispredict; +327 +328 logic spec_req_non_idempot; +329 +330 // MMU interface +331 assign areq_o.fetch_vaddr = (vaddr_q >> CVA6Cfg.FETCH_ALIGN_BITS) << CVA6Cfg.FETCH_ALIGN_BITS; +332 +333 // CHECK PMA regions +334 +335 logic paddr_is_cacheable, paddr_is_cacheable_q; // asserted if physical address is non-cacheable +336 assign paddr_is_cacheable = config_pkg::is_inside_cacheable_regions( +337 CVA6Cfg, {{64 - CVA6Cfg.PLEN{1'b0}}, obi_fetch_req_o.a.addr} //TO DO CHECK GRANULARITY +338 ); +339 +340 logic paddr_nonidempotent; +341 assign paddr_nonidempotent = config_pkg::is_inside_nonidempotent_regions( +342 CVA6Cfg, {{64 - CVA6Cfg.PLEN{1'b0}}, obi_fetch_req_o.a.addr} //TO DO CHECK GRANULARITY +343 ); +344 +345 // Caches optimisation signals +346 +347 logic [CVA6Cfg.VLEN-1:0] vaddr_rvalid; +348 logic rvalid; +349 logic ex_rvalid; +350 logic pop_fetch; +351 +352 // in order to decouple the response interface from the request interface, +353 // we need a a buffer which can hold all inflight memory fetch requests +354 typedef struct packed { +355 logic [CVA6Cfg.VLEN-1:0] vaddr; // scoreboard identifier +356 } fetchbuf_t; +357 +358 logic [CVA6Cfg.PLEN-1:0] paddr; +359 +360 // to support a throughput of one fetch per cycle, if the number of entries +361 // of the fetch buffer is 1, implement a fall-through mode. This however +362 // adds a combinational path between the request and response interfaces +363 // towards the cache. +364 localparam logic FETCHBUF_FALLTHROUGH = (CVA6Cfg.NrFetchBufEntries == 1); +365 localparam int unsigned REQ_ID_BITS = CVA6Cfg.NrFetchBufEntries > 1 ? $clog2( +366 CVA6Cfg.NrFetchBufEntries +367 ) : 1; +368 +369 typedef logic [REQ_ID_BITS-1:0] fetchbuf_id_t; +370 +371 logic [CVA6Cfg.NrFetchBufEntries-1:0] fetchbuf_valid_q, fetchbuf_valid_d; +372 logic [CVA6Cfg.NrFetchBufEntries-1:0] fetchbuf_flushed_q, fetchbuf_flushed_d; +373 fetchbuf_t [CVA6Cfg.NrFetchBufEntries-1:0] fetchbuf_q; +374 logic fetchbuf_empty, fetchbuf_full; +375 fetchbuf_id_t fetchbuf_free_index; +376 logic fetchbuf_w, fetchbuf_w_q; +377 fetchbuf_id_t fetchbuf_windex, fetchbuf_windex_q; +378 logic fetchbuf_r; +379 fetchbuf_t fetchbuf_rdata; +380 fetchbuf_id_t fetchbuf_rindex; +381 fetchbuf_id_t fetchbuf_last_id_q; +382 +383 logic kill_req_d, kill_req_q; +384 logic ex_s1; +385 +386 +387 assign fetchbuf_full = &fetchbuf_valid_q && !(FETCHBUF_FALLTHROUGH && fetchbuf_r); +388 +389 +390 // +391 // buffer of outstanding fetchs +392 +393 // write in the first available slot +394 generate +395 if (CVA6Cfg.NrFetchBufEntries > 1) begin : fetchbuf_free_index_multi_gen +396 lzc #( +397 .WIDTH(CVA6Cfg.NrFetchBufEntries), +398 .MODE (1'b0) // Count leading zeros +399 ) lzc_windex_i ( +400 .in_i (~fetchbuf_valid_q), +401 .cnt_o (fetchbuf_free_index), +402 .empty_o(fetchbuf_empty) +403 ); +404 end else begin : fetchbuf_free_index_single_gen +405 assign fetchbuf_free_index = 1'b0; +406 end +407 endgenerate +408 +409 assign fetchbuf_windex = (FETCHBUF_FALLTHROUGH && fetchbuf_r) ? fetchbuf_rindex : fetchbuf_free_index; +410 +411 always_comb begin : fetchbuf_comb +412 1/1 fetchbuf_flushed_d = fetchbuf_flushed_q; +413 1/1 fetchbuf_valid_d = fetchbuf_valid_q; +414 +415 // In case of flush, raise the flushed flag in all slots. +416 1/1 if (flush_i) begin +417 1/1 fetchbuf_flushed_d = '1; +418 end + MISSING_ELSE +419 // Free read entry (in the case of fall-through mode, free the entry +420 // only if there is no pending fetch) +421 1/1 if (fetchbuf_r && (!FETCHBUF_FALLTHROUGH || !fetchbuf_w)) begin +422 1/1 fetchbuf_valid_d[fetchbuf_rindex] = 1'b0; +423 end + MISSING_ELSE +424 // Flush on bp_valid +425 1/1 if (bp_valid) begin +426 1/1 fetchbuf_flushed_d[fetchbuf_last_id_q] = 1'b1; +427 end + MISSING_ELSE +428 // Free on exception +429 //if (fetchbuf_w_q && ((CVA6Cfg.MmuPresent && ex_s1) || bp_valid) || kill_req_q) begin +430 // fetchbuf_valid_d[fetchbuf_windex_q] = 1'b0; +431 //end +432 // Track a new outstanding operation in the fetch buffer +433 1/1 if (fetchbuf_w) begin +434 1/1 fetchbuf_flushed_d[fetchbuf_windex] = 1'b0; +435 1/1 fetchbuf_valid_d[fetchbuf_windex] = 1'b1; +436 end + MISSING_ELSE +437 end +438 +439 always_ff @(posedge clk_i or negedge rst_ni) begin : fetchbuf_ff +440 1/1 if (!rst_ni) begin +441 1/1 fetchbuf_flushed_q <= '0; +442 1/1 fetchbuf_valid_q <= '0; +443 1/1 fetchbuf_last_id_q <= '0; +444 1/1 fetchbuf_q <= '0; +445 end else begin +446 1/1 fetchbuf_flushed_q <= fetchbuf_flushed_d; +447 1/1 fetchbuf_valid_q <= fetchbuf_valid_d; +448 1/1 if (fetchbuf_w) begin +449 1/1 fetchbuf_last_id_q <= fetchbuf_windex; +450 1/1 fetchbuf_q[fetchbuf_windex].vaddr <= vaddr_d; +451 end + MISSING_ELSE +452 end +453 end +454 +455 +456 +457 typedef enum logic [1:0] { +458 TRANSPARENT, +459 REGISTRED +460 } obi_a_state_e; +461 obi_a_state_e obi_a_state_d, obi_a_state_q; +462 +463 +464 logic stall_obi, stall_translation; +465 logic data_req, data_rvalid; +466 +467 assign stall_ni = spec_req_non_idempot; +468 assign stall_obi = (obi_a_state_q == REGISTRED); //&& !obi_load_rsp_i.gnt; +469 assign stall_translation = CVA6Cfg.MmuPresent ? areq_o.fetch_req && (!arsp_i.fetch_valid) : 1'b0; +470 assign stall_instr_queue = instr_queue_ready; +471 +472 assign ex_s1 = (CVA6Cfg.MmuPresent && arsp_i.fetch_exception.valid); +473 +474 // We need to flush the cache pipeline if: +475 // 1. We mispredicted +476 // 2. Want to flush the whole processor front-end +477 // 3. Need to replay an instruction because the fetch-fifo was full +478 assign kill_s1 = is_mispredict | flush_i | replay; +479 // if we have a valid branch-prediction we need to only kill the last cache request +480 // also if we killed the first stage we also need to kill the second stage (inclusive flush) +481 assign kill_s2 = kill_s1 | bp_valid; +482 +483 assign fetch_req_o.kill_req = kill_req_q || kill_s2 || ex_s1; +484 +485 assign data_rvalid = fetchbuf_r && !fetchbuf_flushed_q[fetchbuf_rindex] && !kill_s2; +486 +487 //assign obi_vaddr_d = pop_fetch ? : obi_vaddr_qvaddr_d; +488 assign vaddr_d = (pop_fetch || kill_s2) ? npc_fetch_address : vaddr_q; +489 assign fetch_req_o.vaddr = npc_fetch_address; +490 assign paddr = CVA6Cfg.MmuPresent ? arsp_i.fetch_paddr : npc_fetch_address; +491 +492 assign data_req = (CVA6Cfg.MmuPresent ? fetchbuf_w_q && !ex_s1 && !bp_valid : fetchbuf_w); +493 +494 always_comb begin : p_fsm_common +495 // default assignmen +496 1/1 kill_req_d = 1'b0; +497 1/1 fetchbuf_w = 1'b0; +498 //response +499 1/1 vaddr_rvalid = npc_fetch_address; +500 1/1 rvalid = 1'b0; +501 1/1 ex_rvalid = 1'b0; +502 1/1 pop_fetch = 1'b0; // release lsu_bypass fifo +503 +504 // REQUEST +505 //if (instr_queue_ready) begin +506 1/1 areq_o.fetch_req = 1'b1; +507 1/1 fetch_req_o.req = 1'b1; +508 1/1 if (!CVA6Cfg.MmuPresent || fetch_rsp_i.ready) begin +509 1/1 if (stall_ni || stall_obi || !instr_queue_ready || fetchbuf_full) begin +510 1/1 kill_req_d = CVA6Cfg.MmuPresent ? 1'b1 : 1'b0; // MmuPresent only : next cycle is s2 but we need to kill because not ready to sent tag +511 end else begin +512 1/1 fetchbuf_w = !kill_s1 && !flush_i; // record request into outstanding fetch fifo and trigger OBI request +513 1/1 pop_fetch = 1'b1; // release lsu_bypass fifo +514 end +515 end + ==> MISSING_ELSE +516 //end +517 // RETIRE FETCH +518 // we got an rvalid and it's corresponding request was not flushed +519 1/1 if (data_rvalid) begin +520 1/1 vaddr_rvalid = fetchbuf_q[fetchbuf_rindex].vaddr; +521 1/1 rvalid = !bp_valid && !flush_i; +522 1/1 ex_rvalid = 1'b0; +523 // RETIRE EXCEPTION (low priority) +524 1/1 end else if (CVA6Cfg.MmuPresent && ex_s1) begin +525 unreachable vaddr_rvalid = CVA6Cfg.MmuPresent ? fetchbuf_q[fetchbuf_windex_q].vaddr : npc_fetch_address; +526 unreachable rvalid = !bp_valid && !flush_i; +527 unreachable ex_rvalid = 1'b1; +528 unreachable pop_fetch = 1'b1; // release lsu_bypass fifo +529 end + MISSING_ELSE +530 +531 end +532 +533 // --------------- +534 // Retire Load +535 // --------------- +536 assign fetchbuf_rindex = (CVA6Cfg.NrFetchBufEntries > 1) ? fetchbuf_id_t'(obi_fetch_rsp_i.r.rid) : 1'b0; +537 assign fetchbuf_rdata = fetchbuf_q[fetchbuf_rindex]; +538 +539 // read the pending fetch buffer +540 assign fetchbuf_r = obi_fetch_rsp_i.rvalid; +541 +542 +543 //default obi state registred +544 assign obi_fetch_req_o.reqpar = !obi_fetch_req_o.req; +545 assign obi_fetch_req_o.a.addr = { +546 obi_a_state_q == TRANSPARENT ? paddr[CVA6Cfg.PLEN-1:CVA6Cfg.FETCH_ALIGN_BITS] : paddr_q[CVA6Cfg.PLEN-1:CVA6Cfg.FETCH_ALIGN_BITS], +547 {CVA6Cfg.FETCH_ALIGN_BITS{1'b0}} +548 }; +549 assign obi_fetch_req_o.a.we = '0; +550 assign obi_fetch_req_o.a.be = '1; +551 assign obi_fetch_req_o.a.wdata = '0; +552 assign obi_fetch_req_o.a.aid = (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? fetchbuf_windex : fetchbuf_windex_q; +553 assign obi_fetch_req_o.a.a_optional.auser = '0; +554 assign obi_fetch_req_o.a.a_optional.wuser = '0; +555 assign obi_fetch_req_o.a.a_optional.atop = '0; +556 assign obi_fetch_req_o.a.a_optional.memtype[0] = '0; +557 assign obi_fetch_req_o.a.a_optional.memtype[1]= (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q; +558 assign obi_fetch_req_o.a.a_optional.mid = '0; +559 assign obi_fetch_req_o.a.a_optional.prot[0] = '0; +560 assign obi_fetch_req_o.a.a_optional.prot[2:1] = 2'b11; +561 assign obi_fetch_req_o.a.a_optional.dbg = '0; +562 assign obi_fetch_req_o.a.a_optional.achk = '0; +563 +564 assign obi_fetch_req_o.rready = '1; //always ready +565 assign obi_fetch_req_o.rreadypar = '0; +566 +567 +568 +569 +570 always_comb begin : p_fsm_obi_a +571 // default assignment +572 1/1 obi_a_state_d = obi_a_state_q; +573 1/1 obi_fetch_req_o.req = 1'b0; +574 +575 1/1 unique case (obi_a_state_q) +576 TRANSPARENT: begin +577 1/1 if (data_req) begin +578 1/1 obi_fetch_req_o.req = 1'b1; +579 1/1 if (!obi_fetch_rsp_i.gnt) begin +580 1/1 obi_a_state_d = REGISTRED; +581 end + MISSING_ELSE +582 end + MISSING_ELSE +583 end +584 +585 REGISTRED: begin +586 1/1 obi_fetch_req_o.req = 1'b1; +587 1/1 if (obi_fetch_rsp_i.gnt) begin +588 1/1 obi_a_state_d = TRANSPARENT; +589 end + MISSING_ELSE +590 end +591 +592 default: begin +593 // we should never get here +594 1/1 obi_a_state_d = TRANSPARENT; +595 end +596 endcase +597 end +598 +599 // latch physical address for the tag cycle (one cycle after applying the index) +600 always_ff @(posedge clk_i or negedge rst_ni) begin +601 1/1 if (~rst_ni) begin +602 1/1 obi_a_state_q <= TRANSPARENT; +603 1/1 paddr_q <= '0; +604 1/1 paddr_is_cacheable_q <= '0; +605 1/1 kill_req_q <= '0; +606 1/1 fetchbuf_windex_q <= '0; +607 1/1 fetchbuf_w_q <= '0; +608 1/1 vaddr_q <= '0; +609 end else begin +610 1/1 if (obi_a_state_q == TRANSPARENT) begin +611 1/1 paddr_q <= paddr; +612 1/1 paddr_is_cacheable_q <= paddr_is_cacheable; +613 end + MISSING_ELSE +614 1/1 obi_a_state_q <= obi_a_state_d; +615 1/1 kill_req_q <= kill_req_d; +616 //if (!ex_s1) begin +617 1/1 fetchbuf_windex_q <= fetchbuf_windex; +618 1/1 fetchbuf_w_q <= fetchbuf_w; +619 //end +620 1/1 vaddr_q <= vaddr_d; +621 end +622 end +623 +624 // Update Control Flow Predictions +625 bht_update_t bht_update; +626 btb_update_t btb_update; +627 +628 logic speculative_q, speculative_d; +629 assign speculative_d = (speculative_q && !resolved_branch_i.valid || |is_branch || |is_return || |is_jalr) && !flush_i; +630 +631 assign spec_req_non_idempot = CVA6Cfg.NonIdemPotenceEn ? speculative_d && paddr_nonidempotent : 1'b0; +632 +633 +634 assign bht_update.valid = resolved_branch_i.valid +635 & (resolved_branch_i.cf_type == ariane_pkg::Branch); +636 assign bht_update.pc = resolved_branch_i.pc; +637 assign bht_update.taken = resolved_branch_i.is_taken; +638 // only update mispredicted branches e.g. no returns from the RAS +639 assign btb_update.valid = resolved_branch_i.is_mispredict +640 & (resolved_branch_i.cf_type == ariane_pkg::JumpR); +641 assign btb_update.pc = resolved_branch_i.pc; +642 assign btb_update.target_address = resolved_branch_i.target_address; +643 +644 // ------------------- +645 // Next PC +646 // ------------------- +647 // next PC (NPC) can come from (in order of precedence): +648 // 0. Default assignment/replay instruction +649 // 1. Branch Predict taken +650 // 2. Control flow change request (misprediction) +651 // 3. Return from environment call +652 // 4. Exception/Interrupt +653 // 5. Pipeline Flush because of CSR side effects +654 // Mis-predict handling is a little bit different +655 // select PC a.k.a PC Gen +656 always_comb begin : npc_select +657 automatic logic [CVA6Cfg.VLEN-1:0] fetch_address; +658 // check whether we come out of reset +659 // this is a workaround. some tools have issues +660 // having boot_addr_i in the asynchronous +661 // reset assignment to npc_q, even though +662 // boot_addr_i will be assigned a constant +663 // on the top-level. +664 1/1 if (npc_rst_load_q) begin +665 1/1 npc_d = boot_addr_i; +666 1/1 fetch_address = boot_addr_i; +667 end else begin +668 1/1 fetch_address = npc_q; +669 // keep stable by default +670 1/1 npc_d = npc_q; +671 end +672 // 0. Branch Prediction +673 1/1 if (bp_valid) begin +674 1/1 fetch_address = predict_address; +675 1/1 npc_d = predict_address; +676 end + MISSING_ELSE +677 // 1. Default assignment +678 1/1 if (pop_fetch) begin +679 1/1 npc_d = { +680 fetch_address[CVA6Cfg.VLEN-1:CVA6Cfg.FETCH_ALIGN_BITS] + 1, {CVA6Cfg.FETCH_ALIGN_BITS{1'b0}} +681 }; +682 end + MISSING_ELSE +683 // 2. Replay instruction fetch +684 1/1 if (replay) begin +685 1/1 npc_d = replay_addr; +686 end + MISSING_ELSE +687 // 3. Control flow change request +688 1/1 if (is_mispredict) begin +689 1/1 npc_d = resolved_branch_i.target_address; +690 end + MISSING_ELSE +691 // 4. Return from environment call +692 1/1 if (eret_i) begin +693 1/1 npc_d = epc_i; +694 end + MISSING_ELSE +695 // 5. Exception/Interrupt +696 1/1 if (ex_valid_i) begin +697 1/1 npc_d = trap_vector_base_i; +698 end + MISSING_ELSE +699 // 6. Pipeline Flush because of CSR side effects +700 // On a pipeline flush start fetching from the next address +701 // of the instruction in the commit stage +702 // we either came here from a flush request of a CSR instruction or AMO, +703 // so as CSR or AMO instructions do not exist in a compressed form +704 // we can unconditionally do PC + 4 here +705 // or if the commit stage is halted, just take the current pc of the +706 // instruction in the commit stage +707 // IMPROVEMENT: This adder can at least be merged with the one in the csr_regfile stage +708 1/1 if (set_pc_commit_i) begin +709 1/1 npc_d = pc_commit_i + (halt_i ? '0 : {{CVA6Cfg.VLEN - 3{1'b0}}, 3'b100}); +710 end + MISSING_ELSE +711 // 7. Debug +712 // enter debug on a hard-coded base-address +713 1/1 if (CVA6Cfg.DebugEn && set_debug_pc_i) +714 unreachable npc_d = CVA6Cfg.DmBaseAddress[CVA6Cfg.VLEN-1:0] + CVA6Cfg.HaltAddress[CVA6Cfg.VLEN-1:0]; + MISSING_ELSE +715 1/1 npc_fetch_address = fetch_address; +716 end +717 +718 logic [CVA6Cfg.FETCH_WIDTH-1:0] fetch_data; +719 logic fetch_valid_d; +720 +721 // re-align the cache line +722 assign fetch_data = ex_rvalid && CVA6Cfg.MmuPresent ? '0 : obi_fetch_rsp_i.r.rdata >> {shamt, 4'b0}; +723 assign fetch_valid_d = rvalid; +724 assign fetch_vaddr_d = vaddr_rvalid; +725 +726 always_ff @(posedge clk_i or negedge rst_ni) begin +727 1/1 if (!rst_ni) begin +728 1/1 npc_rst_load_q <= 1'b1; +729 1/1 npc_q <= '0; +730 1/1 speculative_q <= '0; +731 1/1 fetch_data_q <= '0; +732 1/1 fetch_valid_q <= 1'b0; +733 1/1 fetch_vaddr_q <= 'b0; +734 1/1 fetch_gpaddr_q <= 'b0; +735 1/1 fetch_tinst_q <= 'b0; +736 1/1 fetch_gva_q <= 1'b0; +737 1/1 fetch_ex_valid_q <= ariane_pkg::FE_NONE; +738 1/1 btb_q <= '0; +739 1/1 bht_q <= '0; +740 end else begin +741 1/1 npc_rst_load_q <= 1'b0; +742 1/1 npc_q <= npc_d; +743 1/1 speculative_q <= speculative_d; +744 1/1 fetch_valid_q <= fetch_valid_d; +745 1/1 if (fetch_valid_d) begin +746 1/1 fetch_data_q <= fetch_data; +747 1/1 fetch_vaddr_q <= fetch_vaddr_d; +748 1/1 if (CVA6Cfg.RVH) begin +749 unreachable fetch_gpaddr_q <= arsp_i.fetch_exception.tval2[CVA6Cfg.GPLEN-1:0]; +750 unreachable fetch_tinst_q <= arsp_i.fetch_exception.tinst; +751 unreachable fetch_gva_q <= arsp_i.fetch_exception.gva; +752 end else begin +753 1/1 fetch_gpaddr_q <= 'b0; +754 1/1 fetch_tinst_q <= 'b0; +755 1/1 fetch_gva_q <= 1'b0; +756 end +757 +758 // Map the only three exceptions which can occur in the frontend to a two bit enum +759 1/1 if (CVA6Cfg.MmuPresent && arsp_i.fetch_exception.cause == riscv::INSTR_GUEST_PAGE_FAULT) begin +760 unreachable fetch_ex_valid_q <= ariane_pkg::FE_INSTR_GUEST_PAGE_FAULT; +761 1/1 end else if (CVA6Cfg.MmuPresent && arsp_i.fetch_exception.cause == riscv::INSTR_PAGE_FAULT) begin +762 unreachable fetch_ex_valid_q <= ariane_pkg::FE_INSTR_PAGE_FAULT; +763 1/1 end else if (CVA6Cfg.NrPMPEntries != 0 && arsp_i.fetch_exception.cause == riscv::INSTR_ACCESS_FAULT) begin +764 unreachable fetch_ex_valid_q <= ariane_pkg::FE_INSTR_ACCESS_FAULT; +765 end else begin +766 1/1 fetch_ex_valid_q <= ariane_pkg::FE_NONE; +767 end +768 // save the uppermost prediction +769 1/1 btb_q <= btb_prediction[CVA6Cfg.INSTR_PER_FETCH-1]; +770 1/1 bht_q <= bht_prediction[CVA6Cfg.INSTR_PER_FETCH-1]; +771 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : frontend + + Total Covered Percent +Conditions 131 129 98.47 +Logical 131 129 98.47 +Non-Logical 0 0 +Event 0 0 + + LINE 279 + EXPRESSION (ras_predict.valid & instr_queue_consumed[i]) + --------1-------- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 290 + EXPRESSION (rvi_branch[i] & bht_prediction_shifted[i].taken) + ------1------ ---------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 291 + EXPRESSION (rvc_branch[i] & bht_prediction_shifted[i].taken) + ------1------ ---------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 295 + EXPRESSION (rvi_branch[i] & rvi_imm[i][(32'b00000000000000000000000000100000 - 1)]) + ------1------ ---------------------------2-------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 296 + EXPRESSION (rvc_branch[i] & rvc_imm[i][(32'b00000000000000000000000000100000 - 1)]) + ------1------ ---------------------------2-------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 298 + EXPRESSION (taken_rvi_cf[i] || taken_rvc_cf[i]) + -------1------- -------2------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 309 + EXPRESSION (rvc_call[i] ? 2 : 4) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 312 + EXPRESSION (taken_rvc_cf[i] || taken_rvi_cf[i]) + -------1------- -------2------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 313 + EXPRESSION (taken_rvc_cf[i] ? rvc_imm[i] : rvi_imm[i]) + -------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + EXPRESSION (((cf_type[i] != NoCF) & (cf_type[i] != Return)) | ((cf_type[i] == Return) & ras_predict.valid)) + -----------------------1----------------------- ----------------------2--------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 324 + SUB-EXPRESSION ((cf_type[i] != NoCF) & (cf_type[i] != Return)) + ----------1--------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 324 + SUB-EXPRESSION (cf_type[i] != NoCF) + ----------1--------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + SUB-EXPRESSION (cf_type[i] != Return) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + SUB-EXPRESSION ((cf_type[i] == Return) & ras_predict.valid) + -----------1---------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 324 + SUB-EXPRESSION (cf_type[i] == Return) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 421 + EXPRESSION (fetchbuf_r && (((!FETCHBUF_FALLTHROUGH)) || ((!fetchbuf_w)))) + -----1---- -----------------------2---------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 421 + SUB-EXPRESSION (((!FETCHBUF_FALLTHROUGH)) || ((!fetchbuf_w))) + ------------1------------ -------2------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 509 + EXPRESSION (stall_ni || stall_obi || ((!instr_queue_ready)) || fetchbuf_full) + ----1--- ----2---- -----------3---------- ------4------ + +-1- -2- -3- -4- Status + 0 0 0 0 Covered + 0 0 0 1 Covered + 0 0 1 0 Covered + 0 1 0 0 Covered + 1 0 0 0 Unreachable + + LINE 512 + EXPRESSION (((!kill_s1)) && ((!flush_i))) + ------1----- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 521 + EXPRESSION (((!bp_valid)) && ((!flush_i))) + ------1------ ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 526 + EXPRESSION (((!bp_valid)) && ((!flush_i))) + ------1------ ------2----- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 610 + EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 709 + EXPRESSION (halt_i ? '0 : ({{(32'b00000000000000000000000000100000 - 3) {1'b0}}, 3'b100})) + ---1-- + +-1- Status + 0 Covered + 1 Not Covered + + LINE 387 + EXPRESSION (((&fetchbuf_valid_q)) && ( ! (FETCHBUF_FALLTHROUGH && fetchbuf_r) )) + ----------1---------- ---------------------2-------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 387 + SUB-EXPRESSION ( ! (FETCHBUF_FALLTHROUGH && fetchbuf_r) ) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 387 + SUB-EXPRESSION (FETCHBUF_FALLTHROUGH && fetchbuf_r) + ----------1--------- -----2---- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 409 + EXPRESSION ((FETCHBUF_FALLTHROUGH && fetchbuf_r) ? fetchbuf_rindex : fetchbuf_free_index) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 409 + SUB-EXPRESSION (FETCHBUF_FALLTHROUGH && fetchbuf_r) + ----------1--------- -----2---- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 468 + EXPRESSION (obi_a_state_q == REGISTRED) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 478 + EXPRESSION (is_mispredict | flush_i | replay) + ------1------ ---2--- ---3-- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 481 + EXPRESSION (kill_s1 | bp_valid) + ---1--- ----2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 483 + EXPRESSION (kill_req_q || kill_s2 || ex_s1) + -----1---- ---2--- --3-- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Covered + 1 0 0 Unreachable + + LINE 485 + EXPRESSION (fetchbuf_r && ((!fetchbuf_flushed_q[fetchbuf_rindex])) && ((!kill_s2))) + -----1---- --------------------2------------------- ------3----- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 488 + EXPRESSION ((pop_fetch || kill_s2) ? npc_fetch_address : vaddr_q) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 488 + SUB-EXPRESSION (pop_fetch || kill_s2) + ----1---- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 545 + SUB-EXPRESSION + Number Term + 1 (obi_a_state_q == TRANSPARENT) ? paddr[32'b00000000000000000000000000100001:32'b00000000000000000000000000000010] : paddr_q[32'b00000000000000000000000000100001:32'b00000000000000000000000000000010]) + +-1- Status + 0 Covered + 1 Covered + + LINE 545 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 552 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? fetchbuf_windex : fetchbuf_windex_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 552 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 552 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 557 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 557 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 557 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 629 + EXPRESSION (((speculative_q && ((!resolved_branch_i.valid))) || ((|is_branch)) || ((|is_return)) || ((|is_jalr))) && ((!flush_i))) + --------------------------------------------------1-------------------------------------------------- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 629 + SUB-EXPRESSION ((speculative_q && ((!resolved_branch_i.valid))) || ((|is_branch)) || ((|is_return)) || ((|is_jalr))) + -----------------------1----------------------- -------2------ -------3------ ------4----- + +-1- -2- -3- -4- Status + 0 0 0 0 Covered + 0 0 0 1 Covered + 0 0 1 0 Covered + 0 1 0 0 Covered + 1 0 0 0 Covered + + LINE 629 + SUB-EXPRESSION (speculative_q && ((!resolved_branch_i.valid))) + ------1------ --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 634 + EXPRESSION (resolved_branch_i.valid & (resolved_branch_i.cf_type == Branch)) + -----------1----------- ------------------2------------------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 634 + SUB-EXPRESSION (resolved_branch_i.cf_type == Branch) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 639 + EXPRESSION (resolved_branch_i.is_mispredict & (resolved_branch_i.cf_type == JumpR)) + ---------------1--------------- ------------------2----------------- + +-1- -2- Status + 0 1 Not Covered + 1 0 Covered + 1 1 Covered + + LINE 639 + SUB-EXPRESSION (resolved_branch_i.cf_type == JumpR) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 201 + EXPRESSION (serving_unaligned ? bht_q : bht_prediction[addr[0][$clog2(32'b00000000000000000000000000000010):1]]) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 204 + EXPRESSION (serving_unaligned ? btb_q : btb_prediction[addr[0][$clog2(32'b00000000000000000000000000000010):1]]) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT + 99.24 100.00 98.47 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.70 100.00 99.39 -- + + +Module : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- frontend + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- bht_gen.i_bht +100.00 100.00 100.00 -- gen_instr_scan[0].i_instr_scan +100.00 100.00 100.00 -- i_instr_queue +100.00 100.00 100.00 -- i_instr_realign +100.00 100.00 100.00 -- ras_gen.i_ras + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : ariane_regfile +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/ariane_regfile_ff.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.gen_asic_regfile.i_ariane_regfile + + + +------------------------------------------------------------------------------- +Line Coverage for Module : ariane_regfile + + Line No. Total Covered Percent +TOTAL 13 13 100.00 +ALWAYS 53 0 0 +ALWAYS 53 5 5 100.00 +ALWAYS 63 8 8 100.00 + +52 always_comb begin : we_decoder +53 1/1 for (int unsigned j = 0; j < CVA6Cfg.NrCommitPorts; j++) begin +54 1/1 for (int unsigned i = 0; i < NUM_WORDS; i++) begin +55 2/2 if (waddr_i[j] == i) we_dec[j][i] = we_i[j]; +56 1/1 else we_dec[j][i] = 1'b0; +57 end +58 end +59 end +60 +61 // loop from 1 to NUM_WORDS-1 as R0 is nil +62 always_ff @(posedge clk_i, negedge rst_ni) begin : register_write_behavioral +63 1/1 if (~rst_ni) begin +64 1/1 mem <= '{default: '0}; +65 end else begin +66 1/1 for (int unsigned j = 0; j < CVA6Cfg.NrCommitPorts; j++) begin +67 1/1 for (int unsigned i = 0; i < NUM_WORDS; i++) begin +68 1/1 if (we_dec[j][i]) begin +69 1/1 mem[i] <= wdata_i[j]; +70 end + MISSING_ELSE +71 end +72 1/1 if (ZERO_REG_ZERO) begin +73 1/1 mem[0] <= '0; +74 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : ariane_regfile + + Total Covered Percent +Conditions 2 2 100.00 +Logical 2 2 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 55 + EXPRESSION (waddr_i[j] == i) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.gen_asic_regfile.i_ariane_regfile +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ariane_regfile + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : issue_read_operands +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/issue_read_operands.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands + + + +------------------------------------------------------------------------------- +Line Coverage for Module : issue_read_operands + + Line No. Total Covered Percent +TOTAL 192 192 100.00 +ALWAYS 284 15 15 100.00 +ALWAYS 382 12 12 100.00 +ALWAYS 417 11 11 100.00 +ALWAYS 639 22 22 100.00 +ALWAYS 722 19 19 100.00 +ALWAYS 776 28 28 100.00 +ALWAYS 836 19 19 100.00 +ALWAYS 861 11 11 100.00 +ALWAYS 880 5 5 100.00 +ALWAYS 891 9 9 100.00 +ALWAYS 928 0 0 +ALWAYS 928 11 11 100.00 +ALWAYS 1024 2 2 100.00 +ALWAYS 1107 11 11 100.00 +ALWAYS 1130 17 17 100.00 +INITIAL 1158 0 0 +INITIAL 1173 0 0 + +283 always_comb begin : structural_hazards +284 1/1 fus_busy = '0; +285 // CVXIF is always ready to try a new transaction on 1st issue port +286 // If a transaction is already pending then we stall until the transaction is done.(issue_ack_o[0] = 0) +287 // Since we can not have two CVXIF instruction on 1st issue port, CVXIF is always ready for the pending instruction. +288 1/1 if (!flu_ready_i) begin +289 1/1 fus_busy[0].alu = 1'b1; +290 1/1 fus_busy[0].ctrl_flow = 1'b1; +291 1/1 fus_busy[0].csr = 1'b1; +292 1/1 fus_busy[0].mult = 1'b1; +293 end + MISSING_ELSE +294 +295 // after a multiplication was issued we can only issue another multiplication +296 // otherwise we will get contentions on the fixed latency bus +297 1/1 if (|mult_valid_q) begin +298 1/1 fus_busy[0].alu = 1'b1; +299 1/1 fus_busy[0].ctrl_flow = 1'b1; +300 1/1 fus_busy[0].csr = 1'b1; +301 end + MISSING_ELSE +302 +303 1/1 if (CVA6Cfg.FpPresent && !fpu_ready_i) begin +304 unreachable fus_busy[0].fpu = 1'b1; +305 unreachable fus_busy[0].fpu_vec = 1'b1; +306 unreachable if (CVA6Cfg.SuperscalarEn) fus_busy[0].alu2 = 1'b1; + ==> MISSING_ELSE +307 end + MISSING_ELSE +308 +309 1/1 if (!lsu_ready_i) begin +310 1/1 fus_busy[0].load = 1'b1; +311 1/1 fus_busy[0].store = 1'b1; +312 end + MISSING_ELSE +313 +314 1/1 if (CVA6Cfg.SuperscalarEn) begin +315 unreachable fus_busy[1] = fus_busy[0]; +316 +317 // Never issue CSR instruction on second issue port. +318 unreachable fus_busy[1].csr = 1'b1; +319 // Never issue CVXIF instruction on second issue port. +320 unreachable fus_busy[1].cvxif = 1'b1; +321 +322 unreachable unique case (issue_instr_i[0].fu) +323 unreachable NONE: fus_busy[1].none = 1'b1; +324 CTRL_FLOW: begin +325 unreachable if (CVA6Cfg.SpeculativeSb) begin +326 // Issue speculative instruction, will be removed on BMISS +327 unreachable fus_busy[1].alu = 1'b1; +328 unreachable fus_busy[1].ctrl_flow = 1'b1; +329 unreachable fus_busy[1].csr = 1'b1; +330 // Speculative non-idempotent loads are not supported yet +331 unreachable fus_busy[1].load = 1'b1; +332 // The store buffer cannot be partially flushed yet +333 unreachable fus_busy[1].store = 1'b1; +334 end else begin +335 // There are no branch misses on a JAL +336 unreachable if (issue_instr_i[0].op == ariane_pkg::ADD) begin +337 unreachable fus_busy[1].alu = 1'b1; +338 unreachable fus_busy[1].ctrl_flow = 1'b1; +339 unreachable fus_busy[1].csr = 1'b1; +340 end else begin +341 // Control hazard +342 unreachable fus_busy[1] = '1; +343 end +344 end +345 end +346 ALU: begin +347 unreachable if (CVA6Cfg.SuperscalarEn && !fus_busy[0].alu2) begin +348 unreachable fus_busy[1].alu2 = 1'b1; +349 // TODO is there a minimum float execution time? +350 // If so we could issue FPU & ALU2 the same cycle +351 unreachable fus_busy[1].fpu = 1'b1; +352 unreachable fus_busy[1].fpu_vec = 1'b1; +353 end else begin +354 unreachable fus_busy[1].alu = 1'b1; +355 unreachable fus_busy[1].ctrl_flow = 1'b1; +356 unreachable fus_busy[1].csr = 1'b1; +357 end +358 end +359 CSR: begin +360 // Control hazard +361 unreachable fus_busy[1] = '1; +362 end +363 unreachable MULT: fus_busy[1].mult = 1'b1; +364 FPU, FPU_VEC: begin +365 unreachable fus_busy[1].fpu = 1'b1; +366 unreachable fus_busy[1].fpu_vec = 1'b1; +367 end +368 LOAD, STORE: begin +369 unreachable fus_busy[1].load = 1'b1; +370 unreachable fus_busy[1].store = 1'b1; +371 end +372 unreachable CVXIF: ; +373 unreachable default: ; +374 endcase +375 end + MISSING_ELSE +376 end +377 +378 // select the right busy signal +379 // this obviously depends on the functional unit we need +380 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +381 always_comb begin +382 1/1 unique case (issue_instr_i[i].fu) +383 1/1 NONE: fu_busy[i] = fus_busy[i].none; +384 ALU: begin +385 1/1 if (CVA6Cfg.SuperscalarEn && !fus_busy[i].alu2) begin +386 unreachable fu_busy[i] = fus_busy[i].alu2; +387 end else begin +388 1/1 fu_busy[i] = fus_busy[i].alu; +389 end +390 end +391 1/1 CTRL_FLOW: fu_busy[i] = fus_busy[i].ctrl_flow; +392 1/1 CSR: fu_busy[i] = fus_busy[i].csr; +393 1/1 MULT: fu_busy[i] = fus_busy[i].mult; +394 1/1 LOAD: fu_busy[i] = fus_busy[i].load; +395 1/1 STORE: fu_busy[i] = fus_busy[i].store; +396 1/1 CVXIF: fu_busy[i] = fus_busy[i].cvxif; +397 default: +398 1/1 if (CVA6Cfg.FpPresent) begin +399 unreachable unique case (issue_instr_i[i].fu) +400 unreachable FPU: fu_busy[i] = fus_busy[i].fpu; +401 unreachable FPU_VEC: fu_busy[i] = fus_busy[i].fpu_vec; +402 unreachable default: fu_busy[i] = 1'b0; +403 endcase +404 end else begin +405 1/1 fu_busy[i] = 1'b0; +406 end +407 endcase +408 end +409 end +410 +411 // ------------------- +412 // RD clobber process +413 // ------------------- +414 // rd_clobber output: output currently clobbered destination registers +415 +416 always_comb begin : clobber_assign +417 1/1 gpr_clobber_vld = '0; +418 1/1 fpr_clobber_vld = '0; +419 +420 // default (highest entry hast lowest prio in arbiter tree below) +421 1/1 clobber_fu[CVA6Cfg.NR_SB_ENTRIES] = ariane_pkg::NONE; +422 1/1 for (int unsigned i = 0; i < 2 ** ariane_pkg::REG_ADDR_SIZE; i++) begin +423 1/1 gpr_clobber_vld[i][CVA6Cfg.NR_SB_ENTRIES] = 1'b1; +424 1/1 fpr_clobber_vld[i][CVA6Cfg.NR_SB_ENTRIES] = 1'b1; +425 end +426 +427 // check for all valid entries and set the clobber accordingly +428 +429 1/1 for (int unsigned i = 0; i < CVA6Cfg.NR_SB_ENTRIES; i++) begin +430 1/1 gpr_clobber_vld[fwd_i.sbe[i].rd][i] = fwd_i.still_issued[i] & ~(CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +431 fwd_i.sbe[i].op)); +432 1/1 fpr_clobber_vld[fwd_i.sbe[i].rd][i] = fwd_i.still_issued[i] & (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +433 fwd_i.sbe[i].op)); +434 1/1 clobber_fu[i] = fwd_i.sbe[i].fu; +435 end +436 +437 // GPR[0] is always free +438 1/1 gpr_clobber_vld[0] = '0; +439 end +440 +441 for (genvar k = 0; k < 2 ** ariane_pkg::REG_ADDR_SIZE; k++) begin : gen_sel_clobbers +442 // get fu that is going to clobber this register (there should be only one) +443 rr_arb_tree #( +444 .NumIn(CVA6Cfg.NR_SB_ENTRIES + 1), +445 .DataType(ariane_pkg::fu_t), +446 .ExtPrio(1'b1), +447 .AxiVldRdy(1'b1) +448 ) i_sel_gpr_clobbers ( +449 .clk_i (clk_i), +450 .rst_ni (rst_ni), +451 .flush_i(1'b0), +452 .rr_i ('0), +453 .req_i (gpr_clobber_vld[k]), +454 .gnt_o (), +455 .data_i (clobber_fu), +456 .gnt_i (1'b1), +457 .req_o (), +458 .data_o (rd_clobber_gpr[k]), +459 .idx_o () +460 ); +461 if (CVA6Cfg.FpPresent) begin +462 rr_arb_tree #( +463 .NumIn(CVA6Cfg.NR_SB_ENTRIES + 1), +464 .DataType(ariane_pkg::fu_t), +465 .ExtPrio(1'b1), +466 .AxiVldRdy(1'b1) +467 ) i_sel_fpr_clobbers ( +468 .clk_i (clk_i), +469 .rst_ni (rst_ni), +470 .flush_i(1'b0), +471 .rr_i ('0), +472 .req_i (fpr_clobber_vld[k]), +473 .gnt_o (), +474 .data_i (clobber_fu), +475 .gnt_i (1'b1), +476 .req_o (), +477 .data_o (rd_clobber_fpr[k]), +478 .idx_o () +479 ); +480 end else begin +481 assign rd_clobber_fpr[k] = NONE; +482 end +483 end +484 +485 // ---------------------------------- +486 // Read Operands (a.k.a forwarding) +487 // ---------------------------------- +488 // read operand interface: same logic as register file +489 +490 // WB ports have higher prio than entries +491 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +492 for (genvar k = 0; unsigned'(k) < CVA6Cfg.NrWbPorts; k++) begin : gen_rs_wb +493 +494 assign rs1_fwd_req[i][k] = (fwd_i.sbe[fwd_i.wb[k].trans_id].rd == issue_instr_i[i].rs1) & (fwd_i.still_issued[fwd_i.wb[k].trans_id]) & fwd_i.wb[k].valid & (~fwd_i.wb[k].ex_valid) & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +495 fwd_i.sbe[fwd_i.wb[k].trans_id].op +496 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs1_fpr( +497 issue_instr_i[i].op +498 ))); +499 +500 assign rs2_fwd_req[i][k] = (fwd_i.sbe[fwd_i.wb[k].trans_id].rd == issue_instr_i[i].rs2) & (fwd_i.still_issued[fwd_i.wb[k].trans_id]) & fwd_i.wb[k].valid & (~fwd_i.wb[k].ex_valid) & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +501 fwd_i.sbe[fwd_i.wb[k].trans_id].op +502 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs2_fpr( +503 issue_instr_i[i].op +504 ))); +505 +506 assign rs3_fwd_req[i][k] = (fwd_i.sbe[fwd_i.wb[k].trans_id].rd == issue_instr_i[i].result[ariane_pkg::REG_ADDR_SIZE-1:0]) & (fwd_i.still_issued[fwd_i.wb[k].trans_id]) & fwd_i.wb[k].valid & (~fwd_i.wb[k].ex_valid) & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +507 fwd_i.sbe[fwd_i.wb[k].trans_id].op +508 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_imm_fpr( +509 issue_instr_i[i].op +510 ))); +511 +512 assign rs_data[i][k] = fwd_i.wb[k].data; +513 end +514 +515 for (genvar k = 0; unsigned'(k) < CVA6Cfg.NR_SB_ENTRIES; k++) begin : gen_rs_entries +516 +517 assign rs1_fwd_req[i][k+CVA6Cfg.NrWbPorts] = (fwd_i.sbe[k].rd == issue_instr_i[i].rs1) & fwd_i.still_issued[k] & fwd_i.sbe[k].valid & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +518 fwd_i.sbe[k].op +519 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs1_fpr( +520 issue_instr_i[i].op +521 ))); +522 +523 assign rs2_fwd_req[i][k+CVA6Cfg.NrWbPorts] = (fwd_i.sbe[k].rd == issue_instr_i[i].rs2) & fwd_i.still_issued[k] & fwd_i.sbe[k].valid & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +524 fwd_i.sbe[k].op +525 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_rs2_fpr( +526 issue_instr_i[i].op +527 ))); +528 +529 assign rs3_fwd_req[i][k+CVA6Cfg.NrWbPorts] = (fwd_i.sbe[k].rd == issue_instr_i[i].result[ariane_pkg::REG_ADDR_SIZE-1:0]) & fwd_i.still_issued[k] & fwd_i.sbe[k].valid & ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +530 fwd_i.sbe[k].op +531 )) == (CVA6Cfg.FpPresent && ariane_pkg::is_imm_fpr( +532 issue_instr_i[i].op +533 ))); +534 +535 assign rs_data[i][k+CVA6Cfg.NrWbPorts] = fwd_i.sbe[k].result; +536 end +537 +538 // use fixed prio here +539 // this implicitly gives higher prio to WB ports +540 rr_arb_tree #( +541 .NumIn(CVA6Cfg.NR_SB_ENTRIES + CVA6Cfg.NrWbPorts), +542 .DataWidth(CVA6Cfg.XLEN), +543 .ExtPrio(1'b1), +544 .AxiVldRdy(1'b1) +545 ) i_sel_rs1 ( +546 .clk_i (clk_i), +547 .rst_ni (rst_ni), +548 .flush_i(1'b0), +549 .rr_i ('0), +550 .req_i (rs1_fwd_req[i]), +551 .gnt_o (), +552 .data_i (rs_data[i]), +553 .gnt_i (1'b1), +554 .req_o (rs1_available[i]), +555 .data_o (rs1_res[i]), +556 .idx_o () +557 ); +558 +559 rr_arb_tree #( +560 .NumIn(CVA6Cfg.NR_SB_ENTRIES + CVA6Cfg.NrWbPorts), +561 .DataWidth(CVA6Cfg.XLEN), +562 .ExtPrio(1'b1), +563 .AxiVldRdy(1'b1) +564 ) i_sel_rs2 ( +565 .clk_i (clk_i), +566 .rst_ni (rst_ni), +567 .flush_i(1'b0), +568 .rr_i ('0), +569 .req_i (rs2_fwd_req[i]), +570 .gnt_o (), +571 .data_i (rs_data[i]), +572 .gnt_i (1'b1), +573 .req_o (rs2_available[i]), +574 .data_o (rs2_res[i]), +575 .idx_o () +576 ); +577 +578 +579 rr_arb_tree #( +580 .NumIn(CVA6Cfg.NR_SB_ENTRIES + CVA6Cfg.NrWbPorts), +581 .DataWidth(CVA6Cfg.XLEN), +582 .ExtPrio(1'b1), +583 .AxiVldRdy(1'b1) +584 ) i_sel_rs3 ( +585 .clk_i (clk_i), +586 .rst_ni (rst_ni), +587 .flush_i(1'b0), +588 .rr_i ('0), +589 .req_i (rs3_fwd_req[i]), +590 .gnt_o (), +591 .data_i (rs_data[i]), +592 .gnt_i (1'b1), +593 .req_o (rs3_available[i]), +594 .data_o (rs3[i]), +595 .idx_o () +596 ); +597 +598 if (CVA6Cfg.NrRgprPorts == 3) begin : gen_gp_three_port +599 assign rs3_res[i] = rs3[i][riscv::XLEN-1:0]; +600 end else begin : gen_fp_three_port +601 assign rs3_res[i] = rs3[i][CVA6Cfg.FLen-1:0]; +602 end +603 +604 assign rs1_has_raw[i] = !issue_instr_i[i].use_zimm && ((CVA6Cfg.FpPresent && is_rs1_fpr( +605 issue_instr_i[i].op +606 )) ? rd_clobber_fpr[issue_instr_i[i].rs1] != NONE : +607 rd_clobber_gpr[issue_instr_i[i].rs1] != NONE); +608 +609 assign rs1_valid[i] = rs1_available[i] && (CVA6Cfg.FpPresent && is_rs1_fpr( +610 issue_instr_i[i].op +611 ) ? 1'b1 : ((rd_clobber_gpr[issue_instr_i[i].rs1] != CSR) || +612 (CVA6Cfg.RVS && issue_instr_i[i].op == SFENCE_VMA))); +613 +614 assign rs2_has_raw[i] = ((CVA6Cfg.FpPresent && is_rs2_fpr( +615 issue_instr_i[i].op +616 )) ? rd_clobber_fpr[issue_instr_i[i].rs2] != NONE : +617 rd_clobber_gpr[issue_instr_i[i].rs2] != NONE); +618 +619 assign rs2_valid[i] = rs2_available[i] && (CVA6Cfg.FpPresent && is_rs2_fpr( +620 issue_instr_i[i].op +621 ) ? 1'b1 : ((rd_clobber_gpr[issue_instr_i[i].rs2] != CSR) || +622 (CVA6Cfg.RVS && issue_instr_i[i].op == SFENCE_VMA))); +623 +624 assign rs3_has_raw[i] = ((CVA6Cfg.FpPresent && is_imm_fpr( +625 issue_instr_i[i].op +626 )) ? rd_clobber_fpr[issue_instr_i[i].result[REG_ADDR_SIZE-1:0]] != NONE : 0); +627 +628 assign rs3_valid[i] = rs3_available[i]; +629 assign rs3_fpr[i] = (CVA6Cfg.FpPresent && ariane_pkg::is_imm_fpr(issue_instr_i[i].op)); +630 +631 end +632 +633 // --------------- +634 // Register stage +635 // --------------- +636 // check that all operands are available, otherwise stall +637 // forward corresponding register +638 always_comb begin : operands_available +639 1/1 stall_raw = '{default: stall_i}; +640 1/1 stall_rs1 = '{default: stall_i}; +641 1/1 stall_rs2 = '{default: stall_i}; +642 1/1 stall_rs3 = '{default: stall_i}; +643 // operand forwarding signals +644 1/1 forward_rs1 = '0; +645 1/1 forward_rs2 = '0; +646 1/1 forward_rs3 = '0; // FPR only +647 +648 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +649 1/1 if (rs1_has_raw[i]) begin +650 1/1 if (rs1_valid[i]) begin +651 1/1 forward_rs1[i] = 1'b1; +652 end else begin // the operand is not available -> stall +653 1/1 stall_raw[i] = 1'b1; +654 1/1 stall_rs1[i] = 1'b1; +655 end +656 end + MISSING_ELSE +657 +658 1/1 if (rs2_has_raw[i]) begin +659 1/1 if (rs2_valid[i]) begin +660 1/1 forward_rs2[i] = 1'b1; +661 end else begin // the operand is not available -> stall +662 1/1 stall_raw[i] = 1'b1; +663 1/1 stall_rs2[i] = 1'b1; +664 end +665 end + MISSING_ELSE +666 +667 1/1 if (CVA6Cfg.NrRgprPorts == 3 && rs3_has_raw[i] && rs3_fpr[i]) begin +668 unreachable if (rs3_valid[i]) begin +669 unreachable forward_rs3[i] = 1'b1; +670 end else begin // the operand is not available -> stall +671 unreachable stall_raw[i] = 1'b1; +672 unreachable stall_rs3[i] = 1'b1; +673 end +674 end + MISSING_ELSE +675 +676 1/1 if (CVA6Cfg.CvxifEn) begin +677 1/1 stall_raw[0] = x_transaction_rejected ? 1'b0 : stall_rs1[0] || stall_rs2[0] || (CVA6Cfg.NrRgprPorts == 3 && stall_rs3[0]); +678 end + ==> MISSING_ELSE +679 end +680 +681 1/1 if (CVA6Cfg.SuperscalarEn) begin +682 unreachable if (!issue_instr_i[1].use_zimm && (!CVA6Cfg.FpPresent || (is_rs1_fpr( +683 issue_instr_i[1].op +684 ) == is_rd_fpr( +685 issue_instr_i[0].op +686 ))) && issue_instr_i[1].rs1 == issue_instr_i[0].rd && issue_instr_i[1].rs1 != '0) begin +687 unreachable stall_raw[1] = 1'b1; +688 end + ==> MISSING_ELSE +689 +690 unreachable if ((!CVA6Cfg.FpPresent || (is_rs2_fpr( +691 issue_instr_i[1].op +692 ) == is_rd_fpr( +693 issue_instr_i[0].op +694 ))) && issue_instr_i[1].rs2 == issue_instr_i[0].rd && issue_instr_i[1].rs2 != '0) begin +695 unreachable stall_raw[1] = 1'b1; +696 end + ==> MISSING_ELSE +697 +698 // Only check clobbered gpr for OFFLOADED instruction +699 unreachable if ((CVA6Cfg.FpPresent && is_imm_fpr( +700 issue_instr_i[1].op +701 )) ? is_rd_fpr( +702 issue_instr_i[0].op +703 ) && issue_instr_i[0].rd == issue_instr_i[1].result[REG_ADDR_SIZE-1:0] : +704 issue_instr_i[1].op == OFFLOAD && OPERANDS_PER_INSTR == 3 ? +705 issue_instr_i[0].rd == issue_instr_i[1].result[REG_ADDR_SIZE-1:0] : 1'b0) begin +706 unreachable stall_raw[1] = 1'b1; +707 end + ==> MISSING_ELSE +708 end + MISSING_ELSE +709 end +710 +711 // third operand from fp regfile or gp regfile if NR_RGPR_PORTS == 3 +712 if (OPERANDS_PER_INSTR == 3) begin : gen_gp_rs3 +713 assign imm_forward_rs3 = rs3_res[0]; +714 end else begin : gen_fp_rs3 +715 assign imm_forward_rs3 = {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, rs3_res[0]}; +716 end +717 +718 // Forwarding/Output MUX +719 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +720 always_comb begin : forwarding_operand_select +721 // default is regfiles (gpr or fpr) +722 1/1 fu_data_n[i].operand_a = operand_a_regfile[i]; +723 1/1 fu_data_n[i].operand_b = operand_b_regfile[i]; +724 +725 // immediates are the third operands in the store case +726 // for FP operations, the imm field can also be the third operand from the regfile +727 1/1 if (OPERANDS_PER_INSTR == 3) begin +728 unreachable fu_data_n[i].imm = (CVA6Cfg.FpPresent && is_imm_fpr(issue_instr_i[i].op)) ? +729 {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, operand_c_regfile[i]} : +730 issue_instr_i[i].op == OFFLOAD ? operand_c_regfile[i] : issue_instr_i[i].result; +731 end else begin +732 1/1 fu_data_n[i].imm = (CVA6Cfg.FpPresent && is_imm_fpr(issue_instr_i[i].op)) ? +733 {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, operand_c_regfile[i]} : issue_instr_i[i].result; +734 end +735 1/1 fu_data_n[i].trans_id = issue_instr_i[i].trans_id; +736 1/1 fu_data_n[i].fu = issue_instr_i[i].fu; +737 1/1 fu_data_n[i].operation = issue_instr_i[i].op; +738 1/1 if (CVA6Cfg.RVH) begin +739 unreachable tinst_n[i] = issue_instr_i[i].ex.tinst; +740 end + MISSING_ELSE +741 +742 // or should we forward +743 1/1 if (forward_rs1[i]) begin +744 1/1 fu_data_n[i].operand_a = rs1_res[i]; +745 end + MISSING_ELSE +746 1/1 if (forward_rs2[i]) begin +747 1/1 fu_data_n[i].operand_b = rs2_res[i]; +748 end + MISSING_ELSE +749 1/1 if ((CVA6Cfg.FpPresent || (CVA6Cfg.CvxifEn && OPERANDS_PER_INSTR == 3)) && forward_rs3[i]) begin +750 unreachable fu_data_n[i].imm = imm_forward_rs3; +751 end + MISSING_ELSE +752 +753 // use the PC as operand a +754 1/1 if (issue_instr_i[i].use_pc) begin +755 1/1 fu_data_n[i].operand_a = { +756 {CVA6Cfg.XLEN - CVA6Cfg.VLEN{issue_instr_i[i].pc[CVA6Cfg.VLEN-1]}}, issue_instr_i[i].pc +757 }; +758 end + MISSING_ELSE +759 +760 // use the zimm as operand a +761 1/1 if (issue_instr_i[i].use_zimm) begin +762 // zero extend operand a +763 1/1 fu_data_n[i].operand_a = {{CVA6Cfg.XLEN - 5{1'b0}}, issue_instr_i[i].rs1[4:0]}; +764 end + MISSING_ELSE +765 // or is it an immediate (including PC), this is not the case for a store, control flow, and accelerator instructions +766 // also make sure operand B is not already used as an FP operand +767 1/1 if (issue_instr_i[i].use_imm && (issue_instr_i[i].fu != STORE) && (issue_instr_i[i].fu != CTRL_FLOW) && (issue_instr_i[i].fu != ACCEL) && !(CVA6Cfg.FpPresent && is_rs2_fpr( +768 issue_instr_i[i].op +769 ))) begin +770 1/1 fu_data_n[i].operand_b = issue_instr_i[i].result; +771 end + MISSING_ELSE +772 end +773 end +774 +775 always_comb begin +776 1/1 alu_valid_n = '0; +777 1/1 lsu_valid_n = '0; +778 1/1 mult_valid_n = '0; +779 1/1 fpu_valid_n = '0; +780 1/1 fpu_fmt_n = '0; +781 1/1 fpu_rm_n = '0; +782 1/1 alu2_valid_n = '0; +783 1/1 csr_valid_n = '0; +784 1/1 branch_valid_n = '0; +785 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +786 1/1 if (!issue_instr_i[i].ex.valid && issue_instr_valid_i[i] && issue_ack_o[i]) begin +787 1/1 case (issue_instr_i[i].fu) +788 ALU: begin +789 1/1 if (CVA6Cfg.SuperscalarEn && !fus_busy[i].alu2) begin +790 unreachable alu2_valid_n[i] = 1'b1; +791 end else begin +792 1/1 alu_valid_n[i] = 1'b1; +793 end +794 end +795 CTRL_FLOW: begin +796 1/1 branch_valid_n[i] = 1'b1; +797 end +798 MULT: begin +799 1/1 mult_valid_n[i] = 1'b1; +800 end +801 LOAD, STORE: begin +802 1/1 lsu_valid_n[i] = 1'b1; +803 end +804 CSR: begin +805 1/1 csr_valid_n[i] = 1'b1; +806 end +807 default: begin +808 1/1 if (issue_instr_i[i].fu == FPU && CVA6Cfg.FpPresent) begin +809 unreachable fpu_valid_n[i] = 1'b1; +810 unreachable fpu_fmt_n = orig_instr.rftype.fmt; // fmt bits from instruction +811 unreachable fpu_rm_n = orig_instr.rftype.rm; // rm bits from instruction +812 1/1 end else if (issue_instr_i[i].fu == FPU_VEC && CVA6Cfg.FpPresent) begin +813 unreachable fpu_valid_n[i] = 1'b1; +814 unreachable fpu_fmt_n = orig_instr.rvftype.vfmt; // vfmt bits from instruction +815 unreachable fpu_rm_n = {2'b0, orig_instr.rvftype.repl}; // repl bit from instruction +816 end + MISSING_ELSE +817 end +818 endcase +819 end + MISSING_ELSE +820 end +821 // if we got a flush request, de-assert the valid flag, otherwise we will start this +822 // functional unit with the wrong inputs +823 1/1 if (flush_i) begin +824 1/1 alu_valid_n = '0; +825 1/1 lsu_valid_n = '0; +826 1/1 mult_valid_n = '0; +827 1/1 fpu_valid_n = '0; +828 1/1 alu2_valid_n = '0; +829 1/1 csr_valid_n = '0; +830 1/1 branch_valid_n = '0; +831 end + MISSING_ELSE +832 end +833 // FU select, assert the correct valid out signal (in the next cycle) +834 // This needs to be like this to make verilator happy. I know its ugly. +835 always_ff @(posedge clk_i or negedge rst_ni) begin +836 1/1 if (!rst_ni) begin +837 1/1 alu_valid_q <= '0; +838 1/1 lsu_valid_q <= '0; +839 1/1 mult_valid_q <= '0; +840 1/1 fpu_valid_q <= '0; +841 1/1 fpu_fmt_q <= '0; +842 1/1 fpu_rm_q <= '0; +843 1/1 alu2_valid_q <= '0; +844 1/1 csr_valid_q <= '0; +845 1/1 branch_valid_q <= '0; +846 end else begin +847 1/1 alu_valid_q <= alu_valid_n; +848 1/1 lsu_valid_q <= lsu_valid_n; +849 1/1 mult_valid_q <= mult_valid_n; +850 1/1 fpu_valid_q <= fpu_valid_n; +851 1/1 fpu_fmt_q <= fpu_fmt_n; +852 1/1 fpu_rm_q <= fpu_rm_n; +853 1/1 alu2_valid_q <= alu2_valid_n; +854 1/1 csr_valid_q <= csr_valid_n; +855 1/1 branch_valid_q <= branch_valid_n; +856 end +857 end +858 +859 if (CVA6Cfg.CvxifEn) begin +860 always_comb begin +861 1/1 cvxif_valid_n = '0; +862 1/1 cvxif_off_instr_n = 32'b0; +863 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +864 1/1 if (!issue_instr_i[i].ex.valid && issue_instr_valid_i[i] && issue_ack_o[i]) begin +865 1/1 case (issue_instr_i[i].fu) +866 CVXIF: begin +867 1/1 cvxif_valid_n[i] = 1'b1; +868 1/1 cvxif_off_instr_n = orig_instr[i]; +869 end +870 1/1 default: ; +871 endcase +872 end + MISSING_ELSE +873 end +874 1/1 if (flush_i) begin +875 1/1 cvxif_valid_n = '0; +876 1/1 cvxif_off_instr_n = 32'b0; +877 end + MISSING_ELSE +878 end +879 always_ff @(posedge clk_i or negedge rst_ni) begin +880 1/1 if (!rst_ni) begin +881 1/1 cvxif_valid_q <= '0; +882 1/1 cvxif_off_instr_q <= 32'b0; +883 end else begin +884 1/1 cvxif_valid_q <= cvxif_valid_n; +885 1/1 cvxif_off_instr_q <= cvxif_off_instr_n; +886 end +887 end +888 end +889 +890 always_comb begin : gen_check_waw_dependencies +891 1/1 stall_waw = '1; +892 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +893 1/1 if (issue_instr_valid_i[i] && !fu_busy[i]) begin +894 // ----------------------------------------- +895 // WAW - Write After Write Dependency Check +896 // ----------------------------------------- +897 // no other instruction has the same destination register -> issue the instruction +898 1/1 if ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +899 issue_instr_i[i].op +900 )) ? (rd_clobber_fpr[issue_instr_i[i].rd] == NONE) : +901 (rd_clobber_gpr[issue_instr_i[i].rd] == NONE)) begin +902 1/1 stall_waw[i] = 1'b0; +903 end + MISSING_ELSE +904 // or check that the target destination register will be written in this cycle by the +905 // commit stage +906 1/1 for (int unsigned c = 0; c < CVA6Cfg.NrCommitPorts; c++) begin +907 1/1 if ((CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +908 issue_instr_i[i].op +909 )) ? (we_fpr_i[c] && waddr_i[c] == issue_instr_i[i].rd) : +910 (we_gpr_i[c] && waddr_i[c] == issue_instr_i[i].rd)) begin +911 1/1 stall_waw[i] = 1'b0; +912 end + MISSING_ELSE +913 end +914 1/1 if (CVA6Cfg.SuperscalarEn && i > 0) begin +915 unreachable if ((issue_instr_i[i].rd == issue_instr_i[i-1].rd) && (issue_instr_i[i].rd != '0)) begin +916 unreachable stall_waw[i] = 1'b1; +917 end + ==> MISSING_ELSE +918 end + MISSING_ELSE +919 end + MISSING_ELSE +920 end +921 end +922 +923 +924 // We can issue an instruction if we do not detect that any other instruction is writing the same +925 // destination register. +926 // We also need to check if there is an unresolved branch in the scoreboard. +927 always_comb begin : issue_scoreboard +928 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +929 // default assignment +930 1/1 issue_ack[i] = 1'b0; +931 // check that the instruction we got is valid +932 // and that the functional unit we need is not busy +933 1/1 if (issue_instr_valid_i[i] && !fu_busy[i]) begin +934 1/1 if (!stall_raw[i] && !stall_waw[i]) begin +935 1/1 issue_ack[i] = 1'b1; +936 end + MISSING_ELSE +937 1/1 if (issue_instr_i[i].ex.valid) begin +938 1/1 issue_ack[i] = 1'b1; +939 end + MISSING_ELSE +940 end + MISSING_ELSE +941 end +942 +943 1/1 issue_ack_o = issue_ack; +944 // Do not acknoledge the issued instruction if transaction is not completed. +945 1/1 if (issue_instr_i[0].fu == CVXIF && !(x_transaction_accepted_o || x_transaction_rejected)) begin +946 1/1 issue_ack_o[0] = issue_instr_i[0].ex.valid && issue_instr_valid_i[0]; +947 end + MISSING_ELSE +948 1/1 if (CVA6Cfg.SuperscalarEn) begin +949 unreachable if (!issue_ack_o[0]) begin +950 unreachable issue_ack_o[1] = 1'b0; +951 end + ==> MISSING_ELSE +952 end + MISSING_ELSE +953 end +954 +955 // ---------------------- +956 // Integer Register File +957 // ---------------------- +958 logic [ CVA6Cfg.NrRgprPorts-1:0][CVA6Cfg.XLEN-1:0] rdata; +959 logic [ CVA6Cfg.NrRgprPorts-1:0][ 4:0] raddr_pack; +960 +961 // pack signals +962 logic [CVA6Cfg.NrCommitPorts-1:0][ 4:0] waddr_pack; +963 logic [CVA6Cfg.NrCommitPorts-1:0][CVA6Cfg.XLEN-1:0] wdata_pack; +964 logic [CVA6Cfg.NrCommitPorts-1:0] we_pack; +965 +966 //adjust address to read from register file (when synchronous RAM is used reads take one cycle, so we advance the address) +967 for (genvar i = 0; i <= CVA6Cfg.NrIssuePorts - 1; i++) begin +968 assign raddr_pack[i*OPERANDS_PER_INSTR+0] = CVA6Cfg.FpgaEn && CVA6Cfg.FpgaAlteraEn ? issue_instr_i_prev[i].rs1[4:0] : issue_instr_i[i].rs1[4:0]; +969 assign raddr_pack[i*OPERANDS_PER_INSTR+1] = CVA6Cfg.FpgaEn && CVA6Cfg.FpgaAlteraEn ? issue_instr_i_prev[i].rs2[4:0] : issue_instr_i[i].rs2[4:0]; +970 if (OPERANDS_PER_INSTR == 3) begin +971 assign raddr_pack[i*OPERANDS_PER_INSTR+2] = CVA6Cfg.FpgaEn && CVA6Cfg.FpgaAlteraEn ? issue_instr_i_prev[i].result[4:0] : issue_instr_i[i].result[4:0]; +972 end +973 end +974 +975 for (genvar i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin : gen_write_back_port +976 assign waddr_pack[i] = waddr_i[i]; +977 assign wdata_pack[i] = wdata_i[i]; +978 assign we_pack[i] = we_gpr_i[i]; +979 end +980 if (CVA6Cfg.FpgaEn) begin : gen_fpga_regfile +981 ariane_regfile_fpga #( +982 .CVA6Cfg (CVA6Cfg), +983 .DATA_WIDTH (CVA6Cfg.XLEN), +984 .NR_READ_PORTS(CVA6Cfg.NrRgprPorts), +985 .ZERO_REG_ZERO(1) +986 ) i_ariane_regfile_fpga ( +987 .clk_i, +988 .rst_ni, +989 .test_en_i(1'b0), +990 .raddr_i (raddr_pack), +991 .rdata_o (rdata), +992 .waddr_i (waddr_pack), +993 .wdata_i (wdata_pack), +994 .we_i (we_pack) +995 ); +996 end else begin : gen_asic_regfile +997 ariane_regfile #( +998 .CVA6Cfg (CVA6Cfg), +999 .DATA_WIDTH (CVA6Cfg.XLEN), +1000 .NR_READ_PORTS(CVA6Cfg.NrRgprPorts), +1001 .ZERO_REG_ZERO(1) +1002 ) i_ariane_regfile ( +1003 .clk_i, +1004 .rst_ni, +1005 .test_en_i(1'b0), +1006 .raddr_i (raddr_pack), +1007 .rdata_o (rdata), +1008 .waddr_i (waddr_pack), +1009 .wdata_i (wdata_pack), +1010 .we_i (we_pack) +1011 ); +1012 end +1013 +1014 // ----------------------------- +1015 // Floating-Point Register File +1016 // ----------------------------- +1017 logic [2:0][CVA6Cfg.FLen-1:0] fprdata; +1018 +1019 // pack signals +1020 logic [2:0][4:0] fp_raddr_pack; +1021 logic [CVA6Cfg.NrCommitPorts-1:0][CVA6Cfg.XLEN-1:0] fp_wdata_pack; +1022 +1023 always_comb begin : assign_fp_raddr_pack +1024 1/1 fp_raddr_pack = { +1025 issue_instr_i[0].result[4:0], issue_instr_i[0].rs2[4:0], issue_instr_i[0].rs1[4:0] +1026 }; +1027 +1028 1/1 if (CVA6Cfg.SuperscalarEn) begin +1029 unreachable if (!(issue_instr_i[0].fu inside {FPU, FPU_VEC})) begin +1030 unreachable fp_raddr_pack = { +1031 issue_instr_i[1].result[4:0], issue_instr_i[1].rs2[4:0], issue_instr_i[1].rs1[4:0] +1032 }; +1033 end + ==> MISSING_ELSE +1034 end + MISSING_ELSE +1035 end +1036 +1037 generate +1038 if (CVA6Cfg.FpPresent) begin : float_regfile_gen +1039 for (genvar i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin : gen_fp_wdata_pack +1040 assign fp_wdata_pack[i] = {wdata_i[i][CVA6Cfg.FLen-1:0]}; +1041 end +1042 if (CVA6Cfg.FpgaEn) begin : gen_fpga_fp_regfile +1043 ariane_regfile_fpga #( +1044 .CVA6Cfg (CVA6Cfg), +1045 .DATA_WIDTH (CVA6Cfg.FLen), +1046 .NR_READ_PORTS(3), +1047 .ZERO_REG_ZERO(0) +1048 ) i_ariane_fp_regfile_fpga ( +1049 .clk_i, +1050 .rst_ni, +1051 .test_en_i(1'b0), +1052 .raddr_i (fp_raddr_pack), +1053 .rdata_o (fprdata), +1054 .waddr_i (waddr_pack), +1055 .wdata_i (fp_wdata_pack), +1056 .we_i (we_fpr_i) +1057 ); +1058 end else begin : gen_asic_fp_regfile +1059 ariane_regfile #( +1060 .CVA6Cfg (CVA6Cfg), +1061 .DATA_WIDTH (CVA6Cfg.FLen), +1062 .NR_READ_PORTS(3), +1063 .ZERO_REG_ZERO(0) +1064 ) i_ariane_fp_regfile ( +1065 .clk_i, +1066 .rst_ni, +1067 .test_en_i(1'b0), +1068 .raddr_i (fp_raddr_pack), +1069 .rdata_o (fprdata), +1070 .waddr_i (waddr_pack), +1071 .wdata_i (fp_wdata_pack), +1072 .we_i (we_fpr_i) +1073 ); +1074 end +1075 end else begin : no_fpr_gen +1076 assign fprdata = '{default: '0}; +1077 end +1078 endgenerate +1079 +1080 if (OPERANDS_PER_INSTR == 3) begin : gen_operand_c +1081 assign operand_c_fpr = {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, fprdata[2]}; +1082 end else begin +1083 assign operand_c_fpr = fprdata[2]; +1084 end +1085 +1086 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +1087 if (OPERANDS_PER_INSTR == 3) begin : gen_operand_c +1088 assign operand_c_gpr[i] = rdata[i*OPERANDS_PER_INSTR+2]; +1089 end +1090 +1091 assign operand_a_regfile[i] = (CVA6Cfg.FpPresent && is_rs1_fpr( +1092 issue_instr_i[i].op +1093 )) ? {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, fprdata[0]} : rdata[i*OPERANDS_PER_INSTR+0]; +1094 assign operand_b_regfile[i] = (CVA6Cfg.FpPresent && is_rs2_fpr( +1095 issue_instr_i[i].op +1096 )) ? {{CVA6Cfg.XLEN - CVA6Cfg.FLen{1'b0}}, fprdata[1]} : rdata[i*OPERANDS_PER_INSTR+1]; +1097 assign operand_c_regfile[i] = (OPERANDS_PER_INSTR == 3) ? ((CVA6Cfg.FpPresent && is_imm_fpr( +1098 issue_instr_i[i].op +1099 )) ? operand_c_fpr : operand_c_gpr[i]) : operand_c_fpr; +1100 end +1101 +1102 // ---------------------- +1103 // Registers (ID <-> EX) +1104 // ---------------------- +1105 +1106 always_comb begin +1107 1/1 pc_n = '0; +1108 1/1 is_compressed_instr_n = 1'b0; +1109 1/1 branch_predict_n = {cf_t'(0), {CVA6Cfg.VLEN{1'b0}}}; +1110 1/1 if (CVA6Cfg.SuperscalarEn) begin +1111 unreachable if (issue_instr_i[1].fu == CTRL_FLOW) begin +1112 unreachable pc_n = issue_instr_i[1].pc; +1113 unreachable is_compressed_instr_n = issue_instr_i[1].is_compressed; +1114 unreachable branch_predict_n = issue_instr_i[1].bp; +1115 end + ==> MISSING_ELSE +1116 end + MISSING_ELSE +1117 1/1 if (issue_instr_i[0].fu == CTRL_FLOW) begin +1118 1/1 pc_n = issue_instr_i[0].pc; +1119 1/1 is_compressed_instr_n = issue_instr_i[0].is_compressed; +1120 1/1 branch_predict_n = issue_instr_i[0].bp; +1121 end + MISSING_ELSE +1122 1/1 x_transaction_rejected_n = 1'b0; +1123 1/1 if (issue_instr_i[0].fu == CVXIF) begin +1124 1/1 x_transaction_rejected_n = x_transaction_rejected; +1125 end + MISSING_ELSE +1126 end +1127 +1128 +1129 always_ff @(posedge clk_i or negedge rst_ni) begin +1130 1/1 if (!rst_ni) begin +1131 1/1 fu_data_q <= '0; +1132 1/1 if (CVA6Cfg.RVH) begin +1133 unreachable tinst_q <= '0; +1134 end + MISSING_ELSE +1135 1/1 pc_o <= '0; +1136 1/1 is_zcmt_o <= '0; +1137 1/1 is_compressed_instr_o <= 1'b0; +1138 1/1 branch_predict_o <= {cf_t'(0), {CVA6Cfg.VLEN{1'b0}}}; +1139 1/1 x_transaction_rejected_o <= 1'b0; +1140 end else begin +1141 1/1 fu_data_q <= fu_data_n; +1142 1/1 pc_o <= pc_n; +1143 1/1 is_compressed_instr_o <= is_compressed_instr_n; +1144 1/1 branch_predict_o <= branch_predict_n; +1145 1/1 if (CVA6Cfg.RVH) begin +1146 unreachable tinst_q <= tinst_n; +1147 end + MISSING_ELSE +1148 1/1 if (issue_instr_i[0].fu == CTRL_FLOW) begin +1149 1/1(1 unreachable) if (CVA6Cfg.RVZCMT) is_zcmt_o <= issue_instr_i[0].is_zcmt; +1150 1/1 else is_zcmt_o <= '0; +1151 end + MISSING_ELSE +1152 1/1 x_transaction_rejected_o <= x_transaction_rejected_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : issue_read_operands + + Total Covered Percent +Conditions 58 58 100.00 +Logical 58 58 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 336 + EXPRESSION (issue_instr_i[0].op == ADD) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 677 + EXPRESSION (x_transaction_rejected ? 1'b0 : (stall_rs1[0] || stall_rs2[0] || (((32'b00000000000000000000000000000010 == 3) && stall_rs3[0])))) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 677 + SUB-EXPRESSION (stall_rs1[0] || stall_rs2[0] || (((32'b00000000000000000000000000000010 == 3) && stall_rs3[0]))) + ------1----- ------2----- -------------------------------3------------------------------- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Covered + 1 0 0 Covered + + LINE 786 + EXPRESSION (((!issue_instr_i[i].ex.valid)) && issue_instr_valid_i[i] && issue_ack_o[i]) + ---------------1-------------- -----------2---------- -------3------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 893 + EXPRESSION (issue_instr_valid_i[i] && ((!fu_busy[i]))) + -----------1---------- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 915 + EXPRESSION ((issue_instr_i[i].rd == issue_instr_i[(i - 1)].rd) && (issue_instr_i[i].rd != '0)) + -------------------------1------------------------ -------------2------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 915 + SUB-EXPRESSION (issue_instr_i[i].rd == issue_instr_i[(i - 1)].rd) + -------------------------1------------------------ + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 915 + SUB-EXPRESSION (issue_instr_i[i].rd != '0) + -------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 933 + EXPRESSION (issue_instr_valid_i[i] && ((!fu_busy[i]))) + -----------1---------- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 934 + EXPRESSION (((!stall_raw[i])) && ((!stall_waw[i]))) + --------1-------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 945 + EXPRESSION ((issue_instr_i[0].fu == CVXIF) && ( ! (x_transaction_accepted_o || x_transaction_rejected) )) + ---------------1-------------- -----------------------------2---------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 945 + SUB-EXPRESSION (issue_instr_i[0].fu == CVXIF) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 945 + SUB-EXPRESSION ( ! (x_transaction_accepted_o || x_transaction_rejected) ) + --------------------------1------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 945 + SUB-EXPRESSION (x_transaction_accepted_o || x_transaction_rejected) + ------------1----------- -----------2---------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 946 + EXPRESSION (issue_instr_i[0].ex.valid && issue_instr_valid_i[0]) + ------------1------------ -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 1111 + EXPRESSION (issue_instr_i[1].fu == CTRL_FLOW) + -----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 1117 + EXPRESSION (issue_instr_i[0].fu == CTRL_FLOW) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1123 + EXPRESSION (issue_instr_i[0].fu == CVXIF) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 1148 + EXPRESSION (issue_instr_i[0].fu == CTRL_FLOW) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 728 + SUB-EXPRESSION ((issue_instr_i[0].op == OFFLOAD) ? operand_c_regfile[0] : issue_instr_i[0].result) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 728 + SUB-EXPRESSION (issue_instr_i[0].op == OFFLOAD) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 864 + EXPRESSION (((!issue_instr_i[i].ex.valid)) && issue_instr_valid_i[i] && issue_ack_o[i]) + ---------------1-------------- -----------2---------- -------3------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 249 + EXPRESSION ((issue_instr_i[0].fu == CVXIF) && ((!stall_waw[0]))) + ---------------1-------------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 249 + SUB-EXPRESSION (issue_instr_i[0].fu == CVXIF) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 250 + EXPRESSION (((!issue_instr_i[0].ex.valid)) && issue_instr_valid_i[0] && cvxif_req_allowed) + ---------------1-------------- -----------2---------- --------3-------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 251 + EXPRESSION (x_issue_valid_o && x_issue_ready_i && x_issue_resp_i.accept) + -------1------- -------2------- ----------3---------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 252 + EXPRESSION (x_issue_valid_o && x_issue_ready_i && ((~x_issue_resp_i.accept))) + -------1------- -------2------- -------------3------------ + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 97.46 100.00 94.92 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- issue_read_operands + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- issue_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- gen_asic_regfile.i_ariane_regfile + 94.19 -- 94.19 -- genblk5[0].i_sel_rs1 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs2 + 94.19 -- 94.19 -- genblk5[0].i_sel_rs3 +100.00 100.00 100.00 -- i_cvxif_issue_register_commit_if_driver + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cvxif_compressed_if_driver +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cvxif_compressed_if_driver.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk6.i_cvxif_compressed_if_driver_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cvxif_compressed_if_driver + + Line No. Total Covered Percent +TOTAL 19 19 100.00 +ALWAYS 41 19 19 100.00 + +40 always_comb begin +41 1/1 is_illegal_o = is_illegal_i; +42 1/1 instruction_o = instruction_i; +43 1/1 is_compressed_o = is_compressed_i; +44 1/1 compressed_valid_o = 1'b0; +45 1/1 compressed_req_o.instr = '0; +46 1/1 compressed_req_o.hartid = hart_id_i; +47 1/1 stall_o = stall_i; +48 1/1 if (is_illegal_i) begin +49 1/1 compressed_valid_o = is_illegal_i; +50 1/1 compressed_req_o.instr = instruction_i[15:0]; +51 1/1 is_illegal_o = ~compressed_resp_i.accept; +52 1/1 instruction_o = compressed_resp_i.accept ? compressed_resp_i.instr : instruction_i; +53 1/1 is_compressed_o = compressed_resp_i.accept ? 1'b0 : is_compressed_i; +54 1/1 if (~stall_i) begin +55 // Propagate stall from macro decoder or wait for compressed ready if compressed transaction is happening. +56 1/1 stall_o = ~compressed_ready_i; +57 end + ==> MISSING_ELSE +58 end + MISSING_ELSE +59 1/1 if (flush_i) begin +60 1/1 compressed_valid_o = 1'b0; +61 1/1 compressed_req_o.instr = '0; +62 1/1 compressed_req_o.hartid = hart_id_i; +63 end + MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : cvxif_compressed_if_driver + + Total Covered Percent +Conditions 4 4 100.00 +Logical 4 4 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 52 + EXPRESSION (compressed_resp_i.accept ? compressed_resp_i.instr : instruction_i) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 53 + EXPRESSION (compressed_resp_i.accept ? 1'b0 : is_compressed_i) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i.genblk1.genblk6.i_cvxif_compressed_if_driver_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cvxif_compressed_if_driver + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : lzc +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/vendor/pulp-platform/common_cells/src/lzc.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b + + + +------------------------------------------------------------------------------- +Line Coverage for Module : lzc + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Module : lzc ( parameter WIDTH=32,MODE=1,CNT_WIDTH=5,gen_lzc.NumLevels=5 ) +Cond Coverage for Module self-instances : +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b +---------------- +SCORE COND +100.00 100.00 + +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a +---------------- +SCORE COND +100.00 100.00 + +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b +---------------- +SCORE COND +100.00 100.00 + + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +Cond Coverage for Module : lzc ( parameter WIDTH=2,MODE=0,CNT_WIDTH=1,gen_lzc.NumLevels=1 ) +Cond Coverage for Module self-instances : +uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index +---------------- +SCORE COND +100.00 100.00 + + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_instr_queue + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i.gen_bitmanip.i_clz_64b + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- i_div + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_a + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lzc + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.13 100.00 98.26 -- i_div + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +INITIAL 54 0 0 +ALWAYS 66 0 0 +ALWAYS 66 2 2 100.00 + +65 always_comb begin : flip_vector +66 1/1 for (int unsigned i = 0; i < WIDTH; i++) begin +67 1/1 in_tmp[i] = (MODE) ? in_i[WIDTH-1-i] : in_i[i]; + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_div.i_lzc_b + + Total Covered Percent +Conditions 217 217 100.00 +Logical 217 217 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (0 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (0 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (1 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (1 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (2 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (2 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (0 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (0 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (1 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (1 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (2 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (2 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (3 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (3 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (4 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (4 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (5 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (5 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (6 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (6 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 98 + EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] | gen_lzc.sel_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + -------------------------1------------------------- ----------------------------2---------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 100 + EXPRESSION + Number Term + 1 (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) ? gen_lzc.index_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] : gen_lzc.index_nodes[((((2 ** (3 + 1)) - 1) + (7 * 2)) + 1)]) + +-1- Status + 0 Covered + 1 Covered + + LINE 100 + SUB-EXPRESSION (gen_lzc.sel_nodes[(((2 ** (3 + 1)) - 1) + (7 * 2))] == 1'b1) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(0 * 2)] | gen_lzc.in_tmp[((0 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(0 * 2)] == 1'b1) ? gen_lzc.index_lut[(0 * 2)] : gen_lzc.index_lut[((0 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(0 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(1 * 2)] | gen_lzc.in_tmp[((1 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(1 * 2)] == 1'b1) ? gen_lzc.index_lut[(1 * 2)] : gen_lzc.index_lut[((1 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(1 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(2 * 2)] | gen_lzc.in_tmp[((2 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(2 * 2)] == 1'b1) ? gen_lzc.index_lut[(2 * 2)] : gen_lzc.index_lut[((2 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(2 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(3 * 2)] | gen_lzc.in_tmp[((3 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(3 * 2)] == 1'b1) ? gen_lzc.index_lut[(3 * 2)] : gen_lzc.index_lut[((3 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(3 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(4 * 2)] | gen_lzc.in_tmp[((4 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(4 * 2)] == 1'b1) ? gen_lzc.index_lut[(4 * 2)] : gen_lzc.index_lut[((4 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(4 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(5 * 2)] | gen_lzc.in_tmp[((5 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(5 * 2)] == 1'b1) ? gen_lzc.index_lut[(5 * 2)] : gen_lzc.index_lut[((5 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(5 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(6 * 2)] | gen_lzc.in_tmp[((6 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(6 * 2)] == 1'b1) ? gen_lzc.index_lut[(6 * 2)] : gen_lzc.index_lut[((6 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(6 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(7 * 2)] | gen_lzc.in_tmp[((7 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(7 * 2)] == 1'b1) ? gen_lzc.index_lut[(7 * 2)] : gen_lzc.index_lut[((7 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(7 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(8 * 2)] | gen_lzc.in_tmp[((8 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(8 * 2)] == 1'b1) ? gen_lzc.index_lut[(8 * 2)] : gen_lzc.index_lut[((8 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(8 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(9 * 2)] | gen_lzc.in_tmp[((9 * 2) + 1)]) + -----------1----------- --------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(9 * 2)] == 1'b1) ? gen_lzc.index_lut[(9 * 2)] : gen_lzc.index_lut[((9 * 2) + 1)]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(9 * 2)] == 1'b1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(10 * 2)] | gen_lzc.in_tmp[((10 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(10 * 2)] == 1'b1) ? gen_lzc.index_lut[(10 * 2)] : gen_lzc.index_lut[((10 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(10 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(11 * 2)] | gen_lzc.in_tmp[((11 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(11 * 2)] == 1'b1) ? gen_lzc.index_lut[(11 * 2)] : gen_lzc.index_lut[((11 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(11 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(12 * 2)] | gen_lzc.in_tmp[((12 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(12 * 2)] == 1'b1) ? gen_lzc.index_lut[(12 * 2)] : gen_lzc.index_lut[((12 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(12 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(13 * 2)] | gen_lzc.in_tmp[((13 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(13 * 2)] == 1'b1) ? gen_lzc.index_lut[(13 * 2)] : gen_lzc.index_lut[((13 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(13 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(14 * 2)] | gen_lzc.in_tmp[((14 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(14 * 2)] == 1'b1) ? gen_lzc.index_lut[(14 * 2)] : gen_lzc.index_lut[((14 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(14 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 80 + EXPRESSION (gen_lzc.in_tmp[(15 * 2)] | gen_lzc.in_tmp[((15 * 2) + 1)]) + ------------1----------- ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 81 + EXPRESSION ((gen_lzc.in_tmp[(15 * 2)] == 1'b1) ? gen_lzc.index_lut[(15 * 2)] : gen_lzc.index_lut[((15 * 2) + 1)]) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 81 + SUB-EXPRESSION (gen_lzc.in_tmp[(15 * 2)] == 1'b1) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + +=============================================================================== +Module : alu +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/alu.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : alu + + Line No. Total Covered Percent +TOTAL 53 53 100.00 +ALWAYS 78 9 9 100.00 +ALWAYS 117 6 6 100.00 +ALWAYS 188 4 4 100.00 +ALWAYS 295 34 34 100.00 + +77 always_comb begin +78 1/1 operand_a_bitmanip = fu_data_i.operand_a; +79 +80 1/1 if (CVA6Cfg.RVB) begin +81 1/1 if (CVA6Cfg.IS_XLEN64) begin +82 unreachable unique case (fu_data_i.operation) +83 unreachable SH1ADDUW: operand_a_bitmanip = fu_data_i.operand_a[31:0] << 1; +84 unreachable SH2ADDUW: operand_a_bitmanip = fu_data_i.operand_a[31:0] << 2; +85 unreachable SH3ADDUW: operand_a_bitmanip = fu_data_i.operand_a[31:0] << 3; +86 unreachable CTZW: operand_a_bitmanip = operand_a_rev32; +87 unreachable ADDUW, CPOPW, CLZW: operand_a_bitmanip = fu_data_i.operand_a[31:0]; +88 unreachable default: ; +89 endcase +90 end + MISSING_ELSE +91 1/1 unique case (fu_data_i.operation) +92 1/1 SH1ADD: operand_a_bitmanip = fu_data_i.operand_a << 1; +93 1/1 SH2ADD: operand_a_bitmanip = fu_data_i.operand_a << 2; +94 1/1 SH3ADD: operand_a_bitmanip = fu_data_i.operand_a << 3; +95 1/1 CTZ: operand_a_bitmanip = operand_a_rev; +96 1/1 default: ; +97 endcase +98 end + ==> MISSING_ELSE +99 end +100 +101 // prepare operand a +102 assign adder_in_a = {operand_a_bitmanip, 1'b1}; +103 +104 // prepare operand b +105 assign operand_b_neg = {fu_data_i.operand_b, 1'b0} ^ {CVA6Cfg.XLEN + 1{adder_op_b_negate}}; +106 assign adder_in_b = operand_b_neg; +107 +108 // actual adder +109 assign adder_result_ext_o = adder_in_a + adder_in_b; +110 assign adder_result = adder_result_ext_o[CVA6Cfg.XLEN:1]; +111 assign adder_z_flag = ~|adder_result; +112 +113 // get the right branch comparison result +114 if (HasBranch) begin +115 always_comb begin : branch_resolve +116 // set comparison by default +117 1/1 case (fu_data_i.operation) +118 1/1 EQ: alu_branch_res_o = adder_z_flag; +119 1/1 NE: alu_branch_res_o = ~adder_z_flag; +120 1/1 LTS, LTU: alu_branch_res_o = less; +121 1/1 GES, GEU: alu_branch_res_o = ~less; +122 1/1 default: alu_branch_res_o = 1'b1; +123 endcase +124 end +125 end else begin +126 assign alu_branch_res_o = 1'b0; +127 end +128 +129 // --------- +130 // Shifts +131 // --------- +132 +133 logic shift_left; // should we shift left +134 logic shift_arithmetic; +135 +136 logic [CVA6Cfg.XLEN-1:0] shift_amt; // amount of shift, to the right +137 logic [CVA6Cfg.XLEN-1:0] shift_op_a; // input of the shifter +138 logic [ 31:0] shift_op_a32; // input to the 32 bit shift operation +139 +140 logic [CVA6Cfg.XLEN-1:0] shift_result; +141 logic [ 31:0] shift_result32; +142 +143 logic [ CVA6Cfg.XLEN:0] shift_right_result; +144 logic [ 32:0] shift_right_result32; +145 +146 logic [CVA6Cfg.XLEN-1:0] shift_left_result; +147 logic [ 31:0] shift_left_result32; +148 +149 assign shift_amt = fu_data_i.operand_b; +150 +151 assign shift_left = (fu_data_i.operation == SLL) | (CVA6Cfg.IS_XLEN64 && fu_data_i.operation == SLLW); +152 +153 assign shift_arithmetic = (fu_data_i.operation == SRA) | (CVA6Cfg.IS_XLEN64 && fu_data_i.operation == SRAW); +154 +155 // right shifts, we let the synthesizer optimize this +156 logic [CVA6Cfg.XLEN:0] shift_op_a_64; +157 logic [32:0] shift_op_a_32; +158 +159 // choose the bit reversed or the normal input for shift operand a +160 assign shift_op_a = shift_left ? operand_a_rev : fu_data_i.operand_a; +161 assign shift_op_a32 = shift_left ? operand_a_rev32 : fu_data_i.operand_a[31:0]; +162 +163 assign shift_op_a_64 = {shift_arithmetic & shift_op_a[CVA6Cfg.XLEN-1], shift_op_a}; +164 assign shift_op_a_32 = {shift_arithmetic & shift_op_a[31], shift_op_a32}; +165 +166 assign shift_right_result = $unsigned($signed(shift_op_a_64) >>> shift_amt[5:0]); +167 +168 assign shift_right_result32 = $unsigned($signed(shift_op_a_32) >>> shift_amt[4:0]); +169 // bit reverse the shift_right_result for left shifts +170 genvar j; +171 generate +172 for (j = 0; j < CVA6Cfg.XLEN; j++) +173 assign shift_left_result[j] = shift_right_result[CVA6Cfg.XLEN-1-j]; +174 +175 for (j = 0; j < 32; j++) assign shift_left_result32[j] = shift_right_result32[31-j]; +176 +177 endgenerate +178 +179 assign shift_result = shift_left ? shift_left_result : shift_right_result[CVA6Cfg.XLEN-1:0]; +180 assign shift_result32 = shift_left ? shift_left_result32 : shift_right_result32[31:0]; +181 +182 // ------------ +183 // Comparisons +184 // ------------ +185 +186 always_comb begin +187 logic sgn; +188 1/1 sgn = 1'b0; +189 +190 1/1 if ((fu_data_i.operation == SLTS) || +191 (fu_data_i.operation == LTS) || +192 (fu_data_i.operation == GES) || +193 (fu_data_i.operation == MAX) || +194 (fu_data_i.operation == MIN)) +195 1/1 sgn = 1'b1; + MISSING_ELSE +196 +197 1/1 less = ($signed({sgn & fu_data_i.operand_a[CVA6Cfg.XLEN-1], fu_data_i.operand_a}) < +198 $signed({sgn & fu_data_i.operand_b[CVA6Cfg.XLEN-1], fu_data_i.operand_b})); +199 end +200 +201 if (CVA6Cfg.RVB) begin : gen_bitmanip +202 // Count Population + Count population Word +203 +204 popcount #( +205 .INPUT_WIDTH(CVA6Cfg.XLEN) +206 ) i_cpop_count ( +207 .data_i (operand_a_bitmanip), +208 .popcount_o(cpop) +209 ); +210 +211 // Count Leading/Trailing Zeros +212 // 64b +213 lzc #( +214 .WIDTH(CVA6Cfg.XLEN), +215 .MODE (1) +216 ) i_clz_64b ( +217 .in_i(operand_a_bitmanip), +218 .cnt_o(lz_tz_count), +219 .empty_o(lz_tz_empty) +220 ); +221 if (CVA6Cfg.IS_XLEN64) begin +222 //32b +223 lzc #( +224 .WIDTH(32), +225 .MODE (1) +226 ) i_clz_32b ( +227 .in_i(operand_a_bitmanip[31:0]), +228 .cnt_o(lz_tz_wcount), +229 .empty_o(lz_tz_wempty) +230 ); +231 end +232 end +233 +234 if (CVA6Cfg.RVB) begin : gen_orcbw_rev8w_results +235 assign orcbw = { +236 {8{|fu_data_i.operand_a[31:24]}}, +237 {8{|fu_data_i.operand_a[23:16]}}, +238 {8{|fu_data_i.operand_a[15:8]}}, +239 {8{|fu_data_i.operand_a[7:0]}} +240 }; +241 assign rev8w = { +242 {fu_data_i.operand_a[7:0]}, +243 {fu_data_i.operand_a[15:8]}, +244 {fu_data_i.operand_a[23:16]}, +245 {fu_data_i.operand_a[31:24]} +246 }; +247 if (CVA6Cfg.IS_XLEN64) begin : gen_64b +248 assign orcbw_result = { +249 {8{|fu_data_i.operand_a[63:56]}}, +250 {8{|fu_data_i.operand_a[55:48]}}, +251 {8{|fu_data_i.operand_a[47:40]}}, +252 {8{|fu_data_i.operand_a[39:32]}}, +253 orcbw +254 }; +255 assign rev8w_result = { +256 rev8w, +257 {fu_data_i.operand_a[39:32]}, +258 {fu_data_i.operand_a[47:40]}, +259 {fu_data_i.operand_a[55:48]}, +260 {fu_data_i.operand_a[63:56]} +261 }; +262 end else begin : gen_32b +263 assign orcbw_result = orcbw; +264 assign rev8w_result = rev8w; +265 end +266 end +267 +268 // ZKN gen block +269 if (CVA6Cfg.ZKN && CVA6Cfg.RVB) begin : zkn_gen_block +270 genvar i, m, n; +271 // Generate brev8_reversed by reversing bits within each byte +272 for (i = 0; i < (CVA6Cfg.XLEN / 8); i++) begin : brev8_gen +273 for (m = 0; m < 8; m++) begin : reverse_bits +274 // Reversing the order of bits within a single byte +275 assign brev8_reversed[(i<<3)+m] = fu_data_i.operand_a[(i<<3)+(7-m)]; +276 end +277 end +278 // Generate zip and unzip results +279 if (CVA6Cfg.IS_XLEN32) begin +280 for (n = 0; n < 16; n++) begin : zip_unzip_gen +281 // Assigning lower and upper half of operand into the even and odd positions of result +282 assign zip_gen[n<<1] = fu_data_i.operand_a[n]; +283 assign zip_gen[(n<<1)+1] = fu_data_i.operand_a[n+16]; +284 // Assigning even and odd bits of operand into lower and upper halves of result +285 assign unzip_gen[n] = fu_data_i.operand_a[n<<1]; +286 assign unzip_gen[n+16] = fu_data_i.operand_a[(n<<1)+1]; +287 end +288 end +289 end +290 +291 // ----------- +292 // Result MUX +293 // ----------- +294 always_comb begin +295 1/1 result_o = '0; +296 1/1 if (CVA6Cfg.IS_XLEN64) begin +297 unreachable unique case (fu_data_i.operation) +298 // Add word: Ignore the upper bits and sign extend to 64 bit +299 unreachable ADDW, SUBW: result_o = {{CVA6Cfg.XLEN - 32{adder_result[31]}}, adder_result[31:0]}; +300 unreachable SH1ADDUW, SH2ADDUW, SH3ADDUW: result_o = adder_result; +301 // Shifts 32 bit +302 SLLW, SRLW, SRAW: +303 unreachable result_o = {{CVA6Cfg.XLEN - 32{shift_result32[31]}}, shift_result32[31:0]}; +304 unreachable default: ; +305 endcase +306 end + MISSING_ELSE +307 1/1 unique case (fu_data_i.operation) +308 // Standard Operations +309 1/1 ANDL, ANDN: result_o = fu_data_i.operand_a & operand_b_neg[CVA6Cfg.XLEN:1]; +310 1/1 ORL, ORN: result_o = fu_data_i.operand_a | operand_b_neg[CVA6Cfg.XLEN:1]; +311 1/1 XORL, XNOR: result_o = fu_data_i.operand_a ^ operand_b_neg[CVA6Cfg.XLEN:1]; +312 // Adder Operations +313 1/1 ADD, SUB, ADDUW, SH1ADD, SH2ADD, SH3ADD: result_o = adder_result; +314 // Shift Operations +315 1/1 SLL, SRL, SRA: result_o = (CVA6Cfg.IS_XLEN64) ? shift_result : shift_result32; +316 // Comparison Operations +317 1/1 SLTS, SLTU: result_o = {{CVA6Cfg.XLEN - 1{1'b0}}, less}; +318 1/1 default: ; // default case to suppress unique warning +319 endcase +320 +321 1/1 if (CVA6Cfg.RVB) begin +322 // Index for Bitwise Rotation +323 1/1 bit_indx = 1 << (fu_data_i.operand_b & (CVA6Cfg.XLEN - 1)); +324 1/1 if (CVA6Cfg.IS_XLEN64) begin +325 // rolw, roriw, rorw +326 unreachable rolw = ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} << fu_data_i.operand_b[4:0]) | ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} >> (CVA6Cfg.XLEN-32-fu_data_i.operand_b[4:0])); +327 unreachable rorw = ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} >> fu_data_i.operand_b[4:0]) | ({{CVA6Cfg.XLEN-32{1'b0}},fu_data_i.operand_a[31:0]} << (CVA6Cfg.XLEN-32-fu_data_i.operand_b[4:0])); +328 unreachable unique case (fu_data_i.operation) +329 CLZW, CTZW: +330 unreachable result_o = (lz_tz_wempty) ? 32 : {{CVA6Cfg.XLEN - 5{1'b0}}, lz_tz_wcount}; // change +331 unreachable ROLW: result_o = {{CVA6Cfg.XLEN - 32{rolw[31]}}, rolw}; +332 unreachable RORW, RORIW: result_o = {{CVA6Cfg.XLEN - 32{rorw[31]}}, rorw}; +333 unreachable default: ; +334 endcase +335 end + MISSING_ELSE +336 1/1 unique case (fu_data_i.operation) +337 // Integer minimum/maximum +338 1/1 MAX: result_o = less ? fu_data_i.operand_b : fu_data_i.operand_a; +339 1/1 MAXU: result_o = less ? fu_data_i.operand_b : fu_data_i.operand_a; +340 1/1 MIN: result_o = ~less ? fu_data_i.operand_b : fu_data_i.operand_a; +341 1/1 MINU: result_o = ~less ? fu_data_i.operand_b : fu_data_i.operand_a; +342 +343 // Single bit instructions operations +344 1/1 BCLR, BCLRI: result_o = fu_data_i.operand_a & ~bit_indx; +345 1/1 BEXT, BEXTI: result_o = {{CVA6Cfg.XLEN - 1{1'b0}}, |(fu_data_i.operand_a & bit_indx)}; +346 1/1 BINV, BINVI: result_o = fu_data_i.operand_a ^ bit_indx; +347 1/1 BSET, BSETI: result_o = fu_data_i.operand_a | bit_indx; +348 +349 // Count Leading/Trailing Zeros +350 CLZ, CTZ: +351 1/1 result_o = (lz_tz_empty) ? ({{CVA6Cfg.XLEN - $clog2(CVA6Cfg.XLEN) {1'b0}}, lz_tz_count} + 1) +352 : {{CVA6Cfg.XLEN - $clog2(CVA6Cfg.XLEN) {1'b0}}, lz_tz_count}; +353 +354 // Count population +355 1/1 CPOP, CPOPW: result_o = {{(CVA6Cfg.XLEN - ($clog2(CVA6Cfg.XLEN) + 1)) {1'b0}}, cpop}; +356 +357 // Sign and Zero Extend +358 1/1 SEXTB: result_o = {{CVA6Cfg.XLEN - 8{fu_data_i.operand_a[7]}}, fu_data_i.operand_a[7:0]}; +359 1/1 SEXTH: result_o = {{CVA6Cfg.XLEN - 16{fu_data_i.operand_a[15]}}, fu_data_i.operand_a[15:0]}; +360 1/1 ZEXTH: result_o = {{CVA6Cfg.XLEN - 16{1'b0}}, fu_data_i.operand_a[15:0]}; +361 +362 // Bitwise Rotation +363 ROL: +364 1/1 result_o = (CVA6Cfg.IS_XLEN64) ? ((fu_data_i.operand_a << fu_data_i.operand_b[5:0]) | (fu_data_i.operand_a >> (CVA6Cfg.XLEN-fu_data_i.operand_b[5:0]))) : ((fu_data_i.operand_a << fu_data_i.operand_b[4:0]) | (fu_data_i.operand_a >> (CVA6Cfg.XLEN-fu_data_i.operand_b[4:0]))); +365 +366 ROR, RORI: +367 1/1 result_o = (CVA6Cfg.IS_XLEN64) ? ((fu_data_i.operand_a >> fu_data_i.operand_b[5:0]) | (fu_data_i.operand_a << (CVA6Cfg.XLEN-fu_data_i.operand_b[5:0]))) : ((fu_data_i.operand_a >> fu_data_i.operand_b[4:0]) | (fu_data_i.operand_a << (CVA6Cfg.XLEN-fu_data_i.operand_b[4:0]))); +368 +369 1/1 ORCB: result_o = orcbw_result; +370 1/1 REV8: result_o = rev8w_result; +371 +372 default: +373 1/1 if (fu_data_i.operation == SLLIUW && CVA6Cfg.IS_XLEN64) +374 unreachable result_o = {{CVA6Cfg.XLEN-32{1'b0}}, fu_data_i.operand_a[31:0]} << fu_data_i.operand_b[5:0]; // Left Shift 32 bit unsigned + MISSING_ELSE +375 endcase +376 end + ==> MISSING_ELSE +377 1/1 if (CVA6Cfg.RVZiCond) begin +378 unreachable unique case (fu_data_i.operation) +379 CZERO_EQZ: +380 unreachable result_o = (|fu_data_i.operand_b) ? fu_data_i.operand_a : '0; // move zero to rd if rs2 is equal to zero else rs1 +381 CZERO_NEZ: +382 unreachable result_o = (|fu_data_i.operand_b) ? '0 : fu_data_i.operand_a; // move zero to rd if rs2 is nonzero else rs1 +383 unreachable default: ; // default case to suppress unique warning +384 endcase +385 end + MISSING_ELSE +386 // ZKN instructions +387 1/1 if (CVA6Cfg.ZKN && CVA6Cfg.RVB) begin +388 unreachable unique case (fu_data_i.operation) +389 PACK: +390 unreachable result_o = (CVA6Cfg.IS_XLEN32) ? ({fu_data_i.operand_b[15:0], fu_data_i.operand_a[15:0]}) : ({fu_data_i.operand_b[31:0], fu_data_i.operand_a[31:0]}); +391 PACK_H: +392 unreachable result_o = (CVA6Cfg.IS_XLEN32) ? ({16'b0, fu_data_i.operand_b[7:0], fu_data_i.operand_a[7:0]}) : ({48'b0, fu_data_i.operand_b[7:0], fu_data_i.operand_a[7:0]}); +393 unreachable BREV8: result_o = brev8_reversed; +394 unreachable default: ; +395 endcase +396 unreachable if (fu_data_i.operation == PACK_W && CVA6Cfg.IS_XLEN64) +397 unreachable result_o = { + ==> MISSING_ELSE +398 {32{fu_data_i.operand_b[15]}}, {fu_data_i.operand_b[15:0]}, {fu_data_i.operand_a[15:0]} +399 }; +400 unreachable if (fu_data_i.operation == UNZIP && CVA6Cfg.IS_XLEN32) result_o = unzip_gen; + ==> MISSING_ELSE +401 unreachable if (fu_data_i.operation == ZIP && CVA6Cfg.IS_XLEN32) result_o = zip_gen; + ==> MISSING_ELSE +402 end + MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : alu + + Total Covered Percent +Conditions 48 48 100.00 +Logical 48 48 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 190 + EXPRESSION + Number Term + 1 (fu_data_i.operation == SLTS) || + 2 (fu_data_i.operation == LTS) || + 3 (fu_data_i.operation == GES) || + 4 (fu_data_i.operation == MAX) || + 5 (fu_data_i.operation == MIN)) + +-1- -2- -3- -4- -5- Status + 0 0 0 0 0 Covered + 0 0 0 0 1 Covered + 0 0 0 1 0 Covered + 0 0 1 0 0 Covered + 0 1 0 0 0 Covered + 1 0 0 0 0 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == SLTS) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == LTS) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == GES) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == MAX) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 190 + SUB-EXPRESSION (fu_data_i.operation == MIN) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 330 + EXPRESSION (lz_tz_wempty ? 32 : ({{(32'b00000000000000000000000000100000 - 5) {1'b0}}, lz_tz_wcount})) + ------1----- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 338 + EXPRESSION (less ? fu_data_i.operand_b : fu_data_i.operand_a) + --1- + +-1- Status + 0 Covered + 1 Covered + + LINE 339 + EXPRESSION (less ? fu_data_i.operand_b : fu_data_i.operand_a) + --1- + +-1- Status + 0 Covered + 1 Covered + + LINE 340 + EXPRESSION (((~less)) ? fu_data_i.operand_b : fu_data_i.operand_a) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 341 + EXPRESSION (((~less)) ? fu_data_i.operand_b : fu_data_i.operand_a) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 351 + EXPRESSION (lz_tz_empty ? (({{32'b00000000000000000000000000011011 {1'b0}}, lz_tz_count} + 1)) : ({{32'b00000000000000000000000000011011 {1'b0}}, lz_tz_count})) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 380 + EXPRESSION (((|fu_data_i.operand_b)) ? fu_data_i.operand_a : '0) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 382 + EXPRESSION (((|fu_data_i.operand_b)) ? '0 : fu_data_i.operand_a) + ------------1----------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 400 + EXPRESSION ((fu_data_i.operation == UNZIP) && 1'b1) + ---------------1-------------- --2- + +-1- -2- Status + 0 - Unreachable + 1 - Unreachable + + LINE 400 + SUB-EXPRESSION (fu_data_i.operation == UNZIP) + ---------------1-------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 401 + EXPRESSION ((fu_data_i.operation == ZIP) && 1'b1) + --------------1------------- --2- + +-1- -2- Status + 0 - Unreachable + 1 - Unreachable + + LINE 401 + SUB-EXPRESSION (fu_data_i.operation == ZIP) + --------------1------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 151 + EXPRESSION ((fu_data_i.operation == SLL) | ((1'b0 && (fu_data_i.operation == SLLW)))) + --------------1------------- --------------------2-------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 151 + SUB-EXPRESSION (fu_data_i.operation == SLL) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 153 + EXPRESSION ((fu_data_i.operation == SRA) | ((1'b0 && (fu_data_i.operation == SRAW)))) + --------------1------------- --------------------2-------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 153 + SUB-EXPRESSION (fu_data_i.operation == SRA) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 160 + EXPRESSION (shift_left ? operand_a_rev : fu_data_i.operand_a) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 161 + EXPRESSION (shift_left ? operand_a_rev32 : fu_data_i.operand_a[31:0]) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 163 + SUB-EXPRESSION (shift_arithmetic & shift_op_a[(32'b00000000000000000000000000100000 - 1)]) + --------1------- ---------------------------2-------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 164 + SUB-EXPRESSION (shift_arithmetic & shift_op_a[31]) + --------1------- -------2------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 179 + EXPRESSION (shift_left ? shift_left_result : shift_right_result[32'b00000000000000000000000000011111:0]) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 180 + EXPRESSION (shift_left ? shift_left_result32 : shift_right_result32[31:0]) + -----1---- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.alu_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- gen_bitmanip.i_clz_64b + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : instr_scan +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/instr_scan.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan + + + +------------------------------------------------------------------------------- +Line Coverage for Module : instr_scan + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +ROUTINE 52 1 1 100.00 +ROUTINE 62 1 1 100.00 + +51 function automatic logic [CVA6Cfg.VLEN-1:0] uj_imm(logic [31:0] instruction_i); +52 1/1 return { +53 {44 + CVA6Cfg.VLEN - 64{instruction_i[31]}}, +54 instruction_i[19:12], +55 instruction_i[20], +56 instruction_i[30:21], +57 1'b0 +58 }; +59 endfunction +60 +61 function automatic logic [CVA6Cfg.VLEN-1:0] sb_imm(logic [31:0] instruction_i); +62 1/1 return { + +------------------------------------------------------------------------------- +Cond Coverage for Module : instr_scan + + Total Covered Percent +Conditions 100 100 100.00 +Logical 100 100 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 73 + EXPRESSION ((32'b00000000000000000000000000100000 == 32) & (instr_i[15:13] == riscv::OpcodeC1Jal) & (instr_i[1:0] == riscv::OpcodeC1)) + ----------------------1--------------------- -------------------2------------------ ----------------3---------------- + +-1- -2- -3- Status + - 0 1 Covered + - 1 0 Covered + - 1 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Jal) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 76 + EXPRESSION ((logic'((instr_i[31:30] == 2'b0))) & (logic'((instr_i[28:0] == 29'b10000001000000000000001110011)))) + -----------------1---------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 79 + EXPRESSION (rvi_jalr_o & ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) & (instr_i[19:15] != instr_i[11:7])) + -----1---- --------------------------2-------------------------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 79 + SUB-EXPRESSION ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'b1) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'd5) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] != instr_i[11:7]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION ((rvi_jalr_o | rvi_jump_o) & ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5))) + ------------1------------ -------------------------2------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 82 + SUB-EXPRESSION (rvi_jalr_o | rvi_jump_o) + -----1---- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + EXPRESSION (is_xret ? '0 : (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i))) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + SUB-EXPRESSION (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i)) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 85 + EXPRESSION (instr_i[6:0] == riscv::OpcodeBranch) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 86 + EXPRESSION (instr_i[6:0] == riscv::OpcodeJalr) + -----------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 87 + EXPRESSION ((logic'((instr_i[6:0] == riscv::OpcodeJal))) | is_xret) + ----------------------1--------------------- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) | rv32_rvc_jal) + -------------------------------------1------------------------------------ ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) + ------------------1----------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1J) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + EXPRESSION ((instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) & (instr_i[6:2] == 5'b0) & (instr_i[1:0] == riscv::OpcodeC2)) + ----------------------1--------------------- -----------2---------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC2) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 97 + EXPRESSION (is_jal_r & ((~instr_i[12]))) + ----1--- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 99 + EXPRESSION (is_jal_r & instr_i[12]) + ----1--- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 100 + EXPRESSION (rvc_jalr_o | rv32_rvc_jal) + -----1---- ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) & (instr_i[1:0] == riscv::OpcodeC1)) + -----------------------------------------1----------------------------------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 102 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) + -------------------1------------------- -------------------2------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Beqz) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Bnez) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + EXPRESSION (((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) & rvc_jr_o) + -------------------------1------------------------- ----2--- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 105 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 108 + EXPRESSION + Number Term + 1 instr_i[14] ? ({{((56 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[6:5], instr_i[2], instr_i[11:10], instr_i[4:3], 1'b0}) : ({{((53 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[8], instr_i[10:9], instr_i[6], instr_i[7], instr_i[2], instr_i[11], instr_i[5:3], 1'b0})) + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- instr_scan + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan + + Line No. Total Covered Percent +TOTAL 2 2 100.00 +ROUTINE 52 1 1 100.00 +ROUTINE 62 1 1 100.00 + +51 function automatic logic [CVA6Cfg.VLEN-1:0] uj_imm(logic [31:0] instruction_i); +52 1/1 return { +53 {44 + CVA6Cfg.VLEN - 64{instruction_i[31]}}, +54 instruction_i[19:12], +55 instruction_i[20], +56 instruction_i[30:21], +57 1'b0 +58 }; +59 endfunction +60 +61 function automatic logic [CVA6Cfg.VLEN-1:0] sb_imm(logic [31:0] instruction_i); +62 1/1 return { + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.gen_instr_scan[0].i_instr_scan + + Total Covered Percent +Conditions 100 100 100.00 +Logical 100 100 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 73 + EXPRESSION ((32'b00000000000000000000000000100000 == 32) & (instr_i[15:13] == riscv::OpcodeC1Jal) & (instr_i[1:0] == riscv::OpcodeC1)) + ----------------------1--------------------- -------------------2------------------ ----------------3---------------- + +-1- -2- -3- Status + - 0 1 Covered + - 1 0 Covered + - 1 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Jal) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 73 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 76 + EXPRESSION ((logic'((instr_i[31:30] == 2'b0))) & (logic'((instr_i[28:0] == 29'b10000001000000000000001110011)))) + -----------------1---------------- -------------------------------2------------------------------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 79 + EXPRESSION (rvi_jalr_o & ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) & (instr_i[19:15] != instr_i[11:7])) + -----1---- --------------------------2-------------------------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 79 + SUB-EXPRESSION ((instr_i[19:15] == 5'b1) | (instr_i[19:15] == 5'd5)) + ------------1----------- ------------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'b1) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] == 5'd5) + ------------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 79 + SUB-EXPRESSION (instr_i[19:15] != instr_i[11:7]) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION ((rvi_jalr_o | rvi_jump_o) & ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5))) + ------------1------------ -------------------------2------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 82 + SUB-EXPRESSION (rvi_jalr_o | rvi_jump_o) + -----1---- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + EXPRESSION (is_xret ? '0 : (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i))) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 84 + SUB-EXPRESSION (instr_i[3] ? uj_imm(instr_i) : sb_imm(instr_i)) + -----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 85 + EXPRESSION (instr_i[6:0] == riscv::OpcodeBranch) + ------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 86 + EXPRESSION (instr_i[6:0] == riscv::OpcodeJalr) + -----------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 87 + EXPRESSION ((logic'((instr_i[6:0] == riscv::OpcodeJal))) | is_xret) + ----------------------1--------------------- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) | rv32_rvc_jal) + -------------------------------------1------------------------------------ ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 90 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1J) & (instr_i[1:0] == riscv::OpcodeC1)) + ------------------1----------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1J) + ------------------1----------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 90 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + EXPRESSION ((instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) & (instr_i[6:2] == 5'b0) & (instr_i[1:0] == riscv::OpcodeC2)) + ----------------------1--------------------- -----------2---------- ----------------3---------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC2JalrMvAdd) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[6:2] == 5'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 94 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC2) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 97 + EXPRESSION (is_jal_r & ((~instr_i[12]))) + ----1--- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 99 + EXPRESSION (is_jal_r & instr_i[12]) + ----1--- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 100 + EXPRESSION (rvc_jalr_o | rv32_rvc_jal) + -----1---- ------2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + EXPRESSION (((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) & (instr_i[1:0] == riscv::OpcodeC1)) + -----------------------------------------1----------------------------------------- ----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 102 + SUB-EXPRESSION ((instr_i[15:13] == riscv::OpcodeC1Beqz) | (instr_i[15:13] == riscv::OpcodeC1Bnez)) + -------------------1------------------- -------------------2------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Beqz) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[15:13] == riscv::OpcodeC1Bnez) + -------------------1------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 102 + SUB-EXPRESSION (instr_i[1:0] == riscv::OpcodeC1) + ----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + EXPRESSION (((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) & rvc_jr_o) + -------------------------1------------------------- ----2--- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 105 + SUB-EXPRESSION ((instr_i[11:7] == 5'b1) | (instr_i[11:7] == 5'd5)) + -----------1----------- -----------2----------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'b1) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 105 + SUB-EXPRESSION (instr_i[11:7] == 5'd5) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 108 + EXPRESSION + Number Term + 1 instr_i[14] ? ({{((56 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[6:5], instr_i[2], instr_i[11:10], instr_i[4:3], 1'b0}) : ({{((53 + 32'b00000000000000000000000000100000) - 64) {instr_i[12]}}, instr_i[8], instr_i[10:9], instr_i[6], instr_i[7], instr_i[2], instr_i[11], instr_i[5:3], 1'b0})) + +-1- Status + 0 Covered + 1 Covered + +=============================================================================== +Module : ras +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/ras.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.ras_gen.i_ras + + + +------------------------------------------------------------------------------- +Line Coverage for Module : ras + + Line No. Total Covered Percent +TOTAL 17 17 100.00 +ALWAYS 43 14 14 100.00 +ALWAYS 73 3 3 100.00 + +42 always_comb begin +43 1/1 stack_d = stack_q; +44 +45 // push on the stack +46 1/1 if (push_i) begin +47 1/1 stack_d[0].ra = data_i; +48 // mark the new return address as valid +49 1/1 stack_d[0].valid = 1'b1; +50 1/1 stack_d[DEPTH-1:1] = stack_q[DEPTH-2:0]; +51 end + MISSING_ELSE +52 +53 1/1 if (pop_i) begin +54 1/1 stack_d[DEPTH-2:0] = stack_q[DEPTH-1:1]; +55 // we popped the value so invalidate the end of the stack +56 1/1 stack_d[DEPTH-1].valid = 1'b0; +57 1/1 stack_d[DEPTH-1].ra = 'b0; +58 end + MISSING_ELSE +59 // leave everything untouched and just push the latest value to the +60 // top of the stack +61 1/1 if (pop_i && push_i) begin +62 1/1 stack_d = stack_q; +63 1/1 stack_d[0].ra = data_i; +64 1/1 stack_d[0].valid = 1'b1; +65 end + MISSING_ELSE +66 +67 1/1 if (flush_bp_i) begin +68 unreachable stack_d = '0; +69 end + MISSING_ELSE +70 end +71 +72 always_ff @(posedge clk_i or negedge rst_ni) begin +73 1/1 if (~rst_ni) begin +74 1/1 stack_q <= '0; +75 end else begin +76 1/1 stack_q <= stack_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : ras + + Total Covered Percent +Conditions 3 3 100.00 +Logical 3 3 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 61 + EXPRESSION (pop_i && push_i) + --1-- ---2-- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.ras_gen.i_ras +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ras + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cva6_pipeline +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cva6_pipeline.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cva6_pipeline + + Line No. Total Covered Percent +TOTAL 16 16 100.00 +ALWAYS 760 7 7 100.00 +ALWAYS 770 9 9 100.00 +INITIAL 1296 0 0 + +759 always_comb begin : gen_cvxif_input_assignement +760 1/1 x_compressed_ready = cvxif_resp_i.compressed_ready; +761 1/1 x_compressed_resp = cvxif_resp_i.compressed_resp; +762 1/1 x_issue_ready = cvxif_resp_i.issue_ready; +763 1/1 x_issue_resp = cvxif_resp_i.issue_resp; +764 1/1 x_register_ready = cvxif_resp_i.register_ready; +765 1/1 x_result_valid = cvxif_resp_i.result_valid; +766 1/1 x_result = cvxif_resp_i.result; +767 end +768 if (CVA6Cfg.CvxifEn) begin +769 always_comb begin : gen_cvxif_output_assignement +770 1/1 cvxif_req.compressed_valid = x_compressed_valid; +771 1/1 cvxif_req.compressed_req = x_compressed_req; +772 1/1 cvxif_req.issue_valid = x_issue_valid; +773 1/1 cvxif_req.issue_req = x_issue_req; +774 1/1 cvxif_req.register_valid = x_register_valid; +775 1/1 cvxif_req.register = x_register; +776 1/1 cvxif_req.commit_valid = x_commit_valid; +777 1/1 cvxif_req.commit = x_commit; +778 1/1 cvxif_req.result_ready = x_result_ready; +779 end +780 assign trans_id_ex_id[X_WB] = x_trans_id_ex_id; +781 assign wbdata_ex_id[X_WB] = x_result_ex_id; +782 assign ex_ex_ex_id[X_WB] = x_exception_ex_id; +783 assign wt_valid_ex_id[X_WB] = x_valid_ex_id; +784 end else begin +785 assign cvxif_req = '0; +786 end +787 assign cvxif_req_o = cvxif_req; +788 +789 // --------- +790 // Issue +791 // --------- +792 issue_stage #( +793 .CVA6Cfg(CVA6Cfg), +794 .bp_resolve_t(bp_resolve_t), +795 .branchpredict_sbe_t(branchpredict_sbe_t), +796 .exception_t(exception_t), +797 .fu_data_t(fu_data_t), +798 .scoreboard_entry_t(scoreboard_entry_t), +799 .writeback_t(writeback_t), +800 .x_issue_req_t(x_issue_req_t), +801 .x_issue_resp_t(x_issue_resp_t), +802 .x_register_t(x_register_t), +803 .x_commit_t(x_commit_t) +804 ) issue_stage_i ( +805 .clk_i, +806 .rst_ni, +807 .sb_full_o (sb_full), +808 .flush_unissued_instr_i (flush_unissued_instr_ctrl_id), +809 .flush_i (flush_ctrl_id), +810 // Accelerator +811 .stall_i (('0 /*FIXME*/)), +812 // ID Stage +813 .decoded_instr_i (issue_entry_id_issue), +814 .decoded_instr_i_prev (issue_entry_id_issue_prev), +815 .orig_instr_i (orig_instr_id_issue), +816 .decoded_instr_valid_i (issue_entry_valid_id_issue), +817 .is_ctrl_flow_i (is_ctrl_fow_id_issue), +818 .decoded_instr_ack_o (issue_instr_issue_id), +819 // Functional Units +820 .rs1_forwarding_o (rs1_forwarding_id_ex), +821 .rs2_forwarding_o (rs2_forwarding_id_ex), +822 .fu_data_o (fu_data_id_ex), +823 .pc_o (pc_id_ex), +824 .is_zcmt_o (zcmt_id_ex), +825 .is_compressed_instr_o (is_compressed_instr_id_ex), +826 .tinst_o (tinst_ex), +827 // fixed latency unit ready +828 .flu_ready_i (flu_ready_ex_id), +829 // ALU +830 .alu_valid_o (alu_valid_id_ex), +831 // Branches and Jumps +832 .branch_valid_o (branch_valid_id_ex), // branch is valid +833 .branch_predict_o (branch_predict_id_ex), // branch predict to ex +834 .resolve_branch_i (resolve_branch_ex_id), // in order to resolve the branch +835 // LSU +836 .lsu_ready_i (lsu_ready_ex_id), +837 .lsu_valid_o (lsu_valid_id_ex), +838 // Multiplier +839 .mult_valid_o (mult_valid_id_ex), +840 // FPU +841 .fpu_ready_i (fpu_ready_ex_id), +842 .fpu_valid_o (fpu_valid_id_ex), +843 .fpu_fmt_o (fpu_fmt_id_ex), +844 .fpu_rm_o (fpu_rm_id_ex), +845 // ALU2 +846 .alu2_valid_o (alu2_valid_id_ex), +847 // CSR +848 .csr_valid_o (csr_valid_id_ex), +849 // CVXIF +850 .xfu_valid_o (x_issue_valid_id_ex), +851 .xfu_ready_i (x_issue_ready_ex_id), +852 .x_off_instr_o (x_off_instr_id_ex), +853 .hart_id_i (hart_id_i), +854 .x_issue_ready_i (x_issue_ready), +855 .x_issue_resp_i (x_issue_resp), +856 .x_issue_valid_o (x_issue_valid), +857 .x_issue_req_o (x_issue_req), +858 .x_register_ready_i (x_register_ready), +859 .x_register_valid_o (x_register_valid), +860 .x_register_o (x_register), +861 .x_commit_valid_o (x_commit_valid), +862 .x_commit_o (x_commit), +863 .x_transaction_rejected_o(x_transaction_rejected), +864 // Accelerator +865 .issue_instr_o ( /*FIXME*/), +866 .issue_instr_hs_o ( /*FIXME*/), +867 // Commit +868 .trans_id_i (trans_id_ex_id), +869 .resolved_branch_i (resolved_branch), +870 .wbdata_i (wbdata_ex_id), +871 .ex_ex_i (ex_ex_ex_id), +872 .wt_valid_i (wt_valid_ex_id), +873 .x_we_i (x_we_ex_id), +874 .x_rd_i (x_rd_ex_id), +875 +876 .waddr_i (waddr_commit_id), +877 .wdata_i (wdata_commit_id), +878 .we_gpr_i (we_gpr_commit_id), +879 .we_fpr_i (we_fpr_commit_id), +880 .commit_instr_o (commit_instr_id_commit), +881 .commit_drop_o (commit_drop_id_commit), +882 .commit_ack_i (commit_ack_commit_id), +883 // Performance Counters +884 .stall_issue_o (stall_issue), +885 //RVFI +886 .rvfi_issue_pointer_o (rvfi_issue_pointer), +887 .rvfi_commit_pointer_o(rvfi_commit_pointer), +888 .rvfi_rs1_o (rvfi_rs1), +889 .rvfi_rs2_o (rvfi_rs2) +890 ); +891 +892 // --------- +893 // EX +894 // --------- +895 ex_stage #( +896 .CVA6Cfg (CVA6Cfg), +897 .bp_resolve_t (bp_resolve_t), +898 .branchpredict_sbe_t(branchpredict_sbe_t), +899 .load_req_t (load_req_t), +900 .load_rsp_t (load_rsp_t), +901 .obi_store_req_t (obi_store_req_t), +902 .obi_store_rsp_t (obi_store_rsp_t), +903 .obi_amo_req_t (obi_amo_req_t), +904 .obi_amo_rsp_t (obi_amo_rsp_t), +905 .obi_load_req_t (obi_load_req_t), +906 .obi_load_rsp_t (obi_load_rsp_t), +907 .obi_mmu_ptw_req_t (obi_mmu_ptw_req_t), +908 .obi_mmu_ptw_rsp_t (obi_mmu_ptw_rsp_t), +909 .exception_t (exception_t), +910 .fu_data_t (fu_data_t), +911 .fetch_areq_t (fetch_areq_t), +912 .fetch_arsp_t (fetch_arsp_t), +913 .lsu_ctrl_t (lsu_ctrl_t), +914 .x_result_t (x_result_t) +915 ) ex_stage_i ( +916 .clk_i(clk_i), +917 .rst_ni(rst_ni), +918 .debug_mode_i(debug_mode), +919 .flush_i(flush_ctrl_ex), +920 .rs1_forwarding_i(rs1_forwarding_id_ex), +921 .rs2_forwarding_i(rs2_forwarding_id_ex), +922 .fu_data_i(fu_data_id_ex), +923 .pc_i(pc_id_ex), +924 .is_zcmt_i(zcmt_id_ex), +925 .is_compressed_instr_i(is_compressed_instr_id_ex), +926 .tinst_i(tinst_ex), +927 // fixed latency units +928 .flu_result_o(flu_result_ex_id), +929 .flu_trans_id_o(flu_trans_id_ex_id), +930 .flu_valid_o(flu_valid_ex_id), +931 .flu_exception_o(flu_exception_ex_id), +932 .flu_ready_o(flu_ready_ex_id), +933 // ALU +934 .alu_valid_i(alu_valid_id_ex), +935 // Branches and Jumps +936 .branch_valid_i(branch_valid_id_ex), +937 .branch_predict_i(branch_predict_id_ex), // branch predict to ex +938 .resolved_branch_o(resolved_branch), +939 .resolve_branch_o(resolve_branch_ex_id), +940 // CSR +941 .csr_valid_i(csr_valid_id_ex), +942 .csr_addr_o(csr_addr_ex_csr), +943 .csr_commit_i(csr_commit_commit_ex), // from commit +944 .csr_hs_ld_st_inst_o(csr_hs_ld_st_inst_ex), // signals a Hypervisor Load/Store Instruction +945 // MULT +946 .mult_valid_i(mult_valid_id_ex), +947 // LSU +948 .lsu_ready_o(lsu_ready_ex_id), +949 .lsu_valid_i(lsu_valid_id_ex), +950 +951 .load_result_o (load_result_ex_id), +952 .load_trans_id_o (load_trans_id_ex_id), +953 .load_valid_o (load_valid_ex_id), +954 .load_exception_o(load_exception_ex_id), +955 +956 .store_result_o (store_result_ex_id), +957 .store_trans_id_o (store_trans_id_ex_id), +958 .store_valid_o (store_valid_ex_id), +959 .store_exception_o(store_exception_ex_id), +960 +961 .lsu_commit_i (lsu_commit_commit_ex), // from commit +962 .lsu_commit_ready_o (lsu_commit_ready_ex_commit), // to commit +963 .commit_tran_id_i (lsu_commit_trans_id), // from commit +964 // Accelerator +965 .stall_st_pending_i ('0 /*FIXME*/), +966 .no_st_pending_o (no_st_pending_ex), // +967 // FPU +968 .fpu_ready_o (fpu_ready_ex_id), +969 .fpu_valid_i (fpu_valid_id_ex), +970 .fpu_fmt_i (fpu_fmt_id_ex), +971 .fpu_rm_i (fpu_rm_id_ex), +972 .fpu_frm_i (frm_csr_id_issue_ex), +973 .fpu_prec_i (fprec_csr_ex), +974 .fpu_trans_id_o (fpu_trans_id_ex_id), +975 .fpu_result_o (fpu_result_ex_id), +976 .fpu_valid_o (fpu_valid_ex_id), +977 .fpu_exception_o (fpu_exception_ex_id), +978 // ALU2 +979 .alu2_valid_i (alu2_valid_id_ex), +980 .amo_valid_commit_i (amo_valid_commit), +981 // CoreV-X-Interface +982 .x_valid_i (x_issue_valid_id_ex), +983 .x_ready_o (x_issue_ready_ex_id), +984 .x_off_instr_i (x_off_instr_id_ex), +985 .x_transaction_rejected_i(x_transaction_rejected), +986 .x_trans_id_o (x_trans_id_ex_id), +987 .x_exception_o (x_exception_ex_id), +988 .x_result_o (x_result_ex_id), +989 .x_valid_o (x_valid_ex_id), +990 .x_we_o (x_we_ex_id), +991 .x_rd_o (x_rd_ex_id), +992 .x_result_valid_i (x_result_valid), +993 .x_result_i (x_result), +994 .x_result_ready_o (x_result_ready), +995 // Accelerator +996 .acc_valid_i ('0 /*FIXME*/), +997 // Performance counters +998 .itlb_miss_o (itlb_miss_ex_perf), +999 .dtlb_miss_o (dtlb_miss_ex_perf), +1000 // Memory Management +1001 .enable_translation_i (enable_translation_csr_ex), // from CSR +1002 .enable_g_translation_i (enable_g_translation_csr_ex), // from CSR +1003 .en_ld_st_translation_i (en_ld_st_translation_csr_ex), +1004 .en_ld_st_g_translation_i(en_ld_st_g_translation_csr_ex), +1005 .flush_tlb_i (flush_tlb_ctrl_ex), +1006 .flush_tlb_vvma_i (flush_tlb_vvma_ctrl_ex), +1007 .flush_tlb_gvma_i (flush_tlb_gvma_ctrl_ex), +1008 .priv_lvl_i (priv_lvl), // from CSR +1009 .v_i (v), // from CSR +1010 .ld_st_priv_lvl_i (ld_st_priv_lvl_csr_ex), // from CSR +1011 .ld_st_v_i (ld_st_v_csr_ex), // from CSR +1012 .sum_i (sum_csr_ex), // from CSR +1013 .vs_sum_i (vs_sum_csr_ex), // from CSR +1014 .mxr_i (mxr_csr_ex), // from CSR +1015 .vmxr_i (vmxr_csr_ex), // from CSR +1016 .satp_ppn_i (satp_ppn_csr_ex), // from CSR +1017 .asid_i (asid_csr_ex), // from CSR +1018 .vsatp_ppn_i (vsatp_ppn_csr_ex), // from CSR +1019 .vs_asid_i (vs_asid_csr_ex), // from CSR +1020 .hgatp_ppn_i (hgatp_ppn_csr_ex), // from CSR +1021 .vmid_i (vmid_csr_ex), // from CSR +1022 .fetch_areq_i (fetch_areq_frontend_ex), +1023 .fetch_arsp_o (fetch_arsp_ex_frontend), +1024 // DCACHE interfaces +1025 .obi_store_req_o (obi_store_req_o), +1026 .obi_store_rsp_i (obi_store_rsp_i), +1027 .obi_amo_req_o (obi_amo_req_o), +1028 .obi_amo_rsp_i (obi_amo_rsp_i), +1029 .load_req_o (load_req_o), +1030 .load_rsp_i (load_rsp_i), +1031 .obi_load_req_o (obi_load_req_o), +1032 .obi_load_rsp_i (obi_load_rsp_i), +1033 .obi_mmu_ptw_req_o (obi_mmu_ptw_req_o), +1034 .obi_mmu_ptw_rsp_i (obi_mmu_ptw_rsp_i), +1035 +1036 .dcache_wbuffer_empty_i (dcache_wbuffer_empty_i), +1037 .dcache_wbuffer_not_ni_i(dcache_wbuffer_not_ni_i), +1038 // PMP +1039 .pmpcfg_i (pmpcfg), +1040 .pmpaddr_i (pmpaddr), +1041 //RVFI +1042 .rvfi_lsu_ctrl_o (rvfi_lsu_ctrl), +1043 .rvfi_mem_paddr_o (rvfi_mem_paddr) +1044 ); +1045 +1046 // --------- +1047 // Commit +1048 // --------- +1049 +1050 // we have to make sure that the whole write buffer path is empty before +1051 // used e.g. for fence instructions. +1052 assign no_st_pending_commit = no_st_pending_ex & dcache_wbuffer_empty_i; +1053 +1054 commit_stage #( +1055 .CVA6Cfg(CVA6Cfg), +1056 .exception_t(exception_t), +1057 .scoreboard_entry_t(scoreboard_entry_t), +1058 .obi_amo_rsp_t(obi_amo_rsp_t) +1059 ) commit_stage_i ( +1060 .clk_i, +1061 .rst_ni, +1062 .halt_i (halt_ctrl), +1063 .flush_dcache_i (dcache_flush_o), +1064 .exception_o (ex_commit), +1065 .dirty_fp_state_o (dirty_fp_state), +1066 .single_step_i (single_step_csr_commit), // // Accelerator /*FIXME*/ +1067 .commit_instr_i (commit_instr_id_commit), +1068 .commit_drop_i (commit_drop_id_commit), +1069 .commit_ack_o (commit_ack_commit_id), +1070 .commit_macro_ack_o(commit_macro_ack), +1071 .waddr_o (waddr_commit_id), +1072 .wdata_o (wdata_commit_id), +1073 .we_gpr_o (we_gpr_commit_id), +1074 .we_fpr_o (we_fpr_commit_id), +1075 .obi_amo_rsp_i (obi_amo_rsp_i), +1076 .pc_o (pc_commit), +1077 .csr_op_o (csr_op_commit_csr), +1078 .csr_wdata_o (csr_wdata_commit_csr), +1079 .csr_rdata_i (csr_rdata_csr_commit), +1080 .csr_write_fflags_o(csr_write_fflags_commit_cs), +1081 .csr_exception_i (csr_exception_csr_commit), +1082 .commit_lsu_o (lsu_commit_commit_ex), +1083 .commit_lsu_ready_i(lsu_commit_ready_ex_commit), +1084 .commit_tran_id_o (lsu_commit_trans_id), +1085 .amo_valid_commit_o(amo_valid_commit), +1086 .no_st_pending_i (no_st_pending_commit), +1087 .commit_csr_o (csr_commit_commit_ex), +1088 .fence_i_o (fence_i_commit_controller), +1089 .fence_o (fence_commit_controller), +1090 .flush_commit_o (flush_commit), +1091 .sfence_vma_o (sfence_vma_commit_controller), +1092 .hfence_vvma_o (hfence_vvma_commit_controller), +1093 .hfence_gvma_o (hfence_gvma_commit_controller) +1094 ); +1095 +1096 assign commit_ack = commit_macro_ack & ~(commit_drop_id_commit & CVA6Cfg.SpeculativeSb); +1097 +1098 // --------- +1099 // CSR +1100 // --------- +1101 csr_regfile #( +1102 .CVA6Cfg (CVA6Cfg), +1103 .exception_t (exception_t), +1104 .jvt_t (jvt_t), +1105 .irq_ctrl_t (irq_ctrl_t), +1106 .scoreboard_entry_t(scoreboard_entry_t), +1107 .rvfi_probes_csr_t (rvfi_probes_csr_t), +1108 .MHPMCounterNum (MHPMCounterNum) +1109 ) csr_regfile_i ( +1110 .clk_i, +1111 .rst_ni, +1112 .time_irq_i, +1113 .flush_o (flush_csr_ctrl), +1114 .halt_csr_o (halt_csr_ctrl), +1115 .commit_instr_i (commit_instr_id_commit[0]), +1116 .commit_ack_i (commit_ack), +1117 .boot_addr_i (boot_addr_i[CVA6Cfg.VLEN-1:0]), +1118 .hart_id_i (hart_id_i[CVA6Cfg.XLEN-1:0]), +1119 .ex_i (ex_commit), +1120 .csr_op_i (csr_op_commit_csr), +1121 .csr_addr_i (csr_addr_ex_csr), +1122 .csr_wdata_i (csr_wdata_commit_csr), +1123 .csr_rdata_o (csr_rdata_csr_commit), +1124 .dirty_fp_state_i (dirty_fp_state), +1125 .csr_write_fflags_i (csr_write_fflags_commit_cs), +1126 // Accelerator +1127 .dirty_v_state_i ('0 /*FIXME*/), +1128 .pc_i (pc_commit), +1129 .csr_exception_o (csr_exception_csr_commit), +1130 .epc_o (epc_commit_pcgen), +1131 .eret_o (eret), +1132 .trap_vector_base_o (trap_vector_base_commit_pcgen), +1133 .priv_lvl_o (priv_lvl), +1134 .v_o (v), +1135 // Accelerator +1136 .acc_fflags_ex_i ('0 /*FIXME*/), +1137 .acc_fflags_ex_valid_i ('0 /*FIXME*/), +1138 .fs_o (fs), +1139 .vfs_o (vfs), +1140 .fflags_o (fflags_csr_commit), +1141 .frm_o (frm_csr_id_issue_ex), +1142 .fprec_o (fprec_csr_ex), +1143 .vs_o (vs), +1144 .irq_ctrl_o (irq_ctrl_csr_id), +1145 .en_translation_o (enable_translation_csr_ex), +1146 .en_g_translation_o (enable_g_translation_csr_ex), +1147 .en_ld_st_translation_o (en_ld_st_translation_csr_ex), +1148 .en_ld_st_g_translation_o(en_ld_st_g_translation_csr_ex), +1149 .ld_st_priv_lvl_o (ld_st_priv_lvl_csr_ex), +1150 .ld_st_v_o (ld_st_v_csr_ex), +1151 .csr_hs_ld_st_inst_i (csr_hs_ld_st_inst_ex), +1152 .sum_o (sum_csr_ex), +1153 .vs_sum_o (vs_sum_csr_ex), +1154 .mxr_o (mxr_csr_ex), +1155 .vmxr_o (vmxr_csr_ex), +1156 .satp_ppn_o (satp_ppn_csr_ex), +1157 .asid_o (asid_csr_ex), +1158 .vsatp_ppn_o (vsatp_ppn_csr_ex), +1159 .vs_asid_o (vs_asid_csr_ex), +1160 .hgatp_ppn_o (hgatp_ppn_csr_ex), +1161 .vmid_o (vmid_csr_ex), +1162 .irq_i, +1163 .ipi_i, +1164 .debug_req_i, +1165 .set_debug_pc_o (set_debug_pc), +1166 .tvm_o (tvm_csr_id), +1167 .tw_o (tw_csr_id), +1168 .vtw_o (vtw_csr_id), +1169 .tsr_o (tsr_csr_id), +1170 .hu_o (hu), +1171 .debug_mode_o (debug_mode), +1172 .single_step_o (single_step_csr_commit), +1173 .icache_en_o (icache_enable_o), +1174 .dcache_en_o (dcache_enable_o), +1175 // Accelerator +1176 .acc_cons_en_o ( /*FIXME*/), +1177 .perf_addr_o (addr_csr_perf), +1178 .perf_data_o (data_csr_perf), +1179 .perf_data_i (data_perf_csr), +1180 .perf_we_o (we_csr_perf), +1181 .pmpcfg_o (pmpcfg), +1182 .pmpaddr_o (pmpaddr), +1183 .mcountinhibit_o (mcountinhibit_csr_perf), +1184 .jvt_o (jvt), +1185 //RVFI +1186 .rvfi_csr_o (rvfi_csr) +1187 ); +1188 +1189 // ------------------------ +1190 // Performance Counters +1191 // ------------------------ +1192 if (CVA6Cfg.PerfCounterEn) begin : gen_perf_counter +1193 perf_counters #( +1194 .CVA6Cfg (CVA6Cfg), +1195 .bp_resolve_t (bp_resolve_t), +1196 .exception_t (exception_t), +1197 .scoreboard_entry_t(scoreboard_entry_t), +1198 .fetch_req_t (fetch_req_t), +1199 .obi_fetch_req_t (fetch_req_t), +1200 .obi_store_req_t (obi_store_req_t), +1201 .obi_amo_req_t (obi_amo_req_t), +1202 .load_req_t (load_req_t), +1203 .obi_load_req_t (obi_load_req_t), +1204 .obi_mmu_ptw_req_t (obi_mmu_ptw_req_t), +1205 .NumMissPorts (1 /*FIXME*/) //WT cache only ?? +1206 ) perf_counters_i ( +1207 .clk_i (clk_i), +1208 .rst_ni (rst_ni), +1209 .debug_mode_i (debug_mode), +1210 .addr_i (addr_csr_perf), +1211 .we_i (we_csr_perf), +1212 .data_i (data_csr_perf), +1213 .data_o (data_perf_csr), +1214 .commit_instr_i(commit_instr_id_commit), +1215 .commit_ack_i (commit_ack), +1216 +1217 .l1_icache_miss_i (icache_miss_i), +1218 .l1_dcache_miss_i (dcache_miss_i), +1219 .itlb_miss_i (itlb_miss_ex_perf), +1220 .dtlb_miss_i (dtlb_miss_ex_perf), +1221 .sb_full_i (sb_full), +1222 // TODO this is more complex that that +1223 // If superscalar then we additionally have to check [1] when transaction 0 succeeded +1224 .if_empty_i (~fetch_valid_if_id[0]), +1225 .ex_i (ex_commit), +1226 .eret_i (eret), +1227 .resolved_branch_i (resolved_branch), +1228 .branch_exceptions_i(flu_exception_ex_id), +1229 +1230 .fetch_req_i (fetch_req_o), +1231 .fetch_obi_req_i (obi_fetch_req_o), +1232 .obi_store_req_i (obi_store_req_o), +1233 .obi_amo_req_i (obi_amo_req_o), +1234 .load_req_i (load_req_o), +1235 .obi_load_req_i (obi_load_req_o), +1236 .obi_mmu_ptw_req_i(obi_mmu_ptw_req_o), +1237 +1238 .miss_vld_bits_i('0 /*FIXME*/), //WT cache only ?? +1239 .i_tlb_flush_i (flush_tlb_ctrl_ex), +1240 .stall_issue_i (stall_issue), +1241 .mcountinhibit_i(mcountinhibit_csr_perf) +1242 ); +1243 end : gen_perf_counter +1244 else begin : gen_no_perf_counter +1245 assign data_perf_csr = '0; +1246 end : gen_no_perf_counter +1247 +1248 // ------------ +1249 // Controller +1250 // ------------ +1251 controller #( +1252 .CVA6Cfg(CVA6Cfg), +1253 .bp_resolve_t(bp_resolve_t) +1254 ) controller_i ( +1255 .clk_i, +1256 .rst_ni, +1257 // virtualization mode +1258 .v_i (v), +1259 // flush ports +1260 .set_pc_commit_o (set_pc_ctrl_pcgen), +1261 .flush_if_o (flush_ctrl_if), +1262 .flush_unissued_instr_o(flush_unissued_instr_ctrl_id), +1263 .flush_id_o (flush_ctrl_id), +1264 .flush_ex_o (flush_ctrl_ex), +1265 .flush_bp_o (flush_ctrl_bp), +1266 .flush_icache_o (icache_flush_o), +1267 .flush_dcache_o (dcache_flush_o), +1268 .flush_dcache_ack_i (dcache_flush_ack_i), +1269 .flush_tlb_o (flush_tlb_ctrl_ex), +1270 .flush_tlb_vvma_o (flush_tlb_vvma_ctrl_ex), +1271 .flush_tlb_gvma_o (flush_tlb_gvma_ctrl_ex), +1272 .halt_csr_i (halt_csr_ctrl), +1273 // Accelerator +1274 .halt_acc_i ('0 /*FIXME*/), +1275 .halt_o (halt_ctrl), +1276 // control ports +1277 .eret_i (eret), +1278 .ex_valid_i (ex_commit.valid), +1279 .set_debug_pc_i (set_debug_pc), +1280 .resolved_branch_i (resolved_branch), +1281 .flush_csr_i (flush_csr_ctrl), +1282 .fence_i_i (fence_i_commit_controller), +1283 .fence_i (fence_commit_controller), +1284 .sfence_vma_i (sfence_vma_commit_controller), +1285 .hfence_vvma_i (hfence_vvma_commit_controller), +1286 .hfence_gvma_i (hfence_gvma_commit_controller), +1287 .flush_commit_i (flush_commit), +1288 // Accelerator +1289 .flush_acc_i ('0 /*FIXME*/) +1290 ); +1291 +1292 // ------------------- +1293 // Parameter Check +1294 // ------------------- +1295 // pragma translate_off +1296 unreachable initial config_pkg::check_cfg(CVA6Cfg); + +------------------------------------------------------------------------------- +Cond Coverage for Module : cva6_pipeline + + Total Covered Percent +Conditions 4 4 100.00 +Logical 4 4 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 1052 + EXPRESSION (no_st_pending_ex & dcache_wbuffer_empty_i) + --------1------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 1096 + EXPRESSION (commit_macro_ack & ((~(commit_drop_id_commit & 1'b0)))) + --------1------- -----------------2----------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_pipeline + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_tb_wrapper_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- commit_stage_i +100.00 100.00 100.00 -- controller_i + 98.78 100.00 97.56 -- csr_regfile_i + 99.81 99.81 99.81 -- ex_stage_i + 99.70 100.00 99.39 -- i_frontend + 99.12 99.42 98.81 -- id_stage_i + 97.36 99.62 95.10 -- issue_stage_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : ex_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/ex_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : ex_stage + + Line No. Total Covered Percent +TOTAL 21 21 100.00 +ALWAYS 303 4 4 100.00 +ALWAYS 377 9 9 100.00 +ALWAYS 393 1 1 100.00 +ALWAYS 400 2 2 100.00 +ALWAYS 531 3 3 100.00 +ALWAYS 633 2 2 100.00 + +302 // data silence operation +303 1/1 one_cycle_data = one_cycle_select[0] ? fu_data_i[0] : '0; +304 1/1 rs1_forwarding = rs1_forwarding_i[0]; +305 1/1 rs2_forwarding = rs2_forwarding_i[0]; +306 +307 1/1 if (CVA6Cfg.SuperscalarEn) begin +308 unreachable if (one_cycle_select[1]) begin +309 unreachable one_cycle_data = fu_data_i[1]; +310 unreachable rs1_forwarding = rs1_forwarding_i[1]; +311 unreachable rs2_forwarding = rs2_forwarding_i[1]; +312 end + ==> MISSING_ELSE +313 end + MISSING_ELSE +314 end +315 +316 // 1. ALU (combinatorial) +317 alu #( +318 .CVA6Cfg (CVA6Cfg), +319 .HasBranch(1'b1), +320 .fu_data_t(fu_data_t) +321 ) alu_i ( +322 .clk_i, +323 .rst_ni, +324 .fu_data_i (one_cycle_data), +325 .result_o (alu_result), +326 .alu_branch_res_o(alu_branch_res) +327 ); +328 +329 // 2. Branch Unit (combinatorial) +330 // we don't silence the branch unit as this is already critical and we do +331 // not want to add another layer of logic +332 branch_unit #( +333 .CVA6Cfg(CVA6Cfg), +334 .bp_resolve_t(bp_resolve_t), +335 .branchpredict_sbe_t(branchpredict_sbe_t), +336 .exception_t(exception_t), +337 .fu_data_t(fu_data_t) +338 ) branch_unit_i ( +339 .clk_i, +340 .rst_ni, +341 .v_i, +342 .debug_mode_i, +343 .fu_data_i (one_cycle_data), +344 .pc_i, +345 .is_zcmt_i, +346 .is_compressed_instr_i, +347 .branch_valid_i (|branch_valid_i), +348 .branch_comp_res_i (alu_branch_res), +349 .branch_result_o (branch_result), +350 .branch_predict_i, +351 .resolved_branch_o, +352 .resolve_branch_o, +353 .branch_exception_o(flu_exception_o) +354 ); +355 +356 // 3. CSR (sequential) +357 csr_buffer #( +358 .CVA6Cfg (CVA6Cfg), +359 .fu_data_t(fu_data_t) +360 ) csr_buffer_i ( +361 .clk_i, +362 .rst_ni, +363 .flush_i, +364 .fu_data_i (one_cycle_data), +365 .csr_valid_i (|csr_valid_i), +366 .csr_ready_o (csr_ready), +367 .csr_result_o(csr_result), +368 .csr_commit_i, +369 .csr_addr_o +370 ); +371 +372 assign flu_valid_o = |one_cycle_select | mult_valid; +373 +374 // result MUX +375 always_comb begin +376 // Branch result as default case +377 1/1 flu_result_o = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{1'b0}}, branch_result}; +378 1/1 flu_trans_id_o = one_cycle_data.trans_id; +379 // ALU result +380 1/1 if (|alu_valid_i) begin +381 1/1 flu_result_o = alu_result; +382 // CSR result +383 1/1 end else if (|csr_valid_i) begin +384 1/1 flu_result_o = csr_result; +385 1/1 end else if (mult_valid) begin +386 1/1 flu_result_o = mult_result; +387 1/1 flu_trans_id_o = mult_trans_id; +388 end + MISSING_ELSE +389 end +390 +391 // ready flags for FLU +392 always_comb begin +393 1/1 flu_ready_o = csr_ready & mult_ready; +394 end +395 +396 // 4. Multiplication (Sequential) +397 fu_data_t mult_data; +398 // input silencing of multiplier +399 always_comb begin +400 1/1 mult_data = mult_valid_i[0] ? fu_data_i[0] : '0; +401 1/1 if (CVA6Cfg.SuperscalarEn) begin +402 unreachable if (mult_valid_i[1]) begin +403 unreachable mult_data = fu_data_i[1]; +404 end + ==> MISSING_ELSE +405 end + MISSING_ELSE +406 end +407 +408 mult #( +409 .CVA6Cfg (CVA6Cfg), +410 .fu_data_t(fu_data_t) +411 ) i_mult ( +412 .clk_i, +413 .rst_ni, +414 .flush_i, +415 .mult_valid_i (|mult_valid_i), +416 .fu_data_i (mult_data), +417 .result_o (mult_result), +418 .mult_valid_o (mult_valid), +419 .mult_ready_o (mult_ready), +420 .mult_trans_id_o(mult_trans_id) +421 ); +422 +423 // ---------------- +424 // FPU +425 // ---------------- +426 logic fpu_valid; +427 logic [CVA6Cfg.TRANS_ID_BITS-1:0] fpu_trans_id; +428 logic [CVA6Cfg.XLEN-1:0] fpu_result; +429 logic alu2_valid; +430 logic [CVA6Cfg.XLEN-1:0] alu2_result; +431 +432 generate +433 if (CVA6Cfg.FpPresent) begin : fpu_gen +434 fu_data_t fpu_data; +435 always_comb begin +436 fpu_data = fpu_valid_i[0] ? fu_data_i[0] : '0; +437 if (CVA6Cfg.SuperscalarEn) begin +438 if (fpu_valid_i[1]) begin +439 fpu_data = fu_data_i[1]; +440 end +441 end +442 end +443 +444 fpu_wrap #( +445 .CVA6Cfg(CVA6Cfg), +446 .exception_t(exception_t), +447 .fu_data_t(fu_data_t) +448 ) fpu_i ( +449 .clk_i, +450 .rst_ni, +451 .flush_i, +452 .fpu_valid_i(|fpu_valid_i), +453 .fpu_ready_o, +454 .fu_data_i(fpu_data), +455 .fpu_fmt_i, +456 .fpu_rm_i, +457 .fpu_frm_i, +458 .fpu_prec_i, +459 .fpu_trans_id_o(fpu_trans_id), +460 .result_o(fpu_result), +461 .fpu_valid_o(fpu_valid), +462 .fpu_exception_o +463 ); +464 end else begin : no_fpu_gen +465 assign fpu_ready_o = '0; +466 assign fpu_trans_id = '0; +467 assign fpu_result = '0; +468 assign fpu_valid = '0; +469 assign fpu_exception_o = '0; +470 end +471 endgenerate +472 +473 // ---------------- +474 // ALU2 +475 // ---------------- +476 fu_data_t alu2_data; +477 if (CVA6Cfg.SuperscalarEn) begin : alu2_gen +478 always_comb begin +479 alu2_data = alu2_valid_i[0] ? fu_data_i[0] : '0; +480 if (alu2_valid_i[1]) begin +481 alu2_data = fu_data_i[1]; +482 end +483 end +484 +485 alu #( +486 .CVA6Cfg (CVA6Cfg), +487 .HasBranch(1'b0), +488 .fu_data_t(fu_data_t) +489 ) alu2_i ( +490 .clk_i, +491 .rst_ni, +492 .fu_data_i (alu2_data), +493 .result_o (alu2_result), +494 .alu_branch_res_o( /* this ALU does not handle branching */) +495 ); +496 end else begin +497 assign alu2_data = '0; +498 assign alu2_result = '0; +499 end +500 +501 // result MUX +502 // This is really explicit so that synthesis tools can elide unused signals +503 if (CVA6Cfg.SuperscalarEn) begin +504 if (CVA6Cfg.FpPresent) begin +505 assign fpu_valid_o = fpu_valid || |alu2_valid_i; +506 assign fpu_result_o = fpu_valid ? fpu_result : alu2_result; +507 assign fpu_trans_id_o = fpu_valid ? fpu_trans_id : alu2_data.trans_id; +508 end else begin +509 assign fpu_valid_o = |alu2_valid_i; +510 assign fpu_result_o = alu2_result; +511 assign fpu_trans_id_o = alu2_data.trans_id; +512 end +513 end else begin +514 if (CVA6Cfg.FpPresent) begin +515 assign fpu_valid_o = fpu_valid; +516 assign fpu_result_o = fpu_result; +517 assign fpu_trans_id_o = fpu_trans_id; +518 end else begin +519 assign fpu_valid_o = '0; +520 assign fpu_result_o = '0; +521 assign fpu_trans_id_o = '0; +522 end +523 end +524 +525 // ---------------- +526 // Load-Store Unit +527 // ---------------- +528 fu_data_t lsu_data; +529 logic [31:0] lsu_tinst; +530 always_comb begin +531 1/1 lsu_data = lsu_valid_i[0] ? fu_data_i[0] : '0; +532 1/1 lsu_tinst = tinst_i[0]; +533 +534 1/1 if (CVA6Cfg.SuperscalarEn) begin +535 unreachable if (lsu_valid_i[1]) begin +536 unreachable lsu_data = fu_data_i[1]; +537 unreachable lsu_tinst = tinst_i[1]; +538 end + ==> MISSING_ELSE +539 end + MISSING_ELSE +540 end +541 +542 load_store_unit #( +543 .CVA6Cfg (CVA6Cfg), +544 .load_req_t (load_req_t), +545 .load_rsp_t (load_rsp_t), +546 .obi_store_req_t (obi_store_req_t), +547 .obi_store_rsp_t (obi_store_rsp_t), +548 .obi_amo_req_t (obi_amo_req_t), +549 .obi_amo_rsp_t (obi_amo_rsp_t), +550 .obi_load_req_t (obi_load_req_t), +551 .obi_load_rsp_t (obi_load_rsp_t), +552 .obi_mmu_ptw_req_t(obi_mmu_ptw_req_t), +553 .obi_mmu_ptw_rsp_t(obi_mmu_ptw_rsp_t), +554 .exception_t (exception_t), +555 .fu_data_t (fu_data_t), +556 .fetch_areq_t (fetch_areq_t), +557 .fetch_arsp_t (fetch_arsp_t), +558 .lsu_ctrl_t (lsu_ctrl_t) +559 ) lsu_i ( +560 .clk_i, +561 .rst_ni, +562 .flush_i, +563 .stall_st_pending_i, +564 .no_st_pending_o, +565 .fu_data_i (lsu_data), +566 .lsu_ready_o, +567 .lsu_valid_i (|lsu_valid_i), +568 .load_trans_id_o, +569 .load_result_o, +570 .load_valid_o, +571 .load_exception_o, +572 .store_trans_id_o, +573 .store_result_o, +574 .store_valid_o, +575 .store_exception_o, +576 .commit_i (lsu_commit_i), +577 .commit_ready_o (lsu_commit_ready_o), +578 .commit_tran_id_i, +579 .enable_translation_i, +580 .enable_g_translation_i, +581 .en_ld_st_translation_i, +582 .en_ld_st_g_translation_i, +583 .fetch_areq_i, +584 .fetch_arsp_o, +585 .priv_lvl_i, +586 .v_i, +587 .ld_st_priv_lvl_i, +588 .ld_st_v_i, +589 .csr_hs_ld_st_inst_o, +590 .sum_i, +591 .vs_sum_i, +592 .mxr_i, +593 .vmxr_i, +594 .satp_ppn_i, +595 .vsatp_ppn_i, +596 .hgatp_ppn_i, +597 .asid_i, +598 .vs_asid_i, +599 .asid_to_be_flushed_i (asid_to_be_flushed), +600 .vmid_i, +601 .vmid_to_be_flushed_i (vmid_to_be_flushed), +602 .vaddr_to_be_flushed_i (vaddr_to_be_flushed), +603 .gpaddr_to_be_flushed_i(gpaddr_to_be_flushed), +604 .flush_tlb_i, +605 .flush_tlb_vvma_i, +606 .flush_tlb_gvma_i, +607 .itlb_miss_o, +608 .dtlb_miss_o, +609 // DCACHE interfaces +610 .obi_amo_req_o (obi_amo_req_o), +611 .obi_amo_rsp_i (obi_amo_rsp_i), +612 .obi_store_req_o (obi_store_req_o), +613 .obi_store_rsp_i (obi_store_rsp_i), +614 .obi_load_req_o (obi_load_req_o), +615 .obi_load_rsp_i (obi_load_rsp_i), +616 .load_req_o (load_req_o), +617 .load_rsp_i (load_rsp_i), +618 .obi_mmu_ptw_req_o (obi_mmu_ptw_req_o), +619 .obi_mmu_ptw_rsp_i (obi_mmu_ptw_rsp_i), +620 .dcache_wbuffer_empty_i, +621 .dcache_wbuffer_not_ni_i, +622 .amo_valid_commit_i, +623 .tinst_i (lsu_tinst), +624 .pmpcfg_i, +625 .pmpaddr_i, +626 .rvfi_lsu_ctrl_o, +627 .rvfi_mem_paddr_o +628 ); +629 +630 if (CVA6Cfg.CvxifEn) begin : gen_cvxif +631 fu_data_t cvxif_data; +632 always_comb begin +633 1/1 cvxif_data = x_valid_i[0] ? fu_data_i[0] : '0; +634 1/1 if (CVA6Cfg.SuperscalarEn) begin +635 unreachable if (x_valid_i[1]) begin +636 unreachable cvxif_data = fu_data_i[1]; +637 end + ==> MISSING_ELSE +638 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : ex_stage + + Total Covered Percent +Conditions 18 18 100.00 +Logical 18 18 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 303 + EXPRESSION (one_cycle_select[0] ? fu_data_i[0] : '0) + ---------1--------- + +-1- Status + 0 Covered + 1 Covered + + LINE 393 + EXPRESSION (csr_ready & mult_ready) + ----1---- -----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 400 + EXPRESSION (mult_valid_i[0] ? fu_data_i[0] : '0) + -------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 531 + EXPRESSION (lsu_valid_i[0] ? fu_data_i[0] : '0) + -------1------ + +-1- Status + 0 Covered + 1 Covered + + LINE 633 + EXPRESSION (x_valid_i[0] ? fu_data_i[0] : '0) + ------1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 296 + EXPRESSION (alu_valid_i | branch_valid_i | csr_valid_i) + -----1----- -------2------ -----3----- + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Covered + 0 1 0 Covered + 1 0 0 Covered + + LINE 372 + EXPRESSION (((|one_cycle_select)) | mult_valid) + ----------1---------- -----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.81 99.81 99.81 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu_i +100.00 100.00 100.00 -- branch_unit_i +100.00 100.00 100.00 -- csr_buffer_i +100.00 100.00 100.00 -- gen_cvxif.cvxif_fu_i + 99.83 100.00 99.66 -- i_mult + 99.83 99.65 100.00 -- lsu_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : instr_queue +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/instr_queue.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue + + + +------------------------------------------------------------------------------- +Line Coverage for Module : instr_queue + + Line No. Total Covered Percent +TOTAL 52 52 100.00 +ALWAYS 321 28 28 100.00 +ALWAYS 440 8 8 100.00 +ALWAYS 486 3 3 100.00 +ALWAYS 515 13 13 100.00 + +320 always_comb begin +321 1/1 idx_ds_d = idx_ds_q; +322 +323 1/1 pop_instr = '0; +324 // assemble fetch entry +325 1/1 for (int unsigned i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +326 1/1 fetch_entry_o[i].instruction = '0; +327 1/1 fetch_entry_o[i].address = pc_j[i]; +328 1/1 fetch_entry_o[i].ex.valid = 1'b0; +329 1/1 fetch_entry_o[i].ex.cause = '0; +330 +331 1/1 fetch_entry_o[i].ex.tval = '0; +332 1/1 fetch_entry_o[i].ex.tval2 = '0; +333 1/1 fetch_entry_o[i].ex.gva = 1'b0; +334 1/1 fetch_entry_o[i].ex.tinst = '0; +335 1/1 fetch_entry_o[i].branch_predict.predict_address = address_out; +336 1/1 fetch_entry_o[i].branch_predict.cf = ariane_pkg::NoCF; +337 end +338 +339 // output mux select +340 1/1 for (int unsigned i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin +341 1/1 if (idx_ds[0][i]) begin +342 1/1 if (CVA6Cfg.NrPMPEntries != 0 && instr_data_out[i].ex == ariane_pkg::FE_INSTR_ACCESS_FAULT) begin +343 unreachable fetch_entry_o[0].ex.cause = riscv::INSTR_ACCESS_FAULT; +344 1/1 end else if (CVA6Cfg.RVH && instr_data_out[i].ex == ariane_pkg::FE_INSTR_GUEST_PAGE_FAULT) begin +345 unreachable fetch_entry_o[0].ex.cause = riscv::INSTR_GUEST_PAGE_FAULT; +346 end else begin +347 1/1 fetch_entry_o[0].ex.cause = riscv::INSTR_PAGE_FAULT; +348 end +349 1/1 fetch_entry_o[0].instruction = instr_data_out[i].instr; +350 1/1 fetch_entry_o[0].ex.valid = ((CVA6Cfg.MmuPresent || CVA6Cfg.NrPMPEntries !=0) && instr_data_out[i].ex != ariane_pkg::FE_NONE); +351 1/1 if (CVA6Cfg.TvalEn) +352 unreachable fetch_entry_o[0].ex.tval = { + MISSING_ELSE +353 {(CVA6Cfg.XLEN - CVA6Cfg.VLEN) {1'b0}}, instr_data_out[i].ex_vaddr +354 }; +355 1/1 if (CVA6Cfg.RVH) begin +356 unreachable fetch_entry_o[0].ex.tval2 = instr_data_out[i].ex_gpaddr; +357 unreachable fetch_entry_o[0].ex.tinst = instr_data_out[i].ex_tinst; +358 unreachable fetch_entry_o[0].ex.gva = instr_data_out[i].ex_gva; +359 end + MISSING_ELSE +360 1/1 fetch_entry_o[0].branch_predict.cf = instr_data_out[i].cf; +361 1/1 pop_instr[i] = fetch_entry_fire[0]; +362 end + MISSING_ELSE +363 +364 1/1 if (CVA6Cfg.SuperscalarEn) begin +365 unreachable if (idx_ds[1][i]) begin +366 unreachable if (instr_data_out[i].ex == ariane_pkg::FE_INSTR_ACCESS_FAULT) begin +367 unreachable fetch_entry_o[NID].ex.cause = riscv::INSTR_ACCESS_FAULT; +368 end else begin +369 unreachable fetch_entry_o[NID].ex.cause = riscv::INSTR_PAGE_FAULT; +370 end +371 unreachable fetch_entry_o[NID].instruction = instr_data_out[i].instr; +372 unreachable fetch_entry_o[NID].ex.valid = instr_data_out[i].ex != ariane_pkg::FE_NONE; +373 unreachable fetch_entry_o[NID].ex.tval = {{64 - CVA6Cfg.VLEN{1'b0}}, instr_data_out[i].ex_vaddr}; +374 unreachable fetch_entry_o[NID].branch_predict.cf = instr_data_out[i].cf; +375 // Cannot output two CF the same cycle. +376 unreachable pop_instr[i] = fetch_entry_fire[NID]; +377 end + ==> MISSING_ELSE +378 end + MISSING_ELSE +379 end +380 // rotate the pointer left +381 1/1 if (fetch_entry_fire[0]) begin +382 1/1 if (CVA6Cfg.SuperscalarEn) begin +383 unreachable idx_ds_d = fetch_entry_fire[NID] ? idx_ds[2] : idx_ds[1]; +384 end else begin +385 1/1 idx_ds_d = idx_ds[1]; +386 end +387 end + MISSING_ELSE +388 end +389 end else begin : gen_downstream_itf_without_c +390 always_comb begin +391 idx_ds_d = '0; +392 idx_is_d = '0; +393 fetch_entry_o[0].instruction = instr_data_out[0].instr; +394 fetch_entry_o[0].address = pc_q; +395 +396 fetch_entry_o[0].ex.valid = instr_data_out[0].ex != ariane_pkg::FE_NONE; +397 if (instr_data_out[0].ex == ariane_pkg::FE_INSTR_ACCESS_FAULT) begin +398 fetch_entry_o[0].ex.cause = riscv::INSTR_ACCESS_FAULT; +399 end else begin +400 fetch_entry_o[0].ex.cause = riscv::INSTR_PAGE_FAULT; +401 end +402 if (CVA6Cfg.TvalEn) +403 fetch_entry_o[0].ex.tval = {{64 - CVA6Cfg.VLEN{1'b0}}, instr_data_out[0].ex_vaddr}; +404 else fetch_entry_o[0].ex.tval = '0; +405 if (CVA6Cfg.RVH) begin +406 fetch_entry_o[0].ex.tval2 = instr_data_out[0].ex_gpaddr; +407 fetch_entry_o[0].ex.tinst = instr_data_out[0].ex_tinst; +408 fetch_entry_o[0].ex.gva = instr_data_out[0].ex_gva; +409 end else begin +410 fetch_entry_o[0].ex.tval2 = '0; +411 fetch_entry_o[0].ex.tinst = '0; +412 fetch_entry_o[0].ex.gva = 1'b0; +413 end +414 +415 fetch_entry_o[0].branch_predict.predict_address = address_out; +416 fetch_entry_o[0].branch_predict.cf = instr_data_out[0].cf; +417 +418 pop_instr[0] = fetch_entry_valid_o[0] & fetch_entry_ready_i[0]; +419 end +420 end +421 +422 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +423 assign fetch_entry_is_cf[i] = fetch_entry_o[i].branch_predict.cf != ariane_pkg::NoCF; +424 assign fetch_entry_fire[i] = fetch_entry_valid_o[i] & fetch_entry_ready_i[i]; +425 end +426 +427 assign pop_address = |(fetch_entry_is_cf & fetch_entry_fire); +428 +429 // ---------------------- +430 // Calculate (Next) PC +431 // ---------------------- +432 assign pc_j[0] = pc_q; +433 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +434 assign pc_j[i+1] = fetch_entry_is_cf[i] ? address_out : ( +435 pc_j[i] + ((fetch_entry_o[i].instruction[1:0] != 2'b11) ? 'd2 : 'd4) +436 ); +437 end +438 +439 always_comb begin +440 1/1 pc_d = pc_q; +441 1/1 reset_address_d = flush_i ? 1'b1 : reset_address_q; +442 +443 1/1 if (fetch_entry_fire[0]) begin +444 1/1 pc_d = pc_j[1]; +445 1/1 if (CVA6Cfg.SuperscalarEn) begin +446 unreachable if (fetch_entry_fire[NID]) begin +447 unreachable pc_d = pc_j[2]; +448 end + ==> MISSING_ELSE +449 end + MISSING_ELSE +450 end + MISSING_ELSE +451 +452 // we previously flushed so we need to reset the address +453 1/1 if (valid_i[0] && reset_address_q) begin +454 // this is the base of the first instruction +455 1/1 pc_d = addr_i[0]; +456 1/1 reset_address_d = 1'b0; +457 end + MISSING_ELSE +458 end +459 +460 // FIFOs +461 for (genvar i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin : gen_instr_fifo +462 // Make sure we don't save any instructions if we couldn't save the address +463 assign push_instr_fifo[i] = push_instr[i] & ~address_overflow; +464 cva6_fifo_v3 #( +465 .FPGA_ALTERA(CVA6Cfg.FpgaAlteraEn), +466 .DEPTH(ariane_pkg::FETCH_FIFO_DEPTH), +467 .dtype(instr_data_t), +468 .FPGA_EN(CVA6Cfg.FpgaEn) +469 ) i_fifo_instr_data ( +470 .clk_i (clk_i), +471 .rst_ni (rst_ni), +472 .flush_i (flush_i), +473 .testmode_i(1'b0), +474 .full_o (instr_queue_full[i]), +475 .empty_o (instr_queue_empty[i]), +476 .usage_o (), +477 .data_i (instr_data_in[i]), +478 .push_i (push_instr_fifo[i]), +479 .data_o (instr_data_out[i]), +480 .pop_i (pop_instr[i]) +481 ); +482 end +483 // or reduce and check whether we are retiring a taken branch (might be that the corresponding) +484 // fifo is full. +485 always_comb begin +486 1/1 push_address = 1'b0; +487 // check if we are pushing a ctrl flow change, if so save the address +488 1/1 for (int i = 0; i < CVA6Cfg.INSTR_PER_FETCH; i++) begin +489 1/1 push_address |= push_instr[i] & (instr_data_in[i].cf != ariane_pkg::NoCF); +490 end +491 end +492 +493 cva6_fifo_v3 #( +494 .FPGA_ALTERA(CVA6Cfg.FpgaAlteraEn), +495 .DEPTH (ariane_pkg::FETCH_ADDR_FIFO_DEPTH), +496 .DATA_WIDTH (CVA6Cfg.VLEN), +497 .FPGA_EN (CVA6Cfg.FpgaEn) +498 ) i_fifo_address ( +499 .clk_i (clk_i), +500 .rst_ni (rst_ni), +501 .flush_i (flush_i), +502 .testmode_i(1'b0), +503 .full_o (full_address), +504 .empty_o (), +505 .usage_o (), +506 .data_i (predict_address_i), +507 .push_i (push_address & ~full_address), +508 .data_o (address_out), +509 .pop_i (pop_address) +510 ); +511 +512 +513 if (CVA6Cfg.RVC) begin : gen_pc_q_with_c +514 always_ff @(posedge clk_i or negedge rst_ni) begin +515 1/1 if (!rst_ni) begin +516 1/1 idx_ds_q <= 'b1; +517 1/1 idx_is_q <= '0; +518 1/1 pc_q <= '0; +519 1/1 reset_address_q <= 1'b1; +520 end else begin +521 1/1 pc_q <= pc_d; +522 1/1 reset_address_q <= reset_address_d; +523 1/1 if (flush_i) begin +524 // one-hot encoded +525 1/1 idx_ds_q <= 'b1; +526 // binary encoded +527 1/1 idx_is_q <= '0; +528 1/1 reset_address_q <= 1'b1; +529 end else begin +530 1/1 idx_ds_q <= idx_ds_d; +531 1/1 idx_is_q <= idx_is_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : instr_queue + + Total Covered Percent +Conditions 45 45 100.00 +Logical 45 45 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 441 + EXPRESSION (flush_i ? 1'b1 : reset_address_q) + ---1--- + +-1- Status + 0 Covered + 1 Covered + + LINE 453 + EXPRESSION (valid_i[0] && reset_address_q) + -----1---- -------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 489 + EXPRESSION (push_instr[i] & (instr_data_in[i].cf != NoCF)) + ------1------ --------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 489 + SUB-EXPRESSION (instr_data_in[i].cf != NoCF) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 366 + EXPRESSION (instr_data_out[i].ex == FE_INSTR_ACCESS_FAULT) + -----------------------1----------------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 372 + EXPRESSION (instr_data_out[i].ex != FE_NONE) + ----------------1---------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 383 + EXPRESSION (fetch_entry_fire[NID] ? idx_ds[2] : idx_ds[1]) + ----------1---------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 498 + EXPRESSION (push_address & ((~full_address))) + ------1----- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 151 + EXPRESSION (((~(|instr_queue_full))) & ((~full_address))) + ------------1----------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 285 + EXPRESSION (full_address & push_address) + ------1----- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 286 + EXPRESSION (instr_overflow | address_overflow) + -------1------ --------2------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 156 + EXPRESSION (cf_type_i[0] != NoCF) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 156 + EXPRESSION (cf_type_i[1] != NoCF) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 293 + EXPRESSION (address_overflow ? addr_i[0] : addr_i[shamt]) + --------1------- + +-1- Status + 0 Covered + 1 Covered + + LINE 423 + EXPRESSION (fetch_entry_o[0].branch_predict.cf != NoCF) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 424 + EXPRESSION (fetch_entry_valid_o[0] & fetch_entry_ready_i[0]) + -----------1---------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 434 + EXPRESSION (fetch_entry_is_cf[0] ? address_out : (pc_j[0] + ((fetch_entry_o[0].instruction[1:0] != 2'b11) ? 'd2 : 'd4))) + ----------1--------- + +-1- Status + 0 Covered + 1 Covered + + LINE 434 + SUB-EXPRESSION ((fetch_entry_o[0].instruction[1:0] != 2'b11) ? 'd2 : 'd4) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 434 + SUB-EXPRESSION (fetch_entry_o[0].instruction[1:0] != 2'b11) + ----------------------1--------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 463 + EXPRESSION (push_instr[0] & ((~address_overflow))) + ------1------ ----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 463 + EXPRESSION (push_instr[1] & ((~address_overflow))) + ------1------ ----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- instr_queue + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- gen_instr_fifo[0].i_fifo_instr_data +100.00 100.00 100.00 -- gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : bht +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/frontend/bht.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.bht_gen.i_bht + + + +------------------------------------------------------------------------------- +Line Coverage for Module : bht + + Line No. Total Covered Percent +TOTAL 19 19 100.00 +ALWAYS 82 13 13 100.00 +ALWAYS 106 6 6 100.00 + +81 always_comb begin : update_bht +82 1/1 bht_d = bht_q; +83 1/1 saturation_counter = bht_q[update_pc][update_row_index].saturation_counter; +84 +85 1/1 if ((bht_update_i.valid && CVA6Cfg.DebugEn && !debug_mode_i) || (bht_update_i.valid && !CVA6Cfg.DebugEn)) begin +86 1/1 bht_d[update_pc][update_row_index].valid = 1'b1; +87 +88 1/1 if (saturation_counter == 2'b11) begin +89 // we can safely decrease it +90 1/1 if (!bht_update_i.taken) +91 1/1 bht_d[update_pc][update_row_index].saturation_counter = saturation_counter - 1; + MISSING_ELSE +92 // then check if it saturated in the negative regime e.g.: branch not taken +93 1/1 end else if (saturation_counter == 2'b00) begin +94 // we can safely increase it +95 1/1 if (bht_update_i.taken) +96 1/1 bht_d[update_pc][update_row_index].saturation_counter = saturation_counter + 1; + MISSING_ELSE +97 end else begin // otherwise we are not in any boundaries and can decrease or increase it +98 1/1 if (bht_update_i.taken) +99 1/1 bht_d[update_pc][update_row_index].saturation_counter = saturation_counter + 1; +100 1/1 else bht_d[update_pc][update_row_index].saturation_counter = saturation_counter - 1; +101 end +102 end + MISSING_ELSE +103 end +104 +105 always_ff @(posedge clk_i or negedge rst_ni) begin +106 1/1 if (!rst_ni) begin +107 1/1 for (int unsigned i = 0; i < NR_ROWS; i++) begin +108 1/1 for (int j = 0; j < CVA6Cfg.INSTR_PER_FETCH; j++) begin +109 1/1 bht_q[i][j] <= '0; +110 end +111 end +112 end else begin +113 // evict all entries +114 1/1 if (flush_bp_i) begin +115 unreachable for (int i = 0; i < NR_ROWS; i++) begin +116 unreachable for (int j = 0; j < CVA6Cfg.INSTR_PER_FETCH; j++) begin +117 unreachable bht_q[i][j].valid <= 1'b0; +118 unreachable bht_q[i][j].saturation_counter <= 2'b10; +119 end +120 end +121 end else begin +122 1/1 bht_q <= bht_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : bht + + Total Covered Percent +Conditions 12 12 100.00 +Logical 12 12 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 85 + EXPRESSION ((((bht_update_i.valid && 1'b0) && (!debug_mode_i))) || (bht_update_i.valid && ((!1'b0)))) + -------------------------1------------------------- ----------------2---------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Unreachable + + LINE 85 + SUB-EXPRESSION (bht_update_i.valid && ((!1'b0))) + ---------1-------- ----2---- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 88 + EXPRESSION (gen_asic_bht.saturation_counter == 2'b11) + ---------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 93 + EXPRESSION (gen_asic_bht.saturation_counter == 2'b0) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 78 + EXPRESSION (bht_q[index][0].saturation_counter[1] == 1'b1) + -----------------------1----------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 78 + EXPRESSION (bht_q[index][1].saturation_counter[1] == 1'b1) + -----------------------1----------------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.bht_gen.i_bht +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- bht + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cvxif_issue_register_commit_if_driver +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cvxif_issue_register_commit_if_driver.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.i_cvxif_issue_register_commit_if_driver + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cvxif_issue_register_commit_if_driver + + Line No. Total Covered Percent +TOTAL 6 6 100.00 +ALWAYS 48 6 6 100.00 + +47 always_comb begin +48 1/1 issue_valid_o = valid_i && ~flush_i; +49 1/1 issue_req_o.instr = x_off_instr_i; +50 1/1 issue_req_o.hartid = hart_id_i; +51 1/1 issue_req_o.id = x_trans_id_i; +52 1/1 register_o.rs = register_i; +53 1/1 register_o.rs_valid = rs_valid_i; + +------------------------------------------------------------------------------- +Cond Coverage for Module : cvxif_issue_register_commit_if_driver + + Total Covered Percent +Conditions 6 6 100.00 +Logical 6 6 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 48 + EXPRESSION (valid_i && ((~flush_i))) + ---1--- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 59 + EXPRESSION (issue_valid_o && issue_ready_i) + ------1------ ------2------ + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i.i_issue_read_operands.i_cvxif_issue_register_commit_if_driver +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cvxif_issue_register_commit_if_driver + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_issue_read_operands + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvmt_cv32a60x_interrupt_assert +=============================================================================== +SCORE LINE COND ASSERT +100.00 -- -- 100.00 + +Source File(s) : + +cva6/verif/tb/uvmt/uvmt_cv32a60x_interrupt_assert.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 -- -- 100.00 uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert + + + +------------------------------------------------------------------------------- +Assert Coverage for Module : uvmt_cv32a60x_interrupt_assert + Total Attempted Percent Succeeded/Matched Percent +Assertions 0 0 0 +Cover properties 3 3 100.00 3 100.00 +Cover sequences 0 0 0 +Total 3 3 100.00 3 100.00 + + + +------------------------------------------------------------------------------- + +Cover Directives for Properties: Details + +Name Attempts Matches Incomplete +c_irq_m_ext_taken 51202068 129782 0 +c_irq_m_timer_taken 51202068 73800 0 +c_irq_priority 51202068 85719 0 + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 -- -- 100.00 + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 -- -- 100.00 + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 -- -- 100.00 uvmt_cv32a60x_interrupt_assert + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cva6_fifo_v3 +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cva6_fifo_v3.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cva6_fifo_v3 + + Line No. Total Covered Percent +TOTAL 48 48 100.00 +ALWAYS 79 27 27 100.00 +ALWAYS 157 17 17 100.00 +ALWAYS 210 4 4 100.00 +INITIAL 220 0 0 + +78 // default assignment +79 1/1 read_pointer_n = read_pointer_q; +80 1/1 write_pointer_n = write_pointer_q; +81 1/1 status_cnt_n = status_cnt_q; +82 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) data_ft_n = data_ft_q; + MISSING_ELSE +83 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q; + MISSING_ELSE +84 1/1 if (FPGA_EN) begin +85 unreachable fifo_ram_we = '0; +86 unreachable fifo_ram_write_address = '0; +87 unreachable fifo_ram_wdata = '0; +88 unreachable if (DEPTH == 0) begin +89 unreachable data_o = data_i; +90 end else begin +91 unreachable if (FPGA_ALTERA) data_o = first_word_q ? data_ft_q : fifo_ram_rdata; +92 unreachable else data_o = fifo_ram_rdata; +93 end +94 end else begin +95 1/1 data_o = (DEPTH == 0) ? data_i : mem_q[read_pointer_q]; +96 1/1 mem_n = mem_q; +97 1/1 gate_clock = 1'b1; +98 end +99 +100 // push a new element to the queue +101 1/1 if (push_i && ~full_o) begin +102 1/1 if (FPGA_EN) begin +103 unreachable fifo_ram_we = 1'b1; +104 unreachable fifo_ram_write_address = write_pointer_q; +105 unreachable fifo_ram_wdata = data_i; +106 unreachable if (FPGA_ALTERA) first_word_n = first_word_q && pop_i; + ==> MISSING_ELSE +107 end else begin +108 // push the data onto the queue +109 1/1 mem_n[write_pointer_q] = data_i; +110 // un-gate the clock, we want to write something +111 1/1 gate_clock = 1'b0; +112 end +113 +114 // increment the write counter +115 1/1(1 unreachable) if (write_pointer_q == FifoDepth[ADDR_DEPTH-1:0] - 1) write_pointer_n = '0; +116 1/1 else write_pointer_n = write_pointer_q + 1; +117 // increment the overall counter +118 1/1 status_cnt_n = status_cnt_q + 1; +119 end + MISSING_ELSE +120 +121 1/1 if (pop_i && ~empty_o) begin +122 1/1 data_ft_n = data_i; +123 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q && push_i; + MISSING_ELSE +124 // read from the queue is a default assignment +125 // but increment the read pointer... +126 1/1(1 unreachable) if (read_pointer_n == FifoDepth[ADDR_DEPTH-1:0] - 1) read_pointer_n = '0; +127 1/1 else read_pointer_n = read_pointer_q + 1; +128 // ... and decrement the overall count +129 1/1 status_cnt_n = status_cnt_q - 1; +130 end + MISSING_ELSE +131 +132 // keep the count pointer stable if we push and pop at the same time +133 2/2 if (push_i && pop_i && ~full_o && ~empty_o) status_cnt_n = status_cnt_q; + MISSING_ELSE +134 +135 // FIFO is in pass through mode -> do not change the pointers +136 1/1 if ((FALL_THROUGH || (FPGA_EN && FPGA_ALTERA)) && (status_cnt_q == 0) && push_i) begin +137 unreachable if (FALL_THROUGH) data_o = data_i; + ==> MISSING_ELSE +138 unreachable if (FPGA_EN && FPGA_ALTERA) begin +139 unreachable data_ft_n = data_i; +140 unreachable first_word_n = '1; +141 end + ==> MISSING_ELSE +142 unreachable if (pop_i) begin +143 unreachable first_word_n = '0; +144 unreachable status_cnt_n = status_cnt_q; +145 unreachable read_pointer_n = read_pointer_q; +146 unreachable write_pointer_n = write_pointer_q; +147 end + ==> MISSING_ELSE +148 end + MISSING_ELSE +149 +150 1/1(1 unreachable) if (FPGA_EN) fifo_ram_read_address = (FPGA_ALTERA == 1) ? read_pointer_n : read_pointer_q; +151 1/1 else fifo_ram_read_address = '0; +152 +153 end +154 +155 // sequential process +156 always_ff @(posedge clk_i or negedge rst_ni) begin +157 1/1 if (~rst_ni) begin +158 1/1 read_pointer_q <= '0; +159 1/1 write_pointer_q <= '0; +160 1/1 status_cnt_q <= '0; +161 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +162 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +163 end else begin +164 1/1 if (flush_i) begin +165 1/1 read_pointer_q <= '0; +166 1/1 write_pointer_q <= '0; +167 1/1 status_cnt_q <= '0; +168 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +169 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +170 end else begin +171 1/1 read_pointer_q <= read_pointer_n; +172 1/1 write_pointer_q <= write_pointer_n; +173 1/1 status_cnt_q <= status_cnt_n; +174 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= data_ft_n; + MISSING_ELSE +175 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= first_word_n; + MISSING_ELSE +176 end +177 end +178 end +179 +180 if (FPGA_EN) begin : gen_fpga_queue +181 if (FPGA_ALTERA) begin +182 SyncDpRam_ind_r_w #( +183 .ADDR_WIDTH(ADDR_DEPTH), +184 .DATA_DEPTH(DEPTH), +185 .DATA_WIDTH($bits(dtype)) +186 ) fifo_ram ( +187 .Clk_CI (clk_i), +188 .WrEn_SI (fifo_ram_we), +189 .RdAddr_DI(fifo_ram_read_address), +190 .WrAddr_DI(fifo_ram_write_address), +191 .WrData_DI(fifo_ram_wdata), +192 .RdData_DO(fifo_ram_rdata) +193 ); +194 end else begin +195 AsyncDpRam #( +196 .ADDR_WIDTH(ADDR_DEPTH), +197 .DATA_DEPTH(DEPTH), +198 .DATA_WIDTH($bits(dtype)) +199 ) fifo_ram ( +200 .Clk_CI (clk_i), +201 .WrEn_SI (fifo_ram_we), +202 .RdAddr_DI(fifo_ram_read_address), +203 .WrAddr_DI(fifo_ram_write_address), +204 .WrData_DI(fifo_ram_wdata), +205 .RdData_DO(fifo_ram_rdata) +206 ); +207 end +208 end else begin : gen_asic_queue +209 always_ff @(posedge clk_i or negedge rst_ni) begin +210 1/1 if (~rst_ni) begin +211 1/1 mem_q <= '0; +212 1/1 end else if (!gate_clock) begin +213 1/1 mem_q <= mem_n; +214 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : cva6_fifo_v3 + + Total Covered Percent +Conditions 19 19 100.00 +Logical 19 19 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 91 + EXPRESSION (first_word_q ? data_ft_q : fifo_ram_rdata) + ------1----- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 101 + EXPRESSION (push_i && ((~full_o))) + ---1-- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 106 + EXPRESSION (first_word_q && pop_i) + ------1----- --2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 115 + EXPRESSION (write_pointer_q == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ----------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 121 + EXPRESSION (pop_i && ((~empty_o))) + --1-- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 123 + EXPRESSION (first_word_q && push_i) + ------1----- ---2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 126 + EXPRESSION (read_pointer_n == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ---------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 133 + EXPRESSION (push_i && pop_i && ((~full_o)) && ((~empty_o))) + ---1-- --2-- -----3----- ------4----- + +-1- -2- -3- -4- Status + 0 1 1 1 Covered + 1 0 1 1 Covered + 1 1 0 1 Covered + 1 1 1 0 Covered + 1 1 1 1 Covered + + LINE 71 + EXPRESSION (status_cnt_q == FifoDepth[ADDR_DEPTH:0]) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 72 + EXPRESSION ((status_cnt_q == 3'b0) & ((~(FALL_THROUGH & push_i)))) + -----------1---------- --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 72 + SUB-EXPRESSION (status_cnt_q == 3'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_fifo_v3 + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_instr_queue + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Line Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data + + Line No. Total Covered Percent +TOTAL 48 48 100.00 +ALWAYS 79 27 27 100.00 +ALWAYS 157 17 17 100.00 +ALWAYS 210 4 4 100.00 +INITIAL 220 0 0 + +78 // default assignment +79 1/1 read_pointer_n = read_pointer_q; +80 1/1 write_pointer_n = write_pointer_q; +81 1/1 status_cnt_n = status_cnt_q; +82 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) data_ft_n = data_ft_q; + MISSING_ELSE +83 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q; + MISSING_ELSE +84 1/1 if (FPGA_EN) begin +85 unreachable fifo_ram_we = '0; +86 unreachable fifo_ram_write_address = '0; +87 unreachable fifo_ram_wdata = '0; +88 unreachable if (DEPTH == 0) begin +89 unreachable data_o = data_i; +90 end else begin +91 unreachable if (FPGA_ALTERA) data_o = first_word_q ? data_ft_q : fifo_ram_rdata; +92 unreachable else data_o = fifo_ram_rdata; +93 end +94 end else begin +95 1/1 data_o = (DEPTH == 0) ? data_i : mem_q[read_pointer_q]; +96 1/1 mem_n = mem_q; +97 1/1 gate_clock = 1'b1; +98 end +99 +100 // push a new element to the queue +101 1/1 if (push_i && ~full_o) begin +102 1/1 if (FPGA_EN) begin +103 unreachable fifo_ram_we = 1'b1; +104 unreachable fifo_ram_write_address = write_pointer_q; +105 unreachable fifo_ram_wdata = data_i; +106 unreachable if (FPGA_ALTERA) first_word_n = first_word_q && pop_i; + ==> MISSING_ELSE +107 end else begin +108 // push the data onto the queue +109 1/1 mem_n[write_pointer_q] = data_i; +110 // un-gate the clock, we want to write something +111 1/1 gate_clock = 1'b0; +112 end +113 +114 // increment the write counter +115 1/1(1 unreachable) if (write_pointer_q == FifoDepth[ADDR_DEPTH-1:0] - 1) write_pointer_n = '0; +116 1/1 else write_pointer_n = write_pointer_q + 1; +117 // increment the overall counter +118 1/1 status_cnt_n = status_cnt_q + 1; +119 end + MISSING_ELSE +120 +121 1/1 if (pop_i && ~empty_o) begin +122 1/1 data_ft_n = data_i; +123 1/1(1 unreachable) if (FPGA_EN && FPGA_ALTERA) first_word_n = first_word_q && push_i; + MISSING_ELSE +124 // read from the queue is a default assignment +125 // but increment the read pointer... +126 1/1(1 unreachable) if (read_pointer_n == FifoDepth[ADDR_DEPTH-1:0] - 1) read_pointer_n = '0; +127 1/1 else read_pointer_n = read_pointer_q + 1; +128 // ... and decrement the overall count +129 1/1 status_cnt_n = status_cnt_q - 1; +130 end + MISSING_ELSE +131 +132 // keep the count pointer stable if we push and pop at the same time +133 2/2 if (push_i && pop_i && ~full_o && ~empty_o) status_cnt_n = status_cnt_q; + MISSING_ELSE +134 +135 // FIFO is in pass through mode -> do not change the pointers +136 1/1 if ((FALL_THROUGH || (FPGA_EN && FPGA_ALTERA)) && (status_cnt_q == 0) && push_i) begin +137 unreachable if (FALL_THROUGH) data_o = data_i; + ==> MISSING_ELSE +138 unreachable if (FPGA_EN && FPGA_ALTERA) begin +139 unreachable data_ft_n = data_i; +140 unreachable first_word_n = '1; +141 end + ==> MISSING_ELSE +142 unreachable if (pop_i) begin +143 unreachable first_word_n = '0; +144 unreachable status_cnt_n = status_cnt_q; +145 unreachable read_pointer_n = read_pointer_q; +146 unreachable write_pointer_n = write_pointer_q; +147 end + ==> MISSING_ELSE +148 end + MISSING_ELSE +149 +150 1/1(1 unreachable) if (FPGA_EN) fifo_ram_read_address = (FPGA_ALTERA == 1) ? read_pointer_n : read_pointer_q; +151 1/1 else fifo_ram_read_address = '0; +152 +153 end +154 +155 // sequential process +156 always_ff @(posedge clk_i or negedge rst_ni) begin +157 1/1 if (~rst_ni) begin +158 1/1 read_pointer_q <= '0; +159 1/1 write_pointer_q <= '0; +160 1/1 status_cnt_q <= '0; +161 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +162 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +163 end else begin +164 1/1 if (flush_i) begin +165 1/1 read_pointer_q <= '0; +166 1/1 write_pointer_q <= '0; +167 1/1 status_cnt_q <= '0; +168 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= '0; + MISSING_ELSE +169 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= '0; + MISSING_ELSE +170 end else begin +171 1/1 read_pointer_q <= read_pointer_n; +172 1/1 write_pointer_q <= write_pointer_n; +173 1/1 status_cnt_q <= status_cnt_n; +174 1/1(1 unreachable) if (FPGA_ALTERA) data_ft_q <= data_ft_n; + MISSING_ELSE +175 1/1(1 unreachable) if (FPGA_ALTERA) first_word_q <= first_word_n; + MISSING_ELSE +176 end +177 end +178 end +179 +180 if (FPGA_EN) begin : gen_fpga_queue +181 if (FPGA_ALTERA) begin +182 SyncDpRam_ind_r_w #( +183 .ADDR_WIDTH(ADDR_DEPTH), +184 .DATA_DEPTH(DEPTH), +185 .DATA_WIDTH($bits(dtype)) +186 ) fifo_ram ( +187 .Clk_CI (clk_i), +188 .WrEn_SI (fifo_ram_we), +189 .RdAddr_DI(fifo_ram_read_address), +190 .WrAddr_DI(fifo_ram_write_address), +191 .WrData_DI(fifo_ram_wdata), +192 .RdData_DO(fifo_ram_rdata) +193 ); +194 end else begin +195 AsyncDpRam #( +196 .ADDR_WIDTH(ADDR_DEPTH), +197 .DATA_DEPTH(DEPTH), +198 .DATA_WIDTH($bits(dtype)) +199 ) fifo_ram ( +200 .Clk_CI (clk_i), +201 .WrEn_SI (fifo_ram_we), +202 .RdAddr_DI(fifo_ram_read_address), +203 .WrAddr_DI(fifo_ram_write_address), +204 .WrData_DI(fifo_ram_wdata), +205 .RdData_DO(fifo_ram_rdata) +206 ); +207 end +208 end else begin : gen_asic_queue +209 always_ff @(posedge clk_i or negedge rst_ni) begin +210 1/1 if (~rst_ni) begin +211 1/1 mem_q <= '0; +212 1/1 end else if (!gate_clock) begin +213 1/1 mem_q <= mem_n; +214 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_queue.gen_instr_fifo[0].i_fifo_instr_data + + Total Covered Percent +Conditions 19 19 100.00 +Logical 19 19 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 91 + EXPRESSION (first_word_q ? data_ft_q : fifo_ram_rdata) + ------1----- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 101 + EXPRESSION (push_i && ((~full_o))) + ---1-- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 106 + EXPRESSION (first_word_q && pop_i) + ------1----- --2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 115 + EXPRESSION (write_pointer_q == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ----------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 121 + EXPRESSION (pop_i && ((~empty_o))) + --1-- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 123 + EXPRESSION (first_word_q && push_i) + ------1----- ---2-- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 126 + EXPRESSION (read_pointer_n == (FifoDepth[(ADDR_DEPTH - 1):0] - 1)) + ---------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Unreachable + + LINE 133 + EXPRESSION (push_i && pop_i && ((~full_o)) && ((~empty_o))) + ---1-- --2-- -----3----- ------4----- + +-1- -2- -3- -4- Status + 0 1 1 1 Covered + 1 0 1 1 Covered + 1 1 0 1 Covered + 1 1 1 0 Covered + 1 1 1 1 Covered + + LINE 71 + EXPRESSION (status_cnt_q == FifoDepth[ADDR_DEPTH:0]) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 72 + EXPRESSION ((status_cnt_q == 3'b0) & ((~(FALL_THROUGH & push_i)))) + -----------1---------- --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 72 + SUB-EXPRESSION (status_cnt_q == 3'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + +=============================================================================== +Module : mult +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/mult.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult + + + +------------------------------------------------------------------------------- +Line Coverage for Module : mult + + Line No. Total Covered Percent +TOTAL 11 11 100.00 +ALWAYS 93 8 8 100.00 +ALWAYS 152 3 3 100.00 + +92 // silence the inputs +93 1/1 operand_a = '0; +94 1/1 operand_b = '0; +95 // control signals +96 1/1 word_op_d = word_op_q; +97 +98 // we've go a new division operation +99 1/1 if (mult_valid_i && fu_data_i.operation inside {DIV, DIVU, DIVW, DIVUW, REM, REMU, REMW, REMUW}) begin +100 // is this a word operation? +101 1/1 if (CVA6Cfg.IS_XLEN64 && (fu_data_i.operation == DIVW || fu_data_i.operation == DIVUW || fu_data_i.operation == REMW || fu_data_i.operation == REMUW)) begin +102 // yes so check if we should sign extend this is only done for a signed operation +103 unreachable if (div_signed) begin +104 unreachable operand_a = sext32to64(fu_data_i.operand_a[31:0]); +105 unreachable operand_b = sext32to64(fu_data_i.operand_b[31:0]); +106 end else begin +107 unreachable operand_a = fu_data_i.operand_a[31:0]; +108 unreachable operand_b = fu_data_i.operand_b[31:0]; +109 end +110 +111 // save whether we want sign extend the result or not, this is done for all word operations +112 unreachable word_op_d = 1'b1; +113 end else begin +114 // regular op +115 1/1 operand_a = fu_data_i.operand_a; +116 1/1 operand_b = fu_data_i.operand_b; +117 1/1 word_op_d = 1'b0; +118 end +119 end + MISSING_ELSE +120 end +121 +122 // --------------------- +123 // Serial Divider +124 // --------------------- +125 serdiv #( +126 .CVA6Cfg(CVA6Cfg), +127 .WIDTH (CVA6Cfg.XLEN) +128 ) i_div ( +129 .clk_i (clk_i), +130 .rst_ni (rst_ni), +131 .id_i (fu_data_i.trans_id), +132 .op_a_i (operand_a), +133 .op_b_i (operand_b), +134 .opcode_i ({rem, div_signed}), // 00: udiv, 10: urem, 01: div, 11: rem +135 .in_vld_i (div_valid_op), +136 .in_rdy_o (mult_ready_o), +137 .flush_i (flush_i), +138 .out_vld_o(div_valid), +139 .out_rdy_i(div_ready_i), +140 .id_o (div_trans_id), +141 .res_o (result) +142 ); +143 +144 // Result multiplexer +145 // if it was a signed word operation the bit will be set and the result will be sign extended accordingly +146 assign div_result = (CVA6Cfg.IS_XLEN64 && word_op_q) ? sext32to64(result) : result; +147 +148 // --------------------- +149 // Registers +150 // --------------------- +151 always_ff @(posedge clk_i or negedge rst_ni) begin +152 1/1 if (~rst_ni) begin +153 1/1 word_op_q <= '0; +154 end else begin +155 1/1 word_op_q <= word_op_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : mult + + Total Covered Percent +Conditions 20 20 100.00 +Logical 20 20 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 99 + EXPRESSION (mult_valid_i && (fu_data_i.operation inside {DIV, DIVU, DIVW, DIVUW, REM, REMU, REMW, REMUW})) + ------1----- --------------------------------------2-------------------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 40 + EXPRESSION (((~flush_i)) && mult_valid_i && (fu_data_i.operation inside {MUL, MULH, MULHU, MULHSU, MULW, CLMUL, CLMULH, CLMULR})) + ------1----- ------2----- ------------------------------------------3----------------------------------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 42 + EXPRESSION (((~flush_i)) && mult_valid_i && (fu_data_i.operation inside {DIV, DIVU, DIVW, DIVUW, REM, REMU, REMW, REMUW})) + ------1----- ------2----- --------------------------------------3-------------------------------------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 49 + EXPRESSION (mul_valid ? 1'b0 : 1'b1) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 50 + EXPRESSION (mul_valid ? mul_trans_id : div_trans_id) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 51 + EXPRESSION (mul_valid ? mul_result : div_result) + ----1---- + +-1- Status + 0 Covered + 1 Covered + + LINE 52 + EXPRESSION (div_valid | mul_valid) + ----1---- ----2---- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.83 100.00 99.66 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- mult + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.82 100.00 99.64 -- i_div +100.00 100.00 100.00 -- i_multiplier + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : load_unit +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/load_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_load_unit + + + +------------------------------------------------------------------------------- +Line Coverage for Module : load_unit + + Line No. Total Covered Percent +TOTAL 82 82 100.00 +ALWAYS 158 10 10 100.00 +ALWAYS 182 12 12 100.00 +ALWAYS 274 27 27 100.00 +ALWAYS 345 11 11 100.00 +ALWAYS 374 16 16 100.00 +ALWAYS 453 6 6 100.00 + +157 always_comb begin : ldbuf_comb +158 1/1 ldbuf_flushed_d = ldbuf_flushed_q; +159 1/1 ldbuf_valid_d = ldbuf_valid_q; +160 +161 // In case of flush, raise the flushed flag in all slots. +162 1/1 if (flush_i) begin +163 1/1 ldbuf_flushed_d = '1; +164 end + MISSING_ELSE +165 // Free read entry (in the case of fall-through mode, free the entry +166 // only if there is no pending load) +167 1/1 if (ldbuf_r && (!LDBUF_FALLTHROUGH || !ldbuf_w)) begin +168 1/1 ldbuf_valid_d[ldbuf_rindex] = 1'b0; +169 end + MISSING_ELSE +170 // Free on exception +171 1/1 if (CVA6Cfg.MmuPresent && (ldbuf_w_q && ex_i.valid)) begin +172 unreachable ldbuf_valid_d[ldbuf_windex_q] = 1'b0; +173 end + MISSING_ELSE +174 // Track a new outstanding operation in the load buffer +175 1/1 if (ldbuf_w) begin +176 1/1 ldbuf_flushed_d[ldbuf_windex] = 1'b0; +177 1/1 ldbuf_valid_d[ldbuf_windex] = 1'b1; +178 end + MISSING_ELSE +179 end +180 +181 always_ff @(posedge clk_i or negedge rst_ni) begin : ldbuf_ff +182 1/1 if (!rst_ni) begin +183 1/1 ldbuf_flushed_q <= '0; +184 1/1 ldbuf_valid_q <= '0; +185 1/1 ldbuf_last_id_q <= '0; +186 1/1 ldbuf_q <= '0; +187 end else begin +188 1/1 ldbuf_flushed_q <= ldbuf_flushed_d; +189 1/1 ldbuf_valid_q <= ldbuf_valid_d; +190 1/1 if (ldbuf_w) begin +191 1/1 ldbuf_last_id_q <= ldbuf_windex; +192 1/1 ldbuf_q[ldbuf_windex].trans_id <= lsu_ctrl_i.trans_id; +193 1/1 ldbuf_q[ldbuf_windex].address_offset <= lsu_ctrl_i.vaddr[CVA6Cfg.XLEN_ALIGN_BYTES-1:0]; +194 1/1 ldbuf_q[ldbuf_windex].operation <= lsu_ctrl_i.operation; +195 end + MISSING_ELSE +196 end +197 end +198 +199 // page offset is defined as the lower 12 bits, feed through for address checker +200 assign page_offset_o = lsu_ctrl_i.vaddr[11:0]; +201 // feed-through the virtual address for VA translation +202 assign vaddr_o = lsu_ctrl_i.vaddr; +203 assign hs_ld_st_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hs_ld_st_inst : 1'b0; +204 assign hlvx_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hlvx_inst : 1'b0; +205 // feed-through the transformed instruction for mmu +206 assign tinst_o = CVA6Cfg.RVH ? lsu_ctrl_i.tinst : '0; +207 +208 // output address +209 // we can now output the lower 12 bit as the index to the cache +210 assign load_req_o.address_index = lsu_ctrl_i.vaddr[CVA6Cfg.DCACHE_INDEX_WIDTH-1:0]; +211 assign load_req_o.be = lsu_ctrl_i.be; +212 +213 // request id = index of the load buffer's entry +214 assign load_req_o.aid = ldbuf_windex; +215 // directly forward exception fields (valid bit is set below) +216 assign ex_o.cause = ex_i.cause; +217 assign ex_o.tval = ex_i.tval; +218 assign ex_o.tval2 = CVA6Cfg.RVH ? ex_i.tval2 : '0; +219 assign ex_o.tinst = CVA6Cfg.RVH ? ex_i.tinst : '0; +220 assign ex_o.gva = CVA6Cfg.RVH ? ex_i.gva : 1'b0; +221 +222 logic [CVA6Cfg.PLEN-1:0] paddr; +223 +224 assign paddr = CVA6Cfg.MmuPresent ? paddr_i : lsu_ctrl_i.vaddr; //paddr_i is delayed in s1, but no s1 in mode no MMU +225 +226 // CHECK PMA regions +227 +228 logic paddr_is_cacheable, paddr_is_cacheable_q; // asserted if physical address is non-cacheable +229 assign paddr_is_cacheable = config_pkg::is_inside_cacheable_regions( +230 CVA6Cfg, {{52 - CVA6Cfg.PPNW{1'b0}}, dtlb_ppn_i, 12'd0} +231 ); +232 +233 logic paddr_nonidempotent; +234 assign paddr_nonidempotent = config_pkg::is_inside_nonidempotent_regions( +235 CVA6Cfg, {{52 - CVA6Cfg.PPNW{1'b0}}, dtlb_ppn_i, 12'd0} +236 ); +237 +238 // Check that NI operations follow the necessary conditions +239 logic not_commit_time; +240 logic inflight_stores; +241 logic stall_ni; +242 assign not_commit_time = commit_tran_id_i != lsu_ctrl_i.trans_id; +243 assign inflight_stores = (!dcache_wbuffer_not_ni_i || !store_buffer_empty_i); +244 +245 typedef enum logic [1:0] { +246 TRANSPARENT, +247 REGISTRED +248 } obi_a_state_e; +249 obi_a_state_e obi_a_state_d, obi_a_state_q; +250 +251 // --------------- +252 // Load Control +253 // --------------- +254 logic ex_s0, ex_s1, kill_s1; +255 +256 logic stall_obi, stall_translation; +257 logic data_req, data_rvalid; +258 +259 assign load_req_o.kill_req = kill_req_q || kill_s1; +260 +261 assign stall_ni = (inflight_stores || not_commit_time) && (paddr_nonidempotent && CVA6Cfg.NonIdemPotenceEn); +262 assign stall_obi = (obi_a_state_q == REGISTRED); //&& !obi_load_rsp_i.gnt; +263 assign stall_translation = CVA6Cfg.MmuPresent ? translation_req_o && !dtlb_hit_i : 1'b0; +264 +265 assign ex_s0 = CVA6Cfg.MmuPresent && stall_translation && ex_i.valid; +266 assign ex_s1 = ((CVA6Cfg.MmuPresent ? ldbuf_w_q : valid_i) && ex_i.valid); +267 assign kill_s1 = CVA6Cfg.MmuPresent ? ex_s1 : 1'b0; +268 +269 assign data_rvalid = obi_load_rsp_i.rvalid && !ldbuf_flushed_q[ldbuf_rindex]; +270 assign data_req = (CVA6Cfg.MmuPresent ? ldbuf_w_q && !ex_s1 : ldbuf_w); +271 +272 always_comb begin : p_fsm_common +273 // default assignment +274 1/1 load_req_o.req = '0; +275 1/1 kill_req_d = 1'b0; +276 1/1 ldbuf_w = 1'b0; +277 1/1 translation_req_o = 1'b0; +278 //response +279 1/1 trans_id_o = lsu_ctrl_i.trans_id; +280 1/1 valid_o = 1'b0; +281 1/1 ex_o.valid = 1'b0; +282 1/1 pop_ld_o = 1'b0; // release lsu_bypass fifo +283 +284 // REQUEST +285 1/1 if (valid_i) begin +286 1/1 translation_req_o = 1'b1; +287 1/1 if (!page_offset_matches_i) begin +288 1/1 load_req_o.req = 1'b1; +289 1/1 if (!CVA6Cfg.MmuPresent || load_rsp_i.gnt) begin +290 1/1 if (stall_translation || stall_ni || stall_obi || ldbuf_full || flush_i) begin +291 1/1 kill_req_d = 1'b1; // MmuPresent only: next cycle is s2 but we need to kill because not ready to send tag +292 end else begin +293 1/1 ldbuf_w = CVA6Cfg.MmuPresent ? 1'b1 : !ex_s1; // record request into outstanding load fifo and trigger OBI request +294 1/1 pop_ld_o = !ex_s1; // release lsu_bypass fifo +295 end +296 end + ==> MISSING_ELSE +297 end + MISSING_ELSE +298 end + MISSING_ELSE +299 // RETIRE LOAD +300 // we got an rvalid and it's corresponding request was not flushed +301 1/1 if (data_rvalid) begin +302 1/1 trans_id_o = ldbuf_q[ldbuf_rindex].trans_id; +303 1/1 valid_o = 1'b1; +304 1/1 ex_o.valid = 1'b0; +305 // RETIRE EXCEPTION (low priority) +306 1/1 end else if (ex_s1) begin +307 1/1 trans_id_o = CVA6Cfg.MmuPresent ? ldbuf_q[ldbuf_windex_q].trans_id : lsu_ctrl_i.trans_id; +308 1/1 valid_o = 1'b1; +309 1/1 ex_o.valid = 1'b1; +310 1/1 pop_ld_o = 1'b1; // release lsu_bypass fifo +311 // RETIRE EXCEPTION (low priority) +312 1/1 end else if (CVA6Cfg.MmuPresent && ex_s0) begin +313 unreachable trans_id_o = lsu_ctrl_i.trans_id; +314 unreachable valid_o = 1'b1; +315 unreachable ex_o.valid = 1'b1; +316 unreachable pop_ld_o = 1'b1; // release lsu_bypass fifo +317 end + MISSING_ELSE +318 +319 end +320 +321 +322 //default obi state registred +323 assign obi_load_req_o.reqpar = !obi_load_req_o.req; +324 assign obi_load_req_o.a.addr = obi_a_state_q == TRANSPARENT ? paddr : paddr_q; +325 assign obi_load_req_o.a.we = '0; +326 assign obi_load_req_o.a.be = (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? lsu_ctrl_i.be : be_q; +327 assign obi_load_req_o.a.wdata = '0; +328 assign obi_load_req_o.a.aid = (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? ldbuf_windex : ldbuf_windex_q; +329 assign obi_load_req_o.a.a_optional.auser = '0; +330 assign obi_load_req_o.a.a_optional.wuser = '0; +331 assign obi_load_req_o.a.a_optional.atop = '0; +332 assign obi_load_req_o.a.a_optional.memtype[0] = '0; +333 assign obi_load_req_o.a.a_optional.memtype[1]= (!CVA6Cfg.MmuPresent && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q; +334 assign obi_load_req_o.a.a_optional.mid = '0; +335 assign obi_load_req_o.a.a_optional.prot[2:1] = 2'b11; +336 assign obi_load_req_o.a.a_optional.prot[0] = 1'b1; //data +337 assign obi_load_req_o.a.a_optional.dbg = '0; +338 assign obi_load_req_o.a.a_optional.achk = '0; +339 +340 assign obi_load_req_o.rready = '1; //always ready +341 assign obi_load_req_o.rreadypar = '0; +342 +343 always_comb begin : p_fsm_obi_a +344 // default assignment +345 1/1 obi_a_state_d = obi_a_state_q; +346 1/1 obi_load_req_o.req = 1'b0; +347 +348 1/1 unique case (obi_a_state_q) +349 TRANSPARENT: begin +350 1/1 if (data_req) begin +351 1/1 obi_load_req_o.req = 1'b1; +352 1/1 if (!obi_load_rsp_i.gnt) begin +353 1/1 obi_a_state_d = REGISTRED; +354 end + MISSING_ELSE +355 end + MISSING_ELSE +356 end +357 +358 REGISTRED: begin +359 1/1 obi_load_req_o.req = 1'b1; +360 1/1 if (obi_load_rsp_i.gnt) begin +361 1/1 obi_a_state_d = TRANSPARENT; +362 end + MISSING_ELSE +363 end +364 +365 default: begin +366 // we should never get here +367 1/1 obi_a_state_d = TRANSPARENT; +368 end +369 endcase +370 end +371 +372 // latch physical address for the tag cycle (one cycle after applying the index) +373 always_ff @(posedge clk_i or negedge rst_ni) begin +374 1/1 if (~rst_ni) begin +375 1/1 obi_a_state_q <= TRANSPARENT; +376 1/1 paddr_q <= '0; +377 1/1 be_q <= '0; +378 1/1 paddr_is_cacheable_q <= '0; +379 1/1 kill_req_q <= '0; +380 1/1 ldbuf_windex_q <= '0; +381 1/1 ldbuf_w_q <= '0; +382 end else begin +383 1/1 if (obi_a_state_q == TRANSPARENT) begin +384 1/1 paddr_q <= paddr; +385 1/1 be_q <= lsu_ctrl_i.be; +386 1/1 paddr_is_cacheable_q <= paddr_is_cacheable; +387 end + MISSING_ELSE +388 1/1 obi_a_state_q <= obi_a_state_d; +389 1/1 kill_req_q <= kill_req_d; +390 //if (!ex_s1) begin +391 1/1 ldbuf_windex_q <= ldbuf_windex; +392 1/1 ldbuf_w_q <= ldbuf_w; +393 //end +394 end +395 end +396 +397 +398 // --------------- +399 // Retire Load +400 // --------------- +401 assign ldbuf_rindex = (CVA6Cfg.NrLoadBufEntries > 1) ? ldbuf_id_t'(obi_load_rsp_i.r.rid) : 1'b0; +402 assign ldbuf_rdata = ldbuf_q[ldbuf_rindex]; +403 +404 // read the pending load buffer +405 assign ldbuf_r = obi_load_rsp_i.rvalid; +406 +407 // --------------- +408 // Sign Extend +409 // --------------- +410 logic [CVA6Cfg.XLEN-1:0] shifted_data; +411 +412 // realign as needed +413 assign shifted_data = obi_load_rsp_i.r.rdata >> {ldbuf_rdata.address_offset, 3'b000}; +414 +415 /* // result mux (leaner code, but more logic stages. +416 // can be used instead of the code below (in between //result mux fast) if timing is not so critical) +417 always_comb begin +418 unique case (ldbuf_rdata.operation) +419 LWU: result_o = shifted_data[31:0]; +420 LHU: result_o = shifted_data[15:0]; +421 LBU: result_o = shifted_data[7:0]; +422 LW: result_o = 64'(signed'(shifted_data[31:0])); +423 LH: result_o = 64'(signed'(shifted_data[15:0])); +424 LB: result_o = 64'(signed'(shifted_data[ 7:0])); +425 default: result_o = shifted_data; +426 endcase +427 end */ +428 +429 // result mux fast +430 logic [ (CVA6Cfg.XLEN/8)-1:0] rdata_sign_bits; +431 logic [CVA6Cfg.XLEN_ALIGN_BYTES-1:0] rdata_offset; +432 logic rdata_sign_bit, rdata_is_signed, rdata_is_fp_signed; +433 +434 +435 // prepare these signals for faster selection in the next cycle +436 assign rdata_is_signed = ldbuf_rdata.operation inside {ariane_pkg::LW, ariane_pkg::LH, ariane_pkg::LB, ariane_pkg::HLV_W, ariane_pkg::HLV_H, ariane_pkg::HLV_B}; +437 assign rdata_is_fp_signed = ldbuf_rdata.operation inside {ariane_pkg::FLW, ariane_pkg::FLH, ariane_pkg::FLB}; +438 assign rdata_offset = ((ldbuf_rdata.operation inside {ariane_pkg::LW, ariane_pkg::FLW, ariane_pkg::HLV_W}) & CVA6Cfg.IS_XLEN64) ? ldbuf_rdata.address_offset + 3 : +439 ( ldbuf_rdata.operation inside {ariane_pkg::LH, ariane_pkg::FLH, ariane_pkg::HLV_H}) ? ldbuf_rdata.address_offset + 1 : +440 ldbuf_rdata.address_offset; +441 +442 for (genvar i = 0; i < (CVA6Cfg.XLEN / 8); i++) begin : gen_sign_bits +443 assign rdata_sign_bits[i] = obi_load_rsp_i.r.rdata[(i+1)*8-1]; +444 end +445 +446 +447 // select correct sign bit in parallel to result shifter above +448 // pull to 0 if unsigned +449 assign rdata_sign_bit = rdata_is_signed & rdata_sign_bits[rdata_offset] | (CVA6Cfg.FpPresent && rdata_is_fp_signed); +450 +451 // result mux +452 always_comb begin +453 1/1 unique case (ldbuf_rdata.operation) +454 ariane_pkg::LW, ariane_pkg::LWU, ariane_pkg::HLV_W, ariane_pkg::HLV_WU, ariane_pkg::HLVX_WU: +455 1/1 result_o = {{CVA6Cfg.XLEN - 32{rdata_sign_bit}}, shifted_data[31:0]}; +456 ariane_pkg::LH, ariane_pkg::LHU, ariane_pkg::HLV_H, ariane_pkg::HLV_HU, ariane_pkg::HLVX_HU: +457 1/1 result_o = {{CVA6Cfg.XLEN - 32 + 16{rdata_sign_bit}}, shifted_data[15:0]}; +458 ariane_pkg::LB, ariane_pkg::LBU, ariane_pkg::HLV_B, ariane_pkg::HLV_BU: +459 1/1 result_o = {{CVA6Cfg.XLEN - 32 + 24{rdata_sign_bit}}, shifted_data[7:0]}; +460 default: begin +461 // FLW, FLH and FLB have been defined here in default case to improve Code Coverage +462 1/1 if (CVA6Cfg.FpPresent) begin +463 unreachable unique case (ldbuf_rdata.operation) +464 ariane_pkg::FLW: begin +465 unreachable result_o = {{CVA6Cfg.XLEN - 32{rdata_sign_bit}}, shifted_data[31:0]}; +466 end +467 ariane_pkg::FLH: begin +468 unreachable result_o = {{CVA6Cfg.XLEN - 32 + 16{rdata_sign_bit}}, shifted_data[15:0]}; +469 end +470 ariane_pkg::FLB: begin +471 unreachable result_o = {{CVA6Cfg.XLEN - 32 + 24{rdata_sign_bit}}, shifted_data[7:0]}; +472 end +473 default: begin +474 unreachable result_o = shifted_data[CVA6Cfg.XLEN-1:0]; +475 end +476 endcase +477 end else begin +478 1/1 result_o = shifted_data[CVA6Cfg.XLEN-1:0]; + +------------------------------------------------------------------------------- +Cond Coverage for Module : load_unit + + Total Covered Percent +Conditions 65 65 100.00 +Logical 65 65 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 167 + EXPRESSION (ldbuf_r && (((!LDBUF_FALLTHROUGH)) || ((!ldbuf_w)))) + ---1--- --------------------2------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 167 + SUB-EXPRESSION (((!LDBUF_FALLTHROUGH)) || ((!ldbuf_w))) + -----------1---------- ------2----- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 290 + EXPRESSION (stall_translation || stall_ni || stall_obi || ldbuf_full || flush_i) + --------1-------- ----2--- ----3---- -----4---- ---5--- + +-1- -2- -3- -4- -5- Status + 0 0 0 0 0 Covered + 0 0 0 0 1 Covered + 0 0 0 1 0 Covered + 0 0 1 0 0 Covered + 0 1 0 0 0 Unreachable + 1 0 0 0 0 Unreachable + + LINE 383 + EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 134 + EXPRESSION (((&ldbuf_valid_q)) && ( ! (LDBUF_FALLTHROUGH && ldbuf_r) )) + ---------1-------- ------------------2----------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 134 + SUB-EXPRESSION ( ! (LDBUF_FALLTHROUGH && ldbuf_r) ) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 134 + SUB-EXPRESSION (LDBUF_FALLTHROUGH && ldbuf_r) + --------1-------- ---2--- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 155 + EXPRESSION ((LDBUF_FALLTHROUGH && ldbuf_r) ? ldbuf_rindex : ldbuf_free_index) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 155 + SUB-EXPRESSION (LDBUF_FALLTHROUGH && ldbuf_r) + --------1-------- ---2--- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 242 + EXPRESSION (commit_tran_id_i != lsu_ctrl_i.trans_id) + --------------------1-------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 243 + EXPRESSION (((!dcache_wbuffer_not_ni_i)) || ((!store_buffer_empty_i))) + --------------1------------- ------------2------------ + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Unreachable + + LINE 259 + EXPRESSION (kill_req_q || kill_s1) + -----1---- ---2--- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 262 + EXPRESSION (obi_a_state_q == REGISTRED) + --------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 266 + EXPRESSION (((1'b0 ? ldbuf_w_q : valid_i)) && ex_i.valid) + ---------------1-------------- -----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 269 + EXPRESSION (obi_load_rsp_i.rvalid && ((!ldbuf_flushed_q[ldbuf_rindex]))) + ----------1---------- -----------------2---------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 324 + EXPRESSION ((obi_a_state_q == TRANSPARENT) ? paddr : paddr_q) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 324 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 326 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? lsu_ctrl_i.be : be_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 326 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 326 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 328 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? ldbuf_windex : ldbuf_windex_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 328 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 328 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 333 + EXPRESSION ((((!1'b0)) && (obi_a_state_q == TRANSPARENT)) ? paddr_is_cacheable : paddr_is_cacheable_q) + ----------------------1---------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 333 + SUB-EXPRESSION (((!1'b0)) && (obi_a_state_q == TRANSPARENT)) + ----1---- ---------------2-------------- + +-1- -2- Status + - 0 Covered + - 1 Covered + + LINE 333 + SUB-EXPRESSION (obi_a_state_q == TRANSPARENT) + ---------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 438 + SUB-EXPRESSION ((ldbuf_rdata.operation inside {LH, FLH, HLV_H}) ? ((ldbuf_rdata.address_offset + 1)) : ldbuf_rdata.address_offset) + -----------------------1----------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 449 + EXPRESSION ((rdata_is_signed & rdata_sign_bits[rdata_offset]) | ((1'b0 && rdata_is_fp_signed))) + ------------------------1------------------------ ---------------2-------------- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 449 + SUB-EXPRESSION (rdata_is_signed & rdata_sign_bits[rdata_offset]) + -------1------- --------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_load_unit +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- load_unit + + +Parent : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- lsu_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : commit_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/commit_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.commit_stage_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : commit_stage + + Line No. Total Covered Percent +TOTAL 70 70 100.00 +ALWAYS 115 3 3 100.00 +ALWAYS 140 52 52 100.00 +ALWAYS 373 15 15 100.00 + +114 always_comb begin : dirty_fp_state +115 1/1 dirty_fp_state_o = 1'b0; +116 1/1 for (int i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +117 1/1 dirty_fp_state_o |= commit_ack_o[i] & (commit_instr_i[i].fu inside {FPU, FPU_VEC} || (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr( +118 commit_instr_i[i].op +119 // Check if we issued a vector floating-point instruction to the accellerator +120 ))) | commit_instr_i[i].fu == ACCEL && commit_instr_i[i].vfp; +121 end +122 end +123 +124 assign commit_tran_id_o = commit_instr_i[0].trans_id; +125 +126 logic instr_0_is_amo; +127 logic [CVA6Cfg.NrCommitPorts-1:0] commit_macro_ack; +128 assign instr_0_is_amo = is_amo(commit_instr_i[0].op); +129 +130 logic amo_resp_ack; +131 assign amo_resp_ack = obi_amo_rsp_i.rvalid; // && amo_obi_req_o.rready; +132 +133 +134 // ------------------- +135 // Commit Instruction +136 // ------------------- +137 // write register file or commit instruction in LSU or CSR Buffer +138 always_comb begin : commit +139 // default assignments +140 1/1 commit_ack_o[0] = 1'b0; +141 1/1 commit_macro_ack[0] = 1'b0; +142 +143 1/1 amo_valid_commit_o = 1'b0; +144 +145 1/1 we_gpr_o[0] = 1'b0; +146 1/1 we_fpr_o = '{default: 1'b0}; +147 1/1 commit_lsu_o = 1'b0; +148 1/1 commit_csr_o = 1'b0; +149 // amos will commit on port 0 +150 1/1 wdata_o[0] = (CVA6Cfg.RVA && amo_resp_ack) ? obi_amo_rsp_i.r.rdata : commit_instr_i[0].result; +151 1/1 csr_op_o = ADD; // this corresponds to a CSR NOP +152 1/1 csr_wdata_o = {CVA6Cfg.XLEN{1'b0}}; +153 1/1 fence_i_o = 1'b0; +154 1/1 fence_o = 1'b0; +155 1/1 sfence_vma_o = 1'b0; +156 1/1 hfence_vvma_o = 1'b0; +157 1/1 hfence_gvma_o = 1'b0; +158 1/1 csr_write_fflags_o = 1'b0; +159 1/1 flush_commit_o = 1'b0; +160 +161 // we do not commit the instruction yet if we requested a halt +162 1/1 if (commit_instr_i[0].valid && !halt_i) begin +163 // we will not commit the instruction if we took an exception +164 1/1 if (commit_instr_i[0].ex.valid) begin +165 // However we can drop it (with its exception) +166 1/1 if (CVA6Cfg.SpeculativeSb && commit_drop_i[0]) begin +167 unreachable commit_ack_o[0] = 1'b1; +168 end + MISSING_ELSE +169 end else begin +170 1/1 commit_ack_o[0] = 1'b1; +171 +172 1/1 if (CVA6Cfg.RVZCMP && commit_instr_i[0].is_macro_instr && commit_instr_i[0].is_last_macro_instr) +173 unreachable commit_macro_ack[0] = 1'b1; +174 1/1 else commit_macro_ack[0] = 1'b0; +175 +176 1/1 if (!commit_drop_i[0]) begin +177 // we can definitely write the register file +178 // if the instruction is not committing anything the destination +179 1/1 if (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr(commit_instr_i[0].op)) begin +180 unreachable we_fpr_o[0] = 1'b1; +181 end else begin +182 1/1 we_gpr_o[0] = 1'b1; +183 end +184 end + ==> MISSING_ELSE +185 +186 // check whether the instruction we retire was a store +187 1/1 if (commit_instr_i[0].fu == STORE && !(CVA6Cfg.RVA && instr_0_is_amo)) begin +188 // check if the LSU is ready to accept another commit entry (e.g.: a non-speculative store) +189 1/1 if (commit_lsu_ready_i) begin +190 1/1 commit_lsu_o = 1'b1; +191 // stall in case the store buffer is not able to accept anymore instructions +192 end else begin +193 1/1 commit_ack_o[0] = 1'b0; +194 end +195 end + MISSING_ELSE +196 // --------- +197 // FPU Flags +198 // --------- +199 1/1 if (CVA6Cfg.FpPresent) begin +200 unreachable if (commit_instr_i[0].fu inside {FPU, FPU_VEC}) begin +201 unreachable if (!commit_drop_i[0]) begin +202 // write the CSR with potential exception flags from retiring floating point instruction +203 unreachable csr_wdata_o = {{CVA6Cfg.XLEN - 5{1'b0}}, commit_instr_i[0].ex.cause[4:0]}; +204 unreachable csr_write_fflags_o = 1'b1; +205 end + ==> MISSING_ELSE +206 end + ==> MISSING_ELSE +207 end + MISSING_ELSE +208 // --------- +209 // CSR Logic +210 // --------- +211 // check whether the instruction we retire was a CSR instruction and it did not +212 // throw an exception +213 1/1 if (commit_instr_i[0].fu == CSR) begin +214 // write the CSR file +215 1/1 csr_op_o = commit_instr_i[0].op; +216 1/1 csr_wdata_o = commit_instr_i[0].result; +217 1/1 if (!commit_drop_i[0]) begin +218 1/1 if (!csr_exception_i.valid) begin +219 1/1 commit_csr_o = 1'b1; +220 1/1 wdata_o[0] = csr_rdata_i; +221 end else begin +222 1/1 commit_ack_o[0] = 1'b0; +223 1/1 we_gpr_o[0] = 1'b0; +224 end +225 end + ==> MISSING_ELSE +226 end + MISSING_ELSE +227 // ------------------ +228 // SFENCE.VMA Logic +229 // ------------------ +230 // sfence.vma is idempotent so we can safely re-execute it after returning +231 // from interrupt service routine +232 // check if this instruction was a SFENCE_VMA +233 1/1 if (CVA6Cfg.RVS && commit_instr_i[0].op == SFENCE_VMA) begin +234 unreachable if (!commit_drop_i[0]) begin +235 // no store pending so we can flush the TLBs and pipeline +236 unreachable sfence_vma_o = no_st_pending_i; +237 // wait for the store buffer to drain until flushing the pipeline +238 unreachable commit_ack_o[0] = no_st_pending_i; +239 end + ==> MISSING_ELSE +240 end + MISSING_ELSE +241 // ------------------ +242 // HFENCE.VVMA Logic +243 // ------------------ +244 // hfence.vvma is idempotent so we can safely re-execute it after returning +245 // from interrupt service routine +246 // check if this instruction was a HFENCE_VVMA +247 1/1 if (CVA6Cfg.RVH && commit_instr_i[0].op == HFENCE_VVMA) begin +248 unreachable if (!commit_drop_i[0]) begin +249 // no store pending so we can flush the TLBs and pipeline +250 unreachable hfence_vvma_o = no_st_pending_i; +251 // wait for the store buffer to drain until flushing the pipeline +252 unreachable commit_ack_o[0] = no_st_pending_i; +253 end + ==> MISSING_ELSE +254 end + MISSING_ELSE +255 // ------------------ +256 // HFENCE.GVMA Logic +257 // ------------------ +258 // hfence.gvma is idempotent so we can safely re-execute it after returning +259 // from interrupt service routine +260 // check if this instruction was a HFENCE_GVMA +261 1/1 if (CVA6Cfg.RVH && commit_instr_i[0].op == HFENCE_GVMA) begin +262 unreachable if (!commit_drop_i[0]) begin +263 // no store pending so we can flush the TLBs and pipeline +264 unreachable hfence_gvma_o = no_st_pending_i; +265 // wait for the store buffer to drain until flushing the pipeline +266 unreachable commit_ack_o[0] = no_st_pending_i; +267 end + ==> MISSING_ELSE +268 end + MISSING_ELSE +269 // ------------------ +270 // FENCE.I Logic +271 // ------------------ +272 // fence.i is idempotent so we can safely re-execute it after returning +273 // from interrupt service routine +274 // Fence synchronizes data and instruction streams. That means that we need to flush the private icache +275 // and the private dcache. This is the most expensive instruction. +276 1/1 if ((commit_instr_i[0].op == FENCE_I && CVA6Cfg.RVZifencei) || (flush_dcache_i && CVA6Cfg.DCacheType == config_pkg::WB && commit_instr_i[0].fu != STORE)) begin /* FIXME */ //confirm that it's only config_pkg::WB and not others caches configurations +277 unreachable if (!commit_drop_i[0]) begin +278 unreachable commit_ack_o[0] = no_st_pending_i; +279 // tell the controller to flush the I$ +280 unreachable fence_i_o = no_st_pending_i; +281 end + ==> MISSING_ELSE +282 end + MISSING_ELSE +283 // ------------------ +284 // FENCE Logic +285 // ------------------ +286 // fence is idempotent so we can safely re-execute it after returning +287 // from interrupt service routine +288 1/1 if (commit_instr_i[0].op == FENCE) begin +289 1/1 if (!commit_drop_i[0]) begin +290 1/1 commit_ack_o[0] = no_st_pending_i; +291 // tell the controller to flush the D$ +292 1/1 fence_o = no_st_pending_i; +293 end + ==> MISSING_ELSE +294 end + MISSING_ELSE +295 // ------------------ +296 // AMO +297 // ------------------ +298 1/1 if (CVA6Cfg.RVA && instr_0_is_amo) begin +299 // AMO finished +300 unreachable commit_ack_o[0] = amo_resp_ack; +301 // flush the pipeline +302 unreachable flush_commit_o = amo_resp_ack; +303 unreachable amo_valid_commit_o = 1'b1; +304 unreachable we_gpr_o[0] = amo_resp_ack; +305 end + MISSING_ELSE +306 end +307 end + MISSING_ELSE +308 +309 1/1 if (CVA6Cfg.NrCommitPorts > 1) begin +310 unreachable commit_macro_ack[1] = 1'b0; +311 unreachable commit_ack_o[1] = 1'b0; +312 unreachable we_gpr_o[1] = 1'b0; +313 unreachable wdata_o[1] = commit_instr_i[1].result; +314 +315 // ----------------- +316 // Commit Port 2 +317 // ----------------- +318 // check if the second instruction can be committed as well and the first wasn't a CSR instruction +319 // also if we are in single step mode don't retire the second instruction +320 unreachable if (commit_ack_o[0] && commit_instr_i[1].valid +321 && !halt_i +322 && !(commit_instr_i[0].fu inside {CSR}) +323 && !flush_dcache_i +324 && !(CVA6Cfg.RVA && instr_0_is_amo) +325 && !single_step_i) begin +326 // only if the first instruction didn't throw an exception and this instruction won't throw an exception +327 // and the functional unit is of type ALU, LOAD, CTRL_FLOW, MULT, FPU or FPU_VEC +328 unreachable if (!commit_instr_i[1].ex.valid && (commit_instr_i[1].fu inside {ALU, LOAD, CTRL_FLOW, MULT, FPU, FPU_VEC})) begin +329 +330 unreachable if (CVA6Cfg.RVZCMP && commit_instr_i[1].is_macro_instr && commit_instr_i[1].is_last_macro_instr) +331 unreachable commit_macro_ack[1] = 1'b1; +332 unreachable else commit_macro_ack[1] = 1'b0; +333 +334 unreachable commit_ack_o[1] = 1'b1; +335 +336 unreachable if (!commit_drop_i[1]) begin +337 unreachable if (CVA6Cfg.FpPresent && ariane_pkg::is_rd_fpr(commit_instr_i[1].op)) +338 unreachable we_fpr_o[1] = 1'b1; +339 unreachable else we_gpr_o[1] = 1'b1; +340 +341 // additionally check if we are retiring an FPU instruction because we need to make sure that we write all +342 // exception flags +343 unreachable if (CVA6Cfg.FpPresent) begin +344 unreachable if (commit_instr_i[1].fu inside {FPU, FPU_VEC}) begin +345 unreachable if (csr_write_fflags_o) +346 unreachable csr_wdata_o = { +347 {CVA6Cfg.XLEN - 5{1'b0}}, +348 (commit_instr_i[0].ex.cause[4:0] | commit_instr_i[1].ex.cause[4:0]) +349 }; +350 unreachable else csr_wdata_o = {{CVA6Cfg.XLEN - 5{1'b0}}, commit_instr_i[1].ex.cause[4:0]}; +351 unreachable csr_write_fflags_o = 1'b1; +352 end + ==> MISSING_ELSE +353 end + ==> MISSING_ELSE +354 end + ==> MISSING_ELSE +355 end + ==> MISSING_ELSE +356 end + ==> MISSING_ELSE +357 end + MISSING_ELSE +358 1/1 if (CVA6Cfg.RVZCMP) begin +359 unreachable for (int i = 0; i < CVA6Cfg.NrCommitPorts; i++) begin +360 unreachable commit_macro_ack_o[i] = commit_instr_i[i].is_macro_instr ? commit_macro_ack[i] : commit_ack_o[i]; +361 end +362 1/1 end else commit_macro_ack_o = commit_ack_o; +363 end +364 +365 // ----------------------------- +366 // Exception & Interrupt Logic +367 // ----------------------------- +368 // here we know for sure that we are taking the exception +369 always_comb begin : exception_handling +370 // Multiple simultaneous interrupts and traps at the same privilege level are handled in the following decreasing +371 // priority order: external interrupts, software interrupts, timer interrupts, then finally any synchronous traps. (1.10 p.30) +372 // interrupts are correctly prioritized in the CSR reg file, exceptions are prioritized here +373 1/1 exception_o.valid = 1'b0; +374 1/1 exception_o.cause = '0; +375 1/1 exception_o.tval = '0; +376 1/1 exception_o.tval2 = '0; +377 1/1 exception_o.tinst = '0; +378 1/1 exception_o.gva = 1'b0; +379 +380 // we need a valid instruction in the commit stage +381 1/1 if (commit_instr_i[0].valid && !(CVA6Cfg.SpeculativeSb && commit_drop_i[0])) begin +382 // ------------------------ +383 // check for CSR exception +384 // ------------------------ +385 1/1 if (csr_exception_i.valid) begin +386 1/1 exception_o = csr_exception_i; +387 // if no earlier exception happened the commit instruction will still contain +388 // the instruction bits from the ID stage. If a earlier exception happened we don't care +389 // as we will overwrite it anyway in the next IF bl +390 1/1 exception_o.tval = commit_instr_i[0].ex.tval; +391 1/1 if (CVA6Cfg.RVH) begin +392 unreachable exception_o.tinst = commit_instr_i[0].ex.tinst; +393 unreachable exception_o.tval2 = commit_instr_i[0].ex.tval2; +394 unreachable exception_o.gva = commit_instr_i[0].ex.gva; +395 end + MISSING_ELSE +396 end + MISSING_ELSE +397 // ------------------------ +398 // Earlier Exceptions +399 // ------------------------ +400 // but we give precedence to exceptions which happened earlier e.g.: instruction page +401 // faults for example +402 1/1 if (commit_instr_i[0].ex.valid) begin +403 1/1 exception_o = commit_instr_i[0].ex; +404 end + MISSING_ELSE +405 end + MISSING_ELSE +406 // Don't take any exceptions iff: +407 // - If we halted the processor +408 1/1 if (halt_i) begin +409 1/1 exception_o.valid = 1'b0; +410 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : commit_stage + + Total Covered Percent +Conditions 13 13 100.00 +Logical 13 13 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 162 + EXPRESSION (commit_instr_i[0].valid && ((!halt_i))) + -----------1----------- -----2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 187 + EXPRESSION ((commit_instr_i[0].fu == STORE) && ((!(1'b0 && instr_0_is_amo)))) + ---------------1--------------- --------------2-------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 187 + SUB-EXPRESSION (commit_instr_i[0].fu == STORE) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 213 + EXPRESSION (commit_instr_i[0].fu == CSR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 288 + EXPRESSION (commit_instr_i[0].op == FENCE) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 320 + EXPRESSION + Number Term + 1 commit_ack_o[0] && + 2 commit_instr_i[1].valid && + 3 ((!halt_i)) && + 4 ((!(commit_instr_i[0].fu inside {CSR}))) && + 5 ((!flush_dcache_i)) && + 6 ((!(1'b0 && instr_0_is_amo))) && + 7 ((!single_step_i))) + +-1- -2- -3- -4- -5- -6- -7- Status + 0 1 1 1 1 1 1 Unreachable + 1 0 1 1 1 1 1 Unreachable + 1 1 0 1 1 1 1 Unreachable + 1 1 1 0 1 1 1 Unreachable + 1 1 1 1 0 1 1 Unreachable + 1 1 1 1 1 0 1 Unreachable + 1 1 1 1 1 1 0 Unreachable + 1 1 1 1 1 1 1 Unreachable + + LINE 328 + EXPRESSION (((!commit_instr_i[1].ex.valid)) && (commit_instr_i[1].fu inside {ALU, LOAD, CTRL_FLOW, MULT, FPU, FPU_VEC})) + ---------------1--------------- ------------------------------------2----------------------------------- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 360 + EXPRESSION (commit_instr_i[i].is_macro_instr ? commit_macro_ack[i] : commit_ack_o[i]) + ----------------1--------------- + +-1- Status + 0 Unreachable + 1 Unreachable + + LINE 381 + EXPRESSION (commit_instr_i[0].valid && ((!(1'b0 && commit_drop_i[0])))) + -----------1----------- ---------------2--------------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.commit_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- commit_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : store_buffer +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/store_buffer.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit.store_buffer_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : store_buffer + + Line No. Total Covered Percent +TOTAL 75 75 100.00 +ALWAYS 88 23 23 100.00 +ALWAYS 172 23 23 100.00 +ALWAYS 233 11 11 100.00 +ALWAYS 260 9 9 100.00 +ALWAYS 275 9 9 100.00 + +87 automatic logic [$clog2(DEPTH_SPEC):0] speculative_status_cnt; +88 1/1 speculative_status_cnt = speculative_status_cnt_q; +89 +90 // default assignments +91 1/1 speculative_read_pointer_n = speculative_read_pointer_q; +92 1/1 speculative_write_pointer_n = speculative_write_pointer_q; +93 1/1 speculative_queue_n = speculative_queue_q; +94 // LSU interface +95 // we are ready to accept a new entry and the input data is valid +96 1/1 if (valid_i) begin +97 1/1 speculative_queue_n[speculative_write_pointer_q].address = paddr_i; +98 1/1 speculative_queue_n[speculative_write_pointer_q].data = data_i; +99 1/1 speculative_queue_n[speculative_write_pointer_q].be = be_i; +100 1/1 speculative_queue_n[speculative_write_pointer_q].data_size = data_size_i; +101 1/1 speculative_queue_n[speculative_write_pointer_q].valid = 1'b1; +102 // advance the write pointer +103 1/1 speculative_write_pointer_n = speculative_write_pointer_q + 1'b1; +104 1/1 speculative_status_cnt++; +105 end + MISSING_ELSE +106 +107 // evict the current entry out of this queue, the commit queue will thankfully take it and commit it +108 // to the memory hierarchy +109 1/1 if (commit_i) begin +110 // invalidate +111 1/1 speculative_queue_n[speculative_read_pointer_q].valid = 1'b0; +112 // advance the read pointer +113 1/1 speculative_read_pointer_n = speculative_read_pointer_q + 1'b1; +114 1/1 speculative_status_cnt--; +115 end + MISSING_ELSE +116 +117 1/1 speculative_status_cnt_n = speculative_status_cnt; +118 +119 // when we flush evict the speculative stores +120 1/1 if (flush_i) begin +121 // reset all valid flags +122 2/2 for (int unsigned i = 0; i < DEPTH_SPEC; i++) speculative_queue_n[i].valid = 1'b0; +123 +124 1/1 speculative_write_pointer_n = speculative_read_pointer_q; +125 // also reset the status count +126 1/1 speculative_status_cnt_n = 'b0; +127 end + MISSING_ELSE +128 +129 // we are ready if the speculative and the commit queue have a space left +130 1/1 ready_o = CVA6Cfg.MmuPresent ? (speculative_status_cnt_n < (DEPTH_SPEC)) || commit_i : speculative_status_cnt_q < (DEPTH_SPEC); +131 end +132 +133 // ---------------------------------------- +134 // Commit Queue - Memory Interface +135 // ---------------------------------------- +136 +137 logic direct_req_from_speculative; +138 // we will never kill a request in the store buffer since we already know that the translation is valid +139 // e.g.: a kill request will only be necessary if we are not sure if the requested memory address will result in a TLB fault +140 +141 assign rvfi_mem_paddr_o = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_n[commit_read_pointer_n].address; +142 +143 assign obi_store_req_o.reqpar = !obi_store_req_o.req; +144 assign obi_store_req_o.a.addr = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_q[commit_read_pointer_q].address; +145 assign obi_store_req_o.a.we = 1'b1; +146 assign obi_store_req_o.a.be = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].be : commit_queue_q[commit_read_pointer_q].be; +147 assign obi_store_req_o.a.wdata = direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].data : commit_queue_q[commit_read_pointer_q].data; +148 assign obi_store_req_o.a.aid = '0; +149 assign obi_store_req_o.a.a_optional.auser = '0; +150 assign obi_store_req_o.a.a_optional.wuser = '0; +151 assign obi_store_req_o.a.a_optional.atop = '0; +152 assign obi_store_req_o.a.a_optional.memtype[0] = '0; +153 assign obi_store_req_o.a.a_optional.memtype[1] = config_pkg::is_inside_cacheable_regions( +154 CVA6Cfg, +155 { +156 {64 - CVA6Cfg.PLEN{1'b0}}, +157 direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_q[commit_read_pointer_q].address +158 } //TO DO CHECK GRANULARITY +159 ); +160 assign obi_store_req_o.a.a_optional.mid = '0; +161 assign obi_store_req_o.a.a_optional.prot[2:1] = 2'b11; +162 assign obi_store_req_o.a.a_optional.prot[0] = 1'b1; //data +163 assign obi_store_req_o.a.a_optional.dbg = '0; +164 assign obi_store_req_o.a.a_optional.achk = '0; +165 +166 //TODO check parity : obi_store_rsp_i.gntpar != obi_store_rsp_i.gnt +167 assign obi_store_req_o.rready = '1; //always ready +168 assign obi_store_req_o.rreadypar = '0; +169 +170 always_comb begin : store_if +171 automatic logic [$clog2(DEPTH_COMMIT):0] commit_status_cnt; +172 1/1 commit_status_cnt = commit_status_cnt_q; +173 +174 1/1 commit_ready_o = (commit_status_cnt_q < DEPTH_COMMIT); +175 // no store is pending if we don't have any element in the commit queue e.g.: it is empty +176 1/1 no_st_pending_o = (commit_status_cnt_q == 0); +177 // default assignments +178 1/1 commit_read_pointer_n = commit_read_pointer_q; +179 1/1 commit_write_pointer_n = commit_write_pointer_q; +180 +181 1/1 commit_queue_n = commit_queue_q; +182 +183 1/1 obi_store_req_o.req = 1'b0; +184 +185 1/1 direct_req_from_speculative = 1'b0; +186 +187 // there should be no commit when we are flushing +188 // if the entry in the commit queue is valid and not speculative anymore we can issue this instruction +189 1/1 if (commit_queue_q[commit_read_pointer_q].valid && !stall_st_pending_i) begin +190 1/1 obi_store_req_o.req = 1'b1; +191 1/1 if (obi_store_rsp_i.gnt) begin +192 // we can evict it from the commit buffer +193 1/1 commit_queue_n[commit_read_pointer_q].valid = 1'b0; +194 // advance the read_pointer +195 1/1 commit_read_pointer_n = commit_read_pointer_q + 1'b1; +196 1/1 commit_status_cnt--; +197 end + MISSING_ELSE +198 1/1 end else if (speculative_queue_q[speculative_read_pointer_q].valid) begin +199 1/1 if (commit_i && (commit_write_pointer_q == speculative_read_pointer_q) && !stall_st_pending_i) begin +200 1/1 obi_store_req_o.req = 1'b1; +201 1/1 direct_req_from_speculative = 1'b1; +202 end + MISSING_ELSE +203 end + MISSING_ELSE +204 // we ignore the rvalid signal for now as we assume that the store +205 // happened if we got a grant +206 +207 // shift the store request from the speculative buffer to the non-speculative +208 1/1 if (commit_i && !(obi_store_rsp_i.gnt && direct_req_from_speculative)) begin +209 1/1 commit_queue_n[commit_write_pointer_q] = speculative_queue_q[speculative_read_pointer_q]; +210 1/1 commit_write_pointer_n = commit_write_pointer_n + 1'b1; +211 1/1 commit_status_cnt++; +212 end + MISSING_ELSE +213 +214 1/1 commit_status_cnt_n = commit_status_cnt; +215 end +216 +217 // ------------------ +218 // Address Checker +219 // ------------------ +220 // The load should return the data stored by the most recent store to the +221 // same physical address. The most direct way to implement this is to +222 // maintain physical addresses in the store buffer. +223 +224 // Of course, there are other micro-architectural techniques to accomplish +225 // the same thing: you can interlock and wait for the store buffer to +226 // drain if the load VA matches any store VA modulo the page size (i.e. +227 // bits 11:0). As a special case, it is correct to bypass if the full VA +228 // matches, and no younger stores' VAs match in bits 11:0. +229 // +230 // checks if the requested load is in the store buffer +231 // page offsets are virtually and physically the same +232 always_comb begin : address_checker +233 1/1 page_offset_matches_o = 1'b0; +234 +235 // check if the LSBs are identical and the entry is valid +236 1/1 for (int unsigned i = 0; i < DEPTH_COMMIT; i++) begin +237 // Check if the page offset matches and whether the entry is valid, for the commit queue +238 1/1 if ((page_offset_i[11:3] == commit_queue_q[i].address[11:3]) && commit_queue_q[i].valid) begin +239 1/1 page_offset_matches_o = 1'b1; +240 1/1 break; +241 end + MISSING_ELSE +242 end +243 +244 1/1 for (int unsigned i = 0; i < DEPTH_SPEC; i++) begin +245 // do the same for the speculative queue +246 1/1 if ((page_offset_i[11:3] == speculative_queue_q[i].address[11:3]) && speculative_queue_q[i].valid) begin +247 1/1 page_offset_matches_o = 1'b1; +248 1/1 break; +249 end + MISSING_ELSE +250 end +251 // or it matches with the entry we are currently putting into the queue +252 1/1 if ((page_offset_i[11:3] == paddr_i[11:3]) && valid_without_flush_i) begin +253 1/1 page_offset_matches_o = 1'b1; +254 end + MISSING_ELSE +255 end +256 +257 +258 // registers +259 always_ff @(posedge clk_i or negedge rst_ni) begin : p_spec +260 1/1 if (~rst_ni) begin +261 1/1 speculative_queue_q <= '{default: 0}; +262 1/1 speculative_read_pointer_q <= '0; +263 1/1 speculative_write_pointer_q <= '0; +264 1/1 speculative_status_cnt_q <= '0; +265 end else begin +266 1/1 speculative_queue_q <= speculative_queue_n; +267 1/1 speculative_read_pointer_q <= speculative_read_pointer_n; +268 1/1 speculative_write_pointer_q <= speculative_write_pointer_n; +269 1/1 speculative_status_cnt_q <= speculative_status_cnt_n; +270 end +271 end +272 +273 // registers +274 always_ff @(posedge clk_i or negedge rst_ni) begin : p_commit +275 1/1 if (~rst_ni) begin +276 1/1 commit_queue_q <= '{default: 0}; +277 1/1 commit_read_pointer_q <= '0; +278 1/1 commit_write_pointer_q <= '0; +279 1/1 commit_status_cnt_q <= '0; +280 end else begin +281 1/1 commit_queue_q <= commit_queue_n; +282 1/1 commit_read_pointer_q <= commit_read_pointer_n; +283 1/1 commit_write_pointer_q <= commit_write_pointer_n; +284 1/1 commit_status_cnt_q <= commit_status_cnt_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : store_buffer + + Total Covered Percent +Conditions 46 46 100.00 +Logical 46 46 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 176 + EXPRESSION (commit_status_cnt_q == 3'b0) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 189 + EXPRESSION (commit_queue_q[commit_read_pointer_q].valid && ((!stall_st_pending_i))) + ---------------------1--------------------- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Unreachable + 1 1 Covered + + LINE 199 + EXPRESSION (commit_i && (commit_write_pointer_q == speculative_read_pointer_q) && ((!stall_st_pending_i))) + ----1--- ---------------------------2-------------------------- -----------3----------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Unreachable + 1 1 1 Covered + + LINE 199 + SUB-EXPRESSION (commit_write_pointer_q == speculative_read_pointer_q) + ---------------------------1-------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 208 + EXPRESSION (commit_i && ( ! (obi_store_rsp_i.gnt && direct_req_from_speculative) )) + ----1--- -----------------------------2---------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 208 + SUB-EXPRESSION ( ! (obi_store_rsp_i.gnt && direct_req_from_speculative) ) + --------------------------1------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 208 + SUB-EXPRESSION (obi_store_rsp_i.gnt && direct_req_from_speculative) + ---------1--------- -------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 238 + EXPRESSION ((page_offset_i[11:3] == commit_queue_q[i].address[11:3]) && commit_queue_q[i].valid) + ----------------------------1--------------------------- -----------2----------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 238 + SUB-EXPRESSION (page_offset_i[11:3] == commit_queue_q[i].address[11:3]) + ----------------------------1--------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 246 + EXPRESSION ((page_offset_i[11:3] == speculative_queue_q[i].address[11:3]) && speculative_queue_q[i].valid) + ------------------------------1------------------------------ --------------2------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 246 + SUB-EXPRESSION (page_offset_i[11:3] == speculative_queue_q[i].address[11:3]) + ------------------------------1------------------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 252 + EXPRESSION ((page_offset_i[11:3] == paddr_i[11:3]) && valid_without_flush_i) + -------------------1------------------ ----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 252 + SUB-EXPRESSION (page_offset_i[11:3] == paddr_i[11:3]) + -------------------1------------------ + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION ((speculative_status_cnt_q == 3'b0) & ((!valid_i)) & no_st_pending_o) + -----------------1---------------- ------2----- -------3------- + +-1- -2- -3- Status + 0 1 1 Covered + 1 0 1 Covered + 1 1 0 Covered + 1 1 1 Covered + + LINE 82 + SUB-EXPRESSION (speculative_status_cnt_q == 3'b0) + -----------------1---------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 141 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_n[commit_read_pointer_n].address) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 144 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].address : commit_queue_q[commit_read_pointer_q].address) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 146 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].be : commit_queue_q[commit_read_pointer_q].be) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 147 + EXPRESSION (direct_req_from_speculative ? speculative_queue_q[speculative_read_pointer_q].data : commit_queue_q[commit_read_pointer_q].data) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit.store_buffer_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- store_buffer + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_store_unit + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : store_unit +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/store_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit + + + +------------------------------------------------------------------------------- +Line Coverage for Module : store_unit + + Line No. Total Covered Percent +TOTAL 53 53 100.00 +ROUTINE 94 9 9 100.00 +ALWAYS 162 22 22 100.00 +ALWAYS 223 5 5 100.00 +ALWAYS 321 17 17 100.00 + +93 // Set addr[2] to 1'b0 when 32bits +94 1/1 logic [ 2:0] addr_tmp = {(addr[2] && CVA6Cfg.IS_XLEN64), addr[1:0]}; +95 1/1 logic [63:0] data_tmp = {64{1'b0}}; +96 1/1 case (addr_tmp) +97 1/1 3'b000: data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-1:0]}; +98 3'b001: +99 1/1 data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-9:0], data[CVA6Cfg.XLEN-1:CVA6Cfg.XLEN-8]}; +100 3'b010: +101 1/1 data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-17:0], data[CVA6Cfg.XLEN-1:CVA6Cfg.XLEN-16]}; +102 3'b011: +103 1/1 data_tmp[CVA6Cfg.XLEN-1:0] = {data[CVA6Cfg.XLEN-25:0], data[CVA6Cfg.XLEN-1:CVA6Cfg.XLEN-24]}; +104 default: +105 1/1 if (CVA6Cfg.IS_XLEN64) begin +106 unreachable case (addr_tmp) +107 unreachable 3'b100: data_tmp = {data[31:0], data[63:32]}; +108 unreachable 3'b101: data_tmp = {data[23:0], data[63:24]}; +109 unreachable 3'b110: data_tmp = {data[15:0], data[63:16]}; +110 unreachable 3'b111: data_tmp = {data[7:0], data[63:8]}; +111 unreachable default: data_tmp = {data[63:0]}; +112 endcase +113 end + MISSING_ELSE +114 endcase +115 1/1 return data_tmp[CVA6Cfg.XLEN-1:0]; +116 endfunction +117 +118 // it doesn't matter what we are writing back as stores don't return anything +119 assign result_o = lsu_ctrl_i.data; +120 +121 // directly forward exception fields (valid bit is set below) +122 assign ex_o.cause = ex_i.cause; +123 assign ex_o.tval = ex_i.tval; +124 assign ex_o.tval2 = CVA6Cfg.RVH ? ex_i.tval2 : '0; +125 assign ex_o.tinst = CVA6Cfg.RVH ? ex_i.tinst : '0; +126 assign ex_o.gva = CVA6Cfg.RVH ? ex_i.gva : 1'b0; +127 +128 // store buffer control signals +129 logic instr_is_amo; +130 assign instr_is_amo = is_amo(lsu_ctrl_i.operation); +131 // keep the data and the byte enable for the second cycle (after address translation) +132 logic [CVA6Cfg.XLEN-1:0] st_data, st_data_n, st_data_q; +133 logic [(CVA6Cfg.XLEN/8)-1:0] st_be, st_be_n, st_be_q; +134 logic [1:0] st_data_size, st_data_size_n, st_data_size_q; +135 amo_t amo_op, amo_op_d, amo_op_q; +136 +137 logic store_buffer_valid, store_buffer_valid_d, store_buffer_valid_q; +138 logic store_buffer_valid_no_flush, store_buffer_valid_no_flush_d, store_buffer_valid_no_flush_q; +139 +140 logic amo_buffer_valid, amo_buffer_valid_d, amo_buffer_valid_q; +141 +142 logic store_buffer_ready, amo_buffer_ready; +143 +144 logic [CVA6Cfg.TRANS_ID_BITS-1:0] trans_id_n, trans_id_q; +145 +146 logic ex_s0, ex_s1; +147 logic stall_translation; +148 +149 // output assignments +150 assign vaddr_o = lsu_ctrl_i.vaddr; // virtual address +151 assign hs_ld_st_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hs_ld_st_inst : 1'b0; +152 assign hlvx_inst_o = CVA6Cfg.RVH ? lsu_ctrl_i.hlvx_inst : 1'b0; +153 assign tinst_o = CVA6Cfg.RVH ? lsu_ctrl_i.tinst : '0; // transformed instruction +154 +155 assign stall_translation = CVA6Cfg.MmuPresent ? translation_req_o && !dtlb_hit_i : 1'b0; +156 +157 assign ex_s0 = CVA6Cfg.MmuPresent && stall_translation && ex_i.valid; +158 assign ex_s1 = CVA6Cfg.MmuPresent ? (store_buffer_valid_q || (CVA6Cfg.RVA && amo_buffer_valid_q)) && ex_i.valid : valid_i && ex_i.valid; +159 +160 always_comb begin : store_control +161 // default assignment +162 1/1 translation_req_o = 1'b0; +163 1/1 valid_o = 1'b0; +164 1/1 amo_buffer_valid_d = 1'b0; +165 1/1 store_buffer_valid_d = 1'b0; +166 1/1 store_buffer_valid_no_flush_d = 1'b0; +167 1/1 pop_st_o = 1'b0; +168 1/1 ex_o.valid = 1'b0; +169 1/1 trans_id_n = lsu_ctrl_i.trans_id; +170 1/1 trans_id_o = lsu_ctrl_i.trans_id; +171 +172 // REQUEST +173 1/1 if (valid_i) begin +174 1/1 translation_req_o = 1'b1; +175 1/1 if (!CVA6Cfg.MmuPresent || !stall_translation) begin +176 1/1 if (CVA6Cfg.RVA && instr_is_amo) begin +177 unreachable if (amo_buffer_ready) begin +178 unreachable pop_st_o = 1'b1; +179 unreachable amo_buffer_valid_d = !flush_i; +180 // RETIRE STORE NO MMU +181 unreachable if (!CVA6Cfg.MmuPresent) begin +182 unreachable trans_id_o = lsu_ctrl_i.trans_id; +183 unreachable valid_o = 1'b1; +184 unreachable ex_o.valid = ex_s1; +185 end + ==> MISSING_ELSE +186 end + ==> MISSING_ELSE +187 end else begin +188 1/1 if (store_buffer_ready) begin +189 1/1 pop_st_o = 1'b1; +190 1/1 store_buffer_valid_d = !flush_i; +191 1/1 store_buffer_valid_no_flush_d = 1'b1; +192 // RETIRE STORE NO MMU +193 1/1 if (!CVA6Cfg.MmuPresent) begin +194 1/1 trans_id_o = lsu_ctrl_i.trans_id; +195 1/1 valid_o = 1'b1; +196 1/1 ex_o.valid = ex_s1; +197 end + ==> MISSING_ELSE +198 end + ==> MISSING_ELSE +199 end +200 end + ==> MISSING_ELSE +201 end + MISSING_ELSE +202 // RETIRE STORE WITH MMU +203 1/1 if (CVA6Cfg.MmuPresent) begin +204 unreachable if (store_buffer_valid_q || (CVA6Cfg.RVA && amo_buffer_valid_q)) begin +205 unreachable trans_id_o = trans_id_q; +206 unreachable valid_o = 1'b1; +207 unreachable ex_o.valid = ex_s1; +208 end + ==> MISSING_ELSE +209 unreachable if (ex_s0) begin +210 unreachable trans_id_o = lsu_ctrl_i.trans_id; +211 unreachable valid_o = 1'b1; +212 unreachable ex_o.valid = 1'b1; +213 unreachable pop_st_o = 1'b1; +214 end + ==> MISSING_ELSE +215 end + MISSING_ELSE +216 end +217 +218 // ----------- +219 // Re-aligner +220 // ----------- +221 // re-align the write data to comply with the address offset +222 always_comb begin +223 1/1 st_be_n = lsu_ctrl_i.be; +224 // don't shift the data if we are going to perform an AMO as we still need to operate on this data +225 1/1 st_data_n = (CVA6Cfg.RVA && instr_is_amo) ? lsu_ctrl_i.data[CVA6Cfg.XLEN-1:0] : +226 data_align(lsu_ctrl_i.vaddr[2:0], {{64 - CVA6Cfg.XLEN{1'b0}}, lsu_ctrl_i.data}); +227 1/1 st_data_size_n = extract_transfer_size(lsu_ctrl_i.operation); +228 // save AMO op for next cycle +229 1/1 if (CVA6Cfg.RVA) begin +230 unreachable case (lsu_ctrl_i.operation) +231 unreachable AMO_LRW, AMO_LRD: amo_op_d = AMO_LR; +232 unreachable AMO_SCW, AMO_SCD: amo_op_d = AMO_SC; +233 unreachable AMO_SWAPW, AMO_SWAPD: amo_op_d = AMO_SWAP; +234 unreachable AMO_ADDW, AMO_ADDD: amo_op_d = AMO_ADD; +235 unreachable AMO_ANDW, AMO_ANDD: amo_op_d = AMO_AND; +236 unreachable AMO_ORW, AMO_ORD: amo_op_d = AMO_OR; +237 unreachable AMO_XORW, AMO_XORD: amo_op_d = AMO_XOR; +238 unreachable AMO_MAXW, AMO_MAXD: amo_op_d = AMO_MAX; +239 unreachable AMO_MAXWU, AMO_MAXDU: amo_op_d = AMO_MAXU; +240 unreachable AMO_MINW, AMO_MIND: amo_op_d = AMO_MIN; +241 unreachable AMO_MINWU, AMO_MINDU: amo_op_d = AMO_MINU; +242 unreachable default: amo_op_d = AMO_NONE; +243 endcase +244 end else begin +245 1/1 amo_op_d = AMO_NONE; +246 end +247 end +248 +249 assign st_be = CVA6Cfg.MmuPresent ? st_be_q : st_be_n; +250 assign st_data = CVA6Cfg.MmuPresent ? st_data_q : st_data_n; +251 assign st_data_size = CVA6Cfg.MmuPresent ? st_data_size_q : st_data_size_n; +252 assign amo_op = CVA6Cfg.MmuPresent ? amo_op_q : amo_op_d; +253 assign store_buffer_valid = CVA6Cfg.MmuPresent ? store_buffer_valid_q && !ex_s1 : store_buffer_valid_d; +254 assign store_buffer_valid_no_flush = CVA6Cfg.MmuPresent ? store_buffer_valid_no_flush_q && !ex_s1 : store_buffer_valid_no_flush_d; +255 assign amo_buffer_valid = CVA6Cfg.MmuPresent ? amo_buffer_valid_q && !ex_s1 : amo_buffer_valid_d; +256 +257 // --------------- +258 // Store Queue +259 // --------------- +260 store_buffer #( +261 .CVA6Cfg(CVA6Cfg), +262 .obi_store_req_t(obi_store_req_t), +263 .obi_store_rsp_t(obi_store_rsp_t) +264 ) store_buffer_i ( +265 .clk_i, +266 .rst_ni, +267 .flush_i, +268 .stall_st_pending_i, +269 .no_st_pending_o, +270 .store_buffer_empty_o, +271 .page_offset_i, +272 .page_offset_matches_o, +273 .commit_i, +274 .commit_ready_o, +275 .ready_o (store_buffer_ready), +276 .valid_i (store_buffer_valid), +277 // the flush signal can be critical and we need this valid +278 // signal to check whether the page_offset matches or not, +279 // functionaly it doesn't make a difference whether we use +280 // the correct valid signal or not as we are flushing +281 // the whole pipeline anyway +282 .valid_without_flush_i(store_buffer_valid_no_flush), +283 .paddr_i (paddr_i), +284 .rvfi_mem_paddr_o (rvfi_mem_paddr_o), +285 .data_i (st_data), +286 .be_i (st_be), +287 .data_size_i (st_data_size), +288 .obi_store_req_o (obi_store_req_o), +289 .obi_store_rsp_i (obi_store_rsp_i) +290 ); +291 +292 if (CVA6Cfg.RVA) begin +293 amo_buffer #( +294 .CVA6Cfg(CVA6Cfg), +295 .obi_amo_req_t(obi_amo_req_t), +296 .obi_amo_rsp_t(obi_amo_rsp_t) +297 ) i_amo_buffer ( +298 .clk_i, +299 .rst_ni, +300 .flush_i, +301 .valid_i (amo_buffer_valid), +302 .ready_o (amo_buffer_ready), +303 .paddr_i (paddr_i), +304 .amo_op_i (amo_op), +305 .data_i (st_data), +306 .data_size_i (st_data_size), +307 .obi_amo_req_o (obi_amo_req_o), +308 .obi_amo_rsp_i (obi_amo_rsp_i), +309 .amo_valid_commit_i(amo_valid_commit_i), +310 .no_st_pending_i (no_st_pending_o) +311 ); +312 end else begin +313 assign amo_buffer_ready = '1; +314 assign obi_amo_req_o = '0; +315 end +316 +317 // --------------- +318 // Registers +319 // --------------- +320 always_ff @(posedge clk_i or negedge rst_ni) begin +321 1/1 if (~rst_ni) begin +322 1/1 st_be_q <= '0; +323 1/1 st_data_q <= '0; +324 1/1 st_data_size_q <= '0; +325 1/1 trans_id_q <= '0; +326 1/1 amo_op_q <= AMO_NONE; +327 1/1 amo_buffer_valid_q <= '0; +328 1/1 store_buffer_valid_q <= '0; +329 1/1 store_buffer_valid_no_flush_q <= '0; +330 end else begin +331 1/1 st_be_q <= st_be_n; +332 1/1 st_data_q <= st_data_n; +333 1/1 trans_id_q <= trans_id_n; +334 1/1 st_data_size_q <= st_data_size_n; +335 1/1 amo_op_q <= amo_op_d; +336 1/1 amo_buffer_valid_q <= amo_buffer_valid_d; +337 1/1 store_buffer_valid_q <= store_buffer_valid_d; +338 1/1 store_buffer_valid_no_flush_q <= store_buffer_valid_no_flush_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : store_unit + + Total Covered Percent +Conditions 3 3 100.00 +Logical 3 3 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 204 + EXPRESSION (store_buffer_valid_q || ((1'b0 && amo_buffer_valid_q))) + ----------1--------- ---------------2-------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 158 + SUB-EXPRESSION ((store_buffer_valid_q || ((1'b0 && amo_buffer_valid_q))) && ex_i.valid) + ----------------------------1--------------------------- -----2---- + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 158 + SUB-EXPRESSION (store_buffer_valid_q || ((1'b0 && amo_buffer_valid_q))) + ----------1--------- ---------------2-------------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + + LINE 158 + SUB-EXPRESSION (valid_i && ex_i.valid) + ---1--- -----2---- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.i_store_unit +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- store_unit + + +Parent : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- lsu_i + + +Subtrees : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- store_buffer_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : branch_unit +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/branch_unit.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.branch_unit_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : branch_unit + + Line No. Total Covered Percent +TOTAL 33 33 100.00 +ALWAYS 61 25 25 100.00 +ALWAYS 120 8 8 100.00 + +60 // IMPROVEMENT: The ALU can be used to calculate the branch target +61 1/1 jump_base = (fu_data_i.operation == ariane_pkg::JALR) ? fu_data_i.operand_a[CVA6Cfg.VLEN-1:0] : pc_i; +62 +63 1/1 resolve_branch_o = 1'b0; +64 1/1 resolved_branch_o.target_address = {CVA6Cfg.VLEN{1'b0}}; +65 1/1 resolved_branch_o.is_taken = 1'b0; +66 1/1 resolved_branch_o.valid = branch_valid_i; +67 1/1 resolved_branch_o.is_mispredict = 1'b0; +68 1/1 resolved_branch_o.cf_type = branch_predict_i.cf; +69 // calculate next PC, depending on whether the instruction is compressed or not this may be different +70 // IMPROVEMENT: We already calculate this a couple of times, maybe re-use? +71 1/1 next_pc = pc_i + ((is_compressed_instr_i) ? {{CVA6Cfg.VLEN-2{1'b0}}, 2'h2} : {{CVA6Cfg.VLEN-3{1'b0}}, 3'h4}); +72 // calculate target address simple 64 bit addition +73 1/1 target_address = $unsigned($signed(jump_base) + $signed(fu_data_i.imm[CVA6Cfg.VLEN-1:0])); +74 // on a JALR we are supposed to reset the LSB to 0 (according to the specification) +75 2/2 if (fu_data_i.operation == ariane_pkg::JALR) target_address[0] = 1'b0; + MISSING_ELSE +76 // we need to put the branch target address into rd, this is the result of this unit +77 1/1 branch_result_o = next_pc; +78 1/1 resolved_branch_o.pc = pc_i; +79 // There are only three sources of mispredicts: +80 // 1. Branches +81 // 2. Jumps to register addresses +82 // 3. Zcmt instructions +83 1/1 if (branch_valid_i) begin +84 // write target address which goes to PC Gen or select target address if zcmt +85 1/1 resolved_branch_o.target_address = (branch_comp_res_i) ? target_address : next_pc; +86 1/1 resolved_branch_o.is_taken = branch_comp_res_i; +87 1/1 if (CVA6Cfg.RVZCMT) begin +88 unreachable if (is_zcmt_i) begin +89 // Unconditional jump handling +90 unreachable resolved_branch_o.is_mispredict = 1'b1; // miss prediction for ZCMT +91 unreachable resolved_branch_o.cf_type = ariane_pkg::JumpR; +92 end + ==> MISSING_ELSE +93 end + MISSING_ELSE +94 // check the outcome of the branch speculation +95 1/1 if (ariane_pkg::op_is_branch(fu_data_i.operation)) begin +96 // Set the `cf_type` of the output as `branch`, this will update the BHT. +97 1/1 resolved_branch_o.cf_type = ariane_pkg::Branch; +98 // If the ALU comparison does not agree with the BHT prediction set the resolution as mispredicted. +99 1/1 resolved_branch_o.is_mispredict = branch_comp_res_i != (branch_predict_i.cf == ariane_pkg::Branch); +100 end + MISSING_ELSE +101 1/1 if (fu_data_i.operation == ariane_pkg::JALR +102 // check if the address of the jump register is correct and that we actually predicted +103 && (branch_predict_i.cf == ariane_pkg::NoCF || target_address != branch_predict_i.predict_address)) begin +104 1/1 resolved_branch_o.is_mispredict = 1'b1; +105 // update BTB only if this wasn't a return +106 1/1 if (branch_predict_i.cf != ariane_pkg::Return) +107 1/1 resolved_branch_o.cf_type = ariane_pkg::JumpR; + MISSING_ELSE +108 end + MISSING_ELSE +109 // to resolve the branch in ID +110 1/1 resolve_branch_o = 1'b1; +111 end + MISSING_ELSE +112 end +113 // use ALU exception signal for storing instruction fetch exceptions if +114 // the target address is not aligned to a 2 byte boundary +115 // +116 logic jump_taken; +117 always_comb begin : exception_handling +118 +119 // Do a jump if it is either unconditional jump (JAL | JALR) or `taken` conditional jump +120 1/1 branch_exception_o.cause = riscv::INSTR_ADDR_MISALIGNED; +121 1/1 branch_exception_o.valid = 1'b0; +122 1/1 if (CVA6Cfg.TvalEn) +123 unreachable branch_exception_o.tval = {{CVA6Cfg.XLEN - CVA6Cfg.VLEN{pc_i[CVA6Cfg.VLEN-1]}}, pc_i}; +124 1/1 else branch_exception_o.tval = '0; +125 1/1 branch_exception_o.tval2 = {CVA6Cfg.GPLEN{1'b0}}; +126 1/1 branch_exception_o.tinst = '0; +127 1/1 branch_exception_o.gva = CVA6Cfg.RVH ? v_i : 1'b0; +128 // Only throw instruction address misaligned exception if this is indeed a `taken` conditional branch or +129 // an unconditional jump +130 1/1 if (!CVA6Cfg.RVC) begin +131 unreachable jump_taken = !(ariane_pkg::op_is_branch(fu_data_i.operation)) || +132 ((ariane_pkg::op_is_branch(fu_data_i.operation)) && branch_comp_res_i); +133 unreachable if (branch_valid_i && (target_address[0] || target_address[1]) && jump_taken) begin +134 unreachable branch_exception_o.valid = 1'b1; +135 end + ==> MISSING_ELSE +136 end + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : branch_unit + + Total Covered Percent +Conditions 26 26 100.00 +Logical 26 26 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 61 + EXPRESSION ((fu_data_i.operation == JALR) ? fu_data_i.operand_a[32'b00000000000000000000000000011111:0] : pc_i) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 61 + SUB-EXPRESSION (fu_data_i.operation == JALR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 71 + EXPRESSION + Number Term + 1 is_compressed_instr_i ? ({{(32'b00000000000000000000000000100000 - 2) {1'b0}}, 2'h2}) : ({{(32'b00000000000000000000000000100000 - 3) {1'b0}}, 3'h4})) + +-1- Status + 0 Covered + 1 Covered + + LINE 75 + EXPRESSION (fu_data_i.operation == JALR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 85 + EXPRESSION (branch_comp_res_i ? target_address : next_pc) + --------1-------- + +-1- Status + 0 Covered + 1 Covered + + LINE 99 + EXPRESSION (branch_comp_res_i != (branch_predict_i.cf == Branch)) + ---------------------------1-------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + EXPRESSION ((fu_data_i.operation == JALR) && ((branch_predict_i.cf == NoCF) || (target_address != branch_predict_i.predict_address))) + --------------1-------------- -------------------------------------------2------------------------------------------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 101 + SUB-EXPRESSION (fu_data_i.operation == JALR) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + SUB-EXPRESSION ((branch_predict_i.cf == NoCF) || (target_address != branch_predict_i.predict_address)) + --------------1-------------- --------------------------2------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 101 + SUB-EXPRESSION (branch_predict_i.cf == NoCF) + --------------1-------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + SUB-EXPRESSION (target_address != branch_predict_i.predict_address) + --------------------------1------------------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 106 + EXPRESSION (branch_predict_i.cf != Return) + ---------------1--------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 133 + EXPRESSION (branch_valid_i && (target_address[0] || target_address[1]) && jump_taken) + -------1------ --------------------2------------------- -----3---- + +-1- -2- -3- Status + 0 1 1 Unreachable + 1 0 1 Unreachable + 1 1 0 Unreachable + 1 1 1 Unreachable + + LINE 133 + SUB-EXPRESSION (target_address[0] || target_address[1]) + --------1-------- --------2-------- + +-1- -2- Status + 0 0 Unreachable + 0 1 Unreachable + 1 0 Unreachable + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.branch_unit_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- branch_unit + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : controller +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/controller.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.controller_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : controller + + Line No. Total Covered Percent +TOTAL 47 47 100.00 +ALWAYS 92 41 41 100.00 +ALWAYS 245 1 1 100.00 +ALWAYS 252 5 5 100.00 + +91 always_comb begin : flush_ctrl +92 1/1 fence_active_d = fence_active_q; +93 1/1 set_pc_commit_o = 1'b0; +94 1/1 flush_if_o = 1'b0; +95 1/1 flush_unissued_instr_o = 1'b0; +96 1/1 flush_id_o = 1'b0; +97 1/1 flush_ex_o = 1'b0; +98 1/1 flush_dcache = 1'b0; +99 1/1 flush_icache_o = 1'b0; +100 1/1 flush_tlb_o = 1'b0; +101 1/1 flush_tlb_vvma_o = 1'b0; +102 1/1 flush_tlb_gvma_o = 1'b0; +103 1/1 flush_bp_o = 1'b0; +104 // ------------ +105 // Mis-predict +106 // ------------ +107 // flush on mispredict +108 1/1 if (resolved_branch_i.is_mispredict) begin +109 // flush only un-issued instructions +110 1/1 flush_unissued_instr_o = 1'b1; +111 // and if stage +112 1/1 flush_if_o = 1'b1; +113 end + MISSING_ELSE +114 +115 // --------------------------------- +116 // FENCE +117 // --------------------------------- +118 1/1 if (fence_i) begin +119 // this can be seen as a CSR instruction with side-effect +120 1/1 set_pc_commit_o = 1'b1; +121 1/1 flush_if_o = 1'b1; +122 1/1 flush_unissued_instr_o = 1'b1; +123 1/1 flush_id_o = 1'b1; +124 1/1 flush_ex_o = 1'b1; +125 // this is not needed in the case since we +126 // have a write-through cache in this case +127 1/1 if (CVA6Cfg.DcacheFlushOnFence) begin +128 unreachable flush_dcache = 1'b1; +129 unreachable fence_active_d = 1'b1; +130 end + MISSING_ELSE +131 end + MISSING_ELSE +132 +133 // --------------------------------- +134 // FENCE.I +135 // --------------------------------- +136 1/1 if (fence_i_i && (CVA6Cfg.RVZifencei || CVA6Cfg.DCacheType == config_pkg::WB)) begin /* FIXME */ //confirm that it's only config_pkg::WB and not others caches configurations +137 unreachable set_pc_commit_o = 1'b1; +138 unreachable flush_if_o = 1'b1; +139 unreachable flush_unissued_instr_o = 1'b1; +140 unreachable flush_id_o = 1'b1; +141 unreachable flush_ex_o = 1'b1; +142 unreachable flush_icache_o = 1'b1; +143 // this is not needed in the case since we +144 // have a write-through cache in this case +145 unreachable if (CVA6Cfg.DcacheFlushOnFence) begin +146 unreachable flush_dcache = 1'b1; +147 unreachable fence_active_d = 1'b1; +148 end + ==> MISSING_ELSE +149 end + MISSING_ELSE +150 +151 // this is not needed in the case since we +152 // have a write-through cache in this case +153 1/1 if (CVA6Cfg.DcacheFlushOnFence) begin +154 // wait for the acknowledge here +155 unreachable if (flush_dcache_ack_i && fence_active_q) begin +156 unreachable fence_active_d = 1'b0; +157 // keep the flush dcache signal high as long as we didn't get the acknowledge from the cache +158 unreachable end else if (fence_active_q) begin +159 unreachable flush_dcache = 1'b1; +160 end + ==> MISSING_ELSE +161 end + MISSING_ELSE +162 // --------------------------------- +163 // SFENCE.VMA +164 // --------------------------------- +165 1/1 if (CVA6Cfg.RVS && sfence_vma_i) begin +166 unreachable set_pc_commit_o = 1'b1; +167 unreachable flush_if_o = 1'b1; +168 unreachable flush_unissued_instr_o = 1'b1; +169 unreachable flush_id_o = 1'b1; +170 unreachable flush_ex_o = 1'b1; +171 +172 unreachable if (CVA6Cfg.RVH && v_i) flush_tlb_vvma_o = 1'b1; +173 unreachable else flush_tlb_o = 1'b1; +174 end + MISSING_ELSE +175 +176 // --------------------------------- +177 // HFENCE.VVMA +178 // --------------------------------- +179 1/1 if (CVA6Cfg.RVH && hfence_vvma_i) begin +180 unreachable set_pc_commit_o = 1'b1; +181 unreachable flush_if_o = 1'b1; +182 unreachable flush_unissued_instr_o = 1'b1; +183 unreachable flush_id_o = 1'b1; +184 unreachable flush_ex_o = 1'b1; +185 +186 unreachable flush_tlb_vvma_o = 1'b1; +187 end + MISSING_ELSE +188 +189 // --------------------------------- +190 // HFENCE.GVMA +191 // --------------------------------- +192 1/1 if (CVA6Cfg.RVH && hfence_gvma_i) begin +193 unreachable set_pc_commit_o = 1'b1; +194 unreachable flush_if_o = 1'b1; +195 unreachable flush_unissued_instr_o = 1'b1; +196 unreachable flush_id_o = 1'b1; +197 unreachable flush_ex_o = 1'b1; +198 +199 unreachable flush_tlb_gvma_o = 1'b1; +200 end + MISSING_ELSE +201 +202 // --------------------------------- +203 // CSR side effects and accelerate port +204 // --------------------------------- +205 // Set PC to commit stage and flush pipeline +206 1/1 if (flush_csr_i || flush_acc_i) begin +207 1/1 set_pc_commit_o = 1'b1; +208 1/1 flush_if_o = 1'b1; +209 1/1 flush_unissued_instr_o = 1'b1; +210 1/1 flush_id_o = 1'b1; +211 1/1 flush_ex_o = 1'b1; +212 1/1 end else if (CVA6Cfg.RVA && flush_commit_i) begin +213 unreachable set_pc_commit_o = 1'b1; +214 unreachable flush_if_o = 1'b1; +215 unreachable flush_unissued_instr_o = 1'b1; +216 unreachable flush_id_o = 1'b1; +217 unreachable flush_ex_o = 1'b1; +218 end + MISSING_ELSE +219 +220 // --------------------------------- +221 // 1. Exception +222 // 2. Return from exception +223 // --------------------------------- +224 1/1 if (ex_valid_i || eret_i || (CVA6Cfg.DebugEn && set_debug_pc_i)) begin +225 // don't flush pcgen as we want to take the exception: Flush PCGen is not a flush signal +226 // for the PC Gen stage but instead tells it to take the PC we gave it +227 1/1 set_pc_commit_o = 1'b0; +228 1/1 flush_if_o = 1'b1; +229 1/1 flush_unissued_instr_o = 1'b1; +230 1/1 flush_id_o = 1'b1; +231 1/1 flush_ex_o = 1'b1; +232 // this potentially reduces performance, but is needed +233 // to suppress speculative fetches to virtual memory from +234 // machine mode. TODO: remove when PMA checkers have been +235 // added to the system +236 1/1 flush_bp_o = 1'b1; +237 end + MISSING_ELSE +238 end +239 +240 // ---------------------- +241 // Halt Logic +242 // ---------------------- +243 always_comb begin +244 // halt the core if the fence is active +245 1/1 halt_o = halt_csr_i || halt_acc_i || (CVA6Cfg.DcacheFlushOnFence && fence_active_q); +246 end +247 +248 // ---------------------- +249 // Registers +250 // ---------------------- +251 always_ff @(posedge clk_i or negedge rst_ni) begin +252 1/1 if (~rst_ni) begin +253 1/1 fence_active_q <= 1'b0; +254 1/1 flush_dcache_o <= 1'b0; +255 end else begin +256 1/1 fence_active_q <= fence_active_d; +257 // register on the flush signal, this signal might be critical +258 1/1 flush_dcache_o <= flush_dcache; + +------------------------------------------------------------------------------- +Cond Coverage for Module : controller + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 155 + EXPRESSION (flush_dcache_ack_i && fence_active_q) + ---------1-------- -------2------ + +-1- -2- Status + 0 1 Unreachable + 1 0 Unreachable + 1 1 Unreachable + + LINE 206 + EXPRESSION (flush_csr_i || flush_acc_i) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Unreachable + 1 0 Covered + + LINE 224 + EXPRESSION (ex_valid_i || eret_i || ((1'b0 && set_debug_pc_i))) + -----1---- ---2-- -------------3------------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Covered + 1 0 0 Covered + + LINE 245 + EXPRESSION (halt_csr_i || halt_acc_i || ((1'b0 && fence_active_q))) + -----1---- -----2---- -------------3------------ + +-1- -2- -3- Status + 0 0 0 Covered + 0 0 1 Unreachable + 0 1 0 Unreachable + 1 0 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.controller_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- controller + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : lsu_bypass +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/lsu_bypass.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.lsu_bypass_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : lsu_bypass + + Line No. Total Covered Percent +TOTAL 38 38 100.00 +ALWAYS 68 26 26 100.00 +ALWAYS 110 3 3 100.00 +ALWAYS 119 9 9 100.00 + +67 +68 1/1 status_cnt = status_cnt_q; +69 1/1 write_pointer = write_pointer_q; +70 1/1 read_pointer = read_pointer_q; +71 +72 1/1 mem_n = mem_q; +73 // we've got a valid LSU request +74 1/1 if (lsu_req_valid_i) begin +75 1/1 mem_n[write_pointer_q] = lsu_req_i; +76 1/1 write_pointer++; +77 1/1 status_cnt++; +78 end + MISSING_ELSE +79 +80 1/1 if (pop_ld_i) begin +81 // invalidate the result +82 1/1 mem_n[read_pointer_q].valid = 1'b0; +83 1/1 read_pointer++; +84 1/1 status_cnt--; +85 end + MISSING_ELSE +86 +87 1/1 if (pop_st_i) begin +88 // invalidate the result +89 1/1 mem_n[read_pointer_q].valid = 1'b0; +90 1/1 read_pointer++; +91 1/1 status_cnt--; +92 end + MISSING_ELSE +93 +94 2/2 if (pop_st_i && pop_ld_i) mem_n = '0; + MISSING_ELSE +95 +96 1/1 if (flush_i) begin +97 1/1 status_cnt = '0; +98 1/1 write_pointer = '0; +99 1/1 read_pointer = '0; +100 1/1 mem_n = '0; +101 end + MISSING_ELSE +102 // default assignments +103 1/1 read_pointer_n = read_pointer; +104 1/1 write_pointer_n = write_pointer; +105 1/1 status_cnt_n = status_cnt; +106 end +107 +108 // output assignment +109 always_comb begin : output_assignments +110 1/1 if (empty) begin +111 1/1 lsu_ctrl_o = lsu_req_i; +112 end else begin +113 1/1 lsu_ctrl_o = mem_q[read_pointer_q]; +114 end +115 end +116 +117 // registers +118 always_ff @(posedge clk_i or negedge rst_ni) begin +119 1/1 if (~rst_ni) begin +120 1/1 mem_q <= '0; +121 1/1 status_cnt_q <= '0; +122 1/1 write_pointer_q <= '0; +123 1/1 read_pointer_q <= '0; +124 end else begin +125 1/1 mem_q <= mem_n; +126 1/1 status_cnt_q <= status_cnt_n; +127 1/1 write_pointer_q <= write_pointer_n; +128 1/1 read_pointer_q <= read_pointer_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : lsu_bypass + + Total Covered Percent +Conditions 5 5 100.00 +Logical 5 5 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 94 + EXPRESSION (pop_st_i && pop_ld_i) + ----1--- ----2--- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 60 + EXPRESSION (status_cnt_q == 2'b0) + -----------1---------- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.lsu_i.lsu_bypass_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- lsu_bypass + + +Parent : + +SCORE LINE COND ASSERT NAME + 98.75 97.50 100.00 -- lsu_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : id_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/id_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : id_stage + + Line No. Total Covered Percent +TOTAL 20 20 100.00 +ALWAYS 281 7 7 100.00 +ALWAYS 417 10 10 100.00 +ALWAYS 448 3 3 100.00 + +280 // No CVXIF, No ZCMP, No ZCMT => Connect directly compressed decoder to decoder +281 1/1 is_illegal_deco = is_illegal_rvc; +282 1/1 instruction_deco = instruction_rvc; +283 1/1 is_compressed_deco = is_compressed_rvc; +284 1/1 if (CVA6Cfg.CvxifEn) begin +285 1/1 is_illegal_deco[0] = is_illegal_cvxif_o; +286 1/1 instruction_deco[0] = instruction_cvxif_o; +287 1/1 is_compressed_deco[0] = is_compressed_cvxif_o; +288 unreachable end else if (!CVA6Cfg.CvxifEn && (CVA6Cfg.RVZCMP || CVA6Cfg.RVZCMT)) begin +289 unreachable is_illegal_deco[0] = is_illegal_cvxif_i; +290 unreachable instruction_deco[0] = instruction_cvxif_i; +291 unreachable is_compressed_deco[0] = is_compressed_cvxif_i; +292 end + ==> MISSING_ELSE +293 end +294 +295 +296 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +297 decoder #( +298 .CVA6Cfg(CVA6Cfg), +299 .branchpredict_sbe_t(branchpredict_sbe_t), +300 .exception_t(exception_t), +301 .irq_ctrl_t(irq_ctrl_t), +302 .scoreboard_entry_t(scoreboard_entry_t), +303 .interrupts_t(interrupts_t), +304 .INTERRUPTS(INTERRUPTS) +305 ) decoder_i ( +306 .debug_req_i, +307 .irq_ctrl_i, +308 .irq_i, +309 .pc_i (fetch_entry_i[i].address), +310 .is_compressed_i (is_compressed_deco[i]), +311 .is_macro_instr_i (is_macro_instr[i]), +312 .is_zcmt_i (is_zcmt_instr[i]), +313 .is_last_macro_instr_i (is_last_macro_instr), +314 .is_double_rd_macro_instr_i(is_double_rd_macro_instr), +315 .jump_address_i (jump_address), +316 .is_illegal_i (is_illegal_deco[i]), +317 .instruction_i (instruction_deco[i]), +318 .compressed_instr_i (fetch_entry_i[i].instruction[15:0]), +319 .branch_predict_i (fetch_entry_i[i].branch_predict), +320 .ex_i (fetch_entry_i[i].ex), +321 .priv_lvl_i (priv_lvl_i), +322 .v_i (v_i), +323 .debug_mode_i (debug_mode_i), +324 .fs_i, +325 .vfs_i, +326 .frm_i, +327 .vs_i, +328 .tvm_i, +329 .tw_i, +330 .vtw_i, +331 .tsr_i, +332 .hu_i, +333 .instruction_o (decoded_instruction[i]), +334 .orig_instr_o (orig_instr[i]), +335 .is_control_flow_instr_o (is_control_flow_instr[i]) +336 ); +337 end +338 +339 // ------------------ +340 // 3. Pipeline Register +341 // ------------------ +342 for (genvar i = 0; i < CVA6Cfg.NrIssuePorts; i++) begin +343 assign issue_entry_o[i] = issue_q[i].sbe; +344 assign issue_entry_o_prev[i] = CVA6Cfg.FpgaAlteraEn ? issue_n[i].sbe : '0; +345 assign issue_entry_valid_o[i] = issue_q[i].valid; +346 assign is_ctrl_flow_o[i] = issue_q[i].is_ctrl_flow; +347 assign orig_instr_o[i] = issue_q[i].orig_instr; +348 assign was_compressed_o[i] = issue_q[i].was_compressed; +349 end +350 +351 if (CVA6Cfg.SuperscalarEn) begin +352 always_comb begin +353 issue_n = issue_q; +354 fetch_entry_ready_o = '0; +355 // instruction is not valid if we stall due to ZCMT or CVXIF +356 decoded_instruction_valid[0] = (CVA6Cfg.RVZCMT && is_zcmt_instr[0] && stall_macro_deco_zcmt) || +357 (CVA6Cfg.CvxifEn && is_illegal_cvxif_i && ~stall_macro_deco) && stall_instr_fetch[0] +358 ? 1'b0 : 1'b1; +359 // Instruction on port 1 are always valid. It is either 32bits or legal 16bits. +360 decoded_instruction_valid[1] = ~stall_instr_fetch[1]; +361 +362 // Clear the valid flag if issue has acknowledged the instruction +363 if (issue_instr_ack_i[0]) begin +364 issue_n[0].valid = 1'b0; +365 end +366 if (issue_instr_ack_i[1]) begin +367 issue_n[1].valid = 1'b0; +368 end +369 +370 if (!issue_n[0].valid) begin +371 if (issue_n[1].valid) begin +372 issue_n[0] = issue_n[1]; +373 issue_n[1].valid = 1'b0; +374 end else if (fetch_entry_valid_i[0]) begin +375 fetch_entry_ready_o[0] = ~stall_instr_fetch[0]; +376 issue_n[0] = '{ +377 decoded_instruction_valid[0], +378 decoded_instruction[0], +379 orig_instr[0], +380 is_control_flow_instr[0], +381 is_compressed_rvc[0] +382 }; +383 end +384 end +385 +386 if (!issue_n[1].valid) begin +387 if (fetch_entry_ready_o[0]) begin +388 if (fetch_entry_valid_i[1]) begin +389 fetch_entry_ready_o[1] = ~stall_instr_fetch[1]; +390 issue_n[1] = '{ +391 decoded_instruction_valid[1], +392 decoded_instruction[1], +393 orig_instr[1], +394 is_control_flow_instr[1], +395 is_compressed_rvc[1] +396 }; +397 end +398 end else if (fetch_entry_valid_i[0]) begin +399 fetch_entry_ready_o[0] = ~stall_instr_fetch[0]; +400 issue_n[1] = '{ +401 decoded_instruction_valid[0], +402 decoded_instruction[0], +403 orig_instr[0], +404 is_control_flow_instr[0], +405 is_compressed_rvc[0] +406 }; +407 end +408 end +409 +410 if (flush_i) begin +411 issue_n[0].valid = 1'b0; +412 issue_n[1].valid = 1'b0; +413 end +414 end +415 end else begin +416 always_comb begin +417 1/1 issue_n = issue_q; +418 1/1 fetch_entry_ready_o = '0; +419 // instruction is not valid if we stall due to ZCMT or CVXIF +420 1/1 decoded_instruction_valid[0] = (CVA6Cfg.RVZCMT && is_zcmt_instr[0] && stall_macro_deco_zcmt) || +421 (CVA6Cfg.CvxifEn && is_illegal_cvxif_i && ~stall_macro_deco && stall_instr_fetch[0]) +422 ? 1'b0 : 1'b1; +423 // Clear the valid flag if issue has acknowledged the instruction +424 2/2 if (issue_instr_ack_i[0]) issue_n[0].valid = 1'b0; + MISSING_ELSE +425 +426 // if we have a space in the register and the fetch is valid, go get it +427 // or the issue stage is currently acknowledging an instruction, which means that we will have space +428 // for a new instruction +429 1/1 if (!issue_n[0].valid && fetch_entry_valid_i[0]) begin +430 1/1 fetch_entry_ready_o[0] = ~stall_instr_fetch[0]; +431 1/1 issue_n[0] = '{ +432 decoded_instruction_valid[0], +433 decoded_instruction[0], +434 orig_instr[0], +435 is_control_flow_instr[0], +436 is_compressed_rvc[0] +437 }; +438 end + MISSING_ELSE +439 +440 // invalidate the pipeline register on a flush +441 2/2 if (flush_i) issue_n[0].valid = 1'b0; + ==> MISSING_ELSE +442 end +443 end +444 // ------------------------- +445 // Registers (ID <-> Issue) +446 // ------------------------- +447 always_ff @(posedge clk_i or negedge rst_ni) begin +448 1/1 if (~rst_ni) begin +449 1/1 issue_q <= '0; +450 end else begin +451 1/1 issue_q <= issue_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : id_stage + + Total Covered Percent +Conditions 10 10 100.00 +Logical 10 10 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 420 + EXPRESSION + Number Term + 1 ((((1'b0 && is_zcmt_instr[0]) && stall_macro_deco_zcmt)) || (1'b1 && is_illegal_cvxif_i && ((~stall_macro_deco)) && stall_instr_fetch[0])) ? 1'b0 : 1'b1) + +-1- Status + 0 Covered + 1 Covered + + LINE 420 + SUB-EXPRESSION ((((1'b0 && is_zcmt_instr[0]) && stall_macro_deco_zcmt)) || (1'b1 && is_illegal_cvxif_i && ((~stall_macro_deco)) && stall_instr_fetch[0])) + ---------------------------1--------------------------- --------------------------------------2-------------------------------------- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Unreachable + + LINE 420 + SUB-EXPRESSION (1'b1 && is_illegal_cvxif_i && ((~stall_macro_deco)) && stall_instr_fetch[0]) + --1- ---------2-------- ----------3---------- ----------4--------- + +-1- -2- -3- -4- Status + - 0 1 1 Covered + - 1 0 1 Unreachable + - 1 1 0 Covered + - 1 1 1 Covered + + LINE 429 + EXPRESSION (((!issue_n[0].valid)) && fetch_entry_valid_i[0]) + ----------1---------- -----------2---------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.id_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.12 99.42 98.81 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- id_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.06 98.11 100.00 -- genblk1.genblk1[0].compressed_decoder_i +100.00 100.00 100.00 -- genblk1.genblk6.i_cvxif_compressed_if_driver_i + 99.12 99.73 98.51 -- genblk2[0].decoder_i + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : cvxif_fu +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/cvxif_fu.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.gen_cvxif.cvxif_fu_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : cvxif_fu + + Line No. Total Covered Percent +TOTAL 3 3 100.00 +ALWAYS 67 3 3 100.00 + +66 always_comb begin +67 1/1 x_exception_o.valid = x_illegal_i; +68 1/1 x_exception_o.cause = x_illegal_i ? riscv::ILLEGAL_INSTR : '0; +69 1/1(1 unreachable) if (CVA6Cfg.TvalEn) x_exception_o.tval = x_off_instr_i; + ==> MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : cvxif_fu + + Total Covered Percent +Conditions 7 7 100.00 +Logical 7 7 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 68 + EXPRESSION (x_illegal_i ? riscv::ILLEGAL_INSTR : '0) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 59 + EXPRESSION (x_illegal_i || result_valid_i) + -----1----- -------2------ + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 61 + EXPRESSION (x_illegal_i ? x_trans_id_i : result_i.id) + -----1----- + +-1- Status + 0 Covered + 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.gen_cvxif.cvxif_fu_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cvxif_fu + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : issue_stage +=============================================================================== +SCORE LINE COND ASSERT +100.00 -- 100.00 -- + +Source File(s) : + +cva6/core/issue_stage.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i + + + +------------------------------------------------------------------------------- +Cond Coverage for Module : issue_stage + + Total Covered Percent +Conditions 3 3 100.00 +Logical 3 3 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 186 + EXPRESSION (issue_instr_valid_sb_iro[0] & issue_ack_iro_sb[0]) + -------------1------------- ---------2--------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.issue_stage_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 -- 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 97.36 99.62 95.10 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 -- 100.00 -- issue_stage + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- cva6_only_pipeline.i_cva6_pipeline + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 97.46 100.00 94.92 -- i_issue_read_operands + 97.78 98.18 97.37 -- i_scoreboard + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : csr_buffer +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/csr_buffer.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.csr_buffer_i + + + +------------------------------------------------------------------------------- +Line Coverage for Module : csr_buffer + + Line No. Total Covered Percent +TOTAL 14 14 100.00 +ALWAYS 56 11 11 100.00 +ALWAYS 76 3 3 100.00 + +55 always_comb begin : write +56 1/1 csr_reg_n = csr_reg_q; +57 // by default we are ready +58 1/1 csr_ready_o = 1'b1; +59 // if we have a valid uncomiited csr req or are just getting one WITHOUT a commit in, we are not ready +60 2/2 if ((csr_reg_q.valid || csr_valid_i) && ~csr_commit_i) csr_ready_o = 1'b0; + MISSING_ELSE +61 // if we got a valid from the scoreboard +62 // store the CSR address +63 1/1 if (csr_valid_i) begin +64 1/1 csr_reg_n.csr_address = fu_data_i.operand_b[11:0]; +65 1/1 csr_reg_n.valid = 1'b1; +66 end + MISSING_ELSE +67 // if we get a commit and no new valid instruction -> clear the valid bit +68 1/1 if (csr_commit_i && ~csr_valid_i) begin +69 1/1 csr_reg_n.valid = 1'b0; +70 end + MISSING_ELSE +71 // clear the buffer if we flushed +72 2/2 if (flush_i) csr_reg_n.valid = 1'b0; + MISSING_ELSE +73 end +74 // sequential process +75 always_ff @(posedge clk_i or negedge rst_ni) begin +76 1/1 if (~rst_ni) begin +77 1/1 csr_reg_q <= '{default: 0}; +78 end else begin +79 1/1 csr_reg_q <= csr_reg_n; + +------------------------------------------------------------------------------- +Cond Coverage for Module : csr_buffer + + Total Covered Percent +Conditions 9 9 100.00 +Logical 9 9 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 60 + EXPRESSION ((csr_reg_q.valid || csr_valid_i) && ((~csr_commit_i))) + ----------------1--------------- --------2-------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + + LINE 60 + SUB-EXPRESSION (csr_reg_q.valid || csr_valid_i) + -------1------- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 68 + EXPRESSION (csr_commit_i && ((~csr_valid_i))) + ------1----- --------2------- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.csr_buffer_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- csr_buffer + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- ex_stage_i + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : instr_realign +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/instr_realign.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_realign + + + +------------------------------------------------------------------------------- +Line Coverage for Module : instr_realign + + Line No. Total Covered Percent +TOTAL 36 36 100.00 +ALWAYS 68 25 25 100.00 +ALWAYS 348 11 11 100.00 + +67 always_comb begin : re_align +68 1/1 unaligned_d = unaligned_q; +69 1/1 unaligned_address_d = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +70 1/1 unaligned_instr_d = data_i[31:16]; +71 +72 1/1 valid_o[0] = valid_i; +73 1/1 instr_o[0] = unaligned_q ? {data_i[15:0], unaligned_instr_q} : data_i[31:0]; +74 1/1 addr_o[0] = unaligned_q ? unaligned_address_q : address_i; +75 +76 1/1 if (CVA6Cfg.INSTR_PER_FETCH != 1) begin +77 1/1 valid_o[CVA6Cfg.INSTR_PER_FETCH-1] = 1'b0; +78 1/1 instr_o[CVA6Cfg.INSTR_PER_FETCH-1] = '0; +79 1/1 addr_o[CVA6Cfg.INSTR_PER_FETCH-1] = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +80 end + ==> MISSING_ELSE +81 // this instruction is compressed or the last instruction was unaligned +82 1/1 if (instr_is_compressed[0] || unaligned_q) begin +83 // check if this is instruction is still unaligned e.g.: it is not compressed +84 // if its compressed re-set unaligned flag +85 // for 32 bit we can simply check the next instruction and whether it is compressed or not +86 // if it is compressed the next fetch will contain an aligned instruction +87 // is instruction 1 also compressed +88 // yes? -> no problem, no -> we've got an unaligned instruction +89 1/1 if (instr_is_compressed[CVA6Cfg.INSTR_PER_FETCH-1] && CVA6Cfg.RVC) begin +90 1/1 unaligned_d = 1'b0; +91 1/1 valid_o[CVA6Cfg.INSTR_PER_FETCH-1] = valid_i; +92 1/1 instr_o[CVA6Cfg.INSTR_PER_FETCH-1] = {16'b0, data_i[31:16]}; +93 end else begin +94 // save the upper bits for next cycle +95 1/1 unaligned_d = 1'b1; +96 1/1 unaligned_instr_d = data_i[31:16]; +97 1/1 unaligned_address_d = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +98 end +99 end // else -> normal fetch + MISSING_ELSE +100 +101 // we started to fetch on a unaligned boundary with a whole instruction -> wait until we've +102 // received the next instruction +103 1/1 if (valid_i && address_i[1]) begin +104 // the instruction is not compressed so we can't do anything in this cycle +105 1/1 if (!instr_is_compressed[0]) begin +106 1/1 valid_o = '0; +107 1/1 unaligned_d = 1'b1; +108 1/1 unaligned_address_d = {address_i[CVA6Cfg.VLEN-1:2], 2'b10}; +109 1/1 unaligned_instr_d = data_i[15:0]; +110 // the instruction isn't compressed but only the lower is ready +111 end else begin +112 1/1 valid_o = {{CVA6Cfg.INSTR_PER_FETCH - 1{1'b0}}, 1'b1}; +113 end +114 end + MISSING_ELSE +115 end +116 end else if (CVA6Cfg.FETCH_WIDTH == 64) begin : realign_bp_64 +117 always_comb begin : re_align +118 unaligned_d = 1'b0; +119 unaligned_address_d = unaligned_address_q; +120 unaligned_instr_d = unaligned_instr_q; +121 +122 valid_o = '0; +123 instr_o[0] = '0; +124 addr_o[0] = '0; +125 instr_o[1] = '0; +126 addr_o[1] = '0; +127 instr_o[2] = '0; +128 addr_o[2] = '0; +129 instr_o[3] = {16'b0, data_i[63:48]}; +130 addr_o[3] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +131 +132 case (address_i[2:1]) +133 2'b00: begin +134 valid_o[0] = valid_i; +135 valid_o[1] = valid_i; +136 +137 unaligned_d = unaligned_q; +138 +139 // last instruction was unaligned +140 // TODO how are jumps + unaligned managed? +141 if (unaligned_q) begin +142 // for 64 bit there exist the following options: +143 // 64 48 32 16 0 +144 // | 3 | 2 | 1 | 0 | <- instruction slot +145 // | I | I | U | -> again unaligned +146 // | * | C | I | U | -> aligned +147 // | * | I | C | U | -> aligned +148 // | I | C | C | U | -> again unaligned +149 // | * | C | C | C | U | -> aligned +150 // Legend: C = compressed, I = 32 bit instruction, U = unaligned upper half +151 +152 instr_o[0] = {data_i[15:0], unaligned_instr_q}; +153 addr_o[0] = unaligned_address_q; +154 +155 instr_o[1] = data_i[47:16]; +156 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b010}; +157 +158 if (instr_is_compressed[1]) begin +159 instr_o[2] = data_i[63:32]; +160 addr_o[2] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +161 valid_o[2] = valid_i; +162 +163 if (instr_is_compressed[2]) begin +164 if (instr_is_compressed[3]) begin +165 unaligned_d = 1'b0; +166 valid_o[3] = valid_i; +167 end else begin +168 unaligned_instr_d = instr_o[3]; +169 unaligned_address_d = addr_o[3]; +170 end +171 end else begin +172 unaligned_d = 1'b0; +173 valid_o[2] = valid_i; +174 end +175 end else begin +176 instr_o[2] = instr_o[3]; +177 addr_o[2] = addr_o[3]; +178 if (instr_is_compressed[3]) begin +179 unaligned_d = 1'b0; +180 valid_o[2] = valid_i; +181 end else begin +182 unaligned_instr_d = instr_o[3]; +183 unaligned_address_d = addr_o[3]; +184 end +185 end +186 end else begin +187 instr_o[0] = data_i[31:0]; +188 addr_o[0] = address_i; +189 +190 if (instr_is_compressed[0]) begin +191 instr_o[1] = data_i[47:16]; +192 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b010}; +193 +194 // 64 48 32 16 0 +195 // | 3 | 2 | 1 | 0 | <- instruction slot +196 // | I | I | C | -> again unaligned +197 // | * | C | I | C | -> aligned +198 // | * | I | C | C | -> aligned +199 // | I | C | C | C | -> again unaligned +200 // | * | C | C | C | C | -> aligned +201 if (instr_is_compressed[1]) begin +202 instr_o[2] = data_i[63:32]; +203 addr_o[2] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +204 valid_o[2] = valid_i; +205 +206 if (instr_is_compressed[2]) begin +207 if (instr_is_compressed[3]) begin +208 valid_o[3] = valid_i; +209 end else begin +210 unaligned_d = 1'b1; +211 unaligned_instr_d = instr_o[3]; +212 unaligned_address_d = addr_o[3]; +213 end +214 end +215 end else begin +216 instr_o[2] = instr_o[3]; +217 addr_o[2] = addr_o[3]; +218 +219 if (instr_is_compressed[3]) begin +220 valid_o[2] = valid_i; +221 end else begin +222 unaligned_d = 1'b1; +223 unaligned_instr_d = instr_o[3]; +224 unaligned_address_d = addr_o[3]; +225 end +226 end +227 end else begin +228 // 64 32 0 +229 // | 3 | 2 | 1 | 0 | <- instruction slot +230 // | I | C | I | +231 // | * | C | C | I | +232 // | * | I | I | +233 instr_o[1] = data_i[63:32]; +234 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +235 +236 instr_o[2] = instr_o[3]; +237 addr_o[2] = addr_o[3]; +238 +239 if (instr_is_compressed[2]) begin +240 if (instr_is_compressed[3]) begin +241 valid_o[2] = valid_i; +242 end else begin +243 unaligned_d = 1'b1; +244 unaligned_instr_d = instr_o[3]; +245 unaligned_address_d = addr_o[3]; +246 end +247 end +248 end +249 end +250 end +251 // this means the previous instruction was either compressed or unaligned +252 // in any case we don't care +253 // TODO input is actually right-shifted so the code below is wrong +254 2'b01: begin +255 // 64 48 32 16 0 +256 // | 3 | 2 | 1 | 0 | <- instruction slot +257 // | I | I | -> again unaligned +258 // | * | C | I | -> aligned +259 // | * | I | C | -> aligned +260 // | I | C | C | -> again unaligned +261 // | * | C | C | C | -> aligned +262 // 000 110 100 010 <- unaligned address +263 +264 instr_o[0] = data_i[31:0]; +265 addr_o[0] = {address_i[CVA6Cfg.VLEN-1:3], 3'b010}; +266 valid_o[0] = valid_i; +267 +268 instr_o[2] = data_i[63:32]; +269 addr_o[2] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +270 +271 if (instr_is_compressed[0]) begin +272 instr_o[1] = data_i[47:16]; +273 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +274 valid_o[1] = valid_i; +275 +276 if (instr_is_compressed[1]) begin +277 if (instr_is_compressed[2]) begin +278 valid_o[2] = valid_i; +279 end else begin +280 unaligned_d = 1'b1; +281 unaligned_instr_d = instr_o[2]; +282 unaligned_address_d = addr_o[2]; +283 end +284 end +285 end else begin +286 instr_o[1] = instr_o[2]; +287 addr_o[1] = addr_o[2]; +288 +289 if (instr_is_compressed[2]) begin +290 valid_o[1] = valid_i; +291 end else begin +292 unaligned_d = 1'b1; +293 unaligned_instr_d = instr_o[2]; +294 unaligned_address_d = addr_o[2]; +295 end +296 end +297 end +298 2'b10: begin +299 // 64 48 32 16 0 +300 // | 3 | 2 | 1 | 0 | <- instruction slot +301 // | * | I | C | <- unaligned +302 // | * | C | C | <- aligned +303 // | * | I | <- aligned +304 // 1000 110 100 <- unaligned address +305 +306 instr_o[0] = data_i[31:0]; +307 addr_o[0] = {address_i[CVA6Cfg.VLEN-1:3], 3'b100}; +308 valid_o[0] = valid_i; +309 +310 instr_o[1] = data_i[47:16]; +311 addr_o[1] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +312 +313 if (instr_is_compressed[0]) begin +314 if (instr_is_compressed[1]) begin +315 valid_o[1] = valid_i; +316 end else begin +317 unaligned_d = 1'b1; +318 unaligned_instr_d = instr_o[1]; +319 unaligned_address_d = addr_o[1]; +320 end +321 end +322 end +323 // we started to fetch on a unaligned boundary with a whole instruction -> wait until we've +324 // received the next instruction +325 2'b11: begin +326 // 64 48 32 16 0 +327 // | 3 | 2 | 1 | 0 | <- instruction slot +328 // | * | I | <- unaligned +329 // | * | C | <- aligned +330 // 1000 110 <- unaligned address +331 +332 instr_o[0] = data_i[31:0]; +333 addr_o[0] = {address_i[CVA6Cfg.VLEN-1:3], 3'b110}; +334 +335 if (instr_is_compressed[0]) begin +336 valid_o[0] = valid_i; +337 end else begin +338 unaligned_d = 1'b1; +339 unaligned_instr_d = instr_o[0]; +340 unaligned_address_d = addr_o[0]; +341 end +342 end +343 endcase +344 end +345 end +346 +347 always_ff @(posedge clk_i or negedge rst_ni) begin +348 1/1 if (~rst_ni) begin +349 1/1 unaligned_q <= 1'b0; +350 1/1 unaligned_address_q <= '0; +351 1/1 unaligned_instr_q <= '0; +352 end else begin +353 1/1 if (valid_i) begin +354 1/1 unaligned_address_q <= unaligned_address_d; +355 1/1 unaligned_instr_q <= unaligned_instr_d; +356 end + MISSING_ELSE +357 +358 1/1 if (flush_i) begin +359 1/1 unaligned_q <= 1'b0; +360 1/1 end else if (valid_i) begin +361 1/1 unaligned_q <= unaligned_d; +362 end + MISSING_ELSE + +------------------------------------------------------------------------------- +Cond Coverage for Module : instr_realign + + Total Covered Percent +Conditions 12 12 100.00 +Logical 12 12 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 73 + EXPRESSION (unaligned_q ? ({data_i[15:0], unaligned_instr_q}) : data_i[31:0]) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 74 + EXPRESSION (unaligned_q ? unaligned_address_q : address_i) + -----1----- + +-1- Status + 0 Covered + 1 Covered + + LINE 82 + EXPRESSION (instr_is_compressed[0] || unaligned_q) + -----------1---------- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 89 + EXPRESSION (instr_is_compressed[(32'b00000000000000000000000000000010 - 1)] && 1'b1) + -------------------------------1------------------------------- --2- + +-1- -2- Status + 0 - Covered + 1 - Covered + + LINE 103 + EXPRESSION (valid_i && address_i[1]) + ---1--- ------2----- + +-1- -2- Status + 0 1 Covered + 1 0 Covered + 1 1 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.i_frontend.i_instr_realign +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- instr_realign + + +Parent : + +SCORE LINE COND ASSERT NAME + 99.24 100.00 98.47 -- i_frontend + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : multiplier +=============================================================================== +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + +Source File(s) : + +cva6/core/multiplier.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_multiplier + + + +------------------------------------------------------------------------------- +Line Coverage for Module : multiplier + + Line No. Total Covered Percent +TOTAL 33 33 100.00 +ALWAYS 66 3 3 100.00 +ALWAYS 93 9 9 100.00 +ALWAYS 122 7 7 100.00 +ALWAYS 136 5 5 100.00 +ALWAYS 149 9 9 100.00 + +65 always_comb begin +66 1/1 clmul_d = '0; +67 1/1 for (int i = 0; i <= CVA6Cfg.XLEN; i++) begin +68 1/1 clmul_d = (|((operand_b >> i) & 1)) ? clmul_d ^ (operand_a << i) : clmul_d; +69 end +70 end +71 +72 // clmulr + clmulh result generator +73 for (genvar i = 0; i < CVA6Cfg.XLEN; i++) begin +74 assign clmulr_d[i] = clmul_d[(CVA6Cfg.XLEN-1)-i]; +75 end +76 end +77 +78 // Pipeline register +79 logic [CVA6Cfg.TRANS_ID_BITS-1:0] trans_id_q; +80 logic mult_valid_q; +81 fu_op operator_d, operator_q; +82 logic [CVA6Cfg.XLEN*2-1:0] mult_result_d, mult_result_q; +83 +84 // control registers +85 logic sign_a, sign_b; +86 +87 // control signals +88 assign mult_valid_o = mult_valid_q; +89 assign mult_trans_id_o = trans_id_q; +90 +91 // Sign Select MUX +92 always_comb begin +93 1/1 sign_a = 1'b0; +94 1/1 sign_b = 1'b0; +95 +96 // signed multiplication +97 1/1 if (operation_i == MULH) begin +98 1/1 sign_a = 1'b1; +99 1/1 sign_b = 1'b1; +100 // signed - unsigned multiplication +101 1/1 end else if (operation_i == MULHSU) begin +102 1/1 sign_a = 1'b1; +103 // unsigned multiplication +104 end else begin +105 1/1 sign_a = 1'b0; +106 1/1 sign_b = 1'b0; +107 end +108 end +109 +110 +111 // single stage version +112 assign mult_result_d = $signed( +113 {operand_a_i[CVA6Cfg.XLEN-1] & sign_a, operand_a_i} +114 ) * $signed( +115 {operand_b_i[CVA6Cfg.XLEN-1] & sign_b, operand_b_i} +116 ); +117 +118 +119 assign operator_d = operation_i; +120 +121 always_comb begin : p_selmux +122 1/1 unique case (operator_q) +123 1/1 MULH, MULHU, MULHSU: result_o = mult_result_q[CVA6Cfg.XLEN*2-1:CVA6Cfg.XLEN]; +124 1/1 CLMUL: result_o = clmul_q; +125 1/1 CLMULH: result_o = clmulr_q >> 1; +126 1/1 CLMULR: result_o = clmulr_q; +127 // MUL performs an CVA6Cfg.XLEN-bit×CVA6Cfg.XLEN-bit multiplication and places the lower CVA6Cfg.XLEN bits in the destination register +128 default: begin +129 1/1(1 unreachable) if (operator_q == MULW && CVA6Cfg.IS_XLEN64) result_o = sext32to64(mult_result_q[31:0]); +130 1/1 else result_o = mult_result_q[CVA6Cfg.XLEN-1:0]; // including MUL +131 end +132 endcase +133 end +134 if (CVA6Cfg.RVB) begin +135 always_ff @(posedge clk_i or negedge rst_ni) begin +136 1/1 if (~rst_ni) begin +137 1/1 clmul_q <= '0; +138 1/1 clmulr_q <= '0; +139 end else begin +140 1/1 clmul_q <= clmul_d; +141 1/1 clmulr_q <= clmulr_d; +142 end +143 end +144 end +145 // ----------------------- +146 // Output pipeline register +147 // ----------------------- +148 always_ff @(posedge clk_i or negedge rst_ni) begin +149 1/1 if (~rst_ni) begin +150 1/1 mult_valid_q <= '0; +151 1/1 trans_id_q <= '0; +152 1/1 operator_q <= MUL; +153 1/1 mult_result_q <= '0; +154 end else begin +155 // Input silencing +156 1/1 trans_id_q <= trans_id_i; +157 // Output Register +158 1/1 mult_valid_q <= mult_valid_i; +159 1/1 operator_q <= operator_d; +160 1/1 mult_result_q <= mult_result_d; + +------------------------------------------------------------------------------- +Cond Coverage for Module : multiplier + + Total Covered Percent +Conditions 20 20 100.00 +Logical 20 20 100.00 +Non-Logical 0 0 +Event 0 0 + + LINE 97 + EXPRESSION (operation_i == MULH) + ----------1---------- + +-1- Status + 0 Covered + 1 Covered + + LINE 101 + EXPRESSION (operation_i == MULHSU) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 68 + EXPRESSION (((|((operand_b >> i) & 1))) ? ((clmul_d ^ (operand_a << i))) : clmul_d) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 51 + EXPRESSION (operation_i == CLMULR) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 52 + EXPRESSION (operation_i == CLMULH) + -----------1----------- + +-1- Status + 0 Covered + 1 Covered + + LINE 61 + EXPRESSION ((clmul_rmode | clmul_hmode) ? operand_a_rev : operand_a_i) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 61 + SUB-EXPRESSION (clmul_rmode | clmul_hmode) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + + LINE 62 + EXPRESSION ((clmul_rmode | clmul_hmode) ? operand_b_rev : operand_b_i) + -------------1------------- + +-1- Status + 0 Covered + 1 Covered + + LINE 62 + SUB-EXPRESSION (clmul_rmode | clmul_hmode) + -----1----- -----2----- + +-1- -2- Status + 0 0 Covered + 0 1 Covered + 1 0 Covered + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline.ex_stage_i.i_mult.i_multiplier +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Instance's subtree : + +SCORE LINE COND ASSERT +100.00 100.00 100.00 -- + + +Module : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- multiplier + + +Parent : + +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- i_mult + + +Subtrees : + + +no children +---------------- + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvma_obi_memory_assert_if_wrp +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_assert_if_wrp.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvma_obi_memory_assert_if_wrp + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 61.29 -- -- 61.29 u_assert + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvma_obi_memory_assert_if_wrp + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 61.29 -- -- 61.29 u_assert + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 61.29 -- -- 61.29 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvma_obi_memory_assert_if_wrp + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 61.29 -- -- 61.29 u_assert + + + +=============================================================================== +Module : cva6_tb_wrapper +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/tb/uvmt/cva6_tb_wrapper.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 99.09 99.79 98.39 -- + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_tb_wrapper + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- cva6_dut_wrap + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.09 99.79 98.39 -- cva6_only_pipeline.i_cva6_pipeline + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvmt_cva6_dut_wrap +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/tb/uvmt/uvmt_cva6_dut_wrap.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb.cva6_dut_wrap + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb.cva6_dut_wrap +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_dut_wrap + + +Parent : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 99.09 99.79 98.39 -- cva6_tb_wrapper_i + 92.31 -- -- 92.31 cvxif_assert +100.00 -- -- 100.00 interrupt_assert + 61.29 -- -- 61.29 obi_fetch_assert + 61.29 -- -- 61.29 obi_load_assert + 61.29 -- -- 61.29 obi_store_assert + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. +=============================================================================== +Module : uvmt_cva6_tb +=============================================================================== +SCORE LINE COND ASSERT +-- -- -- -- + +Source File(s) : + +cva6/verif/tb/uvmt/uvmt_cva6_tb.sv + +Module self-instances : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb + + + +------------------------------------------------------------------------------- +=============================================================================== +Module Instance : uvmt_cva6_tb +=============================================================================== + +Instance : + +SCORE LINE COND ASSERT +-- -- -- -- + + +Instance's subtree : + +SCORE LINE COND ASSERT + 88.08 99.79 98.39 66.06 + + +Module : + +SCORE LINE COND ASSERT NAME +-- -- -- -- uvmt_cva6_tb + + +Parent : + +none +---------------- + + +Subtrees : + +SCORE LINE COND ASSERT NAME + 88.08 99.79 98.39 66.06 cva6_dut_wrap + + + +------------------------------------------------------------------------------- +Since this is the module's only instance, the coverage report is the same as for the module. diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modlist.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modlist.txt new file mode 100644 index 00000000..3c38ef35 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/modlist.txt @@ -0,0 +1,63 @@ +Design Module List + +Total Module Definition Coverage Summary +SCORE LINE COND ASSERT + 90.31 99.78 98.81 72.34 + + +Total modules in report: 43 +------------------------------------------------------------------------------- +SCORE LINE COND ASSERT NAME + 50.00 -- -- 50.00 uvma_obi_memory_1p2_assert + 81.82 -- -- 81.82 uvma_obi_memory_assert + 92.31 -- -- 92.31 uvma_cvxif_assert + 94.19 -- 94.19 -- rr_arb_tree + 97.78 98.18 97.37 -- scoreboard + 98.75 97.50 100.00 -- load_store_unit + 98.78 100.00 97.56 -- csr_regfile + 99.06 98.11 100.00 -- compressed_decoder + 99.12 99.73 98.51 -- decoder + 99.13 100.00 98.26 -- serdiv + 99.24 100.00 98.47 -- frontend +100.00 100.00 100.00 -- ariane_regfile +100.00 100.00 100.00 -- issue_read_operands +100.00 100.00 100.00 -- cvxif_compressed_if_driver +100.00 100.00 100.00 -- lzc + + ---------------- + SCORE LINE COND ASSERT NAME + 100.00 100.00 -- -- lzc + 100.00 -- 100.00 -- lzc ( parameter WIDTH=2,MODE=0,CNT_WIDTH=1,gen_lzc.NumLevels=1 ) + 100.00 -- 100.00 -- lzc ( parameter WIDTH=32,MODE=1,CNT_WIDTH=5,gen_lzc.NumLevels=5 ) + +---------------- +SCORE LINE COND ASSERT NAME +100.00 100.00 100.00 -- alu +100.00 100.00 100.00 -- instr_scan +100.00 100.00 100.00 -- ras +100.00 100.00 100.00 -- cva6_pipeline +100.00 100.00 100.00 -- ex_stage +100.00 100.00 100.00 -- instr_queue +100.00 100.00 100.00 -- bht +100.00 100.00 100.00 -- cvxif_issue_register_commit_if_driver +100.00 -- -- 100.00 uvmt_cv32a60x_interrupt_assert +100.00 100.00 100.00 -- cva6_fifo_v3 +100.00 100.00 100.00 -- mult +100.00 100.00 100.00 -- load_unit +100.00 100.00 100.00 -- commit_stage +100.00 100.00 100.00 -- store_buffer +100.00 100.00 100.00 -- store_unit +100.00 100.00 100.00 -- branch_unit +100.00 100.00 100.00 -- controller +100.00 100.00 100.00 -- lsu_bypass +100.00 100.00 100.00 -- id_stage +100.00 100.00 100.00 -- cvxif_fu +100.00 -- 100.00 -- issue_stage +100.00 100.00 100.00 -- csr_buffer +100.00 100.00 100.00 -- instr_realign +100.00 100.00 100.00 -- multiplier +-- -- -- -- uvma_obi_memory_assert_if_wrp +-- -- -- -- cva6_tb_wrapper +-- -- -- -- uvmt_cva6_dut_wrap +-- -- -- -- uvmt_cva6_tb + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/plan.CVA6 Verification Master Plan1.2.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/plan.CVA6 Verification Master Plan1.2.txt new file mode 100644 index 00000000..a2ad273f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/plan.CVA6 Verification Master Plan1.2.txt @@ -0,0 +1,55 @@ +HVP Plan + +=============================================================================== +Plan : CVA6 Verification Master Plan +=============================================================================== +SCORE LINE COND ASSERT GROUP + 97.02 99.79 98.39 92.31 97.58 + +Attribute/Annotation definitions: + owner (attribute/string) default: + at_least (attribute/integer) default: 0 + weight (annotation/integer) default: 1 + description (annotation/string) default: + test.expected (annotation/integer) default: 0 + Comment (attribute/string) default: + +Metric definitions: + Line ratio average + Cond ratio average + FSM ratio average + Toggle ratio average + Branch ratio average + Assert ratio average + Assert.assert ratio average + Assert.cover ratio average + Assert.ast_count integer sum + Assert.cov_count integer sum + Group percent average + Group.count integer sum + Group.grp_count integer sum + Group.cvp_count integer sum + Group.bin_count integer sum + Group.covered_count integer sum + Group.uncovered_count integer sum + Group.excluded_count integer sum + SnpsAvg aggregate[Line Cond FSM Toggle Branch Assert Group] average + test enum[pass fail warn assert unknown(default)] sum + test.completion ratio average + AssertResult enum[successes failures unknown(default)] sum + + +Attribute/Annotation values: + description: CVA6 Verification Master Plan + + + +------------------------------------------------------------------------------- + +Sub-features: + +SCORE LINE COND ASSERT GROUP NAME + 94.94 -- -- 92.31 97.58 Programmer view level + 99.09 99.79 98.39 -- -- Code coverage + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/tests.txt b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/tests.txt new file mode 100644 index 00000000..1a84e9d9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/txt/urgReport/tests.txt @@ -0,0 +1,2367 @@ +Tests + +Total Coverage Summary +SCORE LINE COND ASSERT GROUP + 90.77 99.79 98.39 66.06 98.85 + + +Total tests in report: 2356 +------------------------------------------------------------------------------- +Data from the following tests was used to generate this report +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_ebreak_dret_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_illegal_hint_test_99 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_50 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_51 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_52 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_53 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_54 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_55 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_56 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_57 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_58 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_59 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_60 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_61 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_62 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_63 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_64 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_65 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_66 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_67 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_68 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_69 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_70 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_71 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_72 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_73 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_74 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_75 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_76 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_77 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_78 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_79 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_80 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_81 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_82 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_83 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_84 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_85 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_86 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_87 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_88 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_89 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_90 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_91 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_92 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_93 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_94 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_95 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_96 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_97 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_98 +vcs_results/default/vcs.d/simv/riscv_load_store_cmp_test_99 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_0 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_50 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_51 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_52 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_53 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_54 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_55 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_56 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_57 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_58 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_59 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_60 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_61 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_62 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_63 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_64 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_65 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_66 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_67 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_68 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_69 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_70 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_71 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_72 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_73 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_74 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_75 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_76 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_77 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_78 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_79 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_80 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_81 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_82 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_83 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_84 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_85 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_86 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_87 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_88 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_89 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_90 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_91 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_92 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_93 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_94 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_95 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_96 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_97 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_98 +vcs_results/default/vcs.d/simv/riscv_load_store_hazard_test_99 +vcs_results/default/vcs.d/simv/riscv_load_store_test_0 +vcs_results/default/vcs.d/simv/riscv_load_store_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_test_50 +vcs_results/default/vcs.d/simv/riscv_load_store_test_51 +vcs_results/default/vcs.d/simv/riscv_load_store_test_52 +vcs_results/default/vcs.d/simv/riscv_load_store_test_53 +vcs_results/default/vcs.d/simv/riscv_load_store_test_54 +vcs_results/default/vcs.d/simv/riscv_load_store_test_55 +vcs_results/default/vcs.d/simv/riscv_load_store_test_56 +vcs_results/default/vcs.d/simv/riscv_load_store_test_57 +vcs_results/default/vcs.d/simv/riscv_load_store_test_58 +vcs_results/default/vcs.d/simv/riscv_load_store_test_59 +vcs_results/default/vcs.d/simv/riscv_load_store_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_test_60 +vcs_results/default/vcs.d/simv/riscv_load_store_test_61 +vcs_results/default/vcs.d/simv/riscv_load_store_test_62 +vcs_results/default/vcs.d/simv/riscv_load_store_test_63 +vcs_results/default/vcs.d/simv/riscv_load_store_test_64 +vcs_results/default/vcs.d/simv/riscv_load_store_test_65 +vcs_results/default/vcs.d/simv/riscv_load_store_test_66 +vcs_results/default/vcs.d/simv/riscv_load_store_test_67 +vcs_results/default/vcs.d/simv/riscv_load_store_test_68 +vcs_results/default/vcs.d/simv/riscv_load_store_test_69 +vcs_results/default/vcs.d/simv/riscv_load_store_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_test_70 +vcs_results/default/vcs.d/simv/riscv_load_store_test_71 +vcs_results/default/vcs.d/simv/riscv_load_store_test_72 +vcs_results/default/vcs.d/simv/riscv_load_store_test_73 +vcs_results/default/vcs.d/simv/riscv_load_store_test_74 +vcs_results/default/vcs.d/simv/riscv_load_store_test_75 +vcs_results/default/vcs.d/simv/riscv_load_store_test_76 +vcs_results/default/vcs.d/simv/riscv_load_store_test_77 +vcs_results/default/vcs.d/simv/riscv_load_store_test_78 +vcs_results/default/vcs.d/simv/riscv_load_store_test_79 +vcs_results/default/vcs.d/simv/riscv_load_store_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_test_80 +vcs_results/default/vcs.d/simv/riscv_load_store_test_81 +vcs_results/default/vcs.d/simv/riscv_load_store_test_82 +vcs_results/default/vcs.d/simv/riscv_load_store_test_83 +vcs_results/default/vcs.d/simv/riscv_load_store_test_84 +vcs_results/default/vcs.d/simv/riscv_load_store_test_85 +vcs_results/default/vcs.d/simv/riscv_load_store_test_86 +vcs_results/default/vcs.d/simv/riscv_load_store_test_87 +vcs_results/default/vcs.d/simv/riscv_load_store_test_88 +vcs_results/default/vcs.d/simv/riscv_load_store_test_89 +vcs_results/default/vcs.d/simv/riscv_load_store_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_test_90 +vcs_results/default/vcs.d/simv/riscv_load_store_test_91 +vcs_results/default/vcs.d/simv/riscv_load_store_test_92 +vcs_results/default/vcs.d/simv/riscv_load_store_test_93 +vcs_results/default/vcs.d/simv/riscv_load_store_test_94 +vcs_results/default/vcs.d/simv/riscv_load_store_test_95 +vcs_results/default/vcs.d/simv/riscv_load_store_test_96 +vcs_results/default/vcs.d/simv/riscv_load_store_test_97 +vcs_results/default/vcs.d/simv/riscv_load_store_test_98 +vcs_results/default/vcs.d/simv/riscv_load_store_test_99 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_0 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_1 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_10 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_11 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_12 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_13 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_14 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_15 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_16 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_17 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_18 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_19 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_2 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_20 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_21 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_22 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_23 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_24 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_25 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_26 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_27 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_28 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_29 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_3 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_30 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_31 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_32 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_33 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_34 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_35 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_36 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_37 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_38 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_39 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_4 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_40 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_41 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_42 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_43 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_44 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_45 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_46 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_47 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_48 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_49 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_5 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_50 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_51 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_52 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_53 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_54 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_55 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_56 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_57 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_58 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_59 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_6 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_60 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_61 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_62 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_63 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_64 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_65 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_66 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_67 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_68 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_69 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_7 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_70 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_71 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_72 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_73 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_74 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_75 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_76 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_77 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_78 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_79 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_8 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_80 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_81 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_82 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_83 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_84 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_85 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_86 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_87 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_88 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_89 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_9 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_90 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_91 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_92 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_93 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_94 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_95 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_96 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_97 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_98 +vcs_results/default/vcs.d/simv/riscv_unaligned_load_store_test_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs1_test_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_hazard_rdrs2_test_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_same_reg_test_99 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_csr_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_ecall_ebreak_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_illegal_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_load_store_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_50 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_51 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_52 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_53 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_54 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_55 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_56 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_57 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_58 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_59 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_60 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_61 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_62 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_63 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_64 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_65 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_66 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_67 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_68 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_69 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_70 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_71 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_72 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_73 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_74 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_hint_comp_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_illegal_test_9 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_0 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_1 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_10 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_11 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_12 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_13 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_14 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_15 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_16 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_17 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_18 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_19 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_2 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_20 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_21 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_22 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_23 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_24 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_25 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_26 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_27 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_28 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_29 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_3 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_30 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_31 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_32 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_33 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_34 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_35 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_36 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_37 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_38 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_39 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_4 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_40 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_41 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_42 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_43 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_44 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_45 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_46 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_47 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_48 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_49 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_5 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_50 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_51 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_52 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_53 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_54 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_55 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_56 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_57 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_58 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_59 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_6 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_60 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_61 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_62 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_63 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_64 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_65 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_66 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_67 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_68 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_69 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_7 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_70 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_71 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_72 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_73 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_74 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_8 +vcs_results/default/vcs.d/simv/riscv_rand_jump_no_cmp_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_csr_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpaddr_csr_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_pmpcfg_csr_9 +vcs_results/default/vcs.d/simv/csr_counters_overflow +vcs_results/default/vcs.d/simv/csr_test +vcs_results/default/vcs.d/simv/csrrw_ro_test +vcs_results/default/vcs.d/simv/csrrw_unmapped_test +vcs_results/default/vcs.d/simv/pmp_test +vcs_results/default/vcs.d/simv/auipc_zero_test +vcs_results/default/vcs.d/simv/branch_to_zero +vcs_results/default/vcs.d/simv/csr_hazard +vcs_results/default/vcs.d/simv/csr_hazard2 +vcs_results/default/vcs.d/simv/csr_hazard3 +vcs_results/default/vcs.d/simv/illegal_isa +vcs_results/default/vcs.d/simv/isa_test +vcs_results/default/vcs.d/simv/jump_test +vcs_results/default/vcs.d/simv/load_reg_hazard +vcs_results/default/vcs.d/simv/load_store_test +vcs_results/default/vcs.d/simv/seq_hazard +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_full_xif_test_99 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_branch_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_load_store_test_9 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_0 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_1 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_10 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_11 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_12 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_13 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_14 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_15 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_16 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_17 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_18 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_19 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_2 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_20 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_21 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_22 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_23 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_24 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_3 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_4 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_5 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_6 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_7 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_8 +vcs_results/default/vcs.d/simv/riscv_interrupt_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_loop_test_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_bcomp_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_comp_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_75 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_76 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_77 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_78 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_79 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_80 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_81 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_82 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_83 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_84 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_85 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_86 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_87 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_88 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_89 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_90 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_91 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_92 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_93 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_94 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_95 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_96 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_97 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_98 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_test_no_comp_99 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter1_csr_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter2_csr_9 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_0 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_1 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_10 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_11 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_12 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_13 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_14 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_15 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_16 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_17 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_18 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_19 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_2 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_20 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_21 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_22 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_23 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_24 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_25 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_26 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_27 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_28 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_29 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_3 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_30 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_31 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_32 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_33 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_34 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_35 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_36 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_37 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_38 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_39 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_4 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_40 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_41 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_42 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_43 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_44 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_45 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_46 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_47 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_48 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_49 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_5 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_50 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_51 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_52 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_53 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_54 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_55 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_56 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_57 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_58 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_59 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_6 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_60 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_61 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_62 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_63 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_64 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_65 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_66 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_67 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_68 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_69 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_7 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_70 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_71 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_72 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_73 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_74 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_8 +vcs_results/default/vcs.d/simv/riscv_arithmetic_basic_perf_counter3_csr_9 + From 504439c939c592137250f0cf96668a44ca7a205e Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Andr=C3=A9=20Sintzoff?= Date: Wed, 23 Apr 2025 09:11:55 +0200 Subject: [PATCH 2/5] CV32A60X: add coverage html report --- .../Code_coverage/html/urgReport/asserts.html | 452 + .../html/urgReport/css/.breadcrumb.css | 86 + .../html/urgReport/css/.layout.css | 118 + .../html/urgReport/css/.treetable.css | 86 + .../Code_coverage/html/urgReport/css/.urg.css | 97 + .../html/urgReport/dashboard.html | 116 + ...ication Master Plan1.1002.-1932874869.html | 168 + ...fication Master Plan1.1007.1878417100.html | 168 + ...fication Master Plan1.1012.1394741773.html | 168 + ...ification Master Plan1.1017.911066446.html | 168 + ...ification Master Plan1.1022.427391119.html | 168 + ...ification Master Plan1.1027.-56284208.html | 168 + ...fication Master Plan1.1032.-539959535.html | 168 + ...ication Master Plan1.1037.-1023634862.html | 168 + ...ification Master Plan1.104.-288824778.html | 165 + ...ification Master Plan1.1042.396419610.html | 168 + ...ication Master Plan1.1047.-1712613639.html | 168 + ...ification Master Plan1.1052.473320408.html | 168 + ...ication Master Plan1.1057.-1635712841.html | 168 + ...ification Master Plan1.1062.550221206.html | 168 + ...ication Master Plan1.1067.-1558812043.html | 168 + ...ification Master Plan1.1072.627122004.html | 168 + ...ication Master Plan1.1077.-1481911245.html | 168 + ...ification Master Plan1.1082.704022802.html | 168 + ...ication Master Plan1.1087.-1405010447.html | 168 + ...fication Master Plan1.109.-1084507509.html | 165 + ...ification Master Plan1.1092.-87255717.html | 168 + ...fication Master Plan1.1097.2098678330.html | 168 + ...rification Master Plan1.11.1907128736.html | 436 + ...ification Master Plan1.1102.-10354919.html | 168 + ...ication Master Plan1.1107.-2119388168.html | 168 + ...rification Master Plan1.1112.66545879.html | 168 + ...ication Master Plan1.1117.-2042487370.html | 168 + ...ification Master Plan1.1122.143446677.html | 168 + ...ication Master Plan1.1127.-1965586572.html | 168 + ...ification Master Plan1.1132.220347475.html | 168 + ...ication Master Plan1.1137.-1888685774.html | 168 + ...fication Master Plan1.114.-2058400558.html | 165 + ...fication Master Plan1.1142.-570931044.html | 168 + ...fication Master Plan1.1147.1615003003.html | 168 + ...fication Master Plan1.1152.-494030246.html | 168 + ...fication Master Plan1.1157.1691903801.html | 168 + ...fication Master Plan1.1162.-417129448.html | 168 + ...fication Master Plan1.1167.1768804599.html | 168 + ...fication Master Plan1.1172.-340228650.html | 168 + ...fication Master Plan1.1177.1845705397.html | 168 + ...fication Master Plan1.1182.-263327852.html | 168 + ...fication Master Plan1.1187.1922606195.html | 168 + ...ification Master Plan1.119.1450712135.html | 165 + ...ication Master Plan1.1192.-1054606371.html | 168 + ...fication Master Plan1.1197.1131327676.html | 168 + ...fication Master Plan1.1202.-977705573.html | 168 + ...fication Master Plan1.1207.1208228474.html | 168 + ...fication Master Plan1.1212.-900804775.html | 168 + ...fication Master Plan1.1217.1285129272.html | 168 + ...fication Master Plan1.1222.-823903977.html | 168 + ...fication Master Plan1.1227.1362030070.html | 168 + ...fication Master Plan1.1232.-747003179.html | 168 + ...fication Master Plan1.1237.1438930868.html | 168 + ...fication Master Plan1.124.-1638494306.html | 165 + ...ication Master Plan1.1242.-1538281698.html | 168 + ...ification Master Plan1.1247.647652349.html | 168 + ...ication Master Plan1.1252.-1461380900.html | 168 + ...ification Master Plan1.1257.724553147.html | 168 + ...ication Master Plan1.1262.-1384480102.html | 168 + ...ification Master Plan1.1267.801453945.html | 168 + ...ication Master Plan1.1272.-1307579304.html | 168 + ...ification Master Plan1.1277.878354743.html | 168 + ...ication Master Plan1.1282.-1230678506.html | 168 + ...ification Master Plan1.1287.955255541.html | 168 + ...fication Master Plan1.129.-1186884177.html | 165 + ...ication Master Plan1.1292.-2021957025.html | 168 + ...ification Master Plan1.1297.163977022.html | 168 + ...ication Master Plan1.1302.-1945056227.html | 168 + ...ification Master Plan1.1307.240877820.html | 168 + ...ication Master Plan1.1312.-1179308714.html | 168 + ...ication Master Plan1.1317.-1146885941.html | 168 + ...fication Master Plan1.1322.1242754284.html | 168 + ...fication Master Plan1.1327.2109806509.html | 168 + ...ication Master Plan1.1332.-1318108562.html | 168 + ...fication Master Plan1.1337.-451056337.html | 168 + ...ification Master Plan1.134.-455422472.html | 165 + ...ification Master Plan1.1342.415995888.html | 168 + ...fication Master Plan1.1347.1283048113.html | 168 + ...ication Master Plan1.1352.-2144866958.html | 168 + ...fication Master Plan1.1357.1192171834.html | 168 + ...ication Master Plan1.1362.-1993980263.html | 168 + ...fication Master Plan1.1367.-885165064.html | 168 + ...ification Master Plan1.1372.223650135.html | 168 + ...fication Master Plan1.1377.1332465334.html | 168 + ...ication Master Plan1.1382.-1853686763.html | 168 + ...fication Master Plan1.1387.-744871564.html | 168 + ...fication Master Plan1.139.-1586534205.html | 165 + ...ification Master Plan1.1392.363943635.html | 168 + ...fication Master Plan1.1397.1472758834.html | 168 + ...erification Master Plan1.14.620102707.html | 165 + ...ication Master Plan1.1402.-1713393263.html | 168 + ...fication Master Plan1.1407.2059224059.html | 168 + ...ication Master Plan1.1412.-1126928038.html | 168 + ...ification Master Plan1.1417.-18112839.html | 168 + ...fication Master Plan1.1422.1090702360.html | 168 + ...ication Master Plan1.1427.-2095449737.html | 168 + ...fication Master Plan1.1432.-986634538.html | 168 + ...ification Master Plan1.1437.122180661.html | 168 + ...fication Master Plan1.144.-1749712899.html | 165 + ...fication Master Plan1.1442.1230995860.html | 168 + ...ication Master Plan1.1447.-1955156237.html | 168 + ...fication Master Plan1.1452.-846341038.html | 168 + ...ication Master Plan1.1457.-1368691012.html | 168 + ...fication Master Plan1.1462.-259875813.html | 168 + ...ication Master Plan1.1467.-1194305010.html | 168 + ...fication Master Plan1.1472.1621542787.html | 168 + ...fication Master Plan1.1477.-526930012.html | 168 + ...ification Master Plan1.1482.340122213.html | 168 + ...fication Master Plan1.1487.1207174438.html | 168 + ...fication Master Plan1.149.-1508823099.html | 165 + ...fication Master Plan1.1492.2074226663.html | 168 + ...ication Master Plan1.1497.-1353688408.html | 168 + ...fication Master Plan1.1502.-486636183.html | 168 + ...ification Master Plan1.1507.380416042.html | 168 + ...ication Master Plan1.1512.-2128433790.html | 168 + ...ication Master Plan1.1517.-1019618591.html | 168 + ...rification Master Plan1.1522.89196608.html | 168 + ...fication Master Plan1.1527.1198011807.html | 168 + ...ication Master Plan1.1532.-1988140290.html | 168 + ...fication Master Plan1.1537.-879325091.html | 168 + ...ification Master Plan1.154.-308346724.html | 165 + ...ification Master Plan1.1542.229490108.html | 168 + ...fication Master Plan1.1547.1338305307.html | 168 + ...ication Master Plan1.1552.-1847846790.html | 168 + ...fication Master Plan1.1557.-739031591.html | 168 + ...ication Master Plan1.1562.-1261381565.html | 168 + ...fication Master Plan1.1567.-152566366.html | 168 + ...ification Master Plan1.1572.956248833.html | 168 + ...fication Master Plan1.1577.2065064032.html | 168 + ...ication Master Plan1.1582.-1121088065.html | 168 + ...ification Master Plan1.1587.-12272866.html | 168 + ...rification Master Plan1.159.336392141.html | 165 + ...fication Master Plan1.1592.1096542333.html | 168 + ...ication Master Plan1.1597.-2089609764.html | 168 + ...fication Master Plan1.1602.-980794565.html | 168 + ...ification Master Plan1.1607.128020634.html | 168 + ...fication Master Plan1.1612.-394329340.html | 168 + ...ification Master Plan1.1617.714485859.html | 168 + ...fication Master Plan1.1622.-915302387.html | 155 + ...ication Master Plan1.1627.-1508803777.html | 155 + ...fication Master Plan1.1632.-198221801.html | 155 + ...fication Master Plan1.1637.-291254790.html | 155 + ...ification Master Plan1.164.1536868516.html | 165 + ...fication Master Plan1.1642.1712498972.html | 155 + ...fication Master Plan1.1648.1715255831.html | 155 + ...ication Master Plan1.1650.-1615011303.html | 168 + ...ification Master Plan1.1655.388819141.html | 155 + ...ication Master Plan1.1661.-1212680387.html | 144 + ...rification Master Plan1.1662.30950871.html | 350 + ...ification Master Plan1.1667.718258809.html | 209 + ...ication Master Plan1.1668.-1989064918.html | 157 + ...ication Master Plan1.1673.-1626953329.html | 157 + ...fication Master Plan1.1678.-539000018.html | 157 + ...ification Master Plan1.1683.354486216.html | 157 + ...fication Master Plan1.1688.2025572939.html | 157 + ...ification Master Plan1.169.1389942713.html | 165 + ...fication Master Plan1.1693.1216085482.html | 157 + ...fication Master Plan1.1698.2055450286.html | 157 + ...rification Master Plan1.1703.28181615.html | 157 + ...fication Master Plan1.1708.1359513124.html | 157 + ...ication Master Plan1.1713.-1356273533.html | 157 + ...ification Master Plan1.1718.307886606.html | 157 + ...fication Master Plan1.1726.1895599623.html | 151 + ...ification Master Plan1.174.1440031256.html | 165 + ...ification Master Plan1.179.1159536372.html | 165 + ...fication Master Plan1.184.-1934954549.html | 165 + ...fication Master Plan1.189.-1672001793.html | 165 + ...rification Master Plan1.19.1820579082.html | 165 + ...ification Master Plan1.194.-471525418.html | 165 + ...rification Master Plan1.199.234857106.html | 165 + ...rification Master Plan1.204.-10175986.html | 165 + ...fication Master Plan1.209.-1798651508.html | 165 + ...fication Master Plan1.214.-1249992531.html | 165 + ...rification Master Plan1.219.-49516156.html | 165 + ...ification Master Plan1.225.1535310756.html | 224 + ...ification Master Plan1.227.-657025433.html | 157 + ...fication Master Plan1.232.-1469918018.html | 157 + ...rification Master Plan1.237.446613682.html | 157 + ...erification Master Plan1.24.348138217.html | 165 + ...ification Master Plan1.242.1016747323.html | 157 + ...ification Master Plan1.247.1274264346.html | 157 + ...rification Master Plan1.252.693240786.html | 157 + ...ification Master Plan1.257.1502736112.html | 157 + ...rification Master Plan1.262.546314983.html | 157 + ...ification Master Plan1.267.1970309744.html | 157 + ...ification Master Plan1.272.1157417159.html | 157 + ...fication Master Plan1.277.-1221018437.html | 157 + ...ification Master Plan1.282.-650884796.html | 157 + ...rification Master Plan1.288.317372058.html | 329 + ...rification Master Plan1.29.1548614592.html | 165 + ...ification Master Plan1.290.-969653971.html | 157 + ...rification Master Plan1.295.647123143.html | 157 + ...rification Master Plan1.300.546158210.html | 157 + ...rification Master Plan1.305.230822404.html | 157 + ...fication Master Plan1.310.-1241618461.html | 157 + ...rification Master Plan1.315.-41142086.html | 157 + ...ification Master Plan1.320.2140388416.html | 157 + ...ification Master Plan1.325.1275281163.html | 157 + ...rification Master Plan1.330.391243442.html | 157 + ...rification Master Plan1.335.496959478.html | 157 + ...ification Master Plan1.34.-1063089350.html | 165 + ...ification Master Plan1.340.1226349537.html | 157 + ...ification Master Plan1.345.1280456143.html | 157 + ...fication Master Plan1.350.-1733149340.html | 157 + ...rification Master Plan1.355.241705743.html | 157 + ...rification Master Plan1.360.646810060.html | 157 + ...ification Master Plan1.365.-139044543.html | 157 + ...ification Master Plan1.370.1537526596.html | 157 + ...ification Master Plan1.375.1826937251.html | 157 + ...rification Master Plan1.380.933413659.html | 157 + ...ification Master Plan1.385.1518326441.html | 157 + ...ification Master Plan1.39.-1986026400.html | 165 + ...fication Master Plan1.390.-1898103402.html | 157 + ...rification Master Plan1.395.770256069.html | 157 + ...fication Master Plan1.400.-2061282096.html | 157 + ...fication Master Plan1.405.-1354899572.html | 157 + ...fication Master Plan1.410.-1599932664.html | 157 + ...erification Master Plan1.415.76638475.html | 157 + ...ification Master Plan1.420.1455218087.html | 157 + ...fication Master Plan1.426.-1197325014.html | 182 + ...erification Master Plan1.428.15189327.html | 157 + ...rification Master Plan1.433.674584806.html | 157 + ...rification Master Plan1.438.866318687.html | 157 + ...rification Master Plan1.44.-513274862.html | 165 + ...ification Master Plan1.443.1525714166.html | 157 + ...fication Master Plan1.448.-1068382621.html | 157 + ...ification Master Plan1.453.-408987142.html | 157 + ...ification Master Plan1.459.1792242064.html | 219 + ...erification Master Plan1.462.34745222.html | 157 + ...rification Master Plan1.467.706250680.html | 157 + ...ification Master Plan1.472.2136656754.html | 157 + ...rification Master Plan1.477.165429169.html | 157 + ...ification Master Plan1.482.1595835243.html | 157 + ...fication Master Plan1.487.-1329573675.html | 157 + ...erification Master Plan1.49.590364253.html | 165 + ...fication Master Plan1.492.-1248386061.html | 157 + ...rification Master Plan1.497.850678957.html | 157 + ...fication Master Plan1.502.-1936133375.html | 157 + ...rification Master Plan1.507.162931643.html | 157 + ...rification Master Plan1.512.309857446.html | 157 + ...rification Master Plan1.518.194308399.html | 168 + ...rification Master Plan1.520.582010247.html | 155 + ...rification Master Plan1.521.569821498.html | 159 + ...ification Master Plan1.526.1013432921.html | 159 + ...ification Master Plan1.531.1457044344.html | 159 + ...ification Master Plan1.537.1536239142.html | 260 + ...fication Master Plan1.538.-1391010357.html | 159 + ...rification Master Plan1.54.-410704004.html | 165 + ...rification Master Plan1.543.-21033776.html | 159 + ...rification Master Plan1.548.663961317.html | 159 + ...rification Master Plan1.553.783583650.html | 159 + ...ification Master Plan1.558.1468578743.html | 159 + ...rification Master Plan1.563.850727529.html | 159 + ...rification Master Plan1.568.797936069.html | 159 + ...ification Master Plan1.573.-835362689.html | 159 + ...ification Master Plan1.578.1398832429.html | 159 + ...fication Master Plan1.583.-1965059704.html | 159 + ...fication Master Plan1.588.-1439114397.html | 159 + ...erification Master Plan1.59.692935111.html | 165 + ...ification Master Plan1.593.-906289310.html | 159 + ...ification Master Plan1.598.-493350037.html | 159 + ...ification Master Plan1.603.1198911025.html | 159 + ...fication Master Plan1.608.-1080321751.html | 159 + ...ification Master Plan1.613.-643079963.html | 159 + ...ification Master Plan1.618.-561883157.html | 159 + ...ification Master Plan1.623.1854753284.html | 159 + ...fication Master Plan1.629.-1804499259.html | 155 + ...ification Master Plan1.630.1393704888.html | 159 + ...fication Master Plan1.635.-1926900736.html | 159 + ...rification Master Plan1.64.1443833643.html | 165 + ...fication Master Plan1.640.-1791572726.html | 159 + ...rification Master Plan1.646.578261173.html | 190 + ...rification Master Plan1.647.184910890.html | 159 + ...ification Master Plan1.652.-475958207.html | 159 + ...fication Master Plan1.657.-1192785038.html | 159 + ...fication Master Plan1.662.-1853654135.html | 159 + ...rification Master Plan1.667.705264099.html | 159 + ...erification Master Plan1.672.44395002.html | 159 + ...ification Master Plan1.677.1036342562.html | 159 + ...rification Master Plan1.682.375473465.html | 159 + ...ification Master Plan1.689.1731943307.html | 163 + ...rification Master Plan1.69.1981000120.html | 165 + ...rification Master Plan1.695.799221259.html | 163 + ...ification Master Plan1.698.1825633614.html | 165 + ...rification Master Plan1.7.-1268999905.html | 164 + ...ification Master Plan1.703.-791266358.html | 165 + ...fication Master Plan1.708.-1649057788.html | 165 + ...fication Master Plan1.715.-1345026289.html | 1443 + ...rification Master Plan1.717.881081310.html | 168 + ...ification Master Plan1.722.-605078745.html | 168 + ...ification Master Plan1.727.1094016372.html | 168 + ...ification Master Plan1.732.-779683984.html | 168 + ...rification Master Plan1.737.416196134.html | 168 + ...rification Master Plan1.74.1327615957.html | 165 + ...ification Master Plan1.742.-882165298.html | 168 + ...fication Master Plan1.747.-1837686577.html | 168 + ...ification Master Plan1.752.1501759440.html | 168 + ...rification Master Plan1.757.546238161.html | 168 + ...ification Master Plan1.762.-409283118.html | 168 + ...fication Master Plan1.767.-1364804397.html | 168 + ...ification Master Plan1.772.1974641620.html | 168 + ...rification Master Plan1.777.847389084.html | 168 + ...ification Master Plan1.782.1291000507.html | 168 + ...ification Master Plan1.787.1734611930.html | 168 + ...rification Master Plan1.79.1949714563.html | 165 + ...fication Master Plan1.792.-2116743943.html | 168 + ...fication Master Plan1.797.-1673132520.html | 168 + ...fication Master Plan1.802.-1229521097.html | 168 + ...ification Master Plan1.807.-785909674.html | 168 + ...ification Master Plan1.812.-342298251.html | 168 + ...rification Master Plan1.817.101313172.html | 168 + ...rification Master Plan1.822.544924595.html | 168 + ...ification Master Plan1.827.-108132195.html | 168 + ...rification Master Plan1.832.335479228.html | 168 + ...rification Master Plan1.837.779090651.html | 168 + ...ification Master Plan1.84.-1478861081.html | 165 + ...ification Master Plan1.842.1222702074.html | 168 + ...ification Master Plan1.847.1666313497.html | 168 + ...ification Master Plan1.852.2109924920.html | 168 + ...fication Master Plan1.857.-1741430953.html | 168 + ...fication Master Plan1.862.-1297819530.html | 168 + ...ification Master Plan1.867.-854208107.html | 168 + ...ification Master Plan1.872.-410596684.html | 168 + ...fication Master Plan1.877.-1063653474.html | 168 + ...ification Master Plan1.882.-620042051.html | 168 + ...fication Master Plan1.887.-2013364374.html | 168 + ...ification Master Plan1.89.-1424754475.html | 165 + ...rification Master Plan1.892.501594696.html | 168 + ...ification Master Plan1.897.2145191065.html | 168 + ...Verification Master Plan1.9.744007432.html | 197 + ...ification Master Plan1.902.-497096765.html | 168 + ...ification Master Plan1.907.-784320823.html | 168 + ...ification Master Plan1.912.1690858110.html | 168 + ...fication Master Plan1.917.-1649880291.html | 168 + ...ification Master Plan1.922.-695651396.html | 168 + ...rification Master Plan1.927.258577499.html | 168 + ...ification Master Plan1.932.1212806394.html | 168 + ...fication Master Plan1.937.-2127932007.html | 168 + ...rification Master Plan1.94.-125646084.html | 165 + ...fication Master Plan1.942.-1173703112.html | 168 + ...ification Master Plan1.947.-219474217.html | 168 + ...rification Master Plan1.952.734754678.html | 168 + ...ification Master Plan1.957.1688983573.html | 168 + ...rification Master Plan1.962.903507789.html | 168 + ...rification Master Plan1.967.419832462.html | 168 + ...rification Master Plan1.972.-63842865.html | 168 + ...ification Master Plan1.977.-547518192.html | 168 + ...fication Master Plan1.982.-1031193519.html | 168 + ...fication Master Plan1.987.-1514868846.html | 168 + ...rification Master Plan1.99.-921328815.html | 165 + ...ification Master Plan1.992.-965524215.html | 168 + ...fication Master Plan1.997.-1449199542.html | 168 + .../Code_coverage/html/urgReport/groups.html | 1410 + .../Code_coverage/html/urgReport/groups1.html | 1410 + .../Code_coverage/html/urgReport/groups2.html | 1410 + .../Code_coverage/html/urgReport/groups3.html | 1410 + .../Code_coverage/html/urgReport/groups4.html | 773 + .../Code_coverage/html/urgReport/grp0.html | 751 + .../Code_coverage/html/urgReport/grp1.html | 454 + .../Code_coverage/html/urgReport/grp10.html | 903 + .../Code_coverage/html/urgReport/grp100.html | 200 + .../Code_coverage/html/urgReport/grp101.html | 212 + .../Code_coverage/html/urgReport/grp102.html | 208 + .../Code_coverage/html/urgReport/grp103.html | 368 + .../Code_coverage/html/urgReport/grp104.html | 212 + .../Code_coverage/html/urgReport/grp105.html | 212 + .../Code_coverage/html/urgReport/grp106.html | 200 + .../Code_coverage/html/urgReport/grp107.html | 212 + .../Code_coverage/html/urgReport/grp108.html | 320 + .../Code_coverage/html/urgReport/grp109.html | 320 + .../Code_coverage/html/urgReport/grp11.html | 620 + .../Code_coverage/html/urgReport/grp110.html | 200 + .../Code_coverage/html/urgReport/grp111.html | 928 + .../Code_coverage/html/urgReport/grp112.html | 212 + .../Code_coverage/html/urgReport/grp113.html | 200 + .../Code_coverage/html/urgReport/grp114.html | 200 + .../Code_coverage/html/urgReport/grp115.html | 212 + .../Code_coverage/html/urgReport/grp116.html | 212 + .../Code_coverage/html/urgReport/grp117.html | 212 + .../Code_coverage/html/urgReport/grp118.html | 212 + .../Code_coverage/html/urgReport/grp119.html | 212 + .../Code_coverage/html/urgReport/grp12.html | 1894 + .../Code_coverage/html/urgReport/grp120.html | 200 + .../Code_coverage/html/urgReport/grp121.html | 200 + .../Code_coverage/html/urgReport/grp122.html | 200 + .../Code_coverage/html/urgReport/grp123.html | 200 + .../Code_coverage/html/urgReport/grp124.html | 368 + .../Code_coverage/html/urgReport/grp125.html | 200 + .../Code_coverage/html/urgReport/grp126.html | 200 + .../Code_coverage/html/urgReport/grp127.html | 212 + .../Code_coverage/html/urgReport/grp128.html | 212 + .../Code_coverage/html/urgReport/grp129.html | 200 + .../Code_coverage/html/urgReport/grp13.html | 1871 + .../Code_coverage/html/urgReport/grp130.html | 212 + .../Code_coverage/html/urgReport/grp131.html | 200 + .../Code_coverage/html/urgReport/grp132.html | 212 + .../Code_coverage/html/urgReport/grp133.html | 200 + .../Code_coverage/html/urgReport/grp134.html | 200 + .../Code_coverage/html/urgReport/grp135.html | 212 + .../Code_coverage/html/urgReport/grp136.html | 724 + .../Code_coverage/html/urgReport/grp137.html | 200 + .../Code_coverage/html/urgReport/grp138.html | 200 + .../Code_coverage/html/urgReport/grp139.html | 200 + .../Code_coverage/html/urgReport/grp14.html | 2895 ++ .../Code_coverage/html/urgReport/grp140.html | 200 + .../Code_coverage/html/urgReport/grp141.html | 200 + .../Code_coverage/html/urgReport/grp142.html | 1145 + .../Code_coverage/html/urgReport/grp143.html | 200 + .../Code_coverage/html/urgReport/grp144.html | 200 + .../Code_coverage/html/urgReport/grp145.html | 320 + .../Code_coverage/html/urgReport/grp146.html | 212 + .../Code_coverage/html/urgReport/grp147.html | 200 + .../Code_coverage/html/urgReport/grp148.html | 1000 + .../Code_coverage/html/urgReport/grp149.html | 212 + .../Code_coverage/html/urgReport/grp15.html | 1501 + .../Code_coverage/html/urgReport/grp150.html | 303 + .../Code_coverage/html/urgReport/grp151.html | 200 + .../Code_coverage/html/urgReport/grp152.html | 368 + .../Code_coverage/html/urgReport/grp153.html | 688 + .../Code_coverage/html/urgReport/grp154.html | 200 + .../Code_coverage/html/urgReport/grp155.html | 200 + .../Code_coverage/html/urgReport/grp156.html | 200 + .../Code_coverage/html/urgReport/grp157.html | 212 + .../Code_coverage/html/urgReport/grp158.html | 212 + .../Code_coverage/html/urgReport/grp159.html | 368 + .../Code_coverage/html/urgReport/grp16.html | 1669 + .../Code_coverage/html/urgReport/grp160.html | 200 + .../Code_coverage/html/urgReport/grp161.html | 6253 ++++ .../Code_coverage/html/urgReport/grp162.html | 200 + .../Code_coverage/html/urgReport/grp163.html | 200 + .../Code_coverage/html/urgReport/grp164.html | 368 + .../Code_coverage/html/urgReport/grp165.html | 212 + .../Code_coverage/html/urgReport/grp166.html | 200 + .../Code_coverage/html/urgReport/grp167.html | 200 + .../Code_coverage/html/urgReport/grp168.html | 200 + .../Code_coverage/html/urgReport/grp169.html | 200 + .../Code_coverage/html/urgReport/grp17.html | 1130 + .../Code_coverage/html/urgReport/grp170.html | 200 + .../Code_coverage/html/urgReport/grp171.html | 200 + .../Code_coverage/html/urgReport/grp172.html | 200 + .../Code_coverage/html/urgReport/grp173.html | 212 + .../Code_coverage/html/urgReport/grp174.html | 368 + .../Code_coverage/html/urgReport/grp175.html | 212 + .../Code_coverage/html/urgReport/grp176.html | 200 + .../Code_coverage/html/urgReport/grp177.html | 200 + .../Code_coverage/html/urgReport/grp178.html | 200 + .../Code_coverage/html/urgReport/grp179.html | 212 + .../Code_coverage/html/urgReport/grp18.html | 1006 + .../Code_coverage/html/urgReport/grp180.html | 208 + .../Code_coverage/html/urgReport/grp181.html | 212 + .../Code_coverage/html/urgReport/grp182.html | 534 + .../Code_coverage/html/urgReport/grp183.html | 212 + .../Code_coverage/html/urgReport/grp184.html | 368 + .../Code_coverage/html/urgReport/grp185.html | 200 + .../Code_coverage/html/urgReport/grp186.html | 200 + .../Code_coverage/html/urgReport/grp187.html | 200 + .../Code_coverage/html/urgReport/grp188.html | 212 + .../Code_coverage/html/urgReport/grp189.html | 212 + .../Code_coverage/html/urgReport/grp19.html | 3499 ++ .../Code_coverage/html/urgReport/grp190.html | 212 + .../Code_coverage/html/urgReport/grp191.html | 212 + .../Code_coverage/html/urgReport/grp192.html | 200 + .../Code_coverage/html/urgReport/grp193.html | 200 + .../Code_coverage/html/urgReport/grp194.html | 200 + .../Code_coverage/html/urgReport/grp195.html | 212 + .../Code_coverage/html/urgReport/grp196.html | 200 + .../Code_coverage/html/urgReport/grp197.html | 368 + .../Code_coverage/html/urgReport/grp198.html | 212 + .../Code_coverage/html/urgReport/grp199.html | 368 + .../Code_coverage/html/urgReport/grp2.html | 1106 + .../Code_coverage/html/urgReport/grp20.html | 1807 + .../Code_coverage/html/urgReport/grp200.html | 212 + .../Code_coverage/html/urgReport/grp201.html | 212 + .../Code_coverage/html/urgReport/grp202.html | 200 + .../Code_coverage/html/urgReport/grp203.html | 368 + .../Code_coverage/html/urgReport/grp204.html | 200 + .../Code_coverage/html/urgReport/grp205.html | 200 + .../Code_coverage/html/urgReport/grp206.html | 212 + .../Code_coverage/html/urgReport/grp207.html | 260 + .../Code_coverage/html/urgReport/grp208.html | 208 + .../Code_coverage/html/urgReport/grp209.html | 200 + .../Code_coverage/html/urgReport/grp21.html | 1589 + .../Code_coverage/html/urgReport/grp210.html | 200 + .../Code_coverage/html/urgReport/grp211.html | 320 + .../Code_coverage/html/urgReport/grp212.html | 200 + .../Code_coverage/html/urgReport/grp213.html | 212 + .../Code_coverage/html/urgReport/grp214.html | 1405 + .../Code_coverage/html/urgReport/grp215.html | 212 + .../Code_coverage/html/urgReport/grp216.html | 200 + .../Code_coverage/html/urgReport/grp217.html | 688 + .../Code_coverage/html/urgReport/grp218.html | 212 + .../Code_coverage/html/urgReport/grp219.html | 212 + .../Code_coverage/html/urgReport/grp22.html | 343 + .../Code_coverage/html/urgReport/grp220.html | 320 + .../Code_coverage/html/urgReport/grp221.html | 200 + .../Code_coverage/html/urgReport/grp222.html | 200 + .../Code_coverage/html/urgReport/grp223.html | 212 + .../Code_coverage/html/urgReport/grp224.html | 212 + .../Code_coverage/html/urgReport/grp225.html | 368 + .../Code_coverage/html/urgReport/grp226.html | 212 + .../Code_coverage/html/urgReport/grp227.html | 200 + .../Code_coverage/html/urgReport/grp228.html | 212 + .../Code_coverage/html/urgReport/grp229.html | 200 + .../Code_coverage/html/urgReport/grp22_1.html | 20274 +++++++++++ .../html/urgReport/grp22_bin1_1.html | 30069 ++++++++++++++++ .../html/urgReport/grp22_bin1_2.html | 30069 ++++++++++++++++ .../html/urgReport/grp22_bin1_3.html | 23217 ++++++++++++ .../Code_coverage/html/urgReport/grp23.html | 1273 + .../Code_coverage/html/urgReport/grp230.html | 212 + .../Code_coverage/html/urgReport/grp231.html | 200 + .../Code_coverage/html/urgReport/grp232.html | 200 + .../Code_coverage/html/urgReport/grp233.html | 200 + .../Code_coverage/html/urgReport/grp234.html | 200 + .../Code_coverage/html/urgReport/grp235.html | 208 + .../Code_coverage/html/urgReport/grp236.html | 212 + .../Code_coverage/html/urgReport/grp237.html | 212 + .../Code_coverage/html/urgReport/grp238.html | 200 + .../Code_coverage/html/urgReport/grp239.html | 212 + .../Code_coverage/html/urgReport/grp24.html | 832 + .../Code_coverage/html/urgReport/grp240.html | 200 + .../Code_coverage/html/urgReport/grp241.html | 212 + .../Code_coverage/html/urgReport/grp242.html | 200 + .../Code_coverage/html/urgReport/grp243.html | 212 + .../Code_coverage/html/urgReport/grp244.html | 368 + .../Code_coverage/html/urgReport/grp245.html | 320 + .../Code_coverage/html/urgReport/grp246.html | 208 + .../Code_coverage/html/urgReport/grp247.html | 212 + .../Code_coverage/html/urgReport/grp248.html | 212 + .../Code_coverage/html/urgReport/grp249.html | 200 + .../Code_coverage/html/urgReport/grp25.html | 758 + .../Code_coverage/html/urgReport/grp250.html | 240 + .../Code_coverage/html/urgReport/grp251.html | 212 + .../Code_coverage/html/urgReport/grp252.html | 200 + .../Code_coverage/html/urgReport/grp253.html | 200 + .../Code_coverage/html/urgReport/grp254.html | 212 + .../Code_coverage/html/urgReport/grp255.html | 212 + .../Code_coverage/html/urgReport/grp256.html | 208 + .../Code_coverage/html/urgReport/grp257.html | 212 + .../Code_coverage/html/urgReport/grp258.html | 212 + .../Code_coverage/html/urgReport/grp259.html | 200 + .../Code_coverage/html/urgReport/grp26.html | 1679 + .../Code_coverage/html/urgReport/grp260.html | 200 + .../Code_coverage/html/urgReport/grp261.html | 212 + .../Code_coverage/html/urgReport/grp262.html | 212 + .../Code_coverage/html/urgReport/grp263.html | 200 + .../Code_coverage/html/urgReport/grp264.html | 212 + .../Code_coverage/html/urgReport/grp265.html | 212 + .../Code_coverage/html/urgReport/grp266.html | 200 + .../Code_coverage/html/urgReport/grp267.html | 212 + .../Code_coverage/html/urgReport/grp268.html | 200 + .../Code_coverage/html/urgReport/grp269.html | 212 + .../Code_coverage/html/urgReport/grp27.html | 1439 + .../Code_coverage/html/urgReport/grp270.html | 200 + .../Code_coverage/html/urgReport/grp271.html | 200 + .../Code_coverage/html/urgReport/grp272.html | 212 + .../Code_coverage/html/urgReport/grp273.html | 212 + .../Code_coverage/html/urgReport/grp274.html | 200 + .../Code_coverage/html/urgReport/grp275.html | 212 + .../Code_coverage/html/urgReport/grp276.html | 212 + .../Code_coverage/html/urgReport/grp277.html | 200 + .../Code_coverage/html/urgReport/grp278.html | 200 + .../Code_coverage/html/urgReport/grp279.html | 200 + .../Code_coverage/html/urgReport/grp28.html | 585 + .../Code_coverage/html/urgReport/grp280.html | 212 + .../Code_coverage/html/urgReport/grp281.html | 200 + .../Code_coverage/html/urgReport/grp282.html | 212 + .../Code_coverage/html/urgReport/grp283.html | 200 + .../Code_coverage/html/urgReport/grp284.html | 212 + .../Code_coverage/html/urgReport/grp285.html | 200 + .../Code_coverage/html/urgReport/grp286.html | 200 + .../Code_coverage/html/urgReport/grp287.html | 212 + .../Code_coverage/html/urgReport/grp288.html | 212 + .../Code_coverage/html/urgReport/grp289.html | 200 + .../Code_coverage/html/urgReport/grp29.html | 5371 +++ .../Code_coverage/html/urgReport/grp290.html | 212 + .../Code_coverage/html/urgReport/grp291.html | 212 + .../Code_coverage/html/urgReport/grp292.html | 212 + .../Code_coverage/html/urgReport/grp293.html | 212 + .../Code_coverage/html/urgReport/grp294.html | 212 + .../Code_coverage/html/urgReport/grp295.html | 200 + .../Code_coverage/html/urgReport/grp296.html | 200 + .../Code_coverage/html/urgReport/grp297.html | 200 + .../Code_coverage/html/urgReport/grp298.html | 200 + .../Code_coverage/html/urgReport/grp299.html | 212 + .../Code_coverage/html/urgReport/grp3.html | 212 + .../Code_coverage/html/urgReport/grp30.html | 1004 + .../Code_coverage/html/urgReport/grp300.html | 320 + .../Code_coverage/html/urgReport/grp301.html | 212 + .../Code_coverage/html/urgReport/grp302.html | 212 + .../Code_coverage/html/urgReport/grp303.html | 200 + .../Code_coverage/html/urgReport/grp304.html | 200 + .../Code_coverage/html/urgReport/grp305.html | 212 + .../Code_coverage/html/urgReport/grp306.html | 212 + .../Code_coverage/html/urgReport/grp307.html | 212 + .../Code_coverage/html/urgReport/grp308.html | 212 + .../Code_coverage/html/urgReport/grp309.html | 212 + .../Code_coverage/html/urgReport/grp31.html | 2035 ++ .../Code_coverage/html/urgReport/grp310.html | 212 + .../Code_coverage/html/urgReport/grp311.html | 212 + .../Code_coverage/html/urgReport/grp312.html | 200 + .../Code_coverage/html/urgReport/grp313.html | 320 + .../Code_coverage/html/urgReport/grp314.html | 200 + .../Code_coverage/html/urgReport/grp315.html | 200 + .../Code_coverage/html/urgReport/grp316.html | 320 + .../Code_coverage/html/urgReport/grp317.html | 212 + .../Code_coverage/html/urgReport/grp318.html | 212 + .../Code_coverage/html/urgReport/grp319.html | 212 + .../Code_coverage/html/urgReport/grp32.html | 1129 + .../Code_coverage/html/urgReport/grp320.html | 212 + .../Code_coverage/html/urgReport/grp321.html | 212 + .../Code_coverage/html/urgReport/grp322.html | 200 + .../Code_coverage/html/urgReport/grp323.html | 212 + .../Code_coverage/html/urgReport/grp324.html | 212 + .../Code_coverage/html/urgReport/grp325.html | 200 + .../Code_coverage/html/urgReport/grp326.html | 200 + .../Code_coverage/html/urgReport/grp327.html | 212 + .../Code_coverage/html/urgReport/grp328.html | 212 + .../Code_coverage/html/urgReport/grp329.html | 320 + .../Code_coverage/html/urgReport/grp33.html | 347 + .../Code_coverage/html/urgReport/grp330.html | 200 + .../Code_coverage/html/urgReport/grp331.html | 212 + .../Code_coverage/html/urgReport/grp332.html | 320 + .../Code_coverage/html/urgReport/grp333.html | 212 + .../Code_coverage/html/urgReport/grp334.html | 200 + .../Code_coverage/html/urgReport/grp335.html | 212 + .../Code_coverage/html/urgReport/grp336.html | 320 + .../Code_coverage/html/urgReport/grp337.html | 200 + .../Code_coverage/html/urgReport/grp338.html | 212 + .../Code_coverage/html/urgReport/grp339.html | 368 + .../Code_coverage/html/urgReport/grp34.html | 373 + .../Code_coverage/html/urgReport/grp340.html | 212 + .../Code_coverage/html/urgReport/grp341.html | 212 + .../Code_coverage/html/urgReport/grp342.html | 200 + .../Code_coverage/html/urgReport/grp343.html | 212 + .../Code_coverage/html/urgReport/grp344.html | 212 + .../Code_coverage/html/urgReport/grp345.html | 212 + .../Code_coverage/html/urgReport/grp346.html | 212 + .../Code_coverage/html/urgReport/grp347.html | 212 + .../Code_coverage/html/urgReport/grp348.html | 732 + .../Code_coverage/html/urgReport/grp349.html | 380 + .../Code_coverage/html/urgReport/grp35.html | 1069 + .../Code_coverage/html/urgReport/grp350.html | 200 + .../Code_coverage/html/urgReport/grp351.html | 200 + .../Code_coverage/html/urgReport/grp352.html | 212 + .../Code_coverage/html/urgReport/grp353.html | 200 + .../Code_coverage/html/urgReport/grp354.html | 200 + .../Code_coverage/html/urgReport/grp355.html | 212 + .../Code_coverage/html/urgReport/grp356.html | 200 + .../Code_coverage/html/urgReport/grp357.html | 200 + .../Code_coverage/html/urgReport/grp358.html | 200 + .../Code_coverage/html/urgReport/grp359.html | 2915 ++ .../Code_coverage/html/urgReport/grp36.html | 1615 + .../Code_coverage/html/urgReport/grp360.html | 200 + .../Code_coverage/html/urgReport/grp361.html | 200 + .../Code_coverage/html/urgReport/grp362.html | 200 + .../Code_coverage/html/urgReport/grp363.html | 200 + .../Code_coverage/html/urgReport/grp364.html | 200 + .../Code_coverage/html/urgReport/grp365.html | 200 + .../Code_coverage/html/urgReport/grp366.html | 200 + .../Code_coverage/html/urgReport/grp367.html | 200 + .../Code_coverage/html/urgReport/grp368.html | 200 + .../Code_coverage/html/urgReport/grp369.html | 200 + .../Code_coverage/html/urgReport/grp37.html | 1060 + .../Code_coverage/html/urgReport/grp370.html | 200 + .../Code_coverage/html/urgReport/grp371.html | 200 + .../Code_coverage/html/urgReport/grp372.html | 212 + .../Code_coverage/html/urgReport/grp373.html | 212 + .../Code_coverage/html/urgReport/grp374.html | 212 + .../Code_coverage/html/urgReport/grp375.html | 212 + .../Code_coverage/html/urgReport/grp376.html | 212 + .../Code_coverage/html/urgReport/grp377.html | 200 + .../Code_coverage/html/urgReport/grp378.html | 200 + .../Code_coverage/html/urgReport/grp379.html | 212 + .../Code_coverage/html/urgReport/grp38.html | 618 + .../Code_coverage/html/urgReport/grp380.html | 212 + .../Code_coverage/html/urgReport/grp381.html | 212 + .../Code_coverage/html/urgReport/grp382.html | 200 + .../Code_coverage/html/urgReport/grp383.html | 212 + .../Code_coverage/html/urgReport/grp384.html | 212 + .../Code_coverage/html/urgReport/grp385.html | 208 + .../Code_coverage/html/urgReport/grp386.html | 200 + .../Code_coverage/html/urgReport/grp387.html | 200 + .../Code_coverage/html/urgReport/grp388.html | 200 + .../Code_coverage/html/urgReport/grp389.html | 212 + .../Code_coverage/html/urgReport/grp39.html | 906 + .../Code_coverage/html/urgReport/grp390.html | 212 + .../Code_coverage/html/urgReport/grp391.html | 368 + .../Code_coverage/html/urgReport/grp392.html | 212 + .../Code_coverage/html/urgReport/grp393.html | 212 + .../Code_coverage/html/urgReport/grp394.html | 200 + .../Code_coverage/html/urgReport/grp395.html | 200 + .../Code_coverage/html/urgReport/grp396.html | 200 + .../Code_coverage/html/urgReport/grp397.html | 200 + .../Code_coverage/html/urgReport/grp398.html | 212 + .../Code_coverage/html/urgReport/grp399.html | 320 + .../Code_coverage/html/urgReport/grp4.html | 1295 + .../Code_coverage/html/urgReport/grp40.html | 792 + .../Code_coverage/html/urgReport/grp400.html | 206 + .../Code_coverage/html/urgReport/grp401.html | 200 + .../Code_coverage/html/urgReport/grp402.html | 212 + .../Code_coverage/html/urgReport/grp403.html | 796 + .../html/urgReport/grp403_1.html | 11612 ++++++ .../html/urgReport/grp403_2.html | 104 + .../html/urgReport/grp403_3.html | 11607 ++++++ .../html/urgReport/grp403_4.html | 104 + .../html/urgReport/grp403_5.html | 11607 ++++++ .../html/urgReport/grp403_6.html | 104 + .../html/urgReport/grp403_7.html | 11606 ++++++ .../html/urgReport/grp403_8.html | 104 + .../Code_coverage/html/urgReport/grp404.html | 200 + .../Code_coverage/html/urgReport/grp405.html | 368 + .../Code_coverage/html/urgReport/grp406.html | 212 + .../Code_coverage/html/urgReport/grp407.html | 200 + .../Code_coverage/html/urgReport/grp408.html | 570 + .../Code_coverage/html/urgReport/grp409.html | 212 + .../Code_coverage/html/urgReport/grp41.html | 900 + .../Code_coverage/html/urgReport/grp410.html | 212 + .../Code_coverage/html/urgReport/grp411.html | 212 + .../Code_coverage/html/urgReport/grp412.html | 1324 + .../Code_coverage/html/urgReport/grp413.html | 368 + .../Code_coverage/html/urgReport/grp414.html | 212 + .../Code_coverage/html/urgReport/grp415.html | 212 + .../Code_coverage/html/urgReport/grp416.html | 212 + .../Code_coverage/html/urgReport/grp417.html | 200 + .../Code_coverage/html/urgReport/grp418.html | 200 + .../Code_coverage/html/urgReport/grp419.html | 1009 + .../Code_coverage/html/urgReport/grp42.html | 872 + .../Code_coverage/html/urgReport/grp420.html | 216 + .../Code_coverage/html/urgReport/grp421.html | 212 + .../Code_coverage/html/urgReport/grp422.html | 200 + .../Code_coverage/html/urgReport/grp423.html | 200 + .../Code_coverage/html/urgReport/grp424.html | 200 + .../Code_coverage/html/urgReport/grp425.html | 360 + .../Code_coverage/html/urgReport/grp426.html | 200 + .../Code_coverage/html/urgReport/grp427.html | 200 + .../Code_coverage/html/urgReport/grp428.html | 200 + .../Code_coverage/html/urgReport/grp429.html | 212 + .../Code_coverage/html/urgReport/grp43.html | 1389 + .../Code_coverage/html/urgReport/grp430.html | 664 + .../html/urgReport/grp430_1.html | 11430 ++++++ .../html/urgReport/grp430_2.html | 11435 ++++++ .../html/urgReport/grp430_3.html | 11434 ++++++ .../html/urgReport/grp430_4.html | 11418 ++++++ .../Code_coverage/html/urgReport/grp431.html | 200 + .../Code_coverage/html/urgReport/grp432.html | 200 + .../Code_coverage/html/urgReport/grp433.html | 244 + .../Code_coverage/html/urgReport/grp434.html | 200 + .../Code_coverage/html/urgReport/grp435.html | 320 + .../Code_coverage/html/urgReport/grp436.html | 397 + .../Code_coverage/html/urgReport/grp437.html | 212 + .../Code_coverage/html/urgReport/grp438.html | 212 + .../Code_coverage/html/urgReport/grp439.html | 200 + .../Code_coverage/html/urgReport/grp44.html | 6034 ++++ .../Code_coverage/html/urgReport/grp440.html | 200 + .../Code_coverage/html/urgReport/grp441.html | 212 + .../Code_coverage/html/urgReport/grp442.html | 212 + .../Code_coverage/html/urgReport/grp443.html | 212 + .../Code_coverage/html/urgReport/grp444.html | 212 + .../Code_coverage/html/urgReport/grp445.html | 200 + .../Code_coverage/html/urgReport/grp446.html | 212 + .../Code_coverage/html/urgReport/grp447.html | 212 + .../Code_coverage/html/urgReport/grp448.html | 212 + .../Code_coverage/html/urgReport/grp449.html | 212 + .../Code_coverage/html/urgReport/grp45.html | 6523 ++++ .../Code_coverage/html/urgReport/grp450.html | 200 + .../Code_coverage/html/urgReport/grp46.html | 1716 + .../Code_coverage/html/urgReport/grp47.html | 458 + .../Code_coverage/html/urgReport/grp48.html | 1162 + .../Code_coverage/html/urgReport/grp49.html | 394 + .../Code_coverage/html/urgReport/grp5.html | 2193 ++ .../Code_coverage/html/urgReport/grp50.html | 2177 ++ .../Code_coverage/html/urgReport/grp51.html | 4061 +++ .../Code_coverage/html/urgReport/grp52.html | 1193 + .../Code_coverage/html/urgReport/grp53.html | 3431 ++ .../Code_coverage/html/urgReport/grp54.html | 5657 +++ .../Code_coverage/html/urgReport/grp55.html | 710 + .../Code_coverage/html/urgReport/grp56.html | 7655 ++++ .../Code_coverage/html/urgReport/grp57.html | 1406 + .../Code_coverage/html/urgReport/grp58.html | 1389 + .../Code_coverage/html/urgReport/grp59.html | 1057 + .../Code_coverage/html/urgReport/grp6.html | 4430 +++ .../Code_coverage/html/urgReport/grp60.html | 586 + .../Code_coverage/html/urgReport/grp61.html | 1194 + .../Code_coverage/html/urgReport/grp62.html | 2281 ++ .../Code_coverage/html/urgReport/grp63.html | 1034 + .../Code_coverage/html/urgReport/grp64.html | 1785 + .../Code_coverage/html/urgReport/grp65.html | 2729 ++ .../Code_coverage/html/urgReport/grp66.html | 5047 +++ .../Code_coverage/html/urgReport/grp67.html | 1277 + .../Code_coverage/html/urgReport/grp68.html | 968 + .../Code_coverage/html/urgReport/grp69.html | 1785 + .../Code_coverage/html/urgReport/grp6_1.html | 8351 +++++ .../Code_coverage/html/urgReport/grp6_10.html | 1961 + .../Code_coverage/html/urgReport/grp6_11.html | 1961 + .../Code_coverage/html/urgReport/grp6_12.html | 1961 + .../Code_coverage/html/urgReport/grp6_13.html | 1961 + .../Code_coverage/html/urgReport/grp6_14.html | 1961 + .../Code_coverage/html/urgReport/grp6_15.html | 1961 + .../Code_coverage/html/urgReport/grp6_16.html | 1961 + .../Code_coverage/html/urgReport/grp6_17.html | 1961 + .../Code_coverage/html/urgReport/grp6_18.html | 1961 + .../Code_coverage/html/urgReport/grp6_2.html | 1087 + .../Code_coverage/html/urgReport/grp6_3.html | 1961 + .../Code_coverage/html/urgReport/grp6_4.html | 1961 + .../Code_coverage/html/urgReport/grp6_5.html | 1961 + .../Code_coverage/html/urgReport/grp6_6.html | 1961 + .../Code_coverage/html/urgReport/grp6_7.html | 1961 + .../Code_coverage/html/urgReport/grp6_8.html | 1961 + .../Code_coverage/html/urgReport/grp6_9.html | 1961 + .../Code_coverage/html/urgReport/grp7.html | 1752 + .../Code_coverage/html/urgReport/grp70.html | 200 + .../Code_coverage/html/urgReport/grp71.html | 200 + .../Code_coverage/html/urgReport/grp72.html | 212 + .../Code_coverage/html/urgReport/grp73.html | 320 + .../Code_coverage/html/urgReport/grp74.html | 212 + .../Code_coverage/html/urgReport/grp75.html | 212 + .../Code_coverage/html/urgReport/grp76.html | 212 + .../Code_coverage/html/urgReport/grp77.html | 320 + .../Code_coverage/html/urgReport/grp78.html | 212 + .../Code_coverage/html/urgReport/grp79.html | 200 + .../Code_coverage/html/urgReport/grp7_1.html | 8361 +++++ .../Code_coverage/html/urgReport/grp7_2.html | 1165 + .../Code_coverage/html/urgReport/grp7_3.html | 1980 + .../Code_coverage/html/urgReport/grp7_4.html | 1980 + .../Code_coverage/html/urgReport/grp7_5.html | 1980 + .../Code_coverage/html/urgReport/grp8.html | 4061 +++ .../Code_coverage/html/urgReport/grp80.html | 200 + .../Code_coverage/html/urgReport/grp81.html | 212 + .../Code_coverage/html/urgReport/grp82.html | 200 + .../Code_coverage/html/urgReport/grp83.html | 212 + .../Code_coverage/html/urgReport/grp84.html | 212 + .../Code_coverage/html/urgReport/grp85.html | 212 + .../Code_coverage/html/urgReport/grp86.html | 200 + .../Code_coverage/html/urgReport/grp87.html | 212 + .../Code_coverage/html/urgReport/grp88.html | 200 + .../Code_coverage/html/urgReport/grp89.html | 200 + .../Code_coverage/html/urgReport/grp9.html | 1413 + .../Code_coverage/html/urgReport/grp90.html | 212 + .../Code_coverage/html/urgReport/grp91.html | 212 + .../Code_coverage/html/urgReport/grp92.html | 252 + .../Code_coverage/html/urgReport/grp93.html | 212 + .../Code_coverage/html/urgReport/grp94.html | 212 + .../Code_coverage/html/urgReport/grp95.html | 200 + .../Code_coverage/html/urgReport/grp96.html | 212 + .../Code_coverage/html/urgReport/grp97.html | 200 + .../Code_coverage/html/urgReport/grp98.html | 212 + .../Code_coverage/html/urgReport/grp99.html | 212 + .../html/urgReport/hierarchy.html | 484 + .../hvp.CVA6 Verification Master Plan.html | 153 + .../hvp.CVA6 Verification Master Plan1.html | 2350 ++ .../hvp.CVA6 Verification Master Plan2.html | 2099 ++ .../html/urgReport/js/.breadcrumb.js | 228 + .../html/urgReport/js/.colResizable.js | 1 + .../html/urgReport/js/.jquery-ui.js | 2 + .../html/urgReport/js/.jquery.js | 3 + .../html/urgReport/js/.layout.js | 123 + .../html/urgReport/js/.sortable.js | 357 + .../html/urgReport/js/.treetable.js | 645 + .../Code_coverage/html/urgReport/mod1.html | 234 + .../Code_coverage/html/urgReport/mod102.html | 262 + .../Code_coverage/html/urgReport/mod106.html | 555 + .../Code_coverage/html/urgReport/mod108.html | 162 + .../Code_coverage/html/urgReport/mod109.html | 397 + .../Code_coverage/html/urgReport/mod11.html | 245 + .../Code_coverage/html/urgReport/mod14.html | 944 + .../Code_coverage/html/urgReport/mod15.html | 4906 +++ .../Code_coverage/html/urgReport/mod16.html | 580 + .../Code_coverage/html/urgReport/mod17.html | 3370 ++ .../Code_coverage/html/urgReport/mod19.html | 862 + .../Code_coverage/html/urgReport/mod2.html | 294 + .../Code_coverage/html/urgReport/mod20.html | 740 + .../Code_coverage/html/urgReport/mod22.html | 1168 + .../Code_coverage/html/urgReport/mod28.html | 830 + .../Code_coverage/html/urgReport/mod3.html | 482 + .../Code_coverage/html/urgReport/mod32.html | 248 + .../Code_coverage/html/urgReport/mod38.html | 800 + .../Code_coverage/html/urgReport/mod40.html | 662 + .../Code_coverage/html/urgReport/mod41.html | 289 + .../Code_coverage/html/urgReport/mod43.html | 1066 + .../Code_coverage/html/urgReport/mod43_0.html | 91 + .../Code_coverage/html/urgReport/mod43_1.html | 3087 ++ .../Code_coverage/html/urgReport/mod44.html | 169 + .../Code_coverage/html/urgReport/mod47.html | 671 + .../Code_coverage/html/urgReport/mod48.html | 309 + .../Code_coverage/html/urgReport/mod49.html | 227 + .../Code_coverage/html/urgReport/mod50.html | 229 + .../Code_coverage/html/urgReport/mod51.html | 794 + .../Code_coverage/html/urgReport/mod56.html | 355 + .../Code_coverage/html/urgReport/mod59.html | 867 + .../Code_coverage/html/urgReport/mod6.html | 1392 + .../Code_coverage/html/urgReport/mod62.html | 642 + .../Code_coverage/html/urgReport/mod65.html | 199 + .../Code_coverage/html/urgReport/mod68.html | 627 + .../Code_coverage/html/urgReport/mod70.html | 507 + .../Code_coverage/html/urgReport/mod72.html | 443 + .../Code_coverage/html/urgReport/mod77.html | 428 + .../Code_coverage/html/urgReport/mod82.html | 288 + .../Code_coverage/html/urgReport/mod83.html | 4364 +++ .../Code_coverage/html/urgReport/mod89.html | 439 + .../Code_coverage/html/urgReport/mod9.html | 1473 + .../Code_coverage/html/urgReport/mod97.html | 235 + .../Code_coverage/html/urgReport/mod98.html | 202 + .../Code_coverage/html/urgReport/mod99.html | 1240 + .../Code_coverage/html/urgReport/modlist.html | 484 + ...plan.CVA6 Verification Master Plan1.2.html | 274 + .../Code_coverage/html/urgReport/tests.html | 4072 +++ .../Code_coverage/html/urgReport/tests1.html | 784 + 913 files changed, 600224 insertions(+) create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.breadcrumb.css create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.layout.css create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.treetable.css create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.urg.css create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups4.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp0.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp10.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp100.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp101.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp102.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp103.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp104.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp105.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp106.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp107.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp108.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp109.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp11.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp110.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp111.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp112.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp113.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp114.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp115.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp116.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp117.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp118.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp119.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp12.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp120.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp121.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp122.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp123.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp124.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp125.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp126.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp127.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp128.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp129.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp13.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp130.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp131.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp132.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp133.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp134.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp135.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp136.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp137.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp138.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp139.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp14.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp140.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp141.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp142.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp143.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp144.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp145.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp146.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp147.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp148.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp149.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp15.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp150.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp151.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp152.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp153.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp154.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp155.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp156.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp157.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp158.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp159.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp16.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp160.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp161.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp162.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp163.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp164.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp165.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp166.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp167.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp168.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp169.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp17.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp170.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp171.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp172.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp173.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp174.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp175.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp176.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp177.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp178.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp179.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp18.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp180.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp181.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp182.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp183.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp184.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp185.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp186.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp187.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp188.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp189.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp19.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp190.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp191.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp192.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp193.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp194.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp195.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp196.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp197.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp198.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp199.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp20.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp200.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp201.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp202.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp203.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp204.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp205.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp206.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp207.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp208.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp209.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp21.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp210.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp211.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp212.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp213.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp214.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp215.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp216.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp217.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp218.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp219.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp220.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp221.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp222.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp223.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp224.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp225.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp226.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp227.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp228.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp229.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp23.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp230.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp231.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp232.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp233.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp234.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp235.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp236.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp237.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp238.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp239.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp24.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp240.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp241.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp242.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp243.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp244.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp245.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp246.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp247.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp248.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp249.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp25.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp250.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp251.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp252.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp253.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp254.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp255.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp256.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp257.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp258.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp259.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp26.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp260.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp261.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp262.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp263.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp264.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp265.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp266.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp267.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp268.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp269.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp27.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp270.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp271.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp272.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp273.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp274.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp275.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp276.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp277.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp278.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp279.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp28.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp280.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp281.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp282.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp283.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp284.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp285.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp286.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp287.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp288.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp289.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp29.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp290.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp291.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp292.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp293.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp294.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp295.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp296.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp297.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp298.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp299.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp30.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp300.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp301.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp302.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp303.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp304.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp305.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp306.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp307.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp308.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp309.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp31.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp310.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp311.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp312.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp313.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp314.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp315.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp316.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp317.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp318.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp319.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp32.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp320.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp321.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp322.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp323.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp324.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp325.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp326.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp327.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp328.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp329.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp33.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp330.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp331.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp332.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp333.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp334.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp335.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp336.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp337.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp338.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp339.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp34.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp340.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp341.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp342.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp343.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp344.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp345.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp346.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp347.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp348.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp349.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp35.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp350.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp351.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp352.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp353.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp354.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp355.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp356.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp357.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp358.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp359.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp36.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp360.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp361.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp362.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp363.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp364.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp365.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp366.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp367.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp368.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp369.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp37.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp370.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp371.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp372.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp373.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp374.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp375.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp376.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp377.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp378.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp379.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp38.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp380.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp381.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp382.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp383.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp384.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp385.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp386.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp387.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp388.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp389.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp39.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp390.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp391.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp392.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp393.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp394.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp395.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp396.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp397.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp398.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp399.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp4.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp40.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp400.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp401.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp402.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_4.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_5.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_6.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_7.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_8.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp404.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp405.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp406.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp407.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp408.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp409.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp41.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp410.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp411.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp412.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp413.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp414.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp415.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp416.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp417.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp418.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp419.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp42.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp420.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp421.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp422.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp423.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp424.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp425.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp426.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp427.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp428.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp429.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp43.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_4.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp431.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp432.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp433.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp434.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp435.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp436.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp437.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp438.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp439.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp44.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp440.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp441.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp442.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp443.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp444.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp445.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp446.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp447.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp448.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp449.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp45.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp450.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp46.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp47.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp48.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp49.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp5.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp50.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp51.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp52.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp53.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp54.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp55.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp56.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp57.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp58.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp59.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp60.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp61.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp62.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp63.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp64.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp65.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp66.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp67.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp68.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp69.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_10.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_11.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_12.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_13.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_14.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_15.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_16.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_17.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_18.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_4.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_5.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_6.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_7.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_8.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_9.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp70.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp71.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp72.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp73.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp74.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp75.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp76.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp77.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp78.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp79.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_4.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_5.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp8.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp80.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp81.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp82.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp83.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp84.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp85.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp86.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp87.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp88.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp89.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp9.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp90.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp91.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp92.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp93.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp94.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp95.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp96.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp97.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp98.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp99.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.breadcrumb.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.colResizable.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.jquery-ui.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.jquery.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.layout.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.sortable.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.treetable.js create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod102.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod106.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod108.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod109.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod11.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod14.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod15.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod16.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod17.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod19.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod20.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod22.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod28.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod3.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod32.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod38.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod40.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod41.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod43.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod43_0.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod43_1.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod44.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod47.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod48.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod49.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod50.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod51.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod56.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod59.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod6.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod62.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod65.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod68.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod70.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod72.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod77.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod82.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod83.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod89.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod9.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod97.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod98.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/mod99.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/modlist.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/plan.CVA6 Verification Master Plan1.2.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/tests.html create mode 100644 Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/tests1.html diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.html new file mode 100644 index 00000000..90680d7a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/asserts.html @@ -0,0 +1,452 @@ + + + + + +Unified Coverage Report :: Assertions + + + + + + + + + + +
+ +
Assertions
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+Assertions by Category ++ + + +
ASSERTPROPERTIESSEQUENCES
Total01090
Category 001090
+
+
+Assertions by Severity ++ + + +
ASSERTPROPERTIESSEQUENCES
Total01090
Severity 001090
+
+
+Summary for Cover Properties ++ + + + +
NUMBERPERCENT
Total Number109100.00
Uncovered3733.94
Matches7266.06
+
+
+
+
+
+Detail Report for Cover Properties
+
+Cover Properties Uncovered: + ++ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
COVER PROPERTIESCATEGORYSEVERITYATTEMPTSMATCHESINCOMPLETESRC
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_gnt_assert_no_req +00512020680703 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_gnt_deassert_no_req +0051202068017 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid +005120206801469 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_gnt_assert_no_req +005120206802070 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_gnt_deassert_no_req +00512020680283 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid +005120206802353 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_gnt_assert_no_req +005120206801717 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_gnt_deassert_no_req +00512020680285 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_atomic_addr_aligned +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_err_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_lr_sc +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_exokay_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rchk_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rdata_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_stable +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_assert_no_rvalid +005120206800 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rready_deassert_no_rvalid +005120206801942 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_ruser_stable +005120206800 +
+
+Cover Properties Matches: + ++ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
COVER PROPERTIESCATEGORYSEVERITYATTEMPTSMATCHESINCOMPLETESRC
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue +0051202068929060 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle +0051202068929060 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr +00512020681641640 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req +0051202068337940 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit +00512020685925533651 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end +0051202068592550 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue +0051202068554590 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp +0051202068293350 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs +0051202068554230 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid +0051202068554590 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs +0051202068554370 +
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid +0051202068554590 +
uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_m_ext_taken +00512020681297820 +
uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_m_timer_taken +0051202068738000 +
uvmt_cva6_tb.cva6_dut_wrap.interrupt_assert.c_irq_priority +0051202068857190 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_addr_be_consistent +0051202068241146340 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_addr_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_contiguous +0051202068241122780 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_not_zero +0051202068241122780 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_be_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_r_after_a +0051202068158716600 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_req_until_gnt +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_wdata_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.c_we_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn +0051202068241122780 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid +0051202068158716600 +
uvmt_cva6_tb.cva6_dut_wrap.obi_fetch_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable +00512020688238280905 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_addr_be_consistent +005120206823768830 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_addr_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_contiguous +005120206823768830 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_not_zero +005120206823768830 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_be_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_r_after_a +005120206813844780 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_req_until_gnt +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_wdata_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.c_we_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn +005120206823768830 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid +005120206813844780 +
uvmt_cva6_tb.cva6_dut_wrap.obi_load_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable +00512020689924020 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_addr_be_consistent +005120206820593740 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_addr_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_contiguous +005120206820593740 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_not_zero +005120206820593740 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_be_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_r_after_a +005120206814061930 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_req_until_gnt +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_wdata_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.c_we_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_achk_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_aid_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_atop_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_auser_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_memtype_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_one_atomic_trn +005120206820593740 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_prot_stable +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_req_until_gnt +0051202068651997250 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_rid_follows_aid +005120206814061930 +
uvmt_cva6_tb.cva6_dut_wrap.obi_store_assert.u_assert.gen_1p2.u_1p2_assert.c_wuser_stable +0051202068651997250 +
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.breadcrumb.css b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.breadcrumb.css new file mode 100644 index 00000000..9f65c793 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.breadcrumb.css @@ -0,0 +1,86 @@ +.module:after { + clear: both; + content: "."; + display: block; + height: 0; + visibility: hidden; +} +/* float clearing for IE6 */ +* html .module { + height: 1%; + overflow: visible; +} +/* float clearing for IE7 */ +* + html .module { + min-height: 1%; +} +.breadCrumb { + margin: 0; + padding: 0; + display: block; + height: 21px; + overflow: hidden; + padding:5px; + border:solid 1px #dedede; + background:#fff; + height: auto; +} +.breadCrumb ul { + margin: 0; + padding: 0; + height: 21px; + display: block; +} +.breadCrumb ul li { + display: block; + float: left; + position: relative; + height: 21px; + overflow: hidden; + line-height: 21px; + margin: 0px 6px 0px 0; + padding: 0px 10px 0px 0; + font-size: 13px; + background: url(data:image/gif;base64,R0lGODlhBQAUALMPAOLi4u3t7e/v7+Xl5eTk5Ozs7OHh4f7+/tXV1c3NzfHx8c/Pz/Dw8P39/dTU1P///yH5BAEAAA8ALAAAAAAFABQAAAQmsLz5HH0j0GMpEVTTTQATIpehhEuYUIb5iFQ50dM3cVRGjQ8JJQIAOw==) no-repeat 100% 0; +} +.breadCrumb ul li div.chevronOverlay { + position: absolute; + right: 0; + top: 0; + z-index: 2; +} +.breadCrumb ul li span { + display: block; + overflow: hidden; +} +.breadCrumb ul li a { + display: block; + position: relative; + height: 21px; + line-height: 21px; + overflow: hidden; + float: left; +} +.breadCrumb ul li.first a { + height: 16px !important; + text-indent:-1000em; + width:16px; + padding: 0; + margin-top: 2px; + overflow: hidden; + background: url(data:image/gif;base64,R0lGODlhEAAgAOZ/AOTw8YjJNZjRUZSlyZi22EiQuv3+/lpaWne0vMzMzKO58klJScDAwGlpaaGhodbsue/v79XV1bq6uiyKmPL56fr6+qKiojSDsq2trfb29vD2+tzvxKSkpObm5oGBgdjY2Ov33YS+vcjIyKenp46OjoWj9dLS0oiIiPPz86rZb/Dw8Lvgivr99nXBFHSV+3Sqy8fHx+LyzrGxscLjl3t7e3C/DHil3kF26Txy6piYmJmZmZeXl5qampycnJ+fn5aWlpubm52dne0cJJ6enuhMUuZkad3Q0uR8gZSUlPj4+OCsr+KUmN7ExulARt+4u9vb216qp0+K1fj6/vz9+UKbl+dYXvb779Xm76nG6KzA/LzX5cjmotDqr4Os34q+x+VwdWShxV2J7WSuqmKosoGf/D+A0L7T8Lva3GiO7GuQ7k+gpO/448fg5mCW2Nfk96LOzomr8cfX+OPz0KLVYOvr6+bs/YKg+zd6zn7FI+OIjG++Ct3d3ZWVlXd3d////wAAACH5BAEAAH8ALAAAAAAQACAAAAeCgH+Cg4SFhoeIhH2CfYuJjYuNj5CRiJSQlpeYhZqXnJ2bg56eiqGUn5KMoaqpf6eJsLGys7S1hT+COTqwOzk5fzo8ib7BwkCIxTxAPT2Iysw9QUFDPobM09Q+Pg7chdrb3NwW44Xh5BYc6RyF4+iD6SMjherrgvHx8/CD9/K2/raBAAA7) no-repeat 0 0; +} +.breadCrumb ul li.first a:hover { + background-position: 0 -16px; +} +.breadCrumb ul li.last { + background: none; + margin-right: 0; + padding-right: 0; +} +.chevronOverlay { + display: none; + background: url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABQAAAAUCAYAAACNiR0NAAAAGXRFWHRTb2Z0d2FyZQBBZG9iZSBJbWFnZVJlYWR5ccllPAAAANhJREFUeNqslLsOgzAUQ52sRbzSIQg68f+/xASCiefGAI2ltkJiq2zJQzIcWbnXMed5IigJfgYXwWXw62KefbALfuCjbduw7zucc7jK4k9FUYRhGG73fwONMciyDOM4aoBUURTo+14HZMo0TTHPswb4Tdl1nQ5orUUcx1iWRQOkyrL8pZQAmZJrtK6rBkhVVYWmaXRA6jgOHbBtW9R1rQEyGbudJIkGyAnzDSVTZjpOl+kkQHaZeyhpCv9S9ph9lgCZjl2W/DZMN00T8jzXALkm3vvb/VuAAQA+U08h6yjgOwAAAABJRU5ErkJggg==) no-repeat 100% 0; + width: 13px; + height: 20px; +} diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.layout.css b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.layout.css new file mode 100644 index 00000000..287c6d03 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.layout.css @@ -0,0 +1,118 @@ +.ui-layout-pane { + background: #FFF; + border: 1px solid #BBB; + padding: 10px; + overflow: auto; + } +.ui-layout-content { + padding: 10px; + position: relative; + overflow: auto; + } +.layout-child-container, +.layout-content-container { + padding: 0; + overflow: hidden; +} +.layout-child-container { + border: 0; +} +.layout-scroll { + overflow: auto; +} +.layout-hide { + display: none; +} +.ui-layout-resizer { + background: #DDD; + border: 1px solid #BBB; + border-width: 0; + } +.ui-layout-resizer-drag { + } + .ui-layout-resizer-hover { + } +.ui-layout-resizer-open-hover , +.ui-layout-resizer-dragging { + background: #C4E1A4; + } +.ui-layout-resizer-dragging { + border: 1px solid #BBB; +} +.ui-layout-resizer-north-dragging, +.ui-layout-resizer-south-dragging { + border-width: 1px 0; +} +.ui-layout-resizer-west-dragging, +.ui-layout-resizer-east-dragging { + border-width: 0 1px; +} +.ui-layout-resizer-dragging-limit { + background: #E1A4A4; +} + +.ui-layout-resizer-closed-hover { + background: #EBD5AA; +} +.ui-layout-resizer-sliding { + opacity: .10; + filter: alpha(opacity=10); +} +.ui-layout-resizer-sliding-hover { + opacity: 1.00; + filter: alpha(opacity=100); +} +.ui-layout-resizer-north-sliding-hover { border-bottom-width: 1px; } +.ui-layout-resizer-south-sliding-hover { border-top-width: 1px; } +.ui-layout-resizer-west-sliding-hover { border-right-width: 1px; } +.ui-layout-resizer-east-sliding-hover { border-left-width: 1px; } + +.ui-layout-toggler { + border: 1px solid #BBB; + background-color: #BBB; + } +.ui-layout-resizer-hover .ui-layout-toggler { + opacity: .60; + filter: alpha(opacity=60); +} +.ui-layout-toggler-hover , +.ui-layout-resizer-hover .ui-layout-toggler-hover { + background-color: #FC6; + opacity: 1.00; + filter: alpha(opacity=100); +} +.ui-layout-toggler-north , +.ui-layout-toggler-south { + border-width: 0 1px; +} +.ui-layout-toggler-west , +.ui-layout-toggler-east { + border-width: 1px 0; +} +.ui-layout-resizer-sliding .ui-layout-toggler { + display: none; +} +.ui-layout-toggler .content { + color: #666; + font-size: 12px; + font-weight: bold; + width: 100%; + padding-bottom: 0.35ex; +} +.ui-layout-mask { + border: none !important; + padding: 0 !important; + margin: 0 !important; + overflow: hidden !important; + position: absolute !important; + opacity: 0 !important; + filter: Alpha(Opacity="0") !important; +} +.ui-layout-mask-inside-pane { + top: 0 !important; + left: 0 !important; + width: 100% !important; + height: 100% !important; +} +div.ui-layout-mask {} +iframe.ui-layout-mask {} diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.treetable.css b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.treetable.css new file mode 100644 index 00000000..647c5598 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.treetable.css @@ -0,0 +1,86 @@ +.wordwrap { word-wrap: break-word; word-break: break-all; } + +table.treetable span.indenter { + margin: 0; + padding: 0; + text-align: right; + user-select: none; + -khtml-user-select: none; + -moz-user-select: none; + -o-user-select: none; + -webkit-user-select: none; + width: 19px; +} + +table.treetable span.indenter a { + background-position: left center; + background-repeat: no-repeat; + display: inline-block; + text-decoration: none; + width: 19px; +} + +table.treetable { + border: 1px solid grey; + line-height: 1; + margin: .6em 0 1.8em 0; + border-spacing: 1px; +} + +table.treetable caption { + font-size: .9em; + font-weight: bold; + margin-bottom: .2em; +} + +table.treetable thead { + background-image: url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAABkEAAAAAAy19n/AAAAAmJLR0T//xSrMc0AAAAJcEhZcwAAAEgAAABIAEbJaz4AAABaSURBVBjTY3h8jYFOaAPDo/cMj/QYHuYyPGhjuF/BcC+L4W4swx0NhltfGG7uZ7jRzXA9nOGaEsOV4wyXdzJcamW4WM5wYQLD+SaGcwcZzt5iOOvAcObMACIAsZae6pVZewYAAAAldEVYdGRhdGU6Y3JlYXRlADIwMTQtMDItMjNUMTg6NTU6MTQtMDg6MDDU4lRpAAAAJXRFWHRkYXRlOm1vZGlmeQAyMDE0LTAyLTIzVDE4OjU1OjE0LTA4OjAwpb/s1QAAAABJRU5ErkJggg==); + background-repeat: repeat-x; + background-size: 100% 100%; +-moz-background-size: 100% 100%; +-o-background-size: 100% 100%; +-webkit-background-size: 100% 100%; + font-size: .7em; + color: #222222; + font-weight: bold;} + +table.treetable td { + height: 23px;} + +table.treetable thead tr th { + padding: .3em 1em .1em 1em; + text-align: left; + height: 23px; + border: 1px solid #aaaaaa; +} + +table.treetable tbody tr td { + cursor: default; + padding: .3em 1em; +} + +table.treetable span { + background-position: center left; + background-repeat: no-repeat; + padding: .2em 0 .2em 1.5em; +} + +table.treetable tr.collapsed span.indenter a { + background-image: url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABMAAAATAgMAAAAPPt5fAAAABGdBTUEAALGPC/xhBQAAAAFzUkdCAK7OHOkAAAAMUExURUxpcf///4CAgAAAAI2v2RAAAAABdFJOUwBA5thmAAAAIUlEQVQI12NgwA+0Vi0AkqqhCSCyFEGq/0cXgaiBqMcHADpDCgtboc3FAAAAAElFTkSuQmCC); +} + +table.treetable tr.expanded span.indenter a { + background-image: url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABMAAAATAgMAAAAPPt5fAAAABGdBTUEAALGPC/xhBQAAAAFzUkdCAK7OHOkAAAAMUExURUxpcf///4CAgAAAAI2v2RAAAAABdFJOUwBA5thmAAAAHklEQVQI12NgwA+0Vi0AkqqhCWik+v8ErOIQ9fgAAB3DCYvqsy1AAAAAAElFTkSuQmCC); +} + +table.treetable tr td.selected { + border-top-color: #0000ff; + border-bottom-color: #0000ff; + border-top-width: 2px; + border-bottom-width: 2px; + color: #000; +} +table.treetable tr.accept { + background-color: #a3bce4; + color: #fff +} diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.urg.css b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.urg.css new file mode 100644 index 00000000..bc7a6731 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/css/.urg.css @@ -0,0 +1,97 @@ +body { font-family: "Segoe UI","Segoe WP",Arial,Sans-Serif; color: black; text-indent: 0; background-color: white } +th { text-align: center } +.ind1 { position: relative; margin-left: 2em; } +.ind2 { position: relative; margin-left: 4em; } +.ind3 { position: relative; margin-left: 6em; } +.ind4 { position: relative; margin-left: 8em; } +.ind5 { position: relative; margin-left: 10em; } +.ind6 { position: relative; margin-left: 12em; } +.ind7 { position: relative; margin-left: 14em; } + +A:link { text-decoration: none; color: black } +A:active { text-decoration: none; color: black } +A:visited { text-decoration: none; color: black } +A:hover { color: red } +a.l:link { color: #0000EE; text-decoration: underline } +a.l:active { color: #EE0000; text-decoration: underline } +a.l:visited { color: #551A8B; text-decoration: underline } +a.l:hover { text-decoration: underline } +.cl { width: 3.2em; } +.rt { text-align: right } +.lf { text-align: left } +.ct { text-align: center } +.code { font-size: larger; font-weight: bold } +.sortablehead{ color: #222222; font-size: .7em; font-weight: bold; height: 23px; } +.sortablehead, .sortablehead td, .sortablehead:hover td { background-image: url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAAEAAABkEAAAAAAy19n/AAAAAmJLR0T//xSrMc0AAAAJcEhZcwAAAEgAAABIAEbJaz4AAABaSURBVBjTY3h8jYFOaAPDo/cMj/QYHuYyPGhjuF/BcC+L4W4swx0NhltfGG7uZ7jRzXA9nOGaEsOV4wyXdzJcamW4WM5wYQLD+SaGcwcZzt5iOOvAcObMACIAsZae6pVZewYAAAAldEVYdGRhdGU6Y3JlYXRlADIwMTQtMDItMjNUMTg6NTU6MTQtMDg6MDDU4lRpAAAAJXRFWHRkYXRlOm1vZGlmeQAyMDE0LTAyLTIzVDE4OjU1OjE0LTA4OjAwpb/s1QAAAABJRU5ErkJggg==); background-repeat: repeat-x; background-size: 100% 100%; -moz-background-size: 100% 100%; -o-background-size: 100% 100%; -webkit-background-size: 100% 100%; } +.sortablehead td A:link { color: #222222; } +.sortablehead td A:visited { color: #222222; } +.sortablehead td A:hover { color: red; } +.pagetitle { font-weight: bold; font-size: 22pt } +.clr { color: 000000; background-color: #ffffff } +.wht { color: 000000; background-color: #f0f0f0 } +.uGreen { color: #00ff00 } +.uRed { color: #ff0000 } +.fvGreen { color: 000000; background-color: #00ff00 } +.fvYellow { color: 000000; background-color: #ffff00 } +.fvRed { color: 000000; background-color: #ff0000 } +.s0 { color: ffffff; background-color: #FF0033 } +.s1 { color: ffffff; background-color: #FF0033 } +.s2 { color: 000000; background-color: #FFB5A1 } +.s3 { color: 000000; background-color: #FFB5A1 } +.s4 { color: 000000; background-color: #FFE680 } +.s5 { color: 000000; background-color: #FFE680 } +.s6 { color: 000000; background-color: #FFFF52 } +.s7 { color: 000000; background-color: #FFFF52 } +.s8 { color: 000000; background-color: #B8FFB8 } +.s9 { color: 000000; background-color: #B8FFB8 } +.s10 { color: 000000; background-color: #52FF52 } + +.sml { font-size: smaller } + +.cov { color: green; font-weight: bold } +.uncov { color: red; font-weight: bold } +.excl { color: black; font-weight: bold } +.unr { color: black; font-weight: bold } +.prov { color: black; font-weight: bold } +.conf { color: black; font-weight: bold } +.deffont { color: black; font-weight: bold } +.modhdr { position: relative } + +.repname { position: relative; font-weight: bold } +.titlename { position: relative; font-weight: bold; font-size: larger } + +.note { font-size: smaller } +.subtree { position: relative; margin-left: 2em } +table { empty-cells: show } +.inst { position: relative; font-weight: bold; left: 1em } +table { border-spacing: 0px; border: 1px solid #aaaaaa; border-collapse: collapse; } +table.noborder, td.noborder { border-spacing: 0px; border: none; } +table tr td { border: 1px solid #aaaaaa; } +td.min-width { min-width: 200px; } +table tbody tr:hover td { +background-image: url(data:image/gif;base64,R0lGODlhAQBkAPcAAAAAAAEBAQICAgMDAwQEBAUFBQYGBgcHBwgICAkJCQoKCgsLCwwMDA0NDQ4ODg8PDxAQEBERERISEhMTExQUFBUVFRYWFhcXFxgYGBkZGRoaGhsbGxwcHB0dHR4eHh8fHyAgICEhISIiIiMjIyQkJCUlJSYmJicnJygoKCkpKSoqKisrKywsLC0tLS4uLi8vLzAwMDExMTIyMjMzMzQ0NDU1NTY2Njc3Nzg4ODk5OTo6Ojs7Ozw8PD09PT4+Pj8/P0BAQEFBQUJCQkNDQ0REREVFRUZGRkdHR0hISElJSUpKSktLS0xMTE1NTU5OTk9PT1BQUFFRUVJSUlNTU1RUVFVVVVZWVldXV1hYWFlZWVpaWltbW1xcXF1dXV5eXl9fX2BgYGFhYWJiYmNjY2RkZGVlZWZmZmdnZ2hoaGlpaWpqamtra2xsbG1tbW5ubm9vb3BwcHFxcXJycnNzc3R0dHV1dXZ2dnd3d3h4eHl5eXp6ent7e3x8fH19fX5+fn9/f4CAgIGBgYKCgoODg4SEhIWFhYaGhoeHh4iIiImJiYqKiouLi4yMjI2NjY6Ojo+Pj5CQkJGRkZKSkpOTk5SUlJWVlZaWlpeXl5iYmJmZmZqampubm5ycnJ2dnZ6enp+fn6CgoKGhoaKioqOjo6SkpKWlpaampqenp6ioqKmpqaqqqqurq6ysrK2tra6urq+vr7CwsLGxsbKysrOzs7S0tLW1tba2tre3t7i4uLm5ubq6uru7u7y8vL29vb6+vr+/v8DAwMHBwcLCwsPDw8TExMXFxcbGxsfHx8jIyMnJycrKysvLy8zMzM3Nzc7Ozs/Pz9DQ0NHR0dLS0tPT09TU1NXV1dbW1tfX19jY2NnZ2dra2tvb29zc3N3d3d7e3t/f3+Dg4OHh4eLi4uPj4+Tk5OXl5ebm5ufn5+jo6Onp6erq6uvr6+zs7O3t7e7u7u/v7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6+vv7+/z8/P39/f7+/v///ywAAAAAAQBkAAAITADlCRwoL57BgwfhKVT4ruE7dxAhtpvIruK6deoyptuIDt25j+bMlStHruS4ceJShgsHruW3l95ieutGk5tNbtty5tTGs2fPbECDBgQAOw==); +background-repeat: repeat-x; +background-size: 100% 100%; +-moz-background-size: 100% 100%; +-o-background-size: 100% 100%; +-webkit-background-size: 100% 100%; +} +.ui-layout-south table tr:hover td { background-image: none; } +table.no-filter td.ratios, div.no-filter, ul.no-filter { } +table.filter td.ratios, table.filter th.ratios, div.filter, ul.filter { display: none !important; } + +img.sourcefile { background-image:url(data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAABAAAAAQCAMAAAAoLQ9TAAAAGXRFWHRTb2Z0d2FyZQBBZG9iZSBJbWFnZVJlYWR5ccllPAAAAMZQTFRF////UFBQkJCQlZWVmpqanp6eoaGhJn+4KYK4KoC3LIa9QZPDUFBQVFRUWFhYWbLrXl5eYrTrY2NjZrXuZrfsampqb7nxcHBwcbjvdbz1db71d3d3eb/ze770fX19fcHyhISEh8P2iMT1iMT3ioqKisX1kJCQlZWVmpqanp6eoaGhosfkstPwuNj0vNz1vtz2xsbG4ODg5eXl5ubm5+fn6Ojo6enp6urq6+vr7Ozs7e3t7u7u7+/v8PDw8fHx8vLy/f39////W5qN+QAAAAd0Uk5TAJaWlpaWlp/frGEAAACiSURBVBgZBcFBSsNAFADQN+k0sbZiBFHQZb2B3v8kXbgWFwpWSTrzv+8V4wvgtEJ1fADk6YKN+8N5XZdlmer1VzBIl9Z6hHU+bhikaRy32yrXu+OgCgtwy1OcqmYnpd+Uh6YKn5HZnhcIVfcxTFMrV5KuarYZvZQ/7JoqPJZNZtmnFKruPXsprz+Yuiq8kfImpVCFbwBzqLLMAEoqyh4A5/wHgzxP76H/45QAAAAASUVORK5CYII=); +width:16px; height:16px; display:block; margin:0 auto;} + +#detailFrame { width:100%; height:inherit; border:none; } + +#noBackGround:hover td{ background:none; } +#noBackGround table tbody tr:hover td { +background-image: url(data:image/gif;base64,R0lGODlhAQBkAPcAAAAAAAEBAQICAgMDAwQEBAUFBQYGBgcHBwgICAkJCQoKCgsLCwwMDA0NDQ4ODg8PDxAQEBERERISEhMTExQUFBUVFRYWFhcXFxgYGBkZGRoaGhsbGxwcHB0dHR4eHh8fHyAgICEhISIiIiMjIyQkJCUlJSYmJicnJygoKCkpKSoqKisrKywsLC0tLS4uLi8vLzAwMDExMTIyMjMzMzQ0NDU1NTY2Njc3Nzg4ODk5OTo6Ojs7Ozw8PD09PT4+Pj8/P0BAQEFBQUJCQkNDQ0REREVFRUZGRkdHR0hISElJSUpKSktLS0xMTE1NTU5OTk9PT1BQUFFRUVJSUlNTU1RUVFVVVVZWVldXV1hYWFlZWVpaWltbW1xcXF1dXV5eXl9fX2BgYGFhYWJiYmNjY2RkZGVlZWZmZmdnZ2hoaGlpaWpqamtra2xsbG1tbW5ubm9vb3BwcHFxcXJycnNzc3R0dHV1dXZ2dnd3d3h4eHl5eXp6ent7e3x8fH19fX5+fn9/f4CAgIGBgYKCgoODg4SEhIWFhYaGhoeHh4iIiImJiYqKiouLi4yMjI2NjY6Ojo+Pj5CQkJGRkZKSkpOTk5SUlJWVlZaWlpeXl5iYmJmZmZqampubm5ycnJ2dnZ6enp+fn6CgoKGhoaKioqOjo6SkpKWlpaampqenp6ioqKmpqaqqqqurq6ysrK2tra6urq+vr7CwsLGxsbKysrOzs7S0tLW1tba2tre3t7i4uLm5ubq6uru7u7y8vL29vb6+vr+/v8DAwMHBwcLCwsPDw8TExMXFxcbGxsfHx8jIyMnJycrKysvLy8zMzM3Nzc7Ozs/Pz9DQ0NHR0dLS0tPT09TU1NXV1dbW1tfX19jY2NnZ2dra2tvb29zc3N3d3d7e3t/f3+Dg4OHh4eLi4uPj4+Tk5OXl5ebm5ufn5+jo6Onp6erq6uvr6+zs7O3t7e7u7u/v7/Dw8PHx8fLy8vPz8/T09PX19fb29vf39/j4+Pn5+fr6+vv7+/z8/P39/f7+/v///ywAAAAAAQBkAAAITADlCRwoL57BgwfhKVT4ruE7dxAhtpvIruK6deoyptuIDt25j+bMlStHruS4ceJShgsHruW3l95ieutGk5tNbtty5tTGs2fPbECDBgQAOw==); +background-repeat: repeat-x; +background-size: 100% 100%; +-moz-background-size: 100% 100%; +-o-background-size: 100% 100%; +-webkit-background-size: 100% 100%; +} +div.logo {background-image: url(data:image/png;base64,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); position:absolute; width:145px; height:33px; } diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.html new file mode 100644 index 00000000..a6e5cf0c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/dashboard.html @@ -0,0 +1,116 @@ + + + + + +Unified Coverage Report :: Dashboard + + + + + + + + + +
+ +
Dashboard
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Date: Fri Apr 18 07:04:35 2025 +
+User: runner_riscv-unsecure +
+Version: W-2024.09-SP1-1
+Command line: urg -warn none -hvp_proj cva6_embedded -format both -group instcov_for_score -hvp_attributes weight+description+Comment -dir vcs_results/default/vcs.d/simv.vdb -plan cva6.hvp -tgl portsonly +
+Number of tests: 2356
+
+Scores for Verification Plan
+ + + + + + + + + +
SCORELINECONDASSERTGROUPNAME
97.02 99.79 98.39 92.31 97.58CVA6 Verification Master Plan

+
+Total Coverage Summary 
+ + + + + + + + +
SCORELINECONDASSERTGROUP
90.77 99.79 98.39 66.06 98.85

+
+Hierarchical coverage data for top-level instances 
+ + + + + + + + +
SCORELINECONDASSERTNAME
88.08 99.79 98.39 66.06uvmt_cva6_tb

+
+Total Module Definition Coverage Summary 
+ + + + + + + +
SCORELINECONDASSERT
90.31 99.78 98.81 72.34

+
+Total Groups Coverage Summary 
+ + + + + + +
SCOREINST SCOREWEIGHT
98.85 98.851
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.html new file mode 100644 index 00000000..8179b172 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1002.-1932874869.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR2 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR2: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr2.pmpaddr2__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.html new file mode 100644 index 00000000..9d8347f5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1007.1878417100.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR3 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR3: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr3.pmpaddr3__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.html new file mode 100644 index 00000000..d6ed9134 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1012.1394741773.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR4 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR4: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr4.pmpaddr4__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.html new file mode 100644 index 00000000..6efec6a0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1017.911066446.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR5 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR5: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr5.pmpaddr5__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.html new file mode 100644 index 00000000..91dcb855 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1022.427391119.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR6 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR6: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr6.pmpaddr6__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.html new file mode 100644 index 00000000..c836cd47 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1027.-56284208.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR7 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR7: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr7.pmpaddr7__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.html new file mode 100644 index 00000000..2e38c871 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1032.-539959535.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR8 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR8: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr8.pmpaddr8__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.html new file mode 100644 index 00000000..04206c49 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1037.-1023634862.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR9 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR9: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr9.pmpaddr9__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.html new file mode 100644 index 00000000..4c67f4b0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.104.-288824778.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lh_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lh_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.html new file mode 100644 index 00000000..ea5b4b6c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1042.396419610.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR10 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR10: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr10.pmpaddr10__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.html new file mode 100644 index 00000000..84a231cc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1047.-1712613639.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR11 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR11: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr11.pmpaddr11__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.html new file mode 100644 index 00000000..be9b4a9d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1052.473320408.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR12 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR12: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr12.pmpaddr12__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.html new file mode 100644 index 00000000..15e1ecec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1057.-1635712841.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR13 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR13: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr13.pmpaddr13__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.html new file mode 100644 index 00000000..7d9288b7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1062.550221206.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR14 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR14: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr14.pmpaddr14__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.html new file mode 100644 index 00000000..2d41c01c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1067.-1558812043.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR15 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR15: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr15.pmpaddr15__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.html new file mode 100644 index 00000000..631fba6e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1072.627122004.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR16 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR16: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr16.pmpaddr16__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.html new file mode 100644 index 00000000..98c53203 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1077.-1481911245.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR17 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR17: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr17.pmpaddr17__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.html new file mode 100644 index 00000000..6a2ba086 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1082.704022802.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR18 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR18: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr18.pmpaddr18__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.html new file mode 100644 index 00000000..45aa0b4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1087.-1405010447.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR19 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR19: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr19.pmpaddr19__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.html new file mode 100644 index 00000000..da0cb332 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.109.-1084507509.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LHU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LHU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lhu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lhu_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.html new file mode 100644 index 00000000..c1dbe734 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1092.-87255717.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR20 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR20: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr20.pmpaddr20__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.html new file mode 100644 index 00000000..483b4c90 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1097.2098678330.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR21 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR21: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr21.pmpaddr21__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.html new file mode 100644 index 00000000..1896139b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.11.1907128736.html @@ -0,0 +1,436 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.46 99.46

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
ADD100.00100.00
ADDI100.00100.00
AND100.00100.00
ANDI100.00100.00
AUIPC100.00100.00
BEQ100.00100.00
BGE100.00100.00
BGEU100.00100.00
BLT100.00100.00
BLTU100.00100.00
BNE100.00100.00
EBREAK100.00100.00
ECALL100.00100.00
FENCE100.00100.00
JAL 85.42 85.42
JALR 92.03 92.03
LB100.00100.00
LBU100.00100.00
LH100.00100.00
LHU100.00100.00
LUI100.00100.00
LW100.00100.00
MRET100.00100.00
OR100.00100.00
ORI100.00100.00
SB100.00100.00
SH100.00100.00
SLL100.00100.00
SLLI100.00100.00
SLT100.00100.00
SLTI100.00100.00
SLTIU100.00100.00
SLTU100.00100.00
SRA100.00100.00
SRAI100.00100.00
SRL100.00100.00
SRLI100.00100.00
SUB100.00100.00
SW100.00100.00
WFI100.00100.00
XOR100.00100.00
XORI100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description: I extension
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.html new file mode 100644 index 00000000..0739d86d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1102.-10354919.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR22 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR22: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr22.pmpaddr22__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.html new file mode 100644 index 00000000..51378f37 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1107.-2119388168.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR23 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR23: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr23.pmpaddr23__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.html new file mode 100644 index 00000000..8489d2ad --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1112.66545879.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR24 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR24: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr24.pmpaddr24__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.html new file mode 100644 index 00000000..fdff2a1b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1117.-2042487370.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR25 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR25: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr25.pmpaddr25__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.html new file mode 100644 index 00000000..2175e20a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1122.143446677.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR26 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR26: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr26.pmpaddr26__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.html new file mode 100644 index 00000000..ecfcf518 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1127.-1965586572.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR27 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR27: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr27.pmpaddr27__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.html new file mode 100644 index 00000000..2062d779 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1132.220347475.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR28 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR28: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr28.pmpaddr28__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.html new file mode 100644 index 00000000..7d55cf8b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1137.-1888685774.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR29 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR29: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr29.pmpaddr29__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.html new file mode 100644 index 00000000..2305c93d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.114.-2058400558.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LUI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LUI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lui_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lui_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.html new file mode 100644 index 00000000..1af7044f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1142.-570931044.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR30 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR30: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr30.pmpaddr30__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.html new file mode 100644 index 00000000..ca2b3174 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1147.1615003003.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR31 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR31: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr31.pmpaddr31__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.html new file mode 100644 index 00000000..b4c51548 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1152.-494030246.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR32 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR32: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr32.pmpaddr32__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.html new file mode 100644 index 00000000..1b7fc320 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1157.1691903801.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR33 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR33: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr33.pmpaddr33__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.html new file mode 100644 index 00000000..4d35beae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1162.-417129448.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR34 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR34: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr34.pmpaddr34__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.html new file mode 100644 index 00000000..f5446b46 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1167.1768804599.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR35 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR35: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr35.pmpaddr35__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.html new file mode 100644 index 00000000..900a24a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1172.-340228650.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR36 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR36: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr36.pmpaddr36__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.html new file mode 100644 index 00000000..9abf968e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1177.1845705397.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR37 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR37: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr37.pmpaddr37__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.html new file mode 100644 index 00000000..c52e3adc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1182.-263327852.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR38 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR38: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr38.pmpaddr38__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.html new file mode 100644 index 00000000..97273d6c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1187.1922606195.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR39 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR39: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr39.pmpaddr39__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.html new file mode 100644 index 00000000..2c0b4da7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.119.1450712135.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LW +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LW: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lw_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lw_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.html new file mode 100644 index 00000000..d5ff15d3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1192.-1054606371.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR40 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR40: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr40.pmpaddr40__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.html new file mode 100644 index 00000000..cb6b9404 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1197.1131327676.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR41 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR41: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr41.pmpaddr41__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.html new file mode 100644 index 00000000..72b2cb51 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1202.-977705573.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR42 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR42: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr42.pmpaddr42__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.html new file mode 100644 index 00000000..68e89d1b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1207.1208228474.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR43 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR43: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr43.pmpaddr43__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.html new file mode 100644 index 00000000..41609a6f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1212.-900804775.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR44 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR44: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr44.pmpaddr44__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.html new file mode 100644 index 00000000..0f4e9da9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1217.1285129272.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR45 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR45: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr45.pmpaddr45__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.html new file mode 100644 index 00000000..23dc7e45 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1222.-823903977.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR46 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR46: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr46.pmpaddr46__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.html new file mode 100644 index 00000000..f63348c9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1227.1362030070.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR47 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR47: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr47.pmpaddr47__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.html new file mode 100644 index 00000000..189bd20e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1232.-747003179.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR48 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR48: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr48.pmpaddr48__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.html new file mode 100644 index 00000000..3ffc345a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1237.1438930868.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR49 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR49: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr49.pmpaddr49__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.html new file mode 100644 index 00000000..17c0dbf0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.124.-1638494306.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.MRET +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MRET: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_mret_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_mret_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.html new file mode 100644 index 00000000..270dc722 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1242.-1538281698.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR50 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR50: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr50.pmpaddr50__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.html new file mode 100644 index 00000000..a8d0851a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1247.647652349.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR51 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR51: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr51.pmpaddr51__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.html new file mode 100644 index 00000000..b77dff66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1252.-1461380900.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR52 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR52: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr52.pmpaddr52__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.html new file mode 100644 index 00000000..6d5843ef --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1257.724553147.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR53 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR53: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr53.pmpaddr53__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.html new file mode 100644 index 00000000..d442ff62 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1262.-1384480102.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR54 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR54: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr54.pmpaddr54__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.html new file mode 100644 index 00000000..79cfe306 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1267.801453945.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR55 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR55: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr55.pmpaddr55__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.html new file mode 100644 index 00000000..be2eb4e8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1272.-1307579304.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR56 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR56: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr56.pmpaddr56__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.html new file mode 100644 index 00000000..d698647f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1277.878354743.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR57 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR57: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr57.pmpaddr57__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.html new file mode 100644 index 00000000..52bc2dc9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1282.-1230678506.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR58 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR58: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr58.pmpaddr58__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.html new file mode 100644 index 00000000..5be983b4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1287.955255541.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR59 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR59: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr59.pmpaddr59__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.html new file mode 100644 index 00000000..9428622c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.129.-1186884177.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.OR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure OR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_or_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_or_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.html new file mode 100644 index 00000000..249868d2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1292.-2021957025.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR60 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR60: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr60.pmpaddr60__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.html new file mode 100644 index 00000000..3f5ace9b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1297.163977022.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR61 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR61: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr61.pmpaddr61__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.html new file mode 100644 index 00000000..ae23339c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1302.-1945056227.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR62 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR62: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr62.pmpaddr62__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.html new file mode 100644 index 00000000..e6e1efae --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1307.240877820.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR63 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR63: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr63.pmpaddr63__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.html new file mode 100644 index 00000000..118bdbe3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1312.-1179308714.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCYCLE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MCYCLE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mcycle.mcycle__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.html new file mode 100644 index 00000000..5bf26b61 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1317.-1146885941.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MINSTRET +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MINSTRET: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.minstret.minstret__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.minstret.minstret__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.minstret.minstret__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.minstret.minstret__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.html new file mode 100644 index 00000000..4d104e97 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1322.1242754284.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER3 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER3: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.html new file mode 100644 index 00000000..71ea44e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1327.2109806509.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER4 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER4: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.html new file mode 100644 index 00000000..4d237c3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1332.-1318108562.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER5 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER5: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.html new file mode 100644 index 00000000..11312a94 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1337.-451056337.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER6 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER6: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.html new file mode 100644 index 00000000..d9f0624b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.134.-455422472.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ORI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ORI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ori_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ori_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.html new file mode 100644 index 00000000..36c715f5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1342.415995888.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER7 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER7: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.html new file mode 100644 index 00000000..6ec28731 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1347.1283048113.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER8 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER8: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.html new file mode 100644 index 00000000..1be867e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1352.-2144866958.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER9 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER9: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.html new file mode 100644 index 00000000..55547752 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1357.1192171834.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER10 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER10: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.html new file mode 100644 index 00000000..5f159ac3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1362.-1993980263.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER11 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER11: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.html new file mode 100644 index 00000000..8d6bf020 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1367.-885165064.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER12 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER12: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.html new file mode 100644 index 00000000..1b8d4b94 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1372.223650135.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER13 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER13: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.html new file mode 100644 index 00000000..653b34c4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1377.1332465334.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER14 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER14: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.html new file mode 100644 index 00000000..2caa3339 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1382.-1853686763.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER15 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER15: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.html new file mode 100644 index 00000000..0314f6bb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1387.-744871564.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER16 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER16: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.html new file mode 100644 index 00000000..23d0be02 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.139.-1586534205.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sb_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sb_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.html new file mode 100644 index 00000000..ab6efdc2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1392.363943635.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER17 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER17: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.html new file mode 100644 index 00000000..f70dbbf7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1397.1472758834.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER18 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER18: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.html new file mode 100644 index 00000000..6aa63ad1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.14.620102707.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_add_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_add_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.html new file mode 100644 index 00000000..2ca1400c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1402.-1713393263.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER19 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER19: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.html new file mode 100644 index 00000000..f234989b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1407.2059224059.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER20 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER20: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.html new file mode 100644 index 00000000..022364d9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1412.-1126928038.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER21 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER21: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.html new file mode 100644 index 00000000..043306bd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1417.-18112839.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER22 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER22: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.html new file mode 100644 index 00000000..9fe94fc3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1422.1090702360.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER23 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER23: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.html new file mode 100644 index 00000000..d2b78d94 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1427.-2095449737.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER24 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER24: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.html new file mode 100644 index 00000000..028df3c7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1432.-986634538.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER25 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER25: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.html new file mode 100644 index 00000000..5145263f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1437.122180661.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER26 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER26: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.html new file mode 100644 index 00000000..492ce081 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.144.-1749712899.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sh_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sh_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.html new file mode 100644 index 00000000..40315101 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1442.1230995860.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER27 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER27: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.html new file mode 100644 index 00000000..5271cc01 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1447.-1955156237.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER28 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER28: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.html new file mode 100644 index 00000000..d8587a37 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1452.-846341038.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER29 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER29: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.html new file mode 100644 index 00000000..c952fb68 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1457.-1368691012.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER30 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER30: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.html new file mode 100644 index 00000000..0f1a5390 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1462.-259875813.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER31 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER31: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.html new file mode 100644 index 00000000..f009fcdf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1467.-1194305010.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCYCLEH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MCYCLEH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mcycleh.mcycleh__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.html new file mode 100644 index 00000000..6c941ed3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1472.1621542787.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MINSTRETH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MINSTRETH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.minstreth.minstreth__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.html new file mode 100644 index 00000000..49a62792 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1477.-526930012.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER3H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER3H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.html new file mode 100644 index 00000000..b25b4f8a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1482.340122213.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER4H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER4H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.html new file mode 100644 index 00000000..a99acc83 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1487.1207174438.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER5H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER5H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.html new file mode 100644 index 00000000..bd9fed69 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.149.-1508823099.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sll_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sll_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.html new file mode 100644 index 00000000..17e91aa4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1492.2074226663.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER6H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER6H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.html new file mode 100644 index 00000000..20f31b99 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1497.-1353688408.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER7H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER7H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.html new file mode 100644 index 00000000..a187ddb9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1502.-486636183.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER8H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER8H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.html new file mode 100644 index 00000000..43920142 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1507.380416042.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER9H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER9H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.html new file mode 100644 index 00000000..216c06b8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1512.-2128433790.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER10H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER10H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.html new file mode 100644 index 00000000..d1d10278 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1517.-1019618591.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER11H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER11H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.html new file mode 100644 index 00000000..520dbd1b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1522.89196608.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER12H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER12H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.html new file mode 100644 index 00000000..856c7f4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1527.1198011807.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER13H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER13H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.html new file mode 100644 index 00000000..31eea58c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1532.-1988140290.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER14H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER14H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.html new file mode 100644 index 00000000..bd6a7425 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1537.-879325091.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER15H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER15H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.html new file mode 100644 index 00000000..6534a767 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.154.-308346724.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLLI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLLI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slli_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slli_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.html new file mode 100644 index 00000000..8150e839 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1542.229490108.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER16H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER16H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.html new file mode 100644 index 00000000..a495152d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1547.1338305307.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER17H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER17H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.html new file mode 100644 index 00000000..787c470b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1552.-1847846790.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER18H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER18H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.html new file mode 100644 index 00000000..3ea6d764 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1557.-739031591.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER19H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER19H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.html new file mode 100644 index 00000000..ee51c041 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1562.-1261381565.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER20H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER20H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.html new file mode 100644 index 00000000..a116d47f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1567.-152566366.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER21H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER21H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.html new file mode 100644 index 00000000..9dd62eea --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1572.956248833.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER22H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER22H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.html new file mode 100644 index 00000000..ef9051e8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1577.2065064032.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER23H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER23H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.html new file mode 100644 index 00000000..3e00bd53 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1582.-1121088065.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER24H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER24H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.html new file mode 100644 index 00000000..3ffa343f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1587.-12272866.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER25H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER25H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.html new file mode 100644 index 00000000..0aff54e7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.159.336392141.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slt_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slt_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.html new file mode 100644 index 00000000..8fac6d09 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1592.1096542333.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER26H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER26H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.html new file mode 100644 index 00000000..281068e5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1597.-2089609764.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER27H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER27H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.html new file mode 100644 index 00000000..ad856689 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1602.-980794565.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER28H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER28H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.html new file mode 100644 index 00000000..20175add --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1607.128020634.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER29H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER29H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.html new file mode 100644 index 00000000..38389551 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1612.-394329340.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER30H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER30H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.html new file mode 100644 index 00000000..ca454f1b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1617.714485859.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMCOUNTER31H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMCOUNTER31H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.html new file mode 100644 index 00000000..735de982 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1622.-915302387.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MVENDORID +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MVENDORID: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mvendorid.mvendorid__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mvendorid.mvendorid__read_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.html new file mode 100644 index 00000000..3209ffb4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1627.-1508803777.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MARCHID +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MARCHID: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.marchid.marchid__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.marchid.marchid__read_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.html new file mode 100644 index 00000000..13c64f65 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1632.-198221801.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIMPID +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MIMPID: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mimpid.mimpid__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mimpid.mimpid__read_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.html new file mode 100644 index 00000000..d6184e32 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1637.-291254790.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHARTID +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHARTID: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhartid.mhartid__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhartid.mhartid__read_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.html new file mode 100644 index 00000000..a22cc763 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.164.1536868516.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLTI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slti_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_slti_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.html new file mode 100644 index 00000000..e6ab7062 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1642.1712498972.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCONFIGPTR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MCONFIGPTR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mconfigptr.mconfigptr__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mconfigptr.mconfigptr__read_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.html new file mode 100644 index 00000000..d29bfa39 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1648.1715255831.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.57 99.57

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
Interrupts 99.15 99.15
Exceptions100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description:
+
+Interrupts and Exceptions.
+Specification: Done, Dvplan: Done, Verification execution: Done.
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.html new file mode 100644 index 00000000..fea52cfa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1650.-1615011303.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs.Interrupts +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.15 99.15

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.15 99.15

+
+ +
+Measure Interrupts: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.15 99.15

+
+Sources: +
+ +group instance: uvma_interrupt_pkg::cg_interrupt
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_interrupt_pkg.uvma_interrupt_pkg.interrupt_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.interrupt_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.interrupt_cg 98.29 98.29

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.html new file mode 100644 index 00000000..764bed37 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1655.388819141.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.TRAPs.Exceptions +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Exceptions: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.exception_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.exception_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.html new file mode 100644 index 00000000..5a4170ea --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1661.-1212680387.html @@ -0,0 +1,144 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
91.66 92.31 91.01

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
Protocol 87.24 92.31 82.18
CV-XIF Instructions 99.84 99.84

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.html new file mode 100644 index 00000000..86164979 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1662.30950871.html @@ -0,0 +1,350 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.Protocol +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
87.24 92.31 82.18

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
87.24 92.31 82.18

+
+ +
+Measure Protocol: +
+Metrics: Group, Assert
+ + + + + + + + +
SCORELINECONDASSERTGROUP
87.24 92.31 82.18

+
+Sources: +
+ +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.request_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_cvxif_pkg.uvma_cvxif_pkg.request_cg 69.44 69.44

+
+ +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.response_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_cvxif_pkg.uvma_cvxif_pkg.response_cg100.00100.00

+
+ +group instance: uvma_cvxif_pkg.uvma_cvxif_pkg.result_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_cvxif_pkg.uvma_cvxif_pkg.result_cg 77.08 77.08

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_for_issue100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_commit_one_cycle100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_compressed_instr100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_reject_issue_req100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_for_commit100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_stable 0.00 0.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_result_trn_end100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_stable_issue100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.cov_uncompressed_resp100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs_valid100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs_valid100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[0].cov_rs100.00100.00

+
+ +property: uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cvxif_assert.gen0[1].cov_rs100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.html new file mode 100644 index 00000000..e1c0f352 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1667.718258809.html @@ -0,0 +1,209 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.84 99.84

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
SEQUENCE100.00100.00
CUS_CADD 99.92 99.92
CUS_ADD 99.85 99.85
CUS_ADD_MULTI 99.78 99.78
CUS_DOUBLE_RS1 99.79 99.79
CUS_DOUBLE_RS2 99.78 99.78
CUS_ADD_RS3_MADD 99.79 99.79
CUS_ADD_RS3_MSUB 99.73 99.73
CUS_ADD_RS3_NMADD 99.79 99.79
CUS_ADD_RS3_NMSUB 99.80 99.80
CUS_ADD_RS3_RTYPE100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.html new file mode 100644 index 00000000..3e243366 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1668.-1989064918.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.SEQUENCE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SEQ: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_cvxif_seq_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_cvxif_seq_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.html new file mode 100644 index 00000000..cb983c6d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1673.-1626953329.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_CADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.92 99.92

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.92 99.92

+
+ +
+Measure CUS_CADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.92 99.92

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_cadd_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_cadd_cg 99.92 99.92

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.html new file mode 100644 index 00000000..c874ac5e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1678.-539000018.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.85 99.85

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.85 99.85

+
+ +
+Measure CUS_ADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.85 99.85

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_cg 99.85 99.85

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.html new file mode 100644 index 00000000..a98df662 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1683.354486216.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_MULTI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.78 99.78

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.78 99.78

+
+ +
+Measure CUS_ADD_MULTI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.78 99.78

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_multi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_multi_cg 99.78 99.78

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.html new file mode 100644 index 00000000..52930dc2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1688.2025572939.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_DOUBLE_RS1 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+ +
+Measure CUS_DOUBLE_RS1: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs1_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs1_cg 99.79 99.79

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.html new file mode 100644 index 00000000..8edfd30b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.169.1389942713.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTIU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLTIU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltiu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltiu_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.html new file mode 100644 index 00000000..d0f747e1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1693.1216085482.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_DOUBLE_RS2 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.78 99.78

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.78 99.78

+
+ +
+Measure CUS_DOUBLE_RS2: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.78 99.78

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs2_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_double_add_rs2_cg 99.78 99.78

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.html new file mode 100644 index 00000000..86154b15 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1698.2055450286.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_MADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+ +
+Measure CUS_ADD_RS3_MADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_madd_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_madd_cg 99.79 99.79

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.html new file mode 100644 index 00000000..65ff6fab --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1703.28181615.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_MSUB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.73 99.73

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.73 99.73

+
+ +
+Measure CUS_ADD_RS3_MSUB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.73 99.73

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_msub_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_msub_cg 99.73 99.73

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.html new file mode 100644 index 00000000..69a11b99 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1708.1359513124.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_NMADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+ +
+Measure CUS_ADD_RS3_NMADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.79 99.79

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmadd_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmadd_cg 99.79 99.79

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.html new file mode 100644 index 00000000..a879019c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1713.-1356273533.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_NMSUB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.80 99.80

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.80 99.80

+
+ +
+Measure CUS_ADD_RS3_NMSUB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.80 99.80

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmsub_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_nmsub_cg 99.80 99.80

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.html new file mode 100644 index 00000000..32ccfe14 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1718.307886606.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CV-X-IF.CV-XIF Instructions.CUS_ADD_RS3_RTYPE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CUS_ADD_RS3_RTYPE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_rtype_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.cus_add_rs3_rtype_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.html new file mode 100644 index 00000000..3ee0dbbd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.1726.1895599623.html @@ -0,0 +1,151 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Code coverage +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.09 99.79 98.39

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.09 99.79 98.39

+
+ +
+Measure CV32A60X: +
+Metrics: Line, Cond
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.09 99.79 98.39

+
+Sources: +
+ +tree: uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvmt_cva6_tb.cva6_dut_wrap.cva6_tb_wrapper_i.cva6_only_pipeline.i_cva6_pipeline 99.09 99.79 98.39

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.html new file mode 100644 index 00000000..11f8b8fc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.174.1440031256.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SLTU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLTU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sltu_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.html new file mode 100644 index 00000000..69d950a5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.179.1159536372.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRA +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SRA: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sra_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sra_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.html new file mode 100644 index 00000000..54d5677e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.184.-1934954549.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRAI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SRAI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srai_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srai_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.html new file mode 100644 index 00000000..ab0e3b29 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.189.-1672001793.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SRL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srl_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srl_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.html new file mode 100644 index 00000000..f7870f2d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.19.1820579082.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ADDI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ADDI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_addi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_addi_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.html new file mode 100644 index 00000000..9f98f226 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.194.-471525418.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SRLI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SRLI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srli_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_srli_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.html new file mode 100644 index 00000000..d016bac5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.199.234857106.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SUB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SUB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sub_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sub_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.html new file mode 100644 index 00000000..cff95533 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.204.-10175986.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.SW +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SW: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sw_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_sw_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.html new file mode 100644 index 00000000..11730fdf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.209.-1798651508.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.WFI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure WFI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_wfi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_wfi_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.html new file mode 100644 index 00000000..b67d4060 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.214.-1249992531.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.XOR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure XOR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xor_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xor_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.html new file mode 100644 index 00000000..146e77c1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.219.-49516156.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.XORI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure XORI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xori_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_xori_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.html new file mode 100644 index 00000000..cd0a897a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.225.1535310756.html @@ -0,0 +1,224 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
DIV100.00100.00
DIV_RESULTS100.00100.00
DIVU100.00100.00
DIVU_RESULTS100.00100.00
MUL100.00100.00
MULH100.00100.00
MULHSU100.00100.00
MULHU100.00100.00
REM100.00100.00
REM_RESULTS100.00100.00
REMU100.00100.00
REMU_RESULTS100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description: M extension
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.html new file mode 100644 index 00000000..1fb84b86 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.227.-657025433.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIV +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure DIV: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.html new file mode 100644 index 00000000..2f6e068b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.232.-1469918018.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIV_RESULTS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure DIV_RESULTS: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_results_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_div_results_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.html new file mode 100644 index 00000000..bdb3c6d5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.237.446613682.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIVU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure DIVU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.html new file mode 100644 index 00000000..19fb0396 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.24.348138217.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.AND +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure AND: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_and_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_and_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.html new file mode 100644 index 00000000..969ae25a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.242.1016747323.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.DIVU_RESULTS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure DIVU_RESULTS: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_results_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_divu_results_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.html new file mode 100644 index 00000000..8aa2d44d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.247.1274264346.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MUL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MUL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mul_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mul_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.html new file mode 100644 index 00000000..1b4ef900 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.252.693240786.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MULH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulh_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulh_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.html new file mode 100644 index 00000000..4310c972 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.257.1502736112.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULHSU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MULHSU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhsu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhsu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.html new file mode 100644 index 00000000..75a8267c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.262.546314983.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.MULHU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MULHU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_mulhu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.html new file mode 100644 index 00000000..33d95344 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.267.1970309744.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REM +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure REM: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.html new file mode 100644 index 00000000..6962fcb6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.272.1157417159.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REM_RESULTS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure REM_RESULTS: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_results_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_rem_results_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.html new file mode 100644 index 00000000..75c4b83c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.277.-1221018437.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REMU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure REMU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.html new file mode 100644 index 00000000..d543f7bd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.282.-650884796.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32M.REMU_RESULTS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure REMU_RESULTS: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_results_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32m_remu_results_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.html new file mode 100644 index 00000000..e1c65312 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.288.317372058.html @@ -0,0 +1,329 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.97 99.97

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
ADD100.00100.00
ADDI4SPN100.00100.00
ADDI16SP100.00100.00
ADDI100.00100.00
AND100.00100.00
ANDI100.00100.00
BEQZ100.00100.00
BNEZ100.00100.00
EBREAK100.00100.00
J100.00100.00
JAL100.00100.00
JALR100.00100.00
JR100.00100.00
LI100.00100.00
LUI100.00100.00
LW 99.55 99.55
LWSP100.00100.00
MV100.00100.00
NOP100.00100.00
OR100.00100.00
SLLI100.00100.00
SRAI100.00100.00
SRLI100.00100.00
SUB100.00100.00
SW 99.61 99.61
SWSP100.00100.00
XOR100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description: C extension
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.html new file mode 100644 index 00000000..87095c89 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.29.1548614592.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ANDI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ANDI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_andi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_andi_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.html new file mode 100644 index 00000000..c95fbb46 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.290.-969653971.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_add_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_add_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.html new file mode 100644 index 00000000..e4036d52 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.295.647123143.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI4SPN +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ADDI4SPN: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi4spn_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi4spn_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.html new file mode 100644 index 00000000..0c1ca27e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.300.546158210.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI16SP +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ADDI16SP: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi16sp_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi16sp_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.html new file mode 100644 index 00000000..857dad41 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.305.230822404.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ADDI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ADDI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_addi_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.html new file mode 100644 index 00000000..25026a15 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.310.-1241618461.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.AND +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure AND: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_and_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_and_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.html new file mode 100644 index 00000000..1f05b25b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.315.-41142086.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.ANDI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ANDI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_andi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_andi_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.html new file mode 100644 index 00000000..8c6cf191 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.320.2140388416.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.BEQZ +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BEQZ: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_beqz_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_beqz_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.html new file mode 100644 index 00000000..7802aa00 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.325.1275281163.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.BNEZ +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BNEZ: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_bnez_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_bnez_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.html new file mode 100644 index 00000000..63549cc8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.330.391243442.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.EBREAK +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure EBREAK: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_ebreak_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_ebreak_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.html new file mode 100644 index 00000000..600e8576 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.335.496959478.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.J +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure J: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_j_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_j_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.html new file mode 100644 index 00000000..4fae18dd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.34.-1063089350.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.AUIPC +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure AUIPC: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_auipc_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_auipc_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.html new file mode 100644 index 00000000..42eddfad --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.340.1226349537.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JAL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure JAL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jal_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jal_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.html new file mode 100644 index 00000000..039f0566 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.345.1280456143.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JALR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure JALR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jalr_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jalr_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.html new file mode 100644 index 00000000..70a6a72f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.350.-1733149340.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.JR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure JR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jr_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_jr_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.html new file mode 100644 index 00000000..3b9cf994 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.355.241705743.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_li_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_li_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.html new file mode 100644 index 00000000..bdc99657 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.360.646810060.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LUI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LUI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lui_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lui_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.html new file mode 100644 index 00000000..29d6917e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.365.-139044543.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LW +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.55 99.55

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.55 99.55

+
+ +
+Measure LW: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.55 99.55

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lw_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lw_cg 99.55 99.55

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.html new file mode 100644 index 00000000..aa46de40 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.370.1537526596.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.LWSP +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LWSP: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lwsp_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_lwsp_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.html new file mode 100644 index 00000000..8430930a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.375.1826937251.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.MV +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MV: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_mv_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_mv_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.html new file mode 100644 index 00000000..1f20859a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.380.933413659.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.NOP +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure NOP: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_nop_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_nop_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.html new file mode 100644 index 00000000..eee8444c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.385.1518326441.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.OR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure OR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_or_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_or_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.html new file mode 100644 index 00000000..2a01897d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.39.-1986026400.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BEQ +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BEQ: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_beq_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_beq_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.html new file mode 100644 index 00000000..77c35b88 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.390.-1898103402.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SLLI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SLLI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_slli_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_slli_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.html new file mode 100644 index 00000000..7813490d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.395.770256069.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SRAI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SRAI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srai_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srai_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.html new file mode 100644 index 00000000..3b0df563 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.400.-2061282096.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SRLI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SRLI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srli_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_srli_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.html new file mode 100644 index 00000000..12b0ec21 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.405.-1354899572.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SUB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SUB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sub_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sub_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.html new file mode 100644 index 00000000..391ce047 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.410.-1599932664.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SW +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.61 99.61

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.61 99.61

+
+ +
+Measure SW: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.61 99.61

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sw_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_sw_cg 99.61 99.61

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.html new file mode 100644 index 00000000..418121dd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.415.76638475.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.SWSP +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SWSP: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_swsp_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_swsp_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.html new file mode 100644 index 00000000..e2aa41fb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.420.1455218087.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32C.XOR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure XOR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32c_xor_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32c_xor_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.html new file mode 100644 index 00000000..15ce5aa1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.426.-1197325014.html @@ -0,0 +1,182 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
CSRRC100.00100.00
CSRRCI100.00100.00
CSRRS100.00100.00
CSRRSI100.00100.00
CSRRW100.00100.00
CSRRWI100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description: ZICSR extension
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.html new file mode 100644 index 00000000..692176e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.428.15189327.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRC +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CSRRC: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrc_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrc_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.html new file mode 100644 index 00000000..7eeed41b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.433.674584806.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRCI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CSRRCI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrci_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrci_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.html new file mode 100644 index 00000000..3a0822c0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.438.866318687.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CSRRS: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrs_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrs_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.html new file mode 100644 index 00000000..85bb5c6b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.44.-513274862.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BGE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BGE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bge_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bge_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.html new file mode 100644 index 00000000..df0bd3a6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.443.1525714166.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRSI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CSRRSI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrsi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrsi_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.html new file mode 100644 index 00000000..28e5f3a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.448.-1068382621.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRW +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CSRRW: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrw_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrw_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.html new file mode 100644 index 00000000..5fa9c6c3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.453.-408987142.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZICSR.CSRRWI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CSRRWI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrwi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zicsr_csrrwi_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.html new file mode 100644 index 00000000..88d5d389 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.459.1792242064.html @@ -0,0 +1,219 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.95 99.95

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
MUL100.00100.00
ZEXT_B100.00100.00
ZEXT_H100.00100.00
SEXT_B100.00100.00
SEXT_H100.00100.00
NOT100.00100.00
SB100.00100.00
SH 99.80 99.80
LBU100.00100.00
LHU 99.83 99.83
LH 99.83 99.83

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+weight: 1
+
+description: ZCB extension
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.html new file mode 100644 index 00000000..1f38e879 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.462.34745222.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.MUL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MUL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_mul_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_mul_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.html new file mode 100644 index 00000000..0e7b4c04 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.467.706250680.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.ZEXT_B +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ZEXT_B: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_b_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_b_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.html new file mode 100644 index 00000000..4d79c357 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.472.2136656754.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.ZEXT_H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ZEXT_H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_h_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_zext_h_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.html new file mode 100644 index 00000000..361d11b8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.477.165429169.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SEXT_B +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SEXT_B: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_b_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_b_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.html new file mode 100644 index 00000000..2705d0fe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.482.1595835243.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SEXT_H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SEXT_H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_h_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sext_h_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.html new file mode 100644 index 00000000..d150b04f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.487.-1329573675.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.NOT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure NOT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_not_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_not_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.html new file mode 100644 index 00000000..25d7ea25 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.49.590364253.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BGEU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BGEU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bgeu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bgeu_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.html new file mode 100644 index 00000000..ef47c86c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.492.-1248386061.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sb_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sb_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.html new file mode 100644 index 00000000..55924a9a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.497.850678957.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.SH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.80 99.80

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.80 99.80

+
+ +
+Measure SH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.80 99.80

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sh_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_sh_cg 99.80 99.80

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.html new file mode 100644 index 00000000..0ca58d51 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.502.-1936133375.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LBU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LBU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lbu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lbu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.html new file mode 100644 index 00000000..17794e40 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.507.162931643.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LHU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.83 99.83

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.83 99.83

+
+ +
+Measure LHU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.83 99.83

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lhu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lhu_cg 99.83 99.83

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.html new file mode 100644 index 00000000..0569d9c3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.512.309857446.html @@ -0,0 +1,157 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZCB.LH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.83 99.83

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.83 99.83

+
+ +
+Measure LH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.83 99.83

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lh_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zcb_lh_cg 99.83 99.83

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.html new file mode 100644 index 00000000..3edc2820 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.518.194308399.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.67 99.67

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
RV32ZBA100.00100.00
RV32ZBB100.00100.00
RV32ZBC100.00100.00
RV32ZBS 98.70 98.70

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description: Bitmanip extension
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.html new file mode 100644 index 00000000..7548055f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.520.582010247.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
SH1ADD100.00100.00
SH2ADD100.00100.00
SH3ADD100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.html new file mode 100644 index 00000000..923ef9a7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.521.569821498.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH1ADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SH1ADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh1add_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh1add_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.html new file mode 100644 index 00000000..1dd209b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.526.1013432921.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH2ADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SH2ADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh2add_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh2add_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.html new file mode 100644 index 00000000..3fdeb921 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.531.1457044344.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBA.SH3ADD +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SH3ADD: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh3add_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zba_sh3add_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.html new file mode 100644 index 00000000..f7ef1206 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.537.1536239142.html @@ -0,0 +1,260 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
ANDN100.00100.00
MAX100.00100.00
MAXU100.00100.00
MIN100.00100.00
MINU100.00100.00
ORN100.00100.00
ROL100.00100.00
ROR100.00100.00
XNOR100.00100.00
RORI100.00100.00
CLZ100.00100.00
CPOP100.00100.00
CTZ100.00100.00
ORC_B100.00100.00
REV8100.00100.00
SEXT_B100.00100.00
SEXT_H100.00100.00
ZEXT_H100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.html new file mode 100644 index 00000000..04788f66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.538.-1391010357.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ANDN +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ANDN: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_andn_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_andn_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.html new file mode 100644 index 00000000..c08f3b67 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.54.-410704004.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BLT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BLT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_blt_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_blt_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.html new file mode 100644 index 00000000..a1c4af0c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.543.-21033776.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MAX +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MAX: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_max_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_max_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.html new file mode 100644 index 00000000..84b392a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.548.663961317.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MAXU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MAXU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_maxu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_maxu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.html new file mode 100644 index 00000000..4f672e91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.553.783583650.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MIN +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MIN: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_min_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_min_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.html new file mode 100644 index 00000000..3124b9aa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.558.1468578743.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.MINU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MINU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_minu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_minu_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.html new file mode 100644 index 00000000..41da0b51 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.563.850727529.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ORN +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ORN: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orn_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orn_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.html new file mode 100644 index 00000000..2660b4a2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.568.797936069.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ROL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ROL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rol_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rol_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.html new file mode 100644 index 00000000..c99f153f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.573.-835362689.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ROR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ROR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ror_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ror_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.html new file mode 100644 index 00000000..df1b4c86 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.578.1398832429.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.XNOR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure XNOR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_xnor_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_xnor_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.html new file mode 100644 index 00000000..c37a2eaa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.583.-1965059704.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.RORI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure RORI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rori_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rori_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.html new file mode 100644 index 00000000..79fdcbd4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.588.-1439114397.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CLZ +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CLZ: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_clz_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_clz_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.html new file mode 100644 index 00000000..0ec328ec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.59.692935111.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BLTU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BLTU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bltu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bltu_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.html new file mode 100644 index 00000000..dac84001 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.593.-906289310.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CPOP +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CPOP: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_cpop_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_cpop_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.html new file mode 100644 index 00000000..bb173e57 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.598.-493350037.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.CTZ +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CTZ: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ctz_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_ctz_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.html new file mode 100644 index 00000000..7c9d2b56 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.603.1198911025.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ORC_B +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ORC_B: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orc_b_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_orc_b_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.html new file mode 100644 index 00000000..522bc6c7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.608.-1080321751.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.REV8 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure REV8: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rev8_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_rev8_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.html new file mode 100644 index 00000000..ef266e71 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.613.-643079963.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.SEXT_B +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SEXT_B: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_b_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_b_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.html new file mode 100644 index 00000000..b4dcae6a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.618.-561883157.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.SEXT_H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure SEXT_H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_h_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_sext_h_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.html new file mode 100644 index 00000000..cf956e41 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.623.1854753284.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBB.ZEXT_H +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ZEXT_H: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_zext_h_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbb_zext_h_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.html new file mode 100644 index 00000000..21b9c655 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.629.-1804499259.html @@ -0,0 +1,155 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
CLMUL100.00100.00
CLMULH100.00100.00
CLMULR100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.html new file mode 100644 index 00000000..7573a48e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.630.1393704888.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMUL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CLMUL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmul_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmul_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.html new file mode 100644 index 00000000..279585de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.635.-1926900736.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMULH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CLMULH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulh_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulh_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.html new file mode 100644 index 00000000..995d0ac9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.64.1443833643.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.BNE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BNE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bne_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_bne_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.html new file mode 100644 index 00000000..6e97ca55 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.640.-1791572726.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBC.CLMULR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure CLMULR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulr_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbc_clmulr_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.html new file mode 100644 index 00000000..41482118 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.646.578261173.html @@ -0,0 +1,190 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
98.70 98.70

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
BCLR100.00100.00
BCLRI100.00100.00
BINV100.00100.00
BINVI100.00100.00
BSET 95.83 95.83
BSETI 93.75 93.75
BEXT100.00100.00
BEXTI100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.html new file mode 100644 index 00000000..9ec9bfa1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.647.184910890.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BCLR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BCLR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclr_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclr_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.html new file mode 100644 index 00000000..a60b1c19 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.652.-475958207.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BCLRI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BCLRI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclri_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bclri_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.html new file mode 100644 index 00000000..f7a43c64 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.657.-1192785038.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BINV +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BINV: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binv_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binv_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.html new file mode 100644 index 00000000..3f2fad92 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.662.-1853654135.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BINVI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BINVI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binvi_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_binvi_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.html new file mode 100644 index 00000000..b83f49b2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.667.705264099.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BSET +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
95.83 95.83

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
95.83 95.83

+
+ +
+Measure BSET: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
95.83 95.83

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bset_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bset_cg 95.83 95.83

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.html new file mode 100644 index 00000000..1557f3f6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.672.44395002.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BSETI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
93.75 93.75

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
93.75 93.75

+
+ +
+Measure BSETI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
93.75 93.75

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bseti_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bseti_cg 93.75 93.75

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.html new file mode 100644 index 00000000..280f431e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.677.1036342562.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BEXT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BEXT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bext_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bext_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.html new file mode 100644 index 00000000..3ba79068 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.682.375473465.html @@ -0,0 +1,159 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32ZB.RV32ZBS.BEXTI +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure BEXTI: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bexti_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32zbs_bexti_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.html new file mode 100644 index 00000000..b901d659 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.689.1731943307.html @@ -0,0 +1,163 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Instructions execution sequences +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
98.84 98.84

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
98.84 98.84

+
+ +
+Measure Instruction_sequences: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
98.84 98.84

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rev32_seq_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rev32_seq_cg 98.84 98.84

+
+
+ +
+Attribute/Annotation values: +
+
+description: Instructions sequences
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.html new file mode 100644 index 00000000..87c7587c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.69.1981000120.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.EBREAK +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure EBREAK: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ebreak_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ebreak_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.html new file mode 100644 index 00000000..cd4a087f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.695.799221259.html @@ -0,0 +1,163 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
I_EXT100.00100.00
M_EXT100.00100.00
ZICSR_EXT100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+weight: 1
+
+Comment: RVFI limitation issue(#1338)
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.html new file mode 100644 index 00000000..15dd7902 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.698.1825633614.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.I_EXT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure I_EXT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_i_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.illegal_i_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment: RVFI limitation issue(#1338)
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.html new file mode 100644 index 00000000..8f660981 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.7.-1268999905.html @@ -0,0 +1,164 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
94.94 92.31 97.58

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
ISA 99.74 99.74
CSR access100.00100.00
TRAPs 99.57 99.57
CV-X-IF 91.66 92.31 91.01

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description: CVA6 features for programmer view
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.html new file mode 100644 index 00000000..31826aba --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.703.-791266358.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.M_EXT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure M_EXT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_m_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.illegal_m_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment: RVFI limitation issue(#1338)
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.html new file mode 100644 index 00000000..d5adbd49 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.708.-1649057788.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.Illegal instructions.ZICSR_EXT +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ZICSR_EXT: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.uvme_cva6_pkg.illegal_zicsr_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.uvme_cva6_pkg.illegal_zicsr_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment: RVFI limitation issue(#1338)
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.html new file mode 100644 index 00000000..0adde211 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.715.-1345026289.html @@ -0,0 +1,1443 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
MSTATUS100.00100.00
MISA100.00100.00
MIE100.00100.00
MTVEC100.00100.00
MSTATUSH100.00100.00
MHPMEVENT3100.00100.00
MHPMEVENT4100.00100.00
MHPMEVENT5100.00100.00
MHPMEVENT6100.00100.00
MHPMEVENT7100.00100.00
MHPMEVENT8100.00100.00
MHPMEVENT9100.00100.00
MHPMEVENT10100.00100.00
MHPMEVENT11100.00100.00
MHPMEVENT12100.00100.00
MHPMEVENT13100.00100.00
MHPMEVENT14100.00100.00
MHPMEVENT15100.00100.00
MHPMEVENT16100.00100.00
MHPMEVENT17100.00100.00
MHPMEVENT18100.00100.00
MHPMEVENT19100.00100.00
MHPMEVENT20100.00100.00
MHPMEVENT21100.00100.00
MHPMEVENT22100.00100.00
MHPMEVENT23100.00100.00
MHPMEVENT24100.00100.00
MHPMEVENT25100.00100.00
MHPMEVENT26100.00100.00
MHPMEVENT27100.00100.00
MHPMEVENT28100.00100.00
MHPMEVENT29100.00100.00
MHPMEVENT30100.00100.00
MHPMEVENT31100.00100.00
MSCRATCH100.00100.00
MEPC100.00100.00
MCAUSE100.00100.00
MTVAL100.00100.00
MIP100.00100.00
PMPCFG0100.00100.00
PMPCFG1100.00100.00
PMPCFG2100.00100.00
PMPCFG3100.00100.00
PMPCFG4100.00100.00
PMPCFG5100.00100.00
PMPCFG6100.00100.00
PMPCFG7100.00100.00
PMPCFG8100.00100.00
PMPCFG9100.00100.00
PMPCFG10100.00100.00
PMPCFG11100.00100.00
PMPCFG12100.00100.00
PMPCFG13100.00100.00
PMPCFG14100.00100.00
PMPCFG15100.00100.00
PMPADDR0100.00100.00
PMPADDR1100.00100.00
PMPADDR2100.00100.00
PMPADDR3100.00100.00
PMPADDR4100.00100.00
PMPADDR5100.00100.00
PMPADDR6100.00100.00
PMPADDR7100.00100.00
PMPADDR8100.00100.00
PMPADDR9100.00100.00
PMPADDR10100.00100.00
PMPADDR11100.00100.00
PMPADDR12100.00100.00
PMPADDR13100.00100.00
PMPADDR14100.00100.00
PMPADDR15100.00100.00
PMPADDR16100.00100.00
PMPADDR17100.00100.00
PMPADDR18100.00100.00
PMPADDR19100.00100.00
PMPADDR20100.00100.00
PMPADDR21100.00100.00
PMPADDR22100.00100.00
PMPADDR23100.00100.00
PMPADDR24100.00100.00
PMPADDR25100.00100.00
PMPADDR26100.00100.00
PMPADDR27100.00100.00
PMPADDR28100.00100.00
PMPADDR29100.00100.00
PMPADDR30100.00100.00
PMPADDR31100.00100.00
PMPADDR32100.00100.00
PMPADDR33100.00100.00
PMPADDR34100.00100.00
PMPADDR35100.00100.00
PMPADDR36100.00100.00
PMPADDR37100.00100.00
PMPADDR38100.00100.00
PMPADDR39100.00100.00
PMPADDR40100.00100.00
PMPADDR41100.00100.00
PMPADDR42100.00100.00
PMPADDR43100.00100.00
PMPADDR44100.00100.00
PMPADDR45100.00100.00
PMPADDR46100.00100.00
PMPADDR47100.00100.00
PMPADDR48100.00100.00
PMPADDR49100.00100.00
PMPADDR50100.00100.00
PMPADDR51100.00100.00
PMPADDR52100.00100.00
PMPADDR53100.00100.00
PMPADDR54100.00100.00
PMPADDR55100.00100.00
PMPADDR56100.00100.00
PMPADDR57100.00100.00
PMPADDR58100.00100.00
PMPADDR59100.00100.00
PMPADDR60100.00100.00
PMPADDR61100.00100.00
PMPADDR62100.00100.00
PMPADDR63100.00100.00
MCYCLE100.00100.00
MINSTRET100.00100.00
MHPMCOUNTER3100.00100.00
MHPMCOUNTER4100.00100.00
MHPMCOUNTER5100.00100.00
MHPMCOUNTER6100.00100.00
MHPMCOUNTER7100.00100.00
MHPMCOUNTER8100.00100.00
MHPMCOUNTER9100.00100.00
MHPMCOUNTER10100.00100.00
MHPMCOUNTER11100.00100.00
MHPMCOUNTER12100.00100.00
MHPMCOUNTER13100.00100.00
MHPMCOUNTER14100.00100.00
MHPMCOUNTER15100.00100.00
MHPMCOUNTER16100.00100.00
MHPMCOUNTER17100.00100.00
MHPMCOUNTER18100.00100.00
MHPMCOUNTER19100.00100.00
MHPMCOUNTER20100.00100.00
MHPMCOUNTER21100.00100.00
MHPMCOUNTER22100.00100.00
MHPMCOUNTER23100.00100.00
MHPMCOUNTER24100.00100.00
MHPMCOUNTER25100.00100.00
MHPMCOUNTER26100.00100.00
MHPMCOUNTER27100.00100.00
MHPMCOUNTER28100.00100.00
MHPMCOUNTER29100.00100.00
MHPMCOUNTER30100.00100.00
MHPMCOUNTER31100.00100.00
MCYCLEH100.00100.00
MINSTRETH100.00100.00
MHPMCOUNTER3H100.00100.00
MHPMCOUNTER4H100.00100.00
MHPMCOUNTER5H100.00100.00
MHPMCOUNTER6H100.00100.00
MHPMCOUNTER7H100.00100.00
MHPMCOUNTER8H100.00100.00
MHPMCOUNTER9H100.00100.00
MHPMCOUNTER10H100.00100.00
MHPMCOUNTER11H100.00100.00
MHPMCOUNTER12H100.00100.00
MHPMCOUNTER13H100.00100.00
MHPMCOUNTER14H100.00100.00
MHPMCOUNTER15H100.00100.00
MHPMCOUNTER16H100.00100.00
MHPMCOUNTER17H100.00100.00
MHPMCOUNTER18H100.00100.00
MHPMCOUNTER19H100.00100.00
MHPMCOUNTER20H100.00100.00
MHPMCOUNTER21H100.00100.00
MHPMCOUNTER22H100.00100.00
MHPMCOUNTER23H100.00100.00
MHPMCOUNTER24H100.00100.00
MHPMCOUNTER25H100.00100.00
MHPMCOUNTER26H100.00100.00
MHPMCOUNTER27H100.00100.00
MHPMCOUNTER28H100.00100.00
MHPMCOUNTER29H100.00100.00
MHPMCOUNTER30H100.00100.00
MHPMCOUNTER31H100.00100.00
MVENDORID100.00100.00
MARCHID100.00100.00
MIMPID100.00100.00
MHARTID100.00100.00
MCONFIGPTR100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description:
+
+CSR registers access.
+Specification: Done, Dvplan: Done, Verification execution: Done
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.html new file mode 100644 index 00000000..562becc8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.717.881081310.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSTATUS +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MSTATUS: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mstatus.mstatus__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.html new file mode 100644 index 00000000..feda4aa4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.722.-605078745.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MISA +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MISA: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.misa.misa__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.misa.misa__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.misa.misa__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.misa.misa__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.html new file mode 100644 index 00000000..2729b6d5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.727.1094016372.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MIE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mie.mie__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mie.mie__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mie.mie__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mie.mie__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.html new file mode 100644 index 00000000..4f807076 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.732.-779683984.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MTVEC +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MTVEC: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mtvec.mtvec__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.html new file mode 100644 index 00000000..6098cc70 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.737.416196134.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSTATUSH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MSTATUSH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mstatush.mstatush__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.html new file mode 100644 index 00000000..36932709 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.74.1327615957.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.ECALL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure ECALL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ecall_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_ecall_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.html new file mode 100644 index 00000000..f7398ffa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.742.-882165298.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT3 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT3: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent3.mhpmevent3__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.html new file mode 100644 index 00000000..6d2437e1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.747.-1837686577.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT4 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT4: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent4.mhpmevent4__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.html new file mode 100644 index 00000000..be757764 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.752.1501759440.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT5 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT5: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent5.mhpmevent5__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.html new file mode 100644 index 00000000..8c467909 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.757.546238161.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT6 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT6: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent6.mhpmevent6__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.html new file mode 100644 index 00000000..288ef023 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.762.-409283118.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT7 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT7: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent7.mhpmevent7__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.html new file mode 100644 index 00000000..5d14e31d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.767.-1364804397.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT8 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT8: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent8.mhpmevent8__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.html new file mode 100644 index 00000000..75b44b03 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.772.1974641620.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT9 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT9: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent9.mhpmevent9__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.html new file mode 100644 index 00000000..b73fa6a5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.777.847389084.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT10 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT10: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent10.mhpmevent10__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.html new file mode 100644 index 00000000..ece841ba --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.782.1291000507.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT11 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT11: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent11.mhpmevent11__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.html new file mode 100644 index 00000000..fc31864e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.787.1734611930.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT12 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT12: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent12.mhpmevent12__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.html new file mode 100644 index 00000000..26177f75 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.79.1949714563.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.FENCE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure FENCE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_fence_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_fence_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.html new file mode 100644 index 00000000..5c758bbd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.792.-2116743943.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT13 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT13: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent13.mhpmevent13__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.html new file mode 100644 index 00000000..c2b22013 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.797.-1673132520.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT14 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT14: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent14.mhpmevent14__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.html new file mode 100644 index 00000000..f331b2a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.802.-1229521097.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT15 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT15: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent15.mhpmevent15__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.html new file mode 100644 index 00000000..7eff7b2b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.807.-785909674.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT16 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT16: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent16.mhpmevent16__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.html new file mode 100644 index 00000000..36950030 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.812.-342298251.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT17 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT17: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent17.mhpmevent17__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.html new file mode 100644 index 00000000..248933aa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.817.101313172.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT18 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT18: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent18.mhpmevent18__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.html new file mode 100644 index 00000000..89332ce5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.822.544924595.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT19 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT19: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent19.mhpmevent19__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.html new file mode 100644 index 00000000..2ad5cd9c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.827.-108132195.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT20 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT20: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent20.mhpmevent20__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.html new file mode 100644 index 00000000..348a1f56 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.832.335479228.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT21 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT21: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent21.mhpmevent21__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.html new file mode 100644 index 00000000..62f4bb75 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.837.779090651.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT22 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT22: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent22.mhpmevent22__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.html new file mode 100644 index 00000000..23e835d0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.84.-1478861081.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.JAL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
85.42 85.42

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
85.42 85.42

+
+ +
+Measure JAL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
85.42 85.42

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jal_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jal_cg 85.42 85.42

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.html new file mode 100644 index 00000000..501874d6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.842.1222702074.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT23 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT23: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent23.mhpmevent23__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.html new file mode 100644 index 00000000..25525e5a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.847.1666313497.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT24 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT24: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent24.mhpmevent24__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.html new file mode 100644 index 00000000..f7a1124d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.852.2109924920.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT25 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT25: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent25.mhpmevent25__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.html new file mode 100644 index 00000000..556ccaac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.857.-1741430953.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT26 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT26: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent26.mhpmevent26__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.html new file mode 100644 index 00000000..a7bf912b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.862.-1297819530.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT27 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT27: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent27.mhpmevent27__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.html new file mode 100644 index 00000000..744910cc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.867.-854208107.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT28 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT28: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent28.mhpmevent28__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.html new file mode 100644 index 00000000..1ee08715 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.872.-410596684.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT29 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT29: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent29.mhpmevent29__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.html new file mode 100644 index 00000000..178d364a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.877.-1063653474.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT30 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT30: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent30.mhpmevent30__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.html new file mode 100644 index 00000000..50741eb6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.882.-620042051.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MHPMEVENT31 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MHPMEVENT31: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mhpmevent31.mhpmevent31__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.html new file mode 100644 index 00000000..d3ec3188 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.887.-2013364374.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MSCRATCH +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MSCRATCH: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mscratch.mscratch__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.html new file mode 100644 index 00000000..2ef70287 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.89.-1424754475.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.JALR +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
92.03 92.03

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
92.03 92.03

+
+ +
+Measure JALR: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
92.03 92.03

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jalr_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_jalr_cg 92.03 92.03

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.html new file mode 100644 index 00000000..2af2f35d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.892.501594696.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MEPC +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MEPC: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mepc.mepc__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mepc.mepc__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mepc.mepc__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mepc.mepc__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.html new file mode 100644 index 00000000..0006e29b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.897.2145191065.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MCAUSE +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MCAUSE: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mcause.mcause__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mcause.mcause__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mcause.mcause__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mcause.mcause__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.html new file mode 100644 index 00000000..17e1d16b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.9.744007432.html @@ -0,0 +1,197 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
99.74 99.74

+
+
+ +
+Sub-features: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
RV32I 99.46 99.46
RV32M100.00100.00
RV32C 99.97 99.97
RV32ZICSR100.00100.00
RV32ZCB 99.95 99.95
RV32ZB 99.67 99.67
Instructions execution sequences 98.84 98.84
Illegal instructions100.00100.00

+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP

+
+ +
+Attribute/Annotation values: +
+
+description:
+
+Instruction Set Architecture
+Specification: Done, Dvplan: Done, Verification execution: Done
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.html new file mode 100644 index 00000000..16b2c7f3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.902.-497096765.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MTVAL +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MTVAL: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mtval.mtval__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mtval.mtval__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mtval.mtval__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mtval.mtval__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.html new file mode 100644 index 00000000..2bf33409 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.907.-784320823.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.MIP +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure MIP: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mip.mip__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mip.mip__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.mip.mip__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.mip.mip__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.html new file mode 100644 index 00000000..4875d115 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.912.1690858110.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG0 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG0: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg0.pmpcfg0__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.html new file mode 100644 index 00000000..1146f02d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.917.-1649880291.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG1 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG1: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg1.pmpcfg1__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.html new file mode 100644 index 00000000..064096d2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.922.-695651396.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG2 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG2: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg2.pmpcfg2__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.html new file mode 100644 index 00000000..ce5e1cc4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.927.258577499.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG3 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG3: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg3.pmpcfg3__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.html new file mode 100644 index 00000000..9d14813f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.932.1212806394.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG4 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG4: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg4.pmpcfg4__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.html new file mode 100644 index 00000000..cd3c081c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.937.-2127932007.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG5 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG5: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg5.pmpcfg5__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.html new file mode 100644 index 00000000..e1d3e642 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.94.-125646084.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LB +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LB: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lb_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lb_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.html new file mode 100644 index 00000000..0981cbdf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.942.-1173703112.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG6 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG6: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg6.pmpcfg6__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.html new file mode 100644 index 00000000..ea298f91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.947.-219474217.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG7 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG7: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg7.pmpcfg7__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.html new file mode 100644 index 00000000..4306fcfe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.952.734754678.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG8 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG8: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg8.pmpcfg8__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.html new file mode 100644 index 00000000..afe7fd16 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.957.1688983573.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG9 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG9: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg9.pmpcfg9__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.html new file mode 100644 index 00000000..96daba61 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.962.903507789.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG10 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG10: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg10.pmpcfg10__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.html new file mode 100644 index 00000000..db2362b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.967.419832462.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG11 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG11: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg11.pmpcfg11__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.html new file mode 100644 index 00000000..6879d29a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.972.-63842865.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG12 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG12: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg12.pmpcfg12__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.html new file mode 100644 index 00000000..54e7d598 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.977.-547518192.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG13 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG13: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg13.pmpcfg13__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.html new file mode 100644 index 00000000..410db948 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.982.-1031193519.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG14 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG14: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg14.pmpcfg14__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.html new file mode 100644 index 00000000..7a6a12b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.987.-1514868846.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPCFG15 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPCFG15: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpcfg15.pmpcfg15__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.html new file mode 100644 index 00000000..4f7d804a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.99.-921328815.html @@ -0,0 +1,165 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.ISA.RV32I.LBU +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure LBU: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lbu_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvma_isacov_pkg.uvma_isacov_pkg.rv32i_lbu_cg100.00100.00

+
+
+ +
+Attribute/Annotation values: +
+
+Comment:
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.html new file mode 100644 index 00000000..ded1a478 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.992.-965524215.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR0 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR0: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr0.pmpaddr0__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.html new file mode 100644 index 00000000..0f3dda6b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/feature.CVA6 Verification Master Plan1.997.-1449199542.html @@ -0,0 +1,168 @@ + + + + + +Unified Coverage Report :: HVP Feature + + + + + + + + + + + + +
+
+
+
+ +Feature : CVA6 Verification Master Plan.Programmer view level.CSR access.PMPADDR1 +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure Totals: +
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+ +
+Measure PMPADDR1: +
+Metrics: Group
+ + + + + + + + +
SCORELINECONDASSERTGROUP
100.00100.00

+
+Sources: +
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__read_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__read_cg100.00100.00

+
+ +group instance: uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__write_cg
+ + + + + + + + + +
NAMESCORELINECONDASSERTGROUP
uvme_cva6_pkg.csr_reg_cov.pmpaddr1.pmpaddr1__write_cg100.00100.00

+
+
+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.html new file mode 100644 index 00000000..e87a480e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups.html @@ -0,0 +1,1410 @@ + + + + + +Unified Coverage Report :: Group List + + + + + + + + + + + +
+ +
Testbench Group List
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Total Groups Coverage Summary 
+ + + + + + +
SCOREINST SCOREWEIGHT
98.85 98.851

+
+Total groups in report: 451
+groups.html | groups1.html | groups2.html | groups3.html | groups4.html
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSINGCOMMENT
+uvme_cva6_pkg::cg_cva6_clock_period_cg 0.00 0.001 100 1 1 64 64
+uvme_cva6_pkg::cg_cva6_reset_cg 33.33 33.331 100 1 1 64 64
+uvme_cva6_pkg::cg_cva6_boot_addr 33.33 33.331 100 1 1 64 64
+uvma_obi_memory_pkg::cg_obi 41.67 41.671 100 1 1 64 64
+uvma_cvxif_pkg::cg_request 69.44 69.441 100 1 1 64 64
+uvma_cvxif_pkg::cg_result 77.08 77.081 100 1 1 64 64
+uvme_cva6_pkg::cg_cva6_config 83.87 83.871 100 1 1 64 64
+uvma_obi_memory_pkg::cg_obi_delay 84.03 84.031 100 1 1 64 64
+uvma_isacov_pkg::cg_jtype 85.42 85.421 100 1 1 64 64
+uvma_isacov_pkg::cg_itype(withChksum=3332249172) 98.01 98.011 100 1 1 64 64
+uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} 98.29 98.291 100 1 1 64 64
+uvma_isacov_pkg::cg_zb_itype_shift 98.44 98.441 100 1 1 64 64
+uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} 98.84 98.841 100 1 1 64 64
+uvma_isacov_pkg::cg_cl 99.55 99.551 100 1 1 64 64
+uvma_isacov_pkg::cg_cs 99.61 99.611 100 1 1 64 64
+uvme_cva6_pkg::cg_cvxif_rs3_instr 99.78 99.781 100 1 1 64 64
+uvme_cva6_pkg::cg_cvxif_instr 99.80 99.801 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype(withChksum=546157500) 99.80 99.801 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_sh 99.80 99.801 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_lhu 99.83 99.831 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_lh 99.83 99.831 100 1 1 64 64
+uvme_cva6_pkg::cg_cvxif_compressed_instr 99.92 99.921 100 1 1 64 64
+uvma_cvxif_pkg::cg_response100.00100.001 100 1 1 64 64
+uvma_interrupt_pkg::cg_interrupt100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zb_rstype_zexth100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype(withChksum=777630929)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype(withChksum=689159069)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ca(withChksum=28571194)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ca(withChksum=2304086666)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ciw100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)}100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)}100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cb_shift100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_sb100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_lbu100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_load(withChksum=4020121393)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_load(withChksum=2973838669)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_utype100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zb_itype_ext100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_css100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_executed_type100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_load_lhu100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cr_mv100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cj100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zb_rstype100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_mul100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zb_rstype_ext100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_div_special_results100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_div_special_results100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_load_lbu100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ci_shift100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ci_lui100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ci(withChksum=332521270)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ci(withChksum=3641590055)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ci(withChksum=430551851)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cb100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype(withChksum=2320478138)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_zexth100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_zextb100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype_clmulh100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_stype100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zb_rstype_count100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_shift100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_ci_li100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_btype100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)}100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)}100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cb_andi100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cr_add100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745)100.00100.001 100 1 1 64 64
+uvma_isacov_pkg::cg_cr_j100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mcycleh::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_minstreth::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg100.00100.001 100 1 1 64 64
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups1.html new file mode 100644 index 00000000..8a5fd8b8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups1.html @@ -0,0 +1,1410 @@ + + + + + +Unified Coverage Report :: Group List + + + + + + + + + + + +
+ +
Testbench Group List
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Total Groups Coverage Summary 
+ + + + + + +
SCOREINST SCOREWEIGHT
98.85 98.851

+
+Total groups in report: 451
+groups.html | groups1.html | groups2.html | groups3.html | groups4.html
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSINGCOMMENT
+uvme_cva6_pkg::reg_mtvec::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mcycle::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mstatus::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mtval::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mcycle::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mie::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mstatus::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mip::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhartid::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mcause::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::cg_illegal_m100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mepc::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg100.00100.001 100 1 1 64 64
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups2.html new file mode 100644 index 00000000..6910a4a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups2.html @@ -0,0 +1,1410 @@ + + + + + +Unified Coverage Report :: Group List + + + + + + + + + + + +
+ +
Testbench Group List
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Total Groups Coverage Summary 
+ + + + + + +
SCOREINST SCOREWEIGHT
98.85 98.851

+
+Total groups in report: 451
+groups.html | groups1.html | groups2.html | groups3.html | groups4.html
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSINGCOMMENT
+uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_misa::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mcycleh::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mie::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_minstreth::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mcause::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mimpid::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_minstret::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_misa::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mepc::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg100.00100.001 100 1 1 64 64
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups3.html new file mode 100644 index 00000000..b97ca8b8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups3.html @@ -0,0 +1,1410 @@ + + + + + +Unified Coverage Report :: Group List + + + + + + + + + + + +
+ +
Testbench Group List
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Total Groups Coverage Summary 
+ + + + + + +
SCOREINST SCOREWEIGHT
98.85 98.851

+
+Total groups in report: 451
+groups.html | groups1.html | groups2.html | groups3.html | groups4.html
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSINGCOMMENT
+uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mtval::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mscratch::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mip::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mstatush::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)}100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_marchid::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mscratch::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg100.00100.001 100 1 1 64 64
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups4.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups4.html new file mode 100644 index 00000000..7af00d58 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/groups4.html @@ -0,0 +1,773 @@ + + + + + +Unified Coverage Report :: Group List + + + + + + + + + + + +
+ +
Testbench Group List
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Total Groups Coverage Summary 
+ + + + + + +
SCOREINST SCOREWEIGHT
98.85 98.851

+
+Total groups in report: 451
+groups.html | groups1.html | groups2.html | groups3.html | groups4.html
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSINGCOMMENT
+uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::cg_illegal_i100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::cg_cvxif_executed100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mstatush::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mtvec::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::cg_illegal_zicsr100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_minstret::reg_rd_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg100.00100.001 100 1 1 64 64
+uvme_cva6_pkg::reg_mvendorid::reg_rd_cg100.00100.001 100 1 1 64 64
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp0.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp0.html new file mode 100644 index 00000000..e09aaf8a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp0.html @@ -0,0 +1,751 @@ + + + + + +Unified Coverage Report :: Group :: uvma_cvxif_pkg::cg_request + + + + + + + + + + +
+ +
Group : uvma_cvxif_pkg::cg_request
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_cvxif_pkg::cg_request +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
69.44 69.441 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_cvxif_pkg.request_cg 69.441 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_cvxif_pkg.request_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
69.441 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_cvxif_pkg.request_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2291378.57
Crosses2416837.50

+
+Variables for Group Instance uvma_cvxif_pkg.request_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_compressed_valid101100.00100110
cp_issue_valid101100.00100110
cp_issue_id84450.00 100110
cp_rs_valid101100.00100110
cp_commit_id84450.00 100110
cp_commit_kill21150.00 100110
cp_commit_valid101100.00100110

+
+Crosses for Group Instance uvma_cvxif_pkg.request_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_issue_req84450.00 100110
cross_commit_req1612425.00 100110

+
+
+
+
+
+
+Summary for Variable cp_compressed_valid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_compressed_valid +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
COMPRESSED_VALID379621

+
+
+Summary for Variable cp_issue_valid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_issue_valid +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ISSUE_VALID637821

+
+
+Summary for Variable cp_issue_id +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins84450.00

+
+User Defined Bins for cp_issue_id +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
ID_4011
ID_5011
ID_6011
ID_7011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ID_0160951
ID_1159741
ID_2158971
ID_3158161

+
+
+Summary for Variable cp_rs_valid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_rs_valid +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
RS_VALID_2637601

+
+
+Summary for Variable cp_commit_id +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins84450.00

+
+User Defined Bins for cp_commit_id +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
ID_COMMIT_4011
ID_COMMIT_5011
ID_COMMIT_6011
ID_COMMIT_7011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ID_COMMIT_0149991
ID_COMMIT_1148511
ID_COMMIT_2147311
ID_COMMIT_3146941

+
+
+Summary for Variable cp_commit_kill +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins21150.00

+
+User Defined Bins for cp_commit_kill +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
COMMIT_KILL_1011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
COMMIT_KILL_0592751

+
+
+Summary for Variable cp_commit_valid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_commit_valid +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
COMMIT_VALID592751

+
+
+Summary for Cross cross_issue_req +
+
+Samples crossed: cp_issue_valid cp_issue_id cp_rs_valid
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL84450.00 4
Automatically Generated Cross Bins84450.00 4
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_issue_req +
+
+Element holes +
+ + + + + + + + + +
cp_issue_validcp_issue_idcp_rs_validCOUNTAT LEASTNUMBER
*[ID_4 , ID_5 , ID_6 , ID_7]*----4

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_issue_validcp_issue_idcp_rs_validCOUNTAT LEAST
ISSUE_VALIDID_0RS_VALID_2160881
ISSUE_VALIDID_1RS_VALID_2159681
ISSUE_VALIDID_2RS_VALID_2158921
ISSUE_VALIDID_3RS_VALID_2158121

+
+User Defined Cross Bins for cross_issue_req +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_BINS0Excluded

+
+
+Summary for Cross cross_commit_req +
+
+Samples crossed: cp_commit_valid cp_commit_kill cp_commit_id
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL1612425.00 12
Automatically Generated Cross Bins1612425.00 12
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_commit_req +
+
+Element holes +
+ + + + + + + + + + + + + + + + +
cp_commit_validcp_commit_killcp_commit_idCOUNTAT LEASTNUMBER
*[COMMIT_KILL_0][ID_COMMIT_4 , ID_COMMIT_5 , ID_COMMIT_6 , ID_COMMIT_7]----4
*[COMMIT_KILL_1]*----8

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_commit_validcp_commit_killcp_commit_idCOUNTAT LEAST
COMMIT_VALIDCOMMIT_KILL_0ID_COMMIT_0149991
COMMIT_VALIDCOMMIT_KILL_0ID_COMMIT_1148511
COMMIT_VALIDCOMMIT_KILL_0ID_COMMIT_2147311
COMMIT_VALIDCOMMIT_KILL_0ID_COMMIT_3146941

+
+User Defined Cross Bins for cross_commit_req +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_BINS0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp1.html new file mode 100644 index 00000000..bd0c2392 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp1.html @@ -0,0 +1,454 @@ + + + + + +Unified Coverage Report :: Group :: uvma_cvxif_pkg::cg_response + + + + + + + + + + +
+ +
Group : uvma_cvxif_pkg::cg_response
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_cvxif_pkg::cg_response +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_cvxif_pkg.response_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_cvxif_pkg.response_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_cvxif_pkg.response_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables10010100.00
Crosses404100.00

+
+Variables for Group Instance uvma_cvxif_pkg.response_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_issue_accept202100.00100110
cp_writeback202100.00100110
cp_register_read404100.00100110
cp_compressed_accept202100.00100110

+
+Crosses for Group Instance uvma_cvxif_pkg.response_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_resp404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_issue_accept +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_issue_accept +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
ISSUE_ACCEPT_0964301
ISSUE_ACCEPT_123181

+
+
+Summary for Variable cp_writeback +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_writeback +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
WRITEBACK_0968521
WRITEBACK_118961

+
+
+Summary for Variable cp_register_read +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for cp_register_read +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
REGISTER_READ_0968521
REGISTER_READ_11891
REGISTER_READ_21711
REGISTER_READ_315361

+
+
+Summary for Variable cp_compressed_accept +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_compressed_accept +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
COMPRESSED_ACCEPT_0964301
COMPRESSED_ACCEPT_123181

+
+
+Summary for Cross cross_resp +
+
+Samples crossed: cp_issue_accept cp_writeback cp_register_read
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL404100.00
Automatically Generated Cross Bins404100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_resp +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_issue_acceptcp_writebackcp_register_readCOUNTAT LEAST
ISSUE_ACCEPT_1WRITEBACK_0REGISTER_READ_04221
ISSUE_ACCEPT_1WRITEBACK_1REGISTER_READ_11891
ISSUE_ACCEPT_1WRITEBACK_1REGISTER_READ_21711
ISSUE_ACCEPT_1WRITEBACK_1REGISTER_READ_315361

+
+User Defined Cross Bins for cross_resp +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
IGN_ACCEPT00Excluded
IGN_WRITEBACK10Excluded
IGN_WRITEBACK00Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp10.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp10.html new file mode 100644 index 00000000..94a9d835 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp10.html @@ -0,0 +1,903 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_jtype + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_jtype
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_jtype +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
85.42 85.421 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_jal_cg 85.421 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_jal_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
85.421 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_jal_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1391712285.42

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_jal_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.001001132
cp_immj_value31266.67 100110
cp_rd_toggle64164875.00 100110
cp_immj_toggle40040100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]181
auto[1]576281
auto[2]31611
auto[3]34111
auto[4]31061
auto[5]29521
auto[6]241051
auto[7]11041
auto[8]11891
auto[9]12881
auto[10]11681
auto[11]10651
auto[12]11431
auto[13]11881
auto[14]11551
auto[15]10961
auto[16]9721
auto[17]10941
auto[18]12281
auto[19]10881
auto[20]11771
auto[21]11891
auto[22]14151
auto[23]9561
auto[24]10601
auto[25]12111
auto[26]10481
auto[27]10801
auto[28]9931
auto[29]10041
auto[30]11981
auto[31]12561

+
+
+Summary for Variable cp_immj_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31266.67

+
+Automatically Generated Bins for cp_immj_value +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
auto_ZERO011

+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_POSITIVE702221
auto_NEGATIVE525241

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64164875.00

+
+User Defined Bins for cp_rd_toggle +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT30_1011
BIT29_1011
BIT28_1011
BIT27_1011
BIT26_1011
BIT25_1011
BIT24_1011
BIT23_1011
BIT22_1011
BIT21_1011
BIT20_1011
BIT19_1011
BIT18_1011
BIT17_1011
BIT0_1011
BIT31_0011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11227461
BIT16_121
BIT15_171
BIT14_1721
BIT13_11501
BIT12_145381
BIT11_1379661
BIT10_1505771
BIT9_1620521
BIT8_1639301
BIT7_1605711
BIT6_1610851
BIT5_1613281
BIT4_1616521
BIT3_1612511
BIT2_1613671
BIT1_1666401
BIT30_01227461
BIT29_01227461
BIT28_01227461
BIT27_01227461
BIT26_01227461
BIT25_01227461
BIT24_01227461
BIT23_01227461
BIT22_01227461
BIT21_01227461
BIT20_01227461
BIT19_01227461
BIT18_01227461
BIT17_01227461
BIT16_01227441
BIT15_01227391
BIT14_01226741
BIT13_01225961
BIT12_01182081
BIT11_0847801
BIT10_0721691
BIT9_0606941
BIT8_0588161
BIT7_0621751
BIT6_0616611
BIT5_0614181
BIT4_0610941
BIT3_0614951
BIT2_0613791
BIT1_0561061
BIT0_01227461

+
+
+Summary for Variable cp_immj_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins40040100.00

+
+User Defined Bins for cp_immj_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT19_1525241
BIT18_1525241
BIT17_1525241
BIT16_1525241
BIT15_1525241
BIT14_1525231
BIT13_1525271
BIT12_1525301
BIT11_1525311
BIT10_1525571
BIT9_1523681
BIT8_1525661
BIT7_1524081
BIT6_1525071
BIT5_1552631
BIT4_1612411
BIT3_1629441
BIT2_1641581
BIT1_1653451
BIT0_1335781
BIT19_0702221
BIT18_0702221
BIT17_0702221
BIT16_0702221
BIT15_0702221
BIT14_0702231
BIT13_0702191
BIT12_0702161
BIT11_0702151
BIT10_0701891
BIT9_0703781
BIT8_0701801
BIT7_0703381
BIT6_0702391
BIT5_0674831
BIT4_0615051
BIT3_0598021
BIT2_0585881
BIT1_0574011
BIT0_0891681

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp100.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp100.html new file mode 100644 index 00000000..1bf669c9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp100.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER7H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER7H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER7H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02151

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp101.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp101.html new file mode 100644 index 00000000..95a60a91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp101.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent23::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent23.mhpmevent23__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent23.mhpmevent23__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT23404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT23 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT23 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]611
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]131
legal_values271

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp102.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp102.html new file mode 100644 index 00000000..8dbad028 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp102.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mcycle::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mcycle::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mcycle::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mcycle.mcycle__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mcycle.mcycle__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mcycle.mcycle__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.mcycle.mcycle__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCYCLE303100.00100110

+
+
+
+
+
+
+Summary for Variable MCYCLE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MCYCLE +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]941
other_values[1431655766:2863311530]151
other_values[2863311531:ffffffff]201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp103.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp103.html new file mode 100644 index 00000000..767a703e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp103.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg3::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg3.pmpcfg3__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg3.pmpcfg3__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP15CFG404100.00100110
PMP14CFG404100.00100110
PMP13CFG404100.00100110
PMP12CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP15CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP15CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]91
illegal_values[56:aa]121
illegal_values[ab:ff]161
legal_values1241

+
+
+Summary for Variable PMP14CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP14CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]111
illegal_values[56:aa]61
illegal_values[ab:ff]181
legal_values1261

+
+
+Summary for Variable PMP13CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP13CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]91
illegal_values[56:aa]121
illegal_values[ab:ff]181
legal_values1221

+
+
+Summary for Variable PMP12CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP12CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]901
illegal_values[56:aa]131
illegal_values[ab:ff]141
legal_values441

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp104.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp104.html new file mode 100644 index 00000000..4a598828 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp104.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent9::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent9.mhpmevent9__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent9.mhpmevent9__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT9404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT9 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT9 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]131
legal_values291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp105.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp105.html new file mode 100644 index 00000000..95b496a8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp105.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr62::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr62.pmpaddr62__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr62.pmpaddr62__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR62404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR62 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR62 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]71
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp106.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp106.html new file mode 100644 index 00000000..f23ee1cb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp106.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER20101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER20 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER20 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02051

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp107.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp107.html new file mode 100644 index 00000000..560172bd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp107.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr20::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr20.pmpaddr20__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr20.pmpaddr20__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR20404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR20 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR20 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]321
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]51
legal_values151

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp108.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp108.html new file mode 100644 index 00000000..bd2ccd24 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp108.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg5::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg5.pmpcfg5__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg5.pmpcfg5__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP23CFG101100.00100110
PMP22CFG101100.00100110
PMP21CFG101100.00100110
PMP20CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP23CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP23CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+Summary for Variable PMP22CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP22CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+Summary for Variable PMP21CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP21CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+Summary for Variable PMP20CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP20CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp109.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp109.html new file mode 100644 index 00000000..53550258 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp109.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg1::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg1.pmpcfg1__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg1.pmpcfg1__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP7CFG101100.00100110
PMP6CFG101100.00100110
PMP5CFG101100.00100110
PMP4CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP7CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP7CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002541

+
+
+Summary for Variable PMP6CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP6CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002541

+
+
+Summary for Variable PMP5CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP5CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002541

+
+
+Summary for Variable PMP4CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP4CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002541

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp11.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp11.html new file mode 100644 index 00000000..6a4be1c9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp11.html @@ -0,0 +1,620 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ciw + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ciw
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ciw +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_addi4spn_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_addi4spn_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_addi4spn_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables88088100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_addi4spn_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_c_rd808100.00100118
cp_rd_toggle64064100.00100110
cp_imm_toggle16016100.00100110

+
+
+
+
+
+
+Summary for Variable cp_c_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]10971
auto[1]10271
auto[2]10281
auto[3]11671
auto[4]11131
auto[5]10461
auto[6]11051
auto[7]10101

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_123811
BIT30_111441
BIT29_110851
BIT28_111511
BIT27_110611
BIT26_110471
BIT25_110111
BIT24_110161
BIT23_19961
BIT22_110081
BIT21_110151
BIT20_110011
BIT19_110261
BIT18_110101
BIT17_110981
BIT16_113671
BIT15_116321
BIT14_114471
BIT13_116151
BIT12_115851
BIT11_116071
BIT10_119591
BIT9_143271
BIT8_143771
BIT7_142921
BIT6_144081
BIT5_144151
BIT4_143891
BIT3_126061
BIT2_125831
BIT1_123571
BIT0_126451
BIT31_062121
BIT30_074491
BIT29_075081
BIT28_074421
BIT27_075321
BIT26_075461
BIT25_075821
BIT24_075771
BIT23_075971
BIT22_075851
BIT21_075781
BIT20_075921
BIT19_075671
BIT18_075831
BIT17_074951
BIT16_072261
BIT15_069611
BIT14_071461
BIT13_069781
BIT12_070081
BIT11_069861
BIT10_066341
BIT9_042661
BIT8_042161
BIT7_043011
BIT6_041851
BIT5_041781
BIT4_042041
BIT3_059871
BIT2_060101
BIT1_062361
BIT0_059481

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins16016100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT7_142321
BIT6_143011
BIT5_143531
BIT4_145611
BIT3_145961
BIT2_146521
BIT1_141
BIT0_151
BIT7_043611
BIT6_042921
BIT5_042401
BIT4_040321
BIT3_039971
BIT2_039411
BIT1_085891
BIT0_085881

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp110.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp110.html new file mode 100644 index 00000000..bbbe2090 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp110.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER18101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER18 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER18 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02221

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp111.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp111.html new file mode 100644 index 00000000..0e7c219b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp111.html @@ -0,0 +1,928 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mstatus::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mstatus::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mstatus::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mstatus.mstatus__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mstatus.mstatus__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mstatus.mstatus__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables21021100.00

+
+Variables for Group Instance csr_reg_cov.mstatus.mstatus__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
SD101100.00100110
SPELP101100.00100110
TSR101100.00100110
TW101100.00100110
TVM101100.00100110
MXR101100.00100110
SUM101100.00100110
MPRV101100.00100110
XS101100.00100110
FS101100.00100110
MPP101100.00100110
SPP101100.00100110
MPIE202100.00100110
UBE101100.00100110
SPIE101100.00100110
UPIE101100.00100110
MIE202100.00100110
SIE101100.00100110
UIE101100.00100110

+
+
+
+
+
+
+Summary for Variable SD +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SD +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable SPELP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SPELP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable TSR +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for TSR +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable TW +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for TW +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable TVM +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for TVM +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable MXR +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MXR +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable SUM +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SUM +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable MPRV +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MPRV +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable XS +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for XS +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable FS +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for FS +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable MPP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MPP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_32655701

+
+
+Summary for Variable SPP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SPP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable MPIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MPIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1]2121521
reset_value534181

+
+
+Summary for Variable UBE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UBE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable SPIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SPIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable UPIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UPIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable MIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1]571
reset_value2655131

+
+
+Summary for Variable SIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+Summary for Variable UIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02655701

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp112.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp112.html new file mode 100644 index 00000000..fb6ec7cf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp112.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr41::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr41.pmpaddr41__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr41.pmpaddr41__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR41404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR41 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR41 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]291
illegal_values[1431655766:2863311530]21
illegal_values[2863311531:ffffffff]41
legal_values171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp113.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp113.html new file mode 100644 index 00000000..b786e004 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp113.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER13101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER13 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER13 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02041

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp114.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp114.html new file mode 100644 index 00000000..93441fd8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp114.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr4::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr4.pmpaddr4__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr4.pmpaddr4__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR4101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR4 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01581

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp115.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp115.html new file mode 100644 index 00000000..d46850ec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp115.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr24::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr24.pmpaddr24__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr24.pmpaddr24__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR24404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR24 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR24 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]61
legal_values221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp116.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp116.html new file mode 100644 index 00000000..bf2f6cd9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp116.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent28::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent28.mhpmevent28__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent28.mhpmevent28__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT28404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT28 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT28 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]511
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]151
legal_values271

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp117.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp117.html new file mode 100644 index 00000000..8489366c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp117.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent29::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent29.mhpmevent29__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent29.mhpmevent29__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT29404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT29 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT29 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp118.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp118.html new file mode 100644 index 00000000..e33acc7a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp118.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr45::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr45.pmpaddr45__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr45.pmpaddr45__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR45404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR45 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR45 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]231
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]11
legal_values141

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp119.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp119.html new file mode 100644 index 00000000..deb2aec7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp119.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER15404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER15 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER15 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]141
legal_values331

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp12.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp12.html new file mode 100644 index 00000000..c038712e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp12.html @@ -0,0 +1,1894 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=972785088) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_slt_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_slt_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_slt_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2960296100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_slt_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_slt_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6591
auto[1]6031
auto[2]6761
auto[3]7351
auto[4]5981
auto[5]6321
auto[6]6191
auto[7]7371
auto[8]6261
auto[9]6311
auto[10]6571
auto[11]6981
auto[12]7001
auto[13]6251
auto[14]6171
auto[15]6631
auto[16]6041
auto[17]6471
auto[18]6421
auto[19]6681
auto[20]6741
auto[21]6381
auto[22]6261
auto[23]6481
auto[24]6491
auto[25]6011
auto[26]7541
auto[27]6021
auto[28]6021
auto[29]6701
auto[30]6011
auto[31]6791

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7071
auto[1]6221
auto[2]6781
auto[3]6911
auto[4]6201
auto[5]6181
auto[6]6591
auto[7]6071
auto[8]7111
auto[9]5731
auto[10]6681
auto[11]6181
auto[12]6351
auto[13]6551
auto[14]6381
auto[15]6221
auto[16]6551
auto[17]6561
auto[18]6311
auto[19]7351
auto[20]6441
auto[21]6051
auto[22]6861
auto[23]6581
auto[24]6731
auto[25]6311
auto[26]6491
auto[27]6571
auto[28]6131
auto[29]6181
auto[30]6831
auto[31]6651

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6521
auto[1]6651
auto[2]5791
auto[3]6521
auto[4]5901
auto[5]6171
auto[6]6661
auto[7]6271
auto[8]7071
auto[9]6601
auto[10]7611
auto[11]7151
auto[12]6181
auto[13]6481
auto[14]6311
auto[15]5731
auto[16]6921
auto[17]6511
auto[18]7261
auto[19]6631
auto[20]6791
auto[21]6611
auto[22]6001
auto[23]7211
auto[24]6021
auto[25]6831
auto[26]6191
auto[27]5961
auto[28]6381
auto[29]6281
auto[30]6381
auto[31]6231

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00701
RD_01611
RD_02621
RD_03691
RD_04561
RD_05671
RD_06621
RD_07651
RD_08491
RD_09671
RD_0a711
RD_0b751
RD_0c791
RD_0d671
RD_0e781
RD_0f711
RD_10731
RD_11781
RD_12731
RD_13701
RD_14771
RD_15681
RD_16741
RD_17591
RD_18591
RD_19711
RD_1a801
RD_1b601
RD_1c651
RD_1d711
RD_1e701
RD_1f621

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00651
RD_01671
RD_02591
RD_03831
RD_04631
RD_05701
RD_06631
RD_07691
RD_08561
RD_09681
RD_0a751
RD_0b751
RD_0c641
RD_0d751
RD_0e811
RD_0f661
RD_10701
RD_11611
RD_12771
RD_13641
RD_14681
RD_15731
RD_16671
RD_17701
RD_18711
RD_19671
RD_1a671
RD_1b601
RD_1c661
RD_1d701
RD_1e601
RD_1f631

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71881
auto_POSITIVE72321
auto_NEGATIVE63611

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71501
auto_POSITIVE71231
auto_NEGATIVE65081

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_rd_value +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
SLT_0127211
SLT_180601

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163611
BIT30_142681
BIT29_142741
BIT28_143381
BIT27_141671
BIT26_141041
BIT25_141531
BIT24_141491
BIT23_140511
BIT22_143221
BIT21_141631
BIT20_142191
BIT19_143211
BIT18_142091
BIT17_142171
BIT16_143301
BIT15_152921
BIT14_152101
BIT13_152751
BIT12_152521
BIT11_156291
BIT10_158051
BIT9_150521
BIT8_146011
BIT7_153901
BIT6_149811
BIT5_149401
BIT4_163621
BIT3_165801
BIT2_163801
BIT1_149701
BIT0_158961
BIT31_0144201
BIT30_0165131
BIT29_0165071
BIT28_0164431
BIT27_0166141
BIT26_0166771
BIT25_0166281
BIT24_0166321
BIT23_0167301
BIT22_0164591
BIT21_0166181
BIT20_0165621
BIT19_0164601
BIT18_0165721
BIT17_0165641
BIT16_0164511
BIT15_0154891
BIT14_0155711
BIT13_0155061
BIT12_0155291
BIT11_0151521
BIT10_0149761
BIT9_0157291
BIT8_0161801
BIT7_0153911
BIT6_0158001
BIT5_0158411
BIT4_0144191
BIT3_0142011
BIT2_0144011
BIT1_0158111
BIT0_0148851

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_165081
BIT30_143391
BIT29_142941
BIT28_143381
BIT27_141271
BIT26_141341
BIT25_140461
BIT24_140901
BIT23_140701
BIT22_141291
BIT21_140871
BIT20_141401
BIT19_141031
BIT18_141311
BIT17_141551
BIT16_142901
BIT15_151741
BIT14_151821
BIT13_153531
BIT12_152001
BIT11_157301
BIT10_157581
BIT9_151951
BIT8_145901
BIT7_155371
BIT6_148841
BIT5_151211
BIT4_163841
BIT3_165541
BIT2_165291
BIT1_151671
BIT0_158531
BIT31_0142731
BIT30_0164421
BIT29_0164871
BIT28_0164431
BIT27_0166541
BIT26_0166471
BIT25_0167351
BIT24_0166911
BIT23_0167111
BIT22_0166521
BIT21_0166941
BIT20_0166411
BIT19_0166781
BIT18_0166501
BIT17_0166261
BIT16_0164911
BIT15_0156071
BIT14_0155991
BIT13_0154281
BIT12_0155811
BIT11_0150511
BIT10_0150231
BIT9_0155861
BIT8_0161911
BIT7_0152441
BIT6_0158971
BIT5_0156601
BIT4_0143971
BIT3_0142271
BIT2_0142521
BIT1_0156141
BIT0_0149281

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO33021
auto_ZEROauto_POSITIVE20421
auto_ZEROauto_NEGATIVE18441
auto_POSITIVEauto_ZERO20341
auto_POSITIVEauto_POSITIVE30571
auto_POSITIVEauto_NEGATIVE21411
auto_NEGATIVEauto_ZERO18141
auto_NEGATIVEauto_POSITIVE20241
auto_NEGATIVEauto_NEGATIVE25231

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp120.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp120.html new file mode 100644 index 00000000..3b7a8494 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp120.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent19::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent19.mhpmevent19__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent19.mhpmevent19__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT19101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT19 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT19 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02081

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp121.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp121.html new file mode 100644 index 00000000..b0c9c374 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp121.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr53::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr53.pmpaddr53__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr53.pmpaddr53__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR53101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR53 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR53 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01361

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp122.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp122.html new file mode 100644 index 00000000..0b7660b7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp122.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr11::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr11.pmpaddr11__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr11.pmpaddr11__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR11101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR11 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR11 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01771

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp123.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp123.html new file mode 100644 index 00000000..e815aab6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp123.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER30101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER30 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER30 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01931

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp124.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp124.html new file mode 100644 index 00000000..a16f27f7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp124.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg4::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg4.pmpcfg4__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg4.pmpcfg4__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP19CFG404100.00100110
PMP18CFG404100.00100110
PMP17CFG404100.00100110
PMP16CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP19CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP19CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]91
illegal_values[ab:ff]71
legal_values1021

+
+
+Summary for Variable PMP18CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP18CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]31
illegal_values[ab:ff]91
legal_values1041

+
+
+Summary for Variable PMP17CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP17CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]61
illegal_values[ab:ff]101
legal_values991

+
+
+Summary for Variable PMP16CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP16CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]721
illegal_values[56:aa]71
illegal_values[ab:ff]101
legal_values331

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp125.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp125.html new file mode 100644 index 00000000..468ce855 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp125.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent18::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent18.mhpmevent18__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent18.mhpmevent18__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT18101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT18 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT18 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01941

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp126.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp126.html new file mode 100644 index 00000000..814a03f8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp126.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mtval::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mtval::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mtval::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mtval.mtval__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mtval.mtval__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mtval.mtval__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mtval.mtval__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MTVAL101100.00100110

+
+
+
+
+
+
+Summary for Variable MTVAL +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MTVAL +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02831

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp127.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp127.html new file mode 100644 index 00000000..76b02e24 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp127.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mcycle::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mcycle::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mcycle::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mcycle.mcycle__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mcycle.mcycle__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mcycle.mcycle__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mcycle.mcycle__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCYCLE404100.00100110

+
+
+
+
+
+
+Summary for Variable MCYCLE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MCYCLE +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]2451
other_values[1431655766:2863311530]131
other_values[2863311531:ffffffff]171
reset_value31

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp128.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp128.html new file mode 100644 index 00000000..b9b8db59 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp128.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr38::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr38.pmpaddr38__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr38.pmpaddr38__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR38404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR38 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR38 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]261
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]21
legal_values141

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp129.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp129.html new file mode 100644 index 00000000..c33317db --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp129.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr32::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr32.pmpaddr32__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr32.pmpaddr32__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR32101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR32 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR32 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_071

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp13.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp13.html new file mode 100644 index 00000000..80114d00 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp13.html @@ -0,0 +1,1871 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype_slt(withChksum=2098143797) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_sltu_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sltu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2940294100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sltu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6651
auto[1]7071
auto[2]7701
auto[3]6341
auto[4]7181
auto[5]6781
auto[6]6721
auto[7]7151
auto[8]6321
auto[9]6801
auto[10]6541
auto[11]6961
auto[12]6261
auto[13]5591
auto[14]6541
auto[15]6811
auto[16]6261
auto[17]6841
auto[18]5971
auto[19]6711
auto[20]6071
auto[21]6281
auto[22]7021
auto[23]6741
auto[24]6091
auto[25]6511
auto[26]7041
auto[27]6541
auto[28]5941
auto[29]6041
auto[30]6401
auto[31]6331

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6121
auto[1]6661
auto[2]6811
auto[3]5471
auto[4]7261
auto[5]6751
auto[6]6591
auto[7]6531
auto[8]7171
auto[9]6351
auto[10]6771
auto[11]6321
auto[12]6511
auto[13]5831
auto[14]5781
auto[15]6241
auto[16]6891
auto[17]6491
auto[18]6461
auto[19]6591
auto[20]6571
auto[21]6831
auto[22]7371
auto[23]6471
auto[24]7141
auto[25]6121
auto[26]6301
auto[27]7121
auto[28]6361
auto[29]6801
auto[30]6581
auto[31]6941

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6541
auto[1]6881
auto[2]6211
auto[3]8161
auto[4]7291
auto[5]5821
auto[6]6541
auto[7]7211
auto[8]6281
auto[9]6871
auto[10]5981
auto[11]6791
auto[12]7051
auto[13]6451
auto[14]6391
auto[15]6311
auto[16]7151
auto[17]5951
auto[18]6761
auto[19]6391
auto[20]5861
auto[21]5801
auto[22]5901
auto[23]6291
auto[24]6871
auto[25]6621
auto[26]6351
auto[27]7271
auto[28]6391
auto[29]6241
auto[30]7181
auto[31]6401

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00691
RD_01681
RD_02781
RD_03641
RD_041671
RD_05621
RD_06661
RD_07621
RD_08661
RD_09591
RD_0a671
RD_0b741
RD_0c661
RD_0d511
RD_0e841
RD_0f681
RD_10631
RD_11661
RD_12721
RD_13571
RD_14651
RD_15581
RD_16621
RD_17741
RD_18761
RD_19631
RD_1a711
RD_1b781
RD_1c581
RD_1d671
RD_1e751
RD_1f891

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00751
RD_01561
RD_02781
RD_03601
RD_041641
RD_05601
RD_06681
RD_07601
RD_08631
RD_09551
RD_0a651
RD_0b771
RD_0c711
RD_0d571
RD_0e681
RD_0f631
RD_10641
RD_11681
RD_12861
RD_13541
RD_14721
RD_15561
RD_16641
RD_17801
RD_18831
RD_19721
RD_1a621
RD_1b751
RD_1c591
RD_1d621
RD_1e851
RD_1f911

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO74341
auto_NON_ZERO135851

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO72241
auto_NON_ZERO137951

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_rd_value +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
SLT_0127161
SLT_183031

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162491
BIT30_141181
BIT29_141241
BIT28_141031
BIT27_140191
BIT26_139331
BIT25_139001
BIT24_139141
BIT23_138911
BIT22_139741
BIT21_138871
BIT20_139861
BIT19_140271
BIT18_139541
BIT17_139251
BIT16_141261
BIT15_151021
BIT14_149011
BIT13_151091
BIT12_149941
BIT11_154851
BIT10_155351
BIT9_149181
BIT8_144391
BIT7_153131
BIT6_148721
BIT5_149451
BIT4_160311
BIT3_162911
BIT2_162861
BIT1_150271
BIT0_157581
BIT31_0147701
BIT30_0169011
BIT29_0168951
BIT28_0169161
BIT27_0170001
BIT26_0170861
BIT25_0171191
BIT24_0171051
BIT23_0171281
BIT22_0170451
BIT21_0171321
BIT20_0170331
BIT19_0169921
BIT18_0170651
BIT17_0170941
BIT16_0168931
BIT15_0159171
BIT14_0161181
BIT13_0159101
BIT12_0160251
BIT11_0155341
BIT10_0154841
BIT9_0161011
BIT8_0165801
BIT7_0157061
BIT6_0161471
BIT5_0160741
BIT4_0149881
BIT3_0147281
BIT2_0147331
BIT1_0159921
BIT0_0152611

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_165761
BIT30_141721
BIT29_141331
BIT28_142091
BIT27_140921
BIT26_141271
BIT25_139781
BIT24_140071
BIT23_139851
BIT22_140381
BIT21_140001
BIT20_140561
BIT19_140961
BIT18_140231
BIT17_139671
BIT16_142921
BIT15_151451
BIT14_150581
BIT13_153171
BIT12_151041
BIT11_155771
BIT10_157591
BIT9_151011
BIT8_144511
BIT7_154851
BIT6_150231
BIT5_149431
BIT4_164291
BIT3_164071
BIT2_163161
BIT1_151281
BIT0_158111
BIT31_0144431
BIT30_0168471
BIT29_0168861
BIT28_0168101
BIT27_0169271
BIT26_0168921
BIT25_0170411
BIT24_0170121
BIT23_0170341
BIT22_0169811
BIT21_0170191
BIT20_0169631
BIT19_0169231
BIT18_0169961
BIT17_0170521
BIT16_0167271
BIT15_0158741
BIT14_0159611
BIT13_0157021
BIT12_0159151
BIT11_0154421
BIT10_0152601
BIT9_0159181
BIT8_0165681
BIT7_0155341
BIT6_0159961
BIT5_0160761
BIT4_0145901
BIT3_0146121
BIT2_0147031
BIT1_0158911
BIT0_0152081

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO35241
auto_ZEROauto_NON_ZERO39101
auto_NON_ZEROauto_ZERO37001
auto_NON_ZEROauto_NON_ZERO98851

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp130.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp130.html new file mode 100644 index 00000000..b5c29558 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp130.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr59::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr59.pmpaddr59__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr59.pmpaddr59__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR59404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR59 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR59 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]181
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]21
legal_values161

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp131.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp131.html new file mode 100644 index 00000000..83aa8036 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp131.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent6::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent6.mhpmevent6__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent6.mhpmevent6__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT6101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT6 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT6 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp132.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp132.html new file mode 100644 index 00000000..b85c5090 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp132.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER5404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER5 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER5 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]441
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]131
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp133.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp133.html new file mode 100644 index 00000000..3a6d08e9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp133.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr57::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr57.pmpaddr57__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr57.pmpaddr57__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR57101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR57 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR57 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01141

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp134.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp134.html new file mode 100644 index 00000000..349c5663 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp134.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr15::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr15.pmpaddr15__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr15.pmpaddr15__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR15101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR15 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR15 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01881

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp135.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp135.html new file mode 100644 index 00000000..716dcd1a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp135.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER26404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER26 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER26 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]571
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]161
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp136.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp136.html new file mode 100644 index 00000000..fea5e5e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp136.html @@ -0,0 +1,724 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mie::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mie::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mie::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mie.mie__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mie.mie__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mie.mie__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables24024100.00

+
+Variables for Group Instance csr_reg_cov.mie.mie__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
SGEIE202100.00100110
MEIE101100.00100110
VSEIE202100.00100110
SEIE202100.00100110
UEIE202100.00100110
MTIE101100.00100110
VSTIE202100.00100110
STIE202100.00100110
UTIE202100.00100110
MSIE202100.00100110
VSSIE202100.00100110
SSIE202100.00100110
USIE202100.00100110

+
+
+
+
+
+
+Summary for Variable SGEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SGEIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]411
legal_values25141

+
+
+Summary for Variable MEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MEIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
other_values[1]1951

+
+
+Summary for Variable VSEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for VSEIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]401
legal_values25151

+
+
+Summary for Variable SEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SEIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]1981
legal_values23571

+
+
+Summary for Variable UEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UEIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]1941
legal_values23611

+
+
+Summary for Variable MTIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MTIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
other_values[1]1931

+
+
+Summary for Variable VSTIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for VSTIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]381
legal_values25171

+
+
+Summary for Variable STIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for STIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]1911
legal_values23641

+
+
+Summary for Variable UTIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UTIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]2351
legal_values23201

+
+
+Summary for Variable MSIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MSIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]2351
legal_values23201

+
+
+Summary for Variable VSSIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for VSSIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]781
legal_values24771

+
+
+Summary for Variable SSIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SSIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]2271
legal_values23281

+
+
+Summary for Variable USIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for USIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]2341
legal_values23211

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp137.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp137.html new file mode 100644 index 00000000..9428a68e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp137.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr5::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr5.pmpaddr5__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr5.pmpaddr5__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR5101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR5 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR5 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01771

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp138.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp138.html new file mode 100644 index 00000000..8dd79b52 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp138.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr36::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr36.pmpaddr36__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr36.pmpaddr36__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR36101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR36 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR36 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp139.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp139.html new file mode 100644 index 00000000..f2c141e2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp139.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr25::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr25.pmpaddr25__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr25.pmpaddr25__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR25101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR25 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR25 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01241

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp14.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp14.html new file mode 100644 index 00000000..dfabce3b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp14.html @@ -0,0 +1,2895 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zicsr_csrrc_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zicsr_csrrs_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zicsr_csrrc_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2830283100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_csr1870187100.00100110
cp_rd_rs1_hazard32032100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrc_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zicsr_csrrs_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2830283100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_csr1870187100.00100110
cp_rd_rs1_hazard32032100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrs_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]60521
auto[1]751
auto[2]591
auto[3]7261
auto[4]701
auto[5]711
auto[6]721
auto[7]681
auto[8]761
auto[9]561
auto[10]771
auto[11]851
auto[12]711
auto[13]721
auto[14]601
auto[15]701
auto[16]671
auto[17]641
auto[18]751
auto[19]831
auto[20]571
auto[21]671
auto[22]631
auto[23]651
auto[24]741
auto[25]531
auto[26]671
auto[27]661
auto[28]891
auto[29]811
auto[30]721
auto[31]721

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]3081
auto[1]2811
auto[2]2171
auto[3]2731
auto[4]2631
auto[5]2481
auto[6]2601
auto[7]2571
auto[8]2631
auto[9]2341
auto[10]2311
auto[11]2301
auto[12]2591
auto[13]2871
auto[14]9411
auto[15]2671
auto[16]2181
auto[17]2531
auto[18]2421
auto[19]2451
auto[20]2821
auto[21]2791
auto[22]2621
auto[23]2451
auto[24]2501
auto[25]2651
auto[26]2501
auto[27]2541
auto[28]2441
auto[29]2441
auto[30]2471
auto[31]2761

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1870187100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_MSTATUS251
CSR_MISA251
CSR_MIE241
CSR_MTVEC311
CSR_MSTATUSH211
CSR_MCOUNTINHIBIT241
CSR_MHPMEVENT3411
CSR_MHPMEVENT4331
CSR_MHPMEVENT5221
CSR_MHPMEVENT6281
CSR_MHPMEVENT7361
CSR_MHPMEVENT8281
CSR_MHPMEVENT9341
CSR_MHPMEVENT10241
CSR_MHPMEVENT11341
CSR_MHPMEVENT12421
CSR_MHPMEVENT13281
CSR_MHPMEVENT14301
CSR_MHPMEVENT15311
CSR_MHPMEVENT16361
CSR_MHPMEVENT17211
CSR_MHPMEVENT18241
CSR_MHPMEVENT19361
CSR_MHPMEVENT20431
CSR_MHPMEVENT21201
CSR_MHPMEVENT22361
CSR_MHPMEVENT23301
CSR_MHPMEVENT24261
CSR_MHPMEVENT25251
CSR_MHPMEVENT26281
CSR_MHPMEVENT27341
CSR_MHPMEVENT28241
CSR_MHPMEVENT29281
CSR_MHPMEVENT30361
CSR_MHPMEVENT31341
CSR_MSCRATCH38131
CSR_MEPC251
CSR_MCAUSE251
CSR_MTVAL391
CSR_MIP251
CSR_PMPCFG0291
CSR_PMPCFG1281
CSR_PMPCFG2351
CSR_PMPCFG3211
CSR_PMPCFG4231
CSR_PMPCFG5231
CSR_PMPCFG6401
CSR_PMPCFG7161
CSR_PMPCFG8231
CSR_PMPCFG9231
CSR_PMPCFG10301
CSR_PMPCFG11281
CSR_PMPCFG12221
CSR_PMPCFG13241
CSR_PMPCFG14221
CSR_PMPCFG15181
CSR_PMPADDR0301
CSR_PMPADDR1211
CSR_PMPADDR2281
CSR_PMPADDR3291
CSR_PMPADDR4241
CSR_PMPADDR5291
CSR_PMPADDR6311
CSR_PMPADDR7421
CSR_PMPADDR8291
CSR_PMPADDR9341
CSR_PMPADDR10391
CSR_PMPADDR11281
CSR_PMPADDR12281
CSR_PMPADDR13291
CSR_PMPADDR14251
CSR_PMPADDR15291
CSR_PMPADDR1611
CSR_PMPADDR17261
CSR_PMPADDR18231
CSR_PMPADDR19261
CSR_PMPADDR20281
CSR_PMPADDR21271
CSR_PMPADDR22331
CSR_PMPADDR23251
CSR_PMPADDR24271
CSR_PMPADDR25241
CSR_PMPADDR26271
CSR_PMPADDR27271
CSR_PMPADDR28331
CSR_PMPADDR29261
CSR_PMPADDR30291
CSR_PMPADDR31331
CSR_PMPADDR3211
CSR_PMPADDR33231
CSR_PMPADDR34251
CSR_PMPADDR35251
CSR_PMPADDR36231
CSR_PMPADDR37221
CSR_PMPADDR38231
CSR_PMPADDR39221
CSR_PMPADDR40301
CSR_PMPADDR41301
CSR_PMPADDR42281
CSR_PMPADDR43281
CSR_PMPADDR44241
CSR_PMPADDR45221
CSR_PMPADDR46221
CSR_PMPADDR47201
CSR_PMPADDR4811
CSR_PMPADDR49191
CSR_PMPADDR50281
CSR_PMPADDR51231
CSR_PMPADDR52171
CSR_PMPADDR53291
CSR_PMPADDR54321
CSR_PMPADDR55241
CSR_PMPADDR56181
CSR_PMPADDR57201
CSR_PMPADDR58301
CSR_PMPADDR59271
CSR_PMPADDR60221
CSR_PMPADDR61331
CSR_PMPADDR62261
CSR_PMPADDR63331
CSR_MCYCLE341
CSR_MINSTRET351
CSR_MHPMCOUNTER3201
CSR_MHPMCOUNTER4301
CSR_MHPMCOUNTER5311
CSR_MHPMCOUNTER6291
CSR_MHPMCOUNTER7321
CSR_MHPMCOUNTER8271
CSR_MHPMCOUNTER9291
CSR_MHPMCOUNTER10221
CSR_MHPMCOUNTER11211
CSR_MHPMCOUNTER12251
CSR_MHPMCOUNTER13251
CSR_MHPMCOUNTER14381
CSR_MHPMCOUNTER15391
CSR_MHPMCOUNTER16231
CSR_MHPMCOUNTER17231
CSR_MHPMCOUNTER18351
CSR_MHPMCOUNTER19321
CSR_MHPMCOUNTER20361
CSR_MHPMCOUNTER21381
CSR_MHPMCOUNTER22271
CSR_MHPMCOUNTER23321
CSR_MHPMCOUNTER24331
CSR_MHPMCOUNTER25301
CSR_MHPMCOUNTER26311
CSR_MHPMCOUNTER27331
CSR_MHPMCOUNTER28301
CSR_MHPMCOUNTER29351
CSR_MHPMCOUNTER30361
CSR_MHPMCOUNTER31271
CSR_MCYCLEH281
CSR_MINSTRETH361
CSR_MHPMCOUNTER3H301
CSR_MHPMCOUNTER4H291
CSR_MHPMCOUNTER5H301
CSR_MHPMCOUNTER6H371
CSR_MHPMCOUNTER7H251
CSR_MHPMCOUNTER8H301
CSR_MHPMCOUNTER9H311
CSR_MHPMCOUNTER10H301
CSR_MHPMCOUNTER11H311
CSR_MHPMCOUNTER12H281
CSR_MHPMCOUNTER13H231
CSR_MHPMCOUNTER14H281
CSR_MHPMCOUNTER15H251
CSR_MHPMCOUNTER16H341
CSR_MHPMCOUNTER17H261
CSR_MHPMCOUNTER18H281
CSR_MHPMCOUNTER19H221
CSR_MHPMCOUNTER20H261
CSR_MHPMCOUNTER21H251
CSR_MHPMCOUNTER22H301
CSR_MHPMCOUNTER23H331
CSR_MHPMCOUNTER24H351
CSR_MHPMCOUNTER25H291
CSR_MHPMCOUNTER26H281
CSR_MHPMCOUNTER27H271
CSR_MHPMCOUNTER28H281
CSR_MHPMCOUNTER29H331
CSR_MHPMCOUNTER30H181
CSR_MHPMCOUNTER31H331
CSR_MVENDORID181
CSR_MARCHID11
CSR_MIMPID11
CSR_MHARTID11
CSR_MCONFIGPTR11

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_002231
RD_0161
RD_0221
RD_0321
RD_0411
RD_0531
RD_0641
RD_0731
RD_0831
RD_0931
RD_0a11
RD_0b71
RD_0c21
RD_0d11
RD_0e21
RD_0f31
RD_1041
RD_1111
RD_1251
RD_1341
RD_1441
RD_1551
RD_1621
RD_1751
RD_1811
RD_1921
RD_1a41
RD_1b51
RD_1c41
RD_1d31
RD_1e51
RD_1f11

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]14361871
auto[1]681
auto[2]761
auto[3]7411
auto[4]691
auto[5]841
auto[6]861
auto[7]661
auto[8]801
auto[9]691
auto[10]631
auto[11]641
auto[12]731
auto[13]751
auto[14]661
auto[15]841
auto[16]781
auto[17]721
auto[18]811
auto[19]681
auto[20]731
auto[21]781
auto[22]641
auto[23]711
auto[24]821
auto[25]741
auto[26]941
auto[27]781
auto[28]821
auto[29]761
auto[30]831
auto[31]691

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2931
auto[1]2401
auto[2]262951
auto[3]3001
auto[4]247411
auto[5]303991
auto[6]659461
auto[7]348671
auto[8]415841
auto[9]335411
auto[10]576461
auto[11]381611
auto[12]319001
auto[13]387351
auto[14]627541
auto[15]439621
auto[16]256601
auto[17]370881
auto[18]256151
auto[19]574681
auto[20]970071
auto[21]1106091
auto[22]551201
auto[23]372631
auto[24]269751
auto[25]746831
auto[26]610431
auto[27]249261
auto[28]164781
auto[29]1729831
auto[30]591251
auto[31]257671

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1870187100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_MSTATUS2653591
CSR_MISA641
CSR_MIE1021
CSR_MTVEC571
CSR_MSTATUSH711
CSR_MCOUNTINHIBIT351
CSR_MHPMEVENT3561
CSR_MHPMEVENT4631
CSR_MHPMEVENT5511
CSR_MHPMEVENT6541
CSR_MHPMEVENT7571
CSR_MHPMEVENT8571
CSR_MHPMEVENT9631
CSR_MHPMEVENT10571
CSR_MHPMEVENT11641
CSR_MHPMEVENT12591
CSR_MHPMEVENT13591
CSR_MHPMEVENT14581
CSR_MHPMEVENT15651
CSR_MHPMEVENT16641
CSR_MHPMEVENT17661
CSR_MHPMEVENT18601
CSR_MHPMEVENT19701
CSR_MHPMEVENT20511
CSR_MHPMEVENT21551
CSR_MHPMEVENT22671
CSR_MHPMEVENT23541
CSR_MHPMEVENT24551
CSR_MHPMEVENT25561
CSR_MHPMEVENT26571
CSR_MHPMEVENT27561
CSR_MHPMEVENT28611
CSR_MHPMEVENT29591
CSR_MHPMEVENT30611
CSR_MHPMEVENT31561
CSR_MSCRATCH39071
CSR_MEPC4826821
CSR_MCAUSE4705391
CSR_MTVAL511
CSR_MIP2053511
CSR_PMPCFG0711
CSR_PMPCFG1741
CSR_PMPCFG2791
CSR_PMPCFG3781
CSR_PMPCFG4471
CSR_PMPCFG5441
CSR_PMPCFG6361
CSR_PMPCFG7491
CSR_PMPCFG8331
CSR_PMPCFG9471
CSR_PMPCFG10381
CSR_PMPCFG11361
CSR_PMPCFG12421
CSR_PMPCFG13371
CSR_PMPCFG14391
CSR_PMPCFG15501
CSR_PMPADDR0591
CSR_PMPADDR1571
CSR_PMPADDR2721
CSR_PMPADDR3491
CSR_PMPADDR4581
CSR_PMPADDR5511
CSR_PMPADDR6581
CSR_PMPADDR7621
CSR_PMPADDR8671
CSR_PMPADDR9611
CSR_PMPADDR10521
CSR_PMPADDR11621
CSR_PMPADDR12541
CSR_PMPADDR13551
CSR_PMPADDR14641
CSR_PMPADDR15701
CSR_PMPADDR1631
CSR_PMPADDR17261
CSR_PMPADDR18361
CSR_PMPADDR19301
CSR_PMPADDR20301
CSR_PMPADDR21421
CSR_PMPADDR22261
CSR_PMPADDR23281
CSR_PMPADDR24291
CSR_PMPADDR25211
CSR_PMPADDR26241
CSR_PMPADDR27241
CSR_PMPADDR28361
CSR_PMPADDR29221
CSR_PMPADDR30251
CSR_PMPADDR31271
CSR_PMPADDR3231
CSR_PMPADDR33241
CSR_PMPADDR34241
CSR_PMPADDR35301
CSR_PMPADDR36261
CSR_PMPADDR37341
CSR_PMPADDR38311
CSR_PMPADDR39271
CSR_PMPADDR40211
CSR_PMPADDR41211
CSR_PMPADDR42321
CSR_PMPADDR43301
CSR_PMPADDR44241
CSR_PMPADDR45311
CSR_PMPADDR46251
CSR_PMPADDR47211
CSR_PMPADDR4831
CSR_PMPADDR49171
CSR_PMPADDR50231
CSR_PMPADDR51271
CSR_PMPADDR52281
CSR_PMPADDR53251
CSR_PMPADDR54221
CSR_PMPADDR55251
CSR_PMPADDR56331
CSR_PMPADDR57241
CSR_PMPADDR58301
CSR_PMPADDR59301
CSR_PMPADDR60331
CSR_PMPADDR61391
CSR_PMPADDR62241
CSR_PMPADDR63261
CSR_MCYCLE751
CSR_MINSTRET621
CSR_MHPMCOUNTER3681
CSR_MHPMCOUNTER4611
CSR_MHPMCOUNTER5651
CSR_MHPMCOUNTER6641
CSR_MHPMCOUNTER7571
CSR_MHPMCOUNTER8681
CSR_MHPMCOUNTER9781
CSR_MHPMCOUNTER10631
CSR_MHPMCOUNTER11671
CSR_MHPMCOUNTER12581
CSR_MHPMCOUNTER13621
CSR_MHPMCOUNTER14581
CSR_MHPMCOUNTER15641
CSR_MHPMCOUNTER16611
CSR_MHPMCOUNTER17661
CSR_MHPMCOUNTER18641
CSR_MHPMCOUNTER19581
CSR_MHPMCOUNTER20621
CSR_MHPMCOUNTER21531
CSR_MHPMCOUNTER22561
CSR_MHPMCOUNTER23611
CSR_MHPMCOUNTER24691
CSR_MHPMCOUNTER25551
CSR_MHPMCOUNTER26631
CSR_MHPMCOUNTER27651
CSR_MHPMCOUNTER28551
CSR_MHPMCOUNTER29591
CSR_MHPMCOUNTER30531
CSR_MHPMCOUNTER31601
CSR_MCYCLEH651
CSR_MINSTRETH591
CSR_MHPMCOUNTER3H591
CSR_MHPMCOUNTER4H611
CSR_MHPMCOUNTER5H521
CSR_MHPMCOUNTER6H661
CSR_MHPMCOUNTER7H641
CSR_MHPMCOUNTER8H771
CSR_MHPMCOUNTER9H631
CSR_MHPMCOUNTER10H581
CSR_MHPMCOUNTER11H511
CSR_MHPMCOUNTER12H601
CSR_MHPMCOUNTER13H611
CSR_MHPMCOUNTER14H561
CSR_MHPMCOUNTER15H611
CSR_MHPMCOUNTER16H681
CSR_MHPMCOUNTER17H561
CSR_MHPMCOUNTER18H651
CSR_MHPMCOUNTER19H591
CSR_MHPMCOUNTER20H571
CSR_MHPMCOUNTER21H611
CSR_MHPMCOUNTER22H591
CSR_MHPMCOUNTER23H541
CSR_MHPMCOUNTER24H621
CSR_MHPMCOUNTER25H551
CSR_MHPMCOUNTER26H631
CSR_MHPMCOUNTER27H561
CSR_MHPMCOUNTER28H571
CSR_MHPMCOUNTER29H571
CSR_MHPMCOUNTER30H691
CSR_MHPMCOUNTER31H641
CSR_MVENDORID281
CSR_MARCHID71
CSR_MIMPID71
CSR_MHARTID23641
CSR_MCONFIGPTR31

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001921
RD_0111
RD_0231
RD_0321
RD_0431
RD_0541
RD_0631
RD_0741
RD_0841
RD_0911
RD_0a31
RD_0b31
RD_0c51
RD_0d41
RD_0e41
RD_0f51
RD_1041
RD_1121
RD_1251
RD_1361
RD_1421
RD_1551
RD_1621
RD_1721
RD_1841
RD_1951
RD_1a41
RD_1b41
RD_1c41
RD_1d11
RD_1e51
RD_1f41

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp140.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp140.html new file mode 100644 index 00000000..b0804462 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp140.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr7::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr7.pmpaddr7__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr7.pmpaddr7__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR7101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR7 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01851

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp141.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp141.html new file mode 100644 index 00000000..5ae94651 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp141.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER4101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER4 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01921

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp142.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp142.html new file mode 100644 index 00000000..2d8901ff --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp142.html @@ -0,0 +1,1145 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_interrupt::SHAPE{Guard_ON(cp_interrupt.IGN_SOFTWARE_INTERRUPT,cp_interrupt.IGN_S_IRQ,cp_interrupt.IGN_VS_IRQ,cp_msie.IGN_MSIE,cp_msip.IGN_MSIP)} +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
98.29 98.291 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_interrupt_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.interrupt_cg 98.291 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.interrupt_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
98.291 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.interrupt_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables21021100.00
Crosses2622492.31

+
+Variables for Group Instance uvme_cva6_pkg.interrupt_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_interrupt303100.00100110
cp_mstatus_mie101100.00100110
cp_msie00010
cp_mtie101100.00100110
cp_meie101100.00100110
cp_msip00010
cp_mtip101100.00100110
cp_meip101100.00100110
cp_group13013100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.interrupt_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_external_interrupt1311292.31 100110
cross_timer_interrupt1311292.31 100110
cross_software_interrupt00010

+
+
+
+
+
+
+Summary for Variable cp_interrupt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for cp_interrupt +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
HS_MODE_EXTERNAL_INTERRUPT0Excluded
VS_MODE_TIMER_INTERRUPT0Excluded
VS_MODE_SOFTWARE_INTERRUPT0Excluded
VS_MODE_EXTERNAL_INTERRUPT0Excluded
SUPERVISOR_MODE_TIMER_INTERRUPT0Excluded
SUPERVISOR_MODE_SOFTWARE_INTERRUPT0Excluded
SUPERVISOR_MODE_EXTERNAL_INTERRUPT0Excluded
MACHINE_MODE_SOFTWARE_INTERRUPT0Excluded
IGN_VS_IRQ0Excluded
IGN_S_IRQ0Excluded
IGN_SOFTWARE_INTERRUPT0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
MACHINE_MODE_TIMER_INTERRUPT739071
MACHINE_MODE_EXTERNAL_INTERRUPT1315381
NO_INTERRUPT14660221

+
+
+Summary for Variable cp_mstatus_mie +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_mstatus_mie +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
GLOBAL_INTERRUPT_ENABLE3693831

+
+
+Summary for Variable cp_msie +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_msie +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
MSIE0Excluded
IGN_MSIE0Excluded

+
+
+Summary for Variable cp_mtie +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_mtie +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
MTIE73916061

+
+
+Summary for Variable cp_meie +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_meie +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
MEIE73942721

+
+
+Summary for Variable cp_msip +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_msip +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
MSIP0Excluded
IGN_MSIP0Excluded

+
+
+Summary for Variable cp_mtip +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_mtip +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
MTIP55914361

+
+
+Summary for Variable cp_meip +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_meip +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
MEIP45742591

+
+
+Summary for Variable cp_group +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins13013100.00

+
+Automatically Generated Bins for cp_group +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN_GROUP0Excluded
auto_MISALIGN_LOAD_GROUP0Excluded
auto_MISALIGN_STORE_GROUP0Excluded
auto_FENCE_I_GROUP0Excluded
auto_ALOAD_GROUP0Excluded
auto_ASTORE_GROUP0Excluded
auto_AMEM_GROUP0Excluded
IGN_FENCE_I0Excluded
IGN_MISALIGN0Excluded
IGN_EXT_A0Excluded
IGN_UNKNOWN0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_LOAD_GROUP13866141
auto_STORE_GROUP14087801
auto_ALU_GROUP55531261
auto_BRANCH_GROUP6563091
auto_JUMP_GROUP2500401
auto_FENCE_GROUP112091
auto_RET_GROUP2849801
auto_WFI_GROUP32921
auto_CSR_GROUP15848481
auto_ENV_GROUP112141
auto_MUL_GROUP319111
auto_MULTI_MUL_GROUP617891
auto_DIV_GROUP829921

+
+
+Summary for Cross cross_external_interrupt +
+
+Samples crossed: cp_group cp_interrupt
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL1311292.31 1
Automatically Generated Cross Bins1311292.31 1
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_external_interrupt +
+
+Uncovered bins +
+ + + + + + + + +
cp_groupcp_interruptCOUNTAT LEASTNUMBER
[auto_ENV_GROUP][MACHINE_MODE_EXTERNAL_INTERRUPT]011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_interruptCOUNTSTATUS
[auto_UNKNOWN_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(11 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT]--Excluded(14 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][MACHINE_MODE_SOFTWARE_INTERRUPT]--Excluded(2 bins)
[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(22 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT]--Excluded(28 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][MACHINE_MODE_SOFTWARE_INTERRUPT]--Excluded(4 bins)
[auto_FENCE_I_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(11 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT]--Excluded(49 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][MACHINE_MODE_SOFTWARE_INTERRUPT]--Excluded(7 bins)
[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(33 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_interruptCOUNTAT LEAST
auto_LOAD_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT1751
auto_STORE_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT1051
auto_ALU_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT46481
auto_BRANCH_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT7531
auto_JUMP_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT3751
auto_FENCE_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT5251
auto_RET_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT1234351
auto_WFI_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT1471
auto_CSR_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT221
auto_MUL_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT2311
auto_MULTI_MUL_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT4781
auto_DIV_GROUPMACHINE_MODE_EXTERNAL_INTERRUPT6441

+
+User Defined Cross Bins for cross_external_interrupt +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
NO_EXTERNAL_INTERRUPT0Excluded

+
+
+Summary for Cross cross_timer_interrupt +
+
+Samples crossed: cp_group cp_interrupt
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL1311292.31 1
Automatically Generated Cross Bins1311292.31 1
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_timer_interrupt +
+
+Uncovered bins +
+ + + + + + + + +
cp_groupcp_interruptCOUNTAT LEASTNUMBER
[auto_ENV_GROUP][MACHINE_MODE_TIMER_INTERRUPT]011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_interruptCOUNTSTATUS
[auto_UNKNOWN_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(11 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT]--Excluded(14 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][MACHINE_MODE_SOFTWARE_INTERRUPT]--Excluded(2 bins)
[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(22 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT]--Excluded(28 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][MACHINE_MODE_SOFTWARE_INTERRUPT]--Excluded(4 bins)
[auto_FENCE_I_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(11 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT]--Excluded(49 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][MACHINE_MODE_SOFTWARE_INTERRUPT]--Excluded(7 bins)
[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][HS_MODE_EXTERNAL_INTERRUPT , VS_MODE_TIMER_INTERRUPT , VS_MODE_SOFTWARE_INTERRUPT , VS_MODE_EXTERNAL_INTERRUPT , SUPERVISOR_MODE_TIMER_INTERRUPT , SUPERVISOR_MODE_SOFTWARE_INTERRUPT , SUPERVISOR_MODE_EXTERNAL_INTERRUPT , MACHINE_MODE_TIMER_INTERRUPT , MACHINE_MODE_SOFTWARE_INTERRUPT , MACHINE_MODE_EXTERNAL_INTERRUPT , NO_INTERRUPT]--Excluded(33 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_interruptCOUNTAT LEAST
auto_LOAD_GROUPMACHINE_MODE_TIMER_INTERRUPT1521
auto_STORE_GROUPMACHINE_MODE_TIMER_INTERRUPT791
auto_ALU_GROUPMACHINE_MODE_TIMER_INTERRUPT41871
auto_BRANCH_GROUPMACHINE_MODE_TIMER_INTERRUPT6541
auto_JUMP_GROUPMACHINE_MODE_TIMER_INTERRUPT3201
auto_FENCE_GROUPMACHINE_MODE_TIMER_INTERRUPT4171
auto_RET_GROUPMACHINE_MODE_TIMER_INTERRUPT667351
auto_WFI_GROUPMACHINE_MODE_TIMER_INTERRUPT1561
auto_CSR_GROUPMACHINE_MODE_TIMER_INTERRUPT111
auto_MUL_GROUPMACHINE_MODE_TIMER_INTERRUPT1971
auto_MULTI_MUL_GROUPMACHINE_MODE_TIMER_INTERRUPT4251
auto_DIV_GROUPMACHINE_MODE_TIMER_INTERRUPT5741

+
+User Defined Cross Bins for cross_timer_interrupt +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
NO_TIMER_INTERRUPT0Excluded

+
+
+Summary for Cross cross_software_interrupt +
+
+Samples crossed: cp_group cp_interrupt
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_software_interrupt +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
NO_SOFTWARE_INTERRUPT0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp143.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp143.html new file mode 100644 index 00000000..8fa96038 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp143.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr19::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr19.pmpaddr19__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr19.pmpaddr19__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR19101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR19 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR19 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp144.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp144.html new file mode 100644 index 00000000..02d31f9e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp144.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent13::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent13.mhpmevent13__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent13.mhpmevent13__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT13101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT13 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT13 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01991

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp145.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp145.html new file mode 100644 index 00000000..98a99218 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp145.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg9::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg9.pmpcfg9__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg9.pmpcfg9__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP39CFG101100.00100110
PMP38CFG101100.00100110
PMP37CFG101100.00100110
PMP36CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP39CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP39CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002211

+
+
+Summary for Variable PMP38CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP38CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002211

+
+
+Summary for Variable PMP37CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP37CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002211

+
+
+Summary for Variable PMP36CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP36CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002211

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp146.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp146.html new file mode 100644 index 00000000..55dd9f33 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp146.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr4::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr4.pmpaddr4__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr4.pmpaddr4__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr4.pmpaddr4__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR4404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR4 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]411
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]131
legal_values241

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp147.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp147.html new file mode 100644 index 00000000..2888c9de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp147.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER17101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER17 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER17 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01791

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp148.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp148.html new file mode 100644 index 00000000..9fa5021c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp148.html @@ -0,0 +1,1000 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mstatus::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mstatus::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mstatus::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mstatus.mstatus__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mstatus.mstatus__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mstatus.mstatus__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables39039100.00

+
+Variables for Group Instance csr_reg_cov.mstatus.mstatus__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
SD202100.00100110
SPELP202100.00100110
TSR202100.00100110
TW202100.00100110
TVM202100.00100110
MXR202100.00100110
SUM202100.00100110
MPRV202100.00100110
XS303100.00100110
FS303100.00100110
MPP303100.00100110
SPP202100.00100110
MPIE101100.00100110
UBE202100.00100110
SPIE202100.00100110
UPIE202100.00100110
MIE101100.00100110
SIE202100.00100110
UIE202100.00100110

+
+
+
+
+
+
+Summary for Variable SD +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SD +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]351
legal_values29541

+
+
+Summary for Variable SPELP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SPELP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]251
legal_values29641

+
+
+Summary for Variable TSR +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for TSR +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]281
legal_values29611

+
+
+Summary for Variable TW +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for TW +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]281
legal_values29611

+
+
+Summary for Variable TVM +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for TVM +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]301
legal_values29591

+
+
+Summary for Variable MXR +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MXR +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]301
legal_values29591

+
+
+Summary for Variable SUM +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SUM +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]301
legal_values29591

+
+
+Summary for Variable MPRV +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MPRV +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]281
legal_values29611

+
+
+Summary for Variable XS +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for XS +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]91
illegal_values[2:3]371
legal_values29431

+
+
+Summary for Variable FS +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for FS +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]91
illegal_values[2:3]441
legal_values29361

+
+
+Summary for Variable MPP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MPP +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[0]6041
illegal_values[1:2]191
legal_values23661

+
+
+Summary for Variable SPP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SPP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]341
legal_values29551

+
+
+Summary for Variable MPIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MPIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
other_values[1]1861

+
+
+Summary for Variable UBE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UBE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]331
legal_values29561

+
+
+Summary for Variable SPIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SPIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]1821
legal_values28071

+
+
+Summary for Variable UPIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UPIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]2221
legal_values27671

+
+
+Summary for Variable MIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
other_values[1]741

+
+
+Summary for Variable SIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]2251
legal_values27641

+
+
+Summary for Variable UIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]721
legal_values29171

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp149.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp149.html new file mode 100644 index 00000000..cf755c49 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp149.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr30::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr30.pmpaddr30__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr30.pmpaddr30__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR30404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR30 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR30 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]251
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]51
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp15.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp15.html new file mode 100644 index 00000000..a2369823 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp15.html @@ -0,0 +1,1501 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_csrtype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zicsr_csrrw_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zicsr_csrrw_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2780278100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_csr1820182100.00100110
cp_rd_rs1_hazard32032100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zicsr_csrrw_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6601
auto[1]2641
auto[2]33721
auto[3]23841
auto[4]26261
auto[5]23621
auto[6]34091
auto[7]25741
auto[8]30971
auto[9]29461
auto[10]33651
auto[11]50991
auto[12]25291
auto[13]16031
auto[14]28961
auto[15]26401
auto[16]28881
auto[17]21171
auto[18]26161
auto[19]27391
auto[20]42551
auto[21]29001
auto[22]22461
auto[23]26161
auto[24]21421
auto[25]27521
auto[26]24031
auto[27]31441
auto[28]28211
auto[29]25401
auto[30]150551
auto[31]23291

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]892091
auto[1]3041
auto[2]2471
auto[3]2771
auto[4]2771
auto[5]2541
auto[6]2701
auto[7]2701
auto[8]2291
auto[9]2751
auto[10]2701
auto[11]2441
auto[12]2691
auto[13]2681
auto[14]2881
auto[15]2641
auto[16]2711
auto[17]2751
auto[18]2721
auto[19]2451
auto[20]2501
auto[21]2501
auto[22]2971
auto[23]2581
auto[24]2481
auto[25]2661
auto[26]2691
auto[27]2661
auto[28]2331
auto[29]2751
auto[30]2491
auto[31]2501

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1820182100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
CSR_MVENDORID0Excluded
CSR_MARCHID0Excluded
CSR_MIMPID0Excluded
CSR_MHARTID0Excluded
CSR_MCONFIGPTR0Excluded
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_MSTATUS28701
CSR_MISA24271
CSR_MIE24711
CSR_MTVEC24021
CSR_MSTATUSH921
CSR_MCOUNTINHIBIT801
CSR_MHPMEVENT3601
CSR_MHPMEVENT4391
CSR_MHPMEVENT5501
CSR_MHPMEVENT6481
CSR_MHPMEVENT7581
CSR_MHPMEVENT8451
CSR_MHPMEVENT9621
CSR_MHPMEVENT10521
CSR_MHPMEVENT11481
CSR_MHPMEVENT12431
CSR_MHPMEVENT13521
CSR_MHPMEVENT14451
CSR_MHPMEVENT15531
CSR_MHPMEVENT16551
CSR_MHPMEVENT17601
CSR_MHPMEVENT18481
CSR_MHPMEVENT19521
CSR_MHPMEVENT20491
CSR_MHPMEVENT21491
CSR_MHPMEVENT22591
CSR_MHPMEVENT23511
CSR_MHPMEVENT24511
CSR_MHPMEVENT25551
CSR_MHPMEVENT26501
CSR_MHPMEVENT27591
CSR_MHPMEVENT28541
CSR_MHPMEVENT29471
CSR_MHPMEVENT30531
CSR_MHPMEVENT31541
CSR_MSCRATCH41361
CSR_MEPC745641
CSR_MCAUSE861
CSR_MTVAL961
CSR_MIP1351
CSR_PMPCFG0991
CSR_PMPCFG1961
CSR_PMPCFG2881
CSR_PMPCFG3791
CSR_PMPCFG4521
CSR_PMPCFG5541
CSR_PMPCFG6511
CSR_PMPCFG7601
CSR_PMPCFG8701
CSR_PMPCFG9611
CSR_PMPCFG10481
CSR_PMPCFG11571
CSR_PMPCFG12521
CSR_PMPCFG13681
CSR_PMPCFG14601
CSR_PMPCFG15711
CSR_PMPADDR0451
CSR_PMPADDR1471
CSR_PMPADDR2461
CSR_PMPADDR3451
CSR_PMPADDR4451
CSR_PMPADDR5531
CSR_PMPADDR6451
CSR_PMPADDR7441
CSR_PMPADDR8431
CSR_PMPADDR9481
CSR_PMPADDR10441
CSR_PMPADDR11421
CSR_PMPADDR12441
CSR_PMPADDR13421
CSR_PMPADDR14411
CSR_PMPADDR15431
CSR_PMPADDR16171
CSR_PMPADDR17241
CSR_PMPADDR18251
CSR_PMPADDR19251
CSR_PMPADDR20321
CSR_PMPADDR21231
CSR_PMPADDR22331
CSR_PMPADDR23281
CSR_PMPADDR24331
CSR_PMPADDR25321
CSR_PMPADDR26281
CSR_PMPADDR27351
CSR_PMPADDR28251
CSR_PMPADDR29261
CSR_PMPADDR30301
CSR_PMPADDR31311
CSR_PMPADDR32171
CSR_PMPADDR33301
CSR_PMPADDR34291
CSR_PMPADDR35231
CSR_PMPADDR36311
CSR_PMPADDR37301
CSR_PMPADDR38261
CSR_PMPADDR39291
CSR_PMPADDR40371
CSR_PMPADDR41261
CSR_PMPADDR42281
CSR_PMPADDR43271
CSR_PMPADDR44321
CSR_PMPADDR45221
CSR_PMPADDR46221
CSR_PMPADDR47341
CSR_PMPADDR48171
CSR_PMPADDR49251
CSR_PMPADDR50311
CSR_PMPADDR51341
CSR_PMPADDR52301
CSR_PMPADDR53261
CSR_PMPADDR54341
CSR_PMPADDR55271
CSR_PMPADDR56311
CSR_PMPADDR57321
CSR_PMPADDR58271
CSR_PMPADDR59231
CSR_PMPADDR60281
CSR_PMPADDR61331
CSR_PMPADDR62351
CSR_PMPADDR63311
CSR_MCYCLE881
CSR_MINSTRET861
CSR_MHPMCOUNTER3471
CSR_MHPMCOUNTER4501
CSR_MHPMCOUNTER5501
CSR_MHPMCOUNTER6451
CSR_MHPMCOUNTER7581
CSR_MHPMCOUNTER8511
CSR_MHPMCOUNTER9551
CSR_MHPMCOUNTER10461
CSR_MHPMCOUNTER11611
CSR_MHPMCOUNTER12511
CSR_MHPMCOUNTER13531
CSR_MHPMCOUNTER14491
CSR_MHPMCOUNTER15491
CSR_MHPMCOUNTER16591
CSR_MHPMCOUNTER17461
CSR_MHPMCOUNTER18531
CSR_MHPMCOUNTER19551
CSR_MHPMCOUNTER20491
CSR_MHPMCOUNTER21541
CSR_MHPMCOUNTER22501
CSR_MHPMCOUNTER23511
CSR_MHPMCOUNTER24521
CSR_MHPMCOUNTER25591
CSR_MHPMCOUNTER26521
CSR_MHPMCOUNTER27501
CSR_MHPMCOUNTER28591
CSR_MHPMCOUNTER29581
CSR_MHPMCOUNTER30511
CSR_MHPMCOUNTER31531
CSR_MCYCLEH911
CSR_MINSTRETH851
CSR_MHPMCOUNTER3H471
CSR_MHPMCOUNTER4H491
CSR_MHPMCOUNTER5H561
CSR_MHPMCOUNTER6H551
CSR_MHPMCOUNTER7H511
CSR_MHPMCOUNTER8H481
CSR_MHPMCOUNTER9H551
CSR_MHPMCOUNTER10H511
CSR_MHPMCOUNTER11H531
CSR_MHPMCOUNTER12H501
CSR_MHPMCOUNTER13H511
CSR_MHPMCOUNTER14H531
CSR_MHPMCOUNTER15H451
CSR_MHPMCOUNTER16H561
CSR_MHPMCOUNTER17H621
CSR_MHPMCOUNTER18H561
CSR_MHPMCOUNTER19H511
CSR_MHPMCOUNTER20H511
CSR_MHPMCOUNTER21H561
CSR_MHPMCOUNTER22H451
CSR_MHPMCOUNTER23H621
CSR_MHPMCOUNTER24H471
CSR_MHPMCOUNTER25H571
CSR_MHPMCOUNTER26H411
CSR_MHPMCOUNTER27H551
CSR_MHPMCOUNTER28H581
CSR_MHPMCOUNTER29H471
CSR_MHPMCOUNTER30H561
CSR_MHPMCOUNTER31H461

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_003991
RD_01141
RD_0271
RD_0391
RD_0491
RD_05131
RD_06151
RD_0771
RD_0891
RD_09121
RD_0a101
RD_0b121
RD_0c91
RD_0d81
RD_0e71
RD_0f81
RD_10111
RD_1191
RD_12101
RD_1371
RD_1481
RD_15111
RD_16141
RD_17131
RD_1871
RD_1981
RD_1a71
RD_1b81
RD_1c121
RD_1d81
RD_1e91
RD_1f61

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp150.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp150.html new file mode 100644 index 00000000..0e22738b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp150.html @@ -0,0 +1,303 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cva6_reset_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cva6_reset_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cva6_reset_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
33.33 33.331 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.reset_cg 33.331 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.reset_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
33.331 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.reset_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables53233.33

+
+Variables for Group Instance uvme_cva6_pkg.reset_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_reset21150.00 100110
cp_reset_duration_ps21150.00 100110
cp_reset_onthefly_assert1100.00 100110

+
+
+
+
+
+
+Summary for Variable cp_reset +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins21150.00

+
+User Defined Bins for cp_reset +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
ASSERTED011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
DEASSERTED23561

+
+
+Summary for Variable cp_reset_duration_ps +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins21150.00

+
+User Defined Bins for cp_reset_duration_ps +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
SHORT011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
LONG23561

+
+
+Summary for Variable cp_reset_onthefly_assert +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1100.00

+
+User Defined Bins for cp_reset_onthefly_assert +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
onthefly_assert011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp151.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp151.html new file mode 100644 index 00000000..4f79947e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp151.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent12::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent12.mhpmevent12__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent12.mhpmevent12__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT12101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT12 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT12 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02081

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp152.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp152.html new file mode 100644 index 00000000..d8ca7b3e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp152.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg10::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg10.pmpcfg10__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg10.pmpcfg10__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP43CFG404100.00100110
PMP42CFG404100.00100110
PMP41CFG404100.00100110
PMP40CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP43CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP43CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]61
illegal_values[ab:ff]91
legal_values1001

+
+
+Summary for Variable PMP42CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP42CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]31
illegal_values[ab:ff]91
legal_values1031

+
+
+Summary for Variable PMP41CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP41CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]91
illegal_values[56:aa]21
illegal_values[ab:ff]91
legal_values991

+
+
+Summary for Variable PMP40CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP40CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]771
illegal_values[56:aa]51
illegal_values[ab:ff]71
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp153.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp153.html new file mode 100644 index 00000000..bc500f3f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp153.html @@ -0,0 +1,688 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mip::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mip::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mip::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mip.mip__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mip.mip__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mip.mip__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables15015100.00

+
+Variables for Group Instance csr_reg_cov.mip.mip__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
SGEIP101100.00100110
MEIP202100.00100110
VSEIP101100.00100110
SEIP101100.00100110
UEIP101100.00100110
MTIP202100.00100110
VSTIP101100.00100110
STIP101100.00100110
UTIP101100.00100110
MSIP101100.00100110
VSSIP101100.00100110
SSIP101100.00100110
USIP101100.00100110

+
+
+
+
+
+
+Summary for Variable SGEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SGEIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable MEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MEIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1]1365181
reset_value690461

+
+
+Summary for Variable VSEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for VSEIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable SEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SEIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable UEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UEIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable MTIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MTIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1]1645051
reset_value410591

+
+
+Summary for Variable VSTIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for VSTIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable STIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for STIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable UTIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UTIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable MSIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MSIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable VSSIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for VSSIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable SSIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SSIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+Summary for Variable USIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for USIP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02055641

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp154.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp154.html new file mode 100644 index 00000000..5e036ad4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp154.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent11::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent11.mhpmevent11__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent11.mhpmevent11__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT11101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT11 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT11 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp155.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp155.html new file mode 100644 index 00000000..bba5d5ee --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp155.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent10::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent10.mhpmevent10__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent10.mhpmevent10__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT10101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT10 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT10 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01981

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp156.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp156.html new file mode 100644 index 00000000..0b1936d7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp156.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER9H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER9H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER9H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01921

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp157.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp157.html new file mode 100644 index 00000000..24c71b36 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp157.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr13::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr13.pmpaddr13__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr13.pmpaddr13__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR13404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR13 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR13 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]421
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]151
legal_values221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp158.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp158.html new file mode 100644 index 00000000..e8946dcb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp158.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr51::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr51.pmpaddr51__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr51.pmpaddr51__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR51404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR51 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR51 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]401
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]51
legal_values191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp159.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp159.html new file mode 100644 index 00000000..7b9759c6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp159.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg11::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg11.pmpcfg11__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg11.pmpcfg11__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP47CFG404100.00100110
PMP46CFG404100.00100110
PMP45CFG404100.00100110
PMP44CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP47CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP47CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]111
illegal_values[ab:ff]71
legal_values991

+
+
+Summary for Variable PMP46CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP46CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]41
illegal_values[ab:ff]81
legal_values1021

+
+
+Summary for Variable PMP45CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP45CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]71
illegal_values[ab:ff]91
legal_values981

+
+
+Summary for Variable PMP44CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP44CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]731
illegal_values[56:aa]121
illegal_values[ab:ff]71
legal_values291

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp16.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp16.html new file mode 100644 index 00000000..93b86e5f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp16.html @@ -0,0 +1,1669 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cb_shift + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cb_shift
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cb_shift +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_srai_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_srli_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_srai_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_srai_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1060106100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_srai_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_shamt32032100.00100110
cp_c_rdrs1808100.00100118
cp_rs1_toggle64064100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_srli_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_srli_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1060106100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_srli_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_shamt32032100.00100110
cp_c_rdrs1808100.00100118
cp_rs1_toggle64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO36411
auto_NON_ZERO74501

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
SHAMT_320Illegal
SHAMT_330Illegal
SHAMT_340Illegal
SHAMT_350Illegal
SHAMT_360Illegal
SHAMT_370Illegal
SHAMT_380Illegal
SHAMT_390Illegal
SHAMT_400Illegal
SHAMT_410Illegal
SHAMT_420Illegal
SHAMT_430Illegal
SHAMT_440Illegal
SHAMT_450Illegal
SHAMT_460Illegal
SHAMT_470Illegal
SHAMT_480Illegal
SHAMT_490Illegal
SHAMT_500Illegal
SHAMT_510Illegal
SHAMT_520Illegal
SHAMT_530Illegal
SHAMT_540Illegal
SHAMT_550Illegal
SHAMT_560Illegal
SHAMT_570Illegal
SHAMT_580Illegal
SHAMT_590Illegal
SHAMT_600Illegal
SHAMT_610Illegal
SHAMT_620Illegal
SHAMT_630Illegal
ILLEGAL_SHAMT0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_06701
SHAMT_14191
SHAMT_23701
SHAMT_33601
SHAMT_43331
SHAMT_53331
SHAMT_63461
SHAMT_73121
SHAMT_83611
SHAMT_93311
SHAMT_103161
SHAMT_113101
SHAMT_123091
SHAMT_133241
SHAMT_143301
SHAMT_153551
SHAMT_163141
SHAMT_173681
SHAMT_183511
SHAMT_193061
SHAMT_203261
SHAMT_213061
SHAMT_223301
SHAMT_233411
SHAMT_243341
SHAMT_253341
SHAMT_263191
SHAMT_273411
SHAMT_283381
SHAMT_293581
SHAMT_303121
SHAMT_313341

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]14411
auto[1]13541
auto[2]13461
auto[3]14021
auto[4]14181
auto[5]14001
auto[6]14301
auto[7]13001

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129931
BIT30_123951
BIT29_124261
BIT28_124221
BIT27_123681
BIT26_123831
BIT25_123391
BIT24_123481
BIT23_123181
BIT22_123501
BIT21_123261
BIT20_123331
BIT19_123301
BIT18_123721
BIT17_123101
BIT16_124771
BIT15_127751
BIT14_127311
BIT13_127631
BIT12_127671
BIT11_128251
BIT10_129131
BIT9_128301
BIT8_126721
BIT7_131271
BIT6_130461
BIT5_131121
BIT4_134251
BIT3_135061
BIT2_133571
BIT1_130761
BIT0_133551
BIT31_080981
BIT30_086961
BIT29_086651
BIT28_086691
BIT27_087231
BIT26_087081
BIT25_087521
BIT24_087431
BIT23_087731
BIT22_087411
BIT21_087651
BIT20_087581
BIT19_087611
BIT18_087191
BIT17_087811
BIT16_086141
BIT15_083161
BIT14_083601
BIT13_083281
BIT12_083241
BIT11_082661
BIT10_081781
BIT9_082611
BIT8_084191
BIT7_079641
BIT6_080451
BIT5_079791
BIT4_076661
BIT3_075851
BIT2_077341
BIT1_080151
BIT0_077361

+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO36411
auto_NON_ZERO725091

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
SHAMT_320Illegal
SHAMT_330Illegal
SHAMT_340Illegal
SHAMT_350Illegal
SHAMT_360Illegal
SHAMT_370Illegal
SHAMT_380Illegal
SHAMT_390Illegal
SHAMT_400Illegal
SHAMT_410Illegal
SHAMT_420Illegal
SHAMT_430Illegal
SHAMT_440Illegal
SHAMT_450Illegal
SHAMT_460Illegal
SHAMT_470Illegal
SHAMT_480Illegal
SHAMT_490Illegal
SHAMT_500Illegal
SHAMT_510Illegal
SHAMT_520Illegal
SHAMT_530Illegal
SHAMT_540Illegal
SHAMT_550Illegal
SHAMT_560Illegal
SHAMT_570Illegal
SHAMT_580Illegal
SHAMT_590Illegal
SHAMT_600Illegal
SHAMT_610Illegal
SHAMT_620Illegal
SHAMT_630Illegal
ILLEGAL_SHAMT0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_06701
SHAMT_14271
SHAMT_24201
SHAMT_34041
SHAMT_43291
SHAMT_53071
SHAMT_63041
SHAMT_73561
SHAMT_83021
SHAMT_93571
SHAMT_103331
SHAMT_113221
SHAMT_123341
SHAMT_133161
SHAMT_143271
SHAMT_153071
SHAMT_163291
SHAMT_173041
SHAMT_183011
SHAMT_193521
SHAMT_203061
SHAMT_213421
SHAMT_223491
SHAMT_233331
SHAMT_243191
SHAMT_253161
SHAMT_263021
SHAMT_273521
SHAMT_283411
SHAMT_293461
SHAMT_303271
SHAMT_31654161

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]94521
auto[1]80271
auto[2]122731
auto[3]87461
auto[4]75441
auto[5]82691
auto[6]121441
auto[7]96951

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1515611
BIT30_123261
BIT29_123271
BIT28_123581
BIT27_122971
BIT26_122951
BIT25_122781
BIT24_122571
BIT23_122501
BIT22_122821
BIT21_122171
BIT20_122811
BIT19_122701
BIT18_122741
BIT17_122261
BIT16_123861
BIT15_126981
BIT14_126861
BIT13_127291
BIT12_127511
BIT11_128131
BIT10_127911
BIT9_127811
BIT8_126921
BIT7_130931
BIT6_129931
BIT5_130911
BIT4_134701
BIT3_1348741
BIT2_1262781
BIT1_1649911
BIT0_1546151
BIT31_0245891
BIT30_0738241
BIT29_0738231
BIT28_0737921
BIT27_0738531
BIT26_0738551
BIT25_0738721
BIT24_0738931
BIT23_0739001
BIT22_0738681
BIT21_0739331
BIT20_0738691
BIT19_0738801
BIT18_0738761
BIT17_0739241
BIT16_0737641
BIT15_0734521
BIT14_0734641
BIT13_0734211
BIT12_0733991
BIT11_0733371
BIT10_0733591
BIT9_0733691
BIT8_0734581
BIT7_0730571
BIT6_0731571
BIT5_0730591
BIT4_0726801
BIT3_0412761
BIT2_0498721
BIT1_0111591
BIT0_0215351

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp160.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp160.html new file mode 100644 index 00000000..7b0270d5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp160.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER23101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER23 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER23 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02241

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp161.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp161.html new file mode 100644 index 00000000..b443ae34 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp161.html @@ -0,0 +1,6253 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cvxif_compressed_instr + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cvxif_compressed_instr
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cvxif_compressed_instr +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.92 99.921 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.cus_cadd_cg 99.921 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_cadd_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.921 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_cadd_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1920192100.00
Crosses10244102099.61

+
+Variables for Group Instance uvme_cva6_pkg.cus_cadd_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs232032100.00100110
cp_rs132032100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.cus_cadd_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs210244102099.61 100110

+
+
+
+
+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_004701
RS2_014801
RS2_025651
RS2_035411
RS2_045771
RS2_055141
RS2_065441
RS2_075551
RS2_085251
RS2_094831
RS2_0a5451
RS2_0b5051
RS2_0c4571
RS2_0d4591
RS2_0e5661
RS2_0f5421
RS2_106461
RS2_115511
RS2_124621
RS2_135611
RS2_144431
RS2_155651
RS2_165371
RS2_175651
RS2_185961
RS2_195711
RS2_1a4481
RS2_1b5381
RS2_1c5371
RS2_1d5461
RS2_1e5061
RS2_1f6091

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_005441
RS1_015331
RS1_024451
RS1_035081
RS1_045441
RS1_054951
RS1_065221
RS1_075231
RS1_085231
RS1_095281
RS1_0a4751
RS1_0b5791
RS1_0c5431
RS1_0d5451
RS1_0e4711
RS1_0f5971
RS1_105631
RS1_115201
RS1_125441
RS1_135191
RS1_145111
RS1_155911
RS1_166331
RS1_175711
RS1_185271
RS1_194641
RS1_1a5151
RS1_1b5691
RS1_1c5151
RS1_1d5871
RS1_1e5171
RS1_1f4881

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129781
BIT30_124331
BIT29_124211
BIT28_124881
BIT27_122991
BIT26_122631
BIT25_123691
BIT24_123881
BIT23_123071
BIT22_123541
BIT21_123531
BIT20_123101
BIT19_123111
BIT18_123721
BIT17_123831
BIT16_124221
BIT15_125931
BIT14_125141
BIT13_123191
BIT12_127911
BIT11_127891
BIT10_127461
BIT9_125061
BIT8_124831
BIT7_126331
BIT6_124061
BIT5_123951
BIT4_128781
BIT3_129351
BIT2_127941
BIT1_123441
BIT0_119381
BIT31_041401
BIT30_046851
BIT29_046971
BIT28_046301
BIT27_048191
BIT26_048551
BIT25_047491
BIT24_047301
BIT23_048111
BIT22_047641
BIT21_047651
BIT20_048081
BIT19_048071
BIT18_047461
BIT17_047351
BIT16_046961
BIT15_045251
BIT14_046041
BIT13_047991
BIT12_043271
BIT11_043291
BIT10_043721
BIT9_046121
BIT8_046351
BIT7_044851
BIT6_047121
BIT5_047231
BIT4_042401
BIT3_041831
BIT2_043241
BIT1_047741
BIT0_051801

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_130401
BIT30_125051
BIT29_123961
BIT28_124961
BIT27_123741
BIT26_123441
BIT25_124681
BIT24_123511
BIT23_124631
BIT22_123701
BIT21_123491
BIT20_123801
BIT19_123201
BIT18_123921
BIT17_123351
BIT16_124631
BIT15_126181
BIT14_125201
BIT13_124331
BIT12_128701
BIT11_128341
BIT10_128001
BIT9_125331
BIT8_124971
BIT7_125761
BIT6_124221
BIT5_123621
BIT4_128821
BIT3_129811
BIT2_128881
BIT1_123771
BIT0_120881
BIT31_040801
BIT30_046151
BIT29_047241
BIT28_046241
BIT27_047461
BIT26_047761
BIT25_046521
BIT24_047691
BIT23_046571
BIT22_047501
BIT21_047711
BIT20_047401
BIT19_048001
BIT18_047281
BIT17_047851
BIT16_046571
BIT15_045021
BIT14_046001
BIT13_046871
BIT12_042501
BIT11_042861
BIT10_043201
BIT9_045871
BIT8_046231
BIT7_045441
BIT6_046981
BIT5_047581
BIT4_042381
BIT3_041391
BIT2_042321
BIT1_047431
BIT0_050321

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10244102099.61 4

+
+Automatically Generated Cross Bins for cross_rs1_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1cp_rs2COUNTAT LEASTNUMBER
[RS1_08][RS2_16]011
[RS1_09][RS2_06]011
[RS1_0c][RS2_0d]011
[RS1_10][RS2_1a]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1cp_rs2COUNTAT LEAST
RS1_00RS2_00111
RS1_00RS2_01191
RS1_00RS2_02281
RS1_00RS2_03151
RS1_00RS2_04101
RS1_00RS2_05251
RS1_00RS2_06201
RS1_00RS2_07151
RS1_00RS2_08161
RS1_00RS2_09101
RS1_00RS2_0a261
RS1_00RS2_0b171
RS1_00RS2_0c321
RS1_00RS2_0d81
RS1_00RS2_0e271
RS1_00RS2_0f121
RS1_00RS2_10211
RS1_00RS2_11241
RS1_00RS2_12141
RS1_00RS2_13111
RS1_00RS2_1491
RS1_00RS2_15221
RS1_00RS2_1671
RS1_00RS2_17171
RS1_00RS2_1881
RS1_00RS2_1991
RS1_00RS2_1a301
RS1_00RS2_1b101
RS1_00RS2_1c221
RS1_00RS2_1d221
RS1_00RS2_1e141
RS1_00RS2_1f131
RS1_01RS2_00271
RS1_01RS2_01141
RS1_01RS2_02191
RS1_01RS2_0361
RS1_01RS2_04191
RS1_01RS2_0581
RS1_01RS2_0631
RS1_01RS2_07251
RS1_01RS2_08131
RS1_01RS2_09151
RS1_01RS2_0a91
RS1_01RS2_0b121
RS1_01RS2_0c91
RS1_01RS2_0d81
RS1_01RS2_0e271
RS1_01RS2_0f341
RS1_01RS2_10261
RS1_01RS2_11201
RS1_01RS2_12171
RS1_01RS2_13191
RS1_01RS2_1491
RS1_01RS2_1551
RS1_01RS2_16131
RS1_01RS2_1761
RS1_01RS2_18291
RS1_01RS2_19111
RS1_01RS2_1a141
RS1_01RS2_1b331
RS1_01RS2_1c51
RS1_01RS2_1d161
RS1_01RS2_1e251
RS1_01RS2_1f371
RS1_02RS2_00171
RS1_02RS2_0171
RS1_02RS2_02121
RS1_02RS2_03221
RS1_02RS2_0451
RS1_02RS2_0581
RS1_02RS2_06191
RS1_02RS2_07171
RS1_02RS2_08211
RS1_02RS2_09121
RS1_02RS2_0a61
RS1_02RS2_0b301
RS1_02RS2_0c71
RS1_02RS2_0d101
RS1_02RS2_0e241
RS1_02RS2_0f281
RS1_02RS2_10171
RS1_02RS2_11191
RS1_02RS2_12101
RS1_02RS2_13131
RS1_02RS2_14191
RS1_02RS2_15151
RS1_02RS2_1661
RS1_02RS2_1751
RS1_02RS2_18141
RS1_02RS2_19151
RS1_02RS2_1a121
RS1_02RS2_1b91
RS1_02RS2_1c71
RS1_02RS2_1d181
RS1_02RS2_1e41
RS1_02RS2_1f171
RS1_03RS2_00191
RS1_03RS2_01111
RS1_03RS2_02261
RS1_03RS2_0391
RS1_03RS2_04141
RS1_03RS2_05111
RS1_03RS2_0691
RS1_03RS2_07221
RS1_03RS2_08121
RS1_03RS2_0981
RS1_03RS2_0a121
RS1_03RS2_0b71
RS1_03RS2_0c151
RS1_03RS2_0d91
RS1_03RS2_0e221
RS1_03RS2_0f271
RS1_03RS2_10201
RS1_03RS2_11111
RS1_03RS2_12261
RS1_03RS2_13261
RS1_03RS2_1471
RS1_03RS2_15131
RS1_03RS2_16191
RS1_03RS2_17271
RS1_03RS2_18341
RS1_03RS2_19121
RS1_03RS2_1a161
RS1_03RS2_1b191
RS1_03RS2_1c51
RS1_03RS2_1d111
RS1_03RS2_1e71
RS1_03RS2_1f221
RS1_04RS2_00161
RS1_04RS2_0171
RS1_04RS2_02241
RS1_04RS2_03311
RS1_04RS2_04281
RS1_04RS2_0591
RS1_04RS2_06121
RS1_04RS2_07231
RS1_04RS2_08181
RS1_04RS2_09311
RS1_04RS2_0a31
RS1_04RS2_0b191
RS1_04RS2_0c191
RS1_04RS2_0d191
RS1_04RS2_0e211
RS1_04RS2_0f211
RS1_04RS2_10191
RS1_04RS2_11131
RS1_04RS2_12141
RS1_04RS2_13291
RS1_04RS2_1491
RS1_04RS2_1531
RS1_04RS2_16321
RS1_04RS2_1771
RS1_04RS2_18231
RS1_04RS2_1921
RS1_04RS2_1a181
RS1_04RS2_1b161
RS1_04RS2_1c111
RS1_04RS2_1d171
RS1_04RS2_1e201
RS1_04RS2_1f101
RS1_05RS2_0021
RS1_05RS2_01161
RS1_05RS2_02101
RS1_05RS2_03181
RS1_05RS2_04191
RS1_05RS2_0571
RS1_05RS2_06281
RS1_05RS2_07201
RS1_05RS2_0871
RS1_05RS2_0991
RS1_05RS2_0a111
RS1_05RS2_0b201
RS1_05RS2_0c51
RS1_05RS2_0d51
RS1_05RS2_0e221
RS1_05RS2_0f131
RS1_05RS2_10161
RS1_05RS2_11201
RS1_05RS2_12171
RS1_05RS2_13181
RS1_05RS2_1461
RS1_05RS2_15271
RS1_05RS2_16251
RS1_05RS2_17161
RS1_05RS2_1881
RS1_05RS2_19371
RS1_05RS2_1a241
RS1_05RS2_1b111
RS1_05RS2_1c21
RS1_05RS2_1d221
RS1_05RS2_1e161
RS1_05RS2_1f181
RS1_06RS2_00151
RS1_06RS2_0171
RS1_06RS2_02151
RS1_06RS2_03241
RS1_06RS2_04211
RS1_06RS2_05251
RS1_06RS2_06151
RS1_06RS2_07171
RS1_06RS2_08111
RS1_06RS2_0961
RS1_06RS2_0a271
RS1_06RS2_0b81
RS1_06RS2_0c91
RS1_06RS2_0d101
RS1_06RS2_0e121
RS1_06RS2_0f151
RS1_06RS2_10121
RS1_06RS2_11141
RS1_06RS2_1281
RS1_06RS2_13171
RS1_06RS2_14141
RS1_06RS2_15271
RS1_06RS2_16151
RS1_06RS2_17121
RS1_06RS2_18181
RS1_06RS2_19251
RS1_06RS2_1a111
RS1_06RS2_1b261
RS1_06RS2_1c251
RS1_06RS2_1d281
RS1_06RS2_1e161
RS1_06RS2_1f171
RS1_07RS2_0061
RS1_07RS2_01111
RS1_07RS2_02221
RS1_07RS2_03181
RS1_07RS2_04291
RS1_07RS2_05221
RS1_07RS2_06181
RS1_07RS2_07101
RS1_07RS2_08161
RS1_07RS2_09111
RS1_07RS2_0a131
RS1_07RS2_0b151
RS1_07RS2_0c191
RS1_07RS2_0d111
RS1_07RS2_0e301
RS1_07RS2_0f171
RS1_07RS2_10251
RS1_07RS2_11171
RS1_07RS2_1251
RS1_07RS2_13201
RS1_07RS2_14201
RS1_07RS2_15151
RS1_07RS2_1681
RS1_07RS2_17161
RS1_07RS2_18251
RS1_07RS2_19241
RS1_07RS2_1a91
RS1_07RS2_1b121
RS1_07RS2_1c81
RS1_07RS2_1d51
RS1_07RS2_1e141
RS1_07RS2_1f321
RS1_08RS2_00221
RS1_08RS2_01151
RS1_08RS2_02281
RS1_08RS2_0361
RS1_08RS2_0471
RS1_08RS2_05231
RS1_08RS2_06101
RS1_08RS2_07331
RS1_08RS2_0861
RS1_08RS2_0981
RS1_08RS2_0a271
RS1_08RS2_0b41
RS1_08RS2_0c201
RS1_08RS2_0d101
RS1_08RS2_0e201
RS1_08RS2_0f311
RS1_08RS2_10191
RS1_08RS2_11181
RS1_08RS2_1291
RS1_08RS2_13111
RS1_08RS2_14231
RS1_08RS2_15101
RS1_08RS2_17161
RS1_08RS2_1871
RS1_08RS2_19341
RS1_08RS2_1a111
RS1_08RS2_1b191
RS1_08RS2_1c181
RS1_08RS2_1d141
RS1_08RS2_1e161
RS1_08RS2_1f281
RS1_09RS2_00201
RS1_09RS2_01141
RS1_09RS2_02231
RS1_09RS2_03251
RS1_09RS2_04181
RS1_09RS2_05131
RS1_09RS2_0781
RS1_09RS2_08161
RS1_09RS2_09121
RS1_09RS2_0a101
RS1_09RS2_0b131
RS1_09RS2_0c221
RS1_09RS2_0d11
RS1_09RS2_0e161
RS1_09RS2_0f311
RS1_09RS2_10161
RS1_09RS2_11161
RS1_09RS2_12251
RS1_09RS2_13121
RS1_09RS2_14261
RS1_09RS2_15121
RS1_09RS2_16231
RS1_09RS2_1781
RS1_09RS2_18181
RS1_09RS2_19211
RS1_09RS2_1a141
RS1_09RS2_1b181
RS1_09RS2_1c191
RS1_09RS2_1d171
RS1_09RS2_1e221
RS1_09RS2_1f191
RS1_0aRS2_0041
RS1_0aRS2_01201
RS1_0aRS2_02121
RS1_0aRS2_03391
RS1_0aRS2_04101
RS1_0aRS2_05121
RS1_0aRS2_0681
RS1_0aRS2_0781
RS1_0aRS2_0821
RS1_0aRS2_09181
RS1_0aRS2_0a51
RS1_0aRS2_0b191
RS1_0aRS2_0c41
RS1_0aRS2_0d131
RS1_0aRS2_0e151
RS1_0aRS2_0f161
RS1_0aRS2_10161
RS1_0aRS2_1131
RS1_0aRS2_12121
RS1_0aRS2_13281
RS1_0aRS2_14111
RS1_0aRS2_15231
RS1_0aRS2_16161
RS1_0aRS2_17221
RS1_0aRS2_18291
RS1_0aRS2_19231
RS1_0aRS2_1a61
RS1_0aRS2_1b21
RS1_0aRS2_1c101
RS1_0aRS2_1d441
RS1_0aRS2_1e101
RS1_0aRS2_1f151
RS1_0bRS2_00161
RS1_0bRS2_01181
RS1_0bRS2_02301
RS1_0bRS2_03101
RS1_0bRS2_04331
RS1_0bRS2_05281
RS1_0bRS2_06111
RS1_0bRS2_0781
RS1_0bRS2_08131
RS1_0bRS2_09251
RS1_0bRS2_0a161
RS1_0bRS2_0b91
RS1_0bRS2_0c121
RS1_0bRS2_0d201
RS1_0bRS2_0e121
RS1_0bRS2_0f101
RS1_0bRS2_10201
RS1_0bRS2_11161
RS1_0bRS2_12121
RS1_0bRS2_13111
RS1_0bRS2_14161
RS1_0bRS2_15181
RS1_0bRS2_16231
RS1_0bRS2_17111
RS1_0bRS2_18251
RS1_0bRS2_19261
RS1_0bRS2_1a321
RS1_0bRS2_1b221
RS1_0bRS2_1c241
RS1_0bRS2_1d91
RS1_0bRS2_1e121
RS1_0bRS2_1f311
RS1_0cRS2_0061
RS1_0cRS2_01161
RS1_0cRS2_02251
RS1_0cRS2_03191
RS1_0cRS2_04311
RS1_0cRS2_05251
RS1_0cRS2_0671
RS1_0cRS2_07131
RS1_0cRS2_08171
RS1_0cRS2_09131
RS1_0cRS2_0a221
RS1_0cRS2_0b81
RS1_0cRS2_0c161
RS1_0cRS2_0e221
RS1_0cRS2_0f71
RS1_0cRS2_10121
RS1_0cRS2_11181
RS1_0cRS2_12141
RS1_0cRS2_13221
RS1_0cRS2_14171
RS1_0cRS2_15341
RS1_0cRS2_16141
RS1_0cRS2_17201
RS1_0cRS2_18291
RS1_0cRS2_19231
RS1_0cRS2_1a211
RS1_0cRS2_1b161
RS1_0cRS2_1c171
RS1_0cRS2_1d131
RS1_0cRS2_1e141
RS1_0cRS2_1f121
RS1_0dRS2_00231
RS1_0dRS2_0181
RS1_0dRS2_02121
RS1_0dRS2_03141
RS1_0dRS2_04261
RS1_0dRS2_05191
RS1_0dRS2_06131
RS1_0dRS2_07181
RS1_0dRS2_08241
RS1_0dRS2_09101
RS1_0dRS2_0a221
RS1_0dRS2_0b121
RS1_0dRS2_0c351
RS1_0dRS2_0d81
RS1_0dRS2_0e81
RS1_0dRS2_0f261
RS1_0dRS2_10131
RS1_0dRS2_11271
RS1_0dRS2_12161
RS1_0dRS2_1371
RS1_0dRS2_14211
RS1_0dRS2_15221
RS1_0dRS2_16221
RS1_0dRS2_17211
RS1_0dRS2_1881
RS1_0dRS2_19151
RS1_0dRS2_1a11
RS1_0dRS2_1b201
RS1_0dRS2_1c221
RS1_0dRS2_1d261
RS1_0dRS2_1e191
RS1_0dRS2_1f71
RS1_0eRS2_00151
RS1_0eRS2_01111
RS1_0eRS2_02101
RS1_0eRS2_0391
RS1_0eRS2_04131
RS1_0eRS2_05121
RS1_0eRS2_06101
RS1_0eRS2_0781
RS1_0eRS2_08201
RS1_0eRS2_09141
RS1_0eRS2_0a191
RS1_0eRS2_0b41
RS1_0eRS2_0c131
RS1_0eRS2_0d251
RS1_0eRS2_0e171
RS1_0eRS2_0f131
RS1_0eRS2_10281
RS1_0eRS2_11131
RS1_0eRS2_12121
RS1_0eRS2_13311
RS1_0eRS2_1461
RS1_0eRS2_15291
RS1_0eRS2_1681
RS1_0eRS2_17181
RS1_0eRS2_18331
RS1_0eRS2_1921
RS1_0eRS2_1a131
RS1_0eRS2_1b111
RS1_0eRS2_1c231
RS1_0eRS2_1d101
RS1_0eRS2_1e41
RS1_0eRS2_1f171
RS1_0fRS2_00241
RS1_0fRS2_01421
RS1_0fRS2_02191
RS1_0fRS2_03281
RS1_0fRS2_04151
RS1_0fRS2_0591
RS1_0fRS2_06231
RS1_0fRS2_07131
RS1_0fRS2_08151
RS1_0fRS2_09261
RS1_0fRS2_0a51
RS1_0fRS2_0b221
RS1_0fRS2_0c111
RS1_0fRS2_0d261
RS1_0fRS2_0e161
RS1_0fRS2_0f221
RS1_0fRS2_10191
RS1_0fRS2_11281
RS1_0fRS2_12191
RS1_0fRS2_13181
RS1_0fRS2_1411
RS1_0fRS2_15121
RS1_0fRS2_16161
RS1_0fRS2_17431
RS1_0fRS2_18241
RS1_0fRS2_19171
RS1_0fRS2_1a121
RS1_0fRS2_1b121
RS1_0fRS2_1c241
RS1_0fRS2_1d181
RS1_0fRS2_1e31
RS1_0fRS2_1f151
RS1_10RS2_00171
RS1_10RS2_01181
RS1_10RS2_0251
RS1_10RS2_0331
RS1_10RS2_04121
RS1_10RS2_05171
RS1_10RS2_06141
RS1_10RS2_07291
RS1_10RS2_08211
RS1_10RS2_09191
RS1_10RS2_0a461
RS1_10RS2_0b301
RS1_10RS2_0c91
RS1_10RS2_0d281
RS1_10RS2_0e171
RS1_10RS2_0f121
RS1_10RS2_10271
RS1_10RS2_11231
RS1_10RS2_12141
RS1_10RS2_13171
RS1_10RS2_14101
RS1_10RS2_15131
RS1_10RS2_16101
RS1_10RS2_17331
RS1_10RS2_18151
RS1_10RS2_19201
RS1_10RS2_1b261
RS1_10RS2_1c131
RS1_10RS2_1d111
RS1_10RS2_1e141
RS1_10RS2_1f201
RS1_11RS2_00161
RS1_11RS2_01141
RS1_11RS2_02121
RS1_11RS2_0391
RS1_11RS2_04101
RS1_11RS2_05171
RS1_11RS2_06201
RS1_11RS2_07141
RS1_11RS2_08241
RS1_11RS2_09111
RS1_11RS2_0a101
RS1_11RS2_0b401
RS1_11RS2_0c141
RS1_11RS2_0d81
RS1_11RS2_0e271
RS1_11RS2_0f71
RS1_11RS2_10181
RS1_11RS2_1181
RS1_11RS2_1231
RS1_11RS2_13101
RS1_11RS2_1491
RS1_11RS2_15281
RS1_11RS2_16221
RS1_11RS2_17121
RS1_11RS2_18141
RS1_11RS2_19331
RS1_11RS2_1a291
RS1_11RS2_1b231
RS1_11RS2_1c181
RS1_11RS2_1d71
RS1_11RS2_1e241
RS1_11RS2_1f91
RS1_12RS2_00111
RS1_12RS2_0151
RS1_12RS2_02321
RS1_12RS2_0331
RS1_12RS2_04231
RS1_12RS2_05211
RS1_12RS2_06251
RS1_12RS2_07221
RS1_12RS2_08361
RS1_12RS2_09261
RS1_12RS2_0a201
RS1_12RS2_0b61
RS1_12RS2_0c81
RS1_12RS2_0d271
RS1_12RS2_0e91
RS1_12RS2_0f61
RS1_12RS2_10161
RS1_12RS2_11181
RS1_12RS2_12301
RS1_12RS2_13121
RS1_12RS2_1491
RS1_12RS2_15151
RS1_12RS2_16201
RS1_12RS2_17181
RS1_12RS2_1871
RS1_12RS2_19151
RS1_12RS2_1a31
RS1_12RS2_1b211
RS1_12RS2_1c121
RS1_12RS2_1d211
RS1_12RS2_1e141
RS1_12RS2_1f331
RS1_13RS2_00251
RS1_13RS2_01181
RS1_13RS2_02231
RS1_13RS2_03211
RS1_13RS2_0461
RS1_13RS2_05211
RS1_13RS2_0621
RS1_13RS2_07141
RS1_13RS2_08101
RS1_13RS2_09121
RS1_13RS2_0a191
RS1_13RS2_0b161
RS1_13RS2_0c171
RS1_13RS2_0d171
RS1_13RS2_0e231
RS1_13RS2_0f91
RS1_13RS2_10381
RS1_13RS2_11171
RS1_13RS2_12261
RS1_13RS2_1341
RS1_13RS2_14121
RS1_13RS2_15191
RS1_13RS2_16141
RS1_13RS2_1751
RS1_13RS2_18341
RS1_13RS2_1961
RS1_13RS2_1a201
RS1_13RS2_1b191
RS1_13RS2_1c51
RS1_13RS2_1d61
RS1_13RS2_1e131
RS1_13RS2_1f281
RS1_14RS2_00191
RS1_14RS2_01141
RS1_14RS2_02181
RS1_14RS2_03171
RS1_14RS2_0451
RS1_14RS2_05161
RS1_14RS2_06351
RS1_14RS2_07151
RS1_14RS2_08231
RS1_14RS2_09111
RS1_14RS2_0a411
RS1_14RS2_0b191
RS1_14RS2_0c151
RS1_14RS2_0d81
RS1_14RS2_0e31
RS1_14RS2_0f111
RS1_14RS2_10331
RS1_14RS2_11131
RS1_14RS2_12161
RS1_14RS2_13101
RS1_14RS2_14241
RS1_14RS2_1561
RS1_14RS2_16101
RS1_14RS2_17291
RS1_14RS2_1811
RS1_14RS2_19191
RS1_14RS2_1a41
RS1_14RS2_1b171
RS1_14RS2_1c81
RS1_14RS2_1d321
RS1_14RS2_1e41
RS1_14RS2_1f151
RS1_15RS2_00101
RS1_15RS2_0171
RS1_15RS2_0281
RS1_15RS2_03161
RS1_15RS2_04511
RS1_15RS2_05291
RS1_15RS2_06211
RS1_15RS2_07331
RS1_15RS2_08221
RS1_15RS2_09181
RS1_15RS2_0a251
RS1_15RS2_0b211
RS1_15RS2_0c301
RS1_15RS2_0d81
RS1_15RS2_0e251
RS1_15RS2_0f241
RS1_15RS2_10211
RS1_15RS2_11251
RS1_15RS2_1251
RS1_15RS2_13131
RS1_15RS2_14111
RS1_15RS2_15121
RS1_15RS2_16201
RS1_15RS2_1741
RS1_15RS2_18131
RS1_15RS2_1951
RS1_15RS2_1a71
RS1_15RS2_1b141
RS1_15RS2_1c231
RS1_15RS2_1d341
RS1_15RS2_1e91
RS1_15RS2_1f271
RS1_16RS2_0081
RS1_16RS2_01251
RS1_16RS2_02231
RS1_16RS2_03191
RS1_16RS2_04191
RS1_16RS2_05261
RS1_16RS2_06231
RS1_16RS2_07161
RS1_16RS2_08301
RS1_16RS2_09271
RS1_16RS2_0a251
RS1_16RS2_0b101
RS1_16RS2_0c31
RS1_16RS2_0d181
RS1_16RS2_0e31
RS1_16RS2_0f161
RS1_16RS2_10181
RS1_16RS2_11351
RS1_16RS2_12101
RS1_16RS2_13181
RS1_16RS2_14111
RS1_16RS2_15201
RS1_16RS2_16251
RS1_16RS2_17261
RS1_16RS2_18201
RS1_16RS2_19351
RS1_16RS2_1a81
RS1_16RS2_1b201
RS1_16RS2_1c361
RS1_16RS2_1d111
RS1_16RS2_1e311
RS1_16RS2_1f181
RS1_17RS2_0091
RS1_17RS2_01331
RS1_17RS2_02201
RS1_17RS2_03241
RS1_17RS2_04271
RS1_17RS2_05171
RS1_17RS2_06151
RS1_17RS2_07111
RS1_17RS2_08311
RS1_17RS2_09201
RS1_17RS2_0a141
RS1_17RS2_0b291
RS1_17RS2_0c21
RS1_17RS2_0d111
RS1_17RS2_0e241
RS1_17RS2_0f41
RS1_17RS2_10381
RS1_17RS2_11201
RS1_17RS2_12161
RS1_17RS2_13171
RS1_17RS2_1471
RS1_17RS2_15161
RS1_17RS2_1611
RS1_17RS2_17121
RS1_17RS2_18321
RS1_17RS2_19111
RS1_17RS2_1a151
RS1_17RS2_1b221
RS1_17RS2_1c61
RS1_17RS2_1d261
RS1_17RS2_1e341
RS1_17RS2_1f71
RS1_18RS2_0081
RS1_18RS2_0181
RS1_18RS2_02131
RS1_18RS2_0311
RS1_18RS2_04111
RS1_18RS2_0591
RS1_18RS2_06201
RS1_18RS2_07191
RS1_18RS2_0861
RS1_18RS2_0971
RS1_18RS2_0a111
RS1_18RS2_0b111
RS1_18RS2_0c181
RS1_18RS2_0d171
RS1_18RS2_0e171
RS1_18RS2_0f151
RS1_18RS2_10351
RS1_18RS2_11151
RS1_18RS2_12181
RS1_18RS2_13351
RS1_18RS2_14301
RS1_18RS2_1581
RS1_18RS2_16131
RS1_18RS2_17241
RS1_18RS2_18131
RS1_18RS2_19171
RS1_18RS2_1a321
RS1_18RS2_1b241
RS1_18RS2_1c251
RS1_18RS2_1d71
RS1_18RS2_1e201
RS1_18RS2_1f201
RS1_19RS2_00211
RS1_19RS2_0181
RS1_19RS2_02201
RS1_19RS2_03131
RS1_19RS2_04131
RS1_19RS2_0531
RS1_19RS2_06231
RS1_19RS2_07251
RS1_19RS2_08121
RS1_19RS2_09101
RS1_19RS2_0a91
RS1_19RS2_0b261
RS1_19RS2_0c121
RS1_19RS2_0d211
RS1_19RS2_0e161
RS1_19RS2_0f81
RS1_19RS2_10131
RS1_19RS2_11161
RS1_19RS2_1241
RS1_19RS2_13241
RS1_19RS2_14211
RS1_19RS2_15161
RS1_19RS2_16231
RS1_19RS2_17321
RS1_19RS2_18151
RS1_19RS2_1991
RS1_19RS2_1a51
RS1_19RS2_1b111
RS1_19RS2_1c81
RS1_19RS2_1d81
RS1_19RS2_1e101
RS1_19RS2_1f91
RS1_1aRS2_00161
RS1_1aRS2_01141
RS1_1aRS2_02171
RS1_1aRS2_03181
RS1_1aRS2_0441
RS1_1aRS2_0591
RS1_1aRS2_06141
RS1_1aRS2_0721
RS1_1aRS2_08131
RS1_1aRS2_0981
RS1_1aRS2_0a281
RS1_1aRS2_0b161
RS1_1aRS2_0c161
RS1_1aRS2_0d231
RS1_1aRS2_0e181
RS1_1aRS2_0f221
RS1_1aRS2_10211
RS1_1aRS2_11211
RS1_1aRS2_12101
RS1_1aRS2_13151
RS1_1aRS2_14141
RS1_1aRS2_15271
RS1_1aRS2_16151
RS1_1aRS2_17101
RS1_1aRS2_18261
RS1_1aRS2_19241
RS1_1aRS2_1a181
RS1_1aRS2_1b101
RS1_1aRS2_1c241
RS1_1aRS2_1d51
RS1_1aRS2_1e261
RS1_1aRS2_1f111
RS1_1bRS2_00121
RS1_1bRS2_01241
RS1_1bRS2_0221
RS1_1bRS2_03131
RS1_1bRS2_04291
RS1_1bRS2_05301
RS1_1bRS2_06251
RS1_1bRS2_07181
RS1_1bRS2_08101
RS1_1bRS2_09251
RS1_1bRS2_0a261
RS1_1bRS2_0b181
RS1_1bRS2_0c191
RS1_1bRS2_0d151
RS1_1bRS2_0e141
RS1_1bRS2_0f81
RS1_1bRS2_1091
RS1_1bRS2_11171
RS1_1bRS2_12211
RS1_1bRS2_13301
RS1_1bRS2_1491
RS1_1bRS2_15331
RS1_1bRS2_16201
RS1_1bRS2_17191
RS1_1bRS2_18141
RS1_1bRS2_19121
RS1_1bRS2_1a161
RS1_1bRS2_1b91
RS1_1bRS2_1c201
RS1_1bRS2_1d101
RS1_1bRS2_1e201
RS1_1bRS2_1f221
RS1_1cRS2_00171
RS1_1cRS2_01121
RS1_1cRS2_02161
RS1_1cRS2_03221
RS1_1cRS2_04161
RS1_1cRS2_0581
RS1_1cRS2_06141
RS1_1cRS2_07271
RS1_1cRS2_08141
RS1_1cRS2_0961
RS1_1cRS2_0a31
RS1_1cRS2_0b61
RS1_1cRS2_0c161
RS1_1cRS2_0d221
RS1_1cRS2_0e61
RS1_1cRS2_0f71
RS1_1cRS2_10251
RS1_1cRS2_11111
RS1_1cRS2_12101
RS1_1cRS2_13191
RS1_1cRS2_1461
RS1_1cRS2_15261
RS1_1cRS2_16251
RS1_1cRS2_17171
RS1_1cRS2_18331
RS1_1cRS2_19161
RS1_1cRS2_1a141
RS1_1cRS2_1b261
RS1_1cRS2_1c191
RS1_1cRS2_1d231
RS1_1cRS2_1e201
RS1_1cRS2_1f131
RS1_1dRS2_0041
RS1_1dRS2_0181
RS1_1dRS2_02211
RS1_1dRS2_03271
RS1_1dRS2_04201
RS1_1dRS2_05141
RS1_1dRS2_06341
RS1_1dRS2_07131
RS1_1dRS2_08191
RS1_1dRS2_09241
RS1_1dRS2_0a131
RS1_1dRS2_0b121
RS1_1dRS2_0c171
RS1_1dRS2_0d211
RS1_1dRS2_0e161
RS1_1dRS2_0f401
RS1_1dRS2_10131
RS1_1dRS2_1151
RS1_1dRS2_12211
RS1_1dRS2_1331
RS1_1dRS2_14231
RS1_1dRS2_1551
RS1_1dRS2_16201
RS1_1dRS2_17301
RS1_1dRS2_18141
RS1_1dRS2_19111
RS1_1dRS2_1a51
RS1_1dRS2_1b141
RS1_1dRS2_1c331
RS1_1dRS2_1d231
RS1_1dRS2_1e321
RS1_1dRS2_1f321
RS1_1eRS2_00301
RS1_1eRS2_01101
RS1_1eRS2_02141
RS1_1eRS2_03171
RS1_1eRS2_04241
RS1_1eRS2_0571
RS1_1eRS2_06271
RS1_1eRS2_07161
RS1_1eRS2_08141
RS1_1eRS2_09111
RS1_1eRS2_0a171
RS1_1eRS2_0b141
RS1_1eRS2_0c51
RS1_1eRS2_0d211
RS1_1eRS2_0e111
RS1_1eRS2_0f171
RS1_1eRS2_10171
RS1_1eRS2_11191
RS1_1eRS2_12191
RS1_1eRS2_13241
RS1_1eRS2_1491
RS1_1eRS2_15241
RS1_1eRS2_16271
RS1_1eRS2_17101
RS1_1eRS2_18121
RS1_1eRS2_19131
RS1_1eRS2_1a101
RS1_1eRS2_1b171
RS1_1eRS2_1c221
RS1_1eRS2_1d191
RS1_1eRS2_1e101
RS1_1eRS2_1f101
RS1_1fRS2_0041
RS1_1fRS2_01261
RS1_1fRS2_0261
RS1_1fRS2_03251
RS1_1fRS2_0491
RS1_1fRS2_05141
RS1_1fRS2_06261
RS1_1fRS2_07231
RS1_1fRS2_08131
RS1_1fRS2_09201
RS1_1fRS2_0a51
RS1_1fRS2_0b121
RS1_1fRS2_0c81
RS1_1fRS2_0d111
RS1_1fRS2_0e261
RS1_1fRS2_0f131
RS1_1fRS2_1051
RS1_1fRS2_11111
RS1_1fRS2_1291
RS1_1fRS2_13171
RS1_1fRS2_14241
RS1_1fRS2_15101
RS1_1fRS2_16251
RS1_1fRS2_17191
RS1_1fRS2_1811
RS1_1fRS2_19291
RS1_1fRS2_1a181
RS1_1fRS2_1b91
RS1_1fRS2_1c231
RS1_1fRS2_1d131
RS1_1fRS2_1e91
RS1_1fRS2_1f251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp162.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp162.html new file mode 100644 index 00000000..a6261ffe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp162.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent31::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent31.mhpmevent31__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent31.mhpmevent31__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT31101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT31 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT31 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02301

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp163.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp163.html new file mode 100644 index 00000000..921e2806 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp163.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent16::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent16.mhpmevent16__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent16.mhpmevent16__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT16101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT16 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT16 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02111

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp164.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp164.html new file mode 100644 index 00000000..ddd8337e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp164.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg15::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg15.pmpcfg15__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg15.pmpcfg15__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP63CFG404100.00100110
PMP62CFG404100.00100110
PMP61CFG404100.00100110
PMP60CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP63CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP63CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]31
illegal_values[56:aa]151
illegal_values[ab:ff]81
legal_values1071

+
+
+Summary for Variable PMP62CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP62CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]21
illegal_values[ab:ff]81
legal_values1171

+
+
+Summary for Variable PMP61CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP61CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]81
illegal_values[ab:ff]111
legal_values1081

+
+
+Summary for Variable PMP60CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP60CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]731
illegal_values[56:aa]141
illegal_values[ab:ff]81
legal_values381

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp165.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp165.html new file mode 100644 index 00000000..797ca315 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp165.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr34::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr34.pmpaddr34__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr34.pmpaddr34__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR34404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR34 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR34 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]211
illegal_values[1431655766:2863311530]21
illegal_values[2863311531:ffffffff]51
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp166.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp166.html new file mode 100644 index 00000000..486ddb17 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp166.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent5::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent5.mhpmevent5__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent5.mhpmevent5__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT5101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT5 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT5 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01881

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp167.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp167.html new file mode 100644 index 00000000..e721c875 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp167.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER24101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER24 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER24 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02001

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp168.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp168.html new file mode 100644 index 00000000..a5b692a0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp168.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent17::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent17.mhpmevent17__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent17.mhpmevent17__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT17101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT17 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT17 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp169.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp169.html new file mode 100644 index 00000000..798179a8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp169.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent30::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent30.mhpmevent30__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent30.mhpmevent30__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT30101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT30 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT30 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01991

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp17.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp17.html new file mode 100644 index 00000000..445a1ec6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp17.html @@ -0,0 +1,1130 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_sb + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_sb
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_sb +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_sb_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_sb_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_sb_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1540154100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_sb_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rs2808100.00100118
cp_rs2_toggle64064100.00100110
cp_rs1_toggle64064100.00100110
cp_imm_toggle404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO1831
auto_NON_ZERO5341

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO3171
auto_NON_ZERO4001

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO3391
auto_NON_ZERO3781

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]761
auto[1]781
auto[2]591
auto[3]591
auto[4]611
auto[5]861
auto[6]2381
auto[7]601

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]721
auto[1]721
auto[2]641
auto[3]671
auto[4]641
auto[5]591
auto[6]741
auto[7]2451

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_12261
BIT30_1671
BIT29_1671
BIT28_1701
BIT27_1651
BIT26_1641
BIT25_1721
BIT24_1691
BIT23_1701
BIT22_1661
BIT21_1711
BIT20_1681
BIT19_1701
BIT18_1601
BIT17_1711
BIT16_11071
BIT15_11681
BIT14_11321
BIT13_11781
BIT12_11471
BIT11_11561
BIT10_11621
BIT9_11401
BIT8_11251
BIT7_11591
BIT6_11661
BIT5_11701
BIT4_11941
BIT3_12201
BIT2_12061
BIT1_11581
BIT0_11831
BIT31_04911
BIT30_06501
BIT29_06501
BIT28_06471
BIT27_06521
BIT26_06531
BIT25_06451
BIT24_06481
BIT23_06471
BIT22_06511
BIT21_06461
BIT20_06491
BIT19_06471
BIT18_06571
BIT17_06461
BIT16_06101
BIT15_05491
BIT14_05851
BIT13_05391
BIT12_05701
BIT11_05611
BIT10_05551
BIT9_05771
BIT8_05921
BIT7_05581
BIT6_05511
BIT5_05471
BIT4_05231
BIT3_04971
BIT2_05111
BIT1_05591
BIT0_05341

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_15341
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_12071
BIT15_13891
BIT14_12141
BIT13_13601
BIT12_12991
BIT11_12631
BIT10_12441
BIT9_12441
BIT8_13061
BIT7_12641
BIT6_12681
BIT5_12641
BIT4_12711
BIT3_12711
BIT2_12591
BIT1_12691
BIT0_13441
BIT31_01831
BIT30_07161
BIT29_07161
BIT28_07161
BIT27_07161
BIT26_07161
BIT25_07161
BIT24_07161
BIT23_07161
BIT22_07161
BIT21_07161
BIT20_07161
BIT19_07161
BIT18_07161
BIT17_07161
BIT16_05101
BIT15_03281
BIT14_05031
BIT13_03571
BIT12_04181
BIT11_04541
BIT10_04731
BIT9_04731
BIT8_04111
BIT7_04531
BIT6_04491
BIT5_04531
BIT4_04461
BIT3_04461
BIT2_04581
BIT1_04481
BIT0_03731

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT1_11911
BIT0_12271
BIT1_05261
BIT0_04901

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp170.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp170.html new file mode 100644 index 00000000..9f46a2c5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp170.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent14::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent14.mhpmevent14__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent14.mhpmevent14__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT14101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT14 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT14 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01741

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp171.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp171.html new file mode 100644 index 00000000..982f5b0e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp171.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER6H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER6H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER6H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02151

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp172.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp172.html new file mode 100644 index 00000000..5a6b283a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp172.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhartid::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhartid::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhartid::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhartid.mhartid__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhartid.mhartid__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhartid.mhartid__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhartid.mhartid__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHARTID101100.00100110

+
+
+
+
+
+
+Summary for Variable MHARTID +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHARTID +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_023671

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp173.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp173.html new file mode 100644 index 00000000..e6cb022a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp173.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr17::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr17.pmpaddr17__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr17.pmpaddr17__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR17404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR17 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR17 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]11
illegal_values[2863311531:ffffffff]31
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp174.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp174.html new file mode 100644 index 00000000..aaf240b4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp174.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg8::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg8.pmpcfg8__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg8.pmpcfg8__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP35CFG404100.00100110
PMP34CFG404100.00100110
PMP33CFG404100.00100110
PMP32CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP35CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP35CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]131
illegal_values[ab:ff]111
legal_values1121

+
+
+Summary for Variable PMP34CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP34CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]91
illegal_values[56:aa]31
illegal_values[ab:ff]71
legal_values1211

+
+
+Summary for Variable PMP33CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP33CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]81
illegal_values[56:aa]101
illegal_values[ab:ff]111
legal_values1111

+
+
+Summary for Variable PMP32CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP32CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]831
illegal_values[56:aa]81
illegal_values[ab:ff]131
legal_values361

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp175.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp175.html new file mode 100644 index 00000000..c0771a99 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp175.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr55::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr55.pmpaddr55__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr55.pmpaddr55__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR55404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR55 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR55 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]291
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]31
legal_values171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp176.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp176.html new file mode 100644 index 00000000..11414cc5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp176.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent15::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent15.mhpmevent15__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent15.mhpmevent15__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT15101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT15 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT15 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02021

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp177.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp177.html new file mode 100644 index 00000000..5b655991 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp177.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mconfigptr::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mconfigptr.mconfigptr__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mconfigptr.mconfigptr__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mconfigptr.mconfigptr__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mconfigptr.mconfigptr__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCONFIGPTR101100.00100110

+
+
+
+
+
+
+Summary for Variable MCONFIGPTR +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MCONFIGPTR +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_061

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp178.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp178.html new file mode 100644 index 00000000..49d8a20b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp178.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr42::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr42.pmpaddr42__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr42.pmpaddr42__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR42101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR42 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR42 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01411

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp179.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp179.html new file mode 100644 index 00000000..94557c00 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp179.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER10404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER10 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER10 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]521
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]151
legal_values221

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp18.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp18.html new file mode 100644 index 00000000..a2f1e2e2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp18.html @@ -0,0 +1,1006 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_lbu + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_lbu
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_lbu +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_lbu_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_lbu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_lbu_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1140114100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_lbu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rd_value202100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rd808100.00100118
cp_c_rd_rs1_hazard808100.00100110
cp_rs1_toggle64064100.00100110
cp_rd_toggle16016100.00100110
cp_imm_toggle404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO48081

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO3251
auto_NON_ZERO44841

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO44101
auto_NON_ZERO3991

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6831
auto[1]3431
auto[2]6671
auto[3]3831
auto[4]6081
auto[5]2131
auto[6]8961
auto[7]10161

+
+
+Summary for Variable cp_c_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]8241
auto[1]2781
auto[2]6791
auto[3]6411
auto[4]8951
auto[5]3951
auto[6]7681
auto[7]3291

+
+
+Summary for Variable cp_c_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins808100.00

+
+User Defined Bins for cp_c_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_011
RD_111
RD_211
RD_321
RD_411
RD_511
RD_611
RD_711

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_148081
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_12351
BIT15_14041
BIT14_12301
BIT13_13441
BIT12_18361
BIT11_114041
BIT10_121671
BIT9_123411
BIT8_124531
BIT7_123461
BIT6_124221
BIT5_124111
BIT4_124341
BIT3_123601
BIT2_124251
BIT1_123891
BIT0_13551
BIT31_011
BIT30_048081
BIT29_048081
BIT28_048081
BIT27_048081
BIT26_048081
BIT25_048081
BIT24_048081
BIT23_048081
BIT22_048081
BIT21_048081
BIT20_048081
BIT19_048081
BIT18_048081
BIT17_048081
BIT16_045741
BIT15_044051
BIT14_045791
BIT13_044651
BIT12_039731
BIT11_034051
BIT10_026421
BIT9_024681
BIT8_023561
BIT7_024631
BIT6_023871
BIT5_023981
BIT4_023751
BIT3_024491
BIT2_023841
BIT1_024201
BIT0_044541

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins16016100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT7_116291
BIT6_118321
BIT5_127071
BIT4_123371
BIT3_19831
BIT2_15881
BIT1_140011
BIT0_136581
BIT7_031801
BIT6_029771
BIT5_021021
BIT4_024721
BIT3_038261
BIT2_042211
BIT1_08081
BIT0_011511

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT1_12091
BIT0_12301
BIT1_046001
BIT0_045791

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp180.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp180.html new file mode 100644 index 00000000..b7a999c6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp180.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mcause::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mcause::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mcause::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mcause.mcause__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mcause.mcause__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mcause.mcause__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.mcause.mcause__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCAUSE303100.00100110

+
+
+
+
+
+
+Summary for Variable MCAUSE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MCAUSE +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]991
other_values[1431655766:2863311530]161
other_values[2863311531:ffffffff]201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp181.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp181.html new file mode 100644 index 00000000..a5f7e955 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp181.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr1::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr1.pmpaddr1__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr1.pmpaddr1__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR1404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]411
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]141
legal_values231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp182.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp182.html new file mode 100644 index 00000000..c20b099c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp182.html @@ -0,0 +1,534 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_illegal_m + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_illegal_m
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_illegal_m +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.illegal_m_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.illegal_m_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.illegal_m_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables12012100.00
Crosses11011100.00

+
+Variables for Group Instance uvme_cva6_pkg.illegal_m_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_illegal_opcode202100.00100110
cp_illegal_funct3303100.00100110
cp_illegal_funct7606100.00100110
cp_is_illegal101100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.illegal_m_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_exc_illegal_0202100.00100110
cross_exc_illegal_1303100.00100110
cross_exc_illegal_2606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_illegal_opcode +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_illegal_opcode +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_OPCODE[00:32,34:3f]135641
ILLEGAL_OPCODE[40:7f]281271

+
+
+Summary for Variable cp_illegal_funct3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for cp_illegal_funct3 +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_FUNCT3[0:1]219981
ILLEGAL_FUNCT3[2:3]120241
ILLEGAL_FUNCT3[4:7]85311

+
+
+Summary for Variable cp_illegal_funct7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins606100.00

+
+User Defined Bins for cp_illegal_funct7 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT7[00,02:2a]197501
ILLEGAL_NOPCODE_FUNCT7[2b:54]116091
ILLEGAL_NOPCODE_FUNCT7[55:7f]70141
ILLEGAL_FUNCT7[00,02:2a]31
ILLEGAL_FUNCT7[2b:54]5581
ILLEGAL_FUNCT7[55:7f]3011

+
+
+Summary for Variable cp_is_illegal +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_illegal +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_INSTR425531

+
+
+Summary for Cross cross_exc_illegal_0 +
+
+Samples crossed: cp_illegal_opcode cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins202100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_0 +
+
+Bins +
+ + + + + + + + + + + + +
cp_illegal_opcodecp_is_illegalCOUNTAT LEAST
ILLEGAL_OPCODE[00:32,34:3f]ILLEGAL_INSTR135641
ILLEGAL_OPCODE[40:7f]ILLEGAL_INSTR281271

+
+
+Summary for Cross cross_exc_illegal_1 +
+
+Samples crossed: cp_illegal_funct3 cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins303100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + +
cp_illegal_funct3cp_is_illegalCOUNTAT LEAST
ILLEGAL_FUNCT3[0:1]ILLEGAL_INSTR219981
ILLEGAL_FUNCT3[2:3]ILLEGAL_INSTR120241
ILLEGAL_FUNCT3[4:7]ILLEGAL_INSTR85311

+
+
+Summary for Cross cross_exc_illegal_2 +
+
+Samples crossed: cp_illegal_funct7 cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_illegal_funct7cp_is_illegalCOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT7[00,02:2a]ILLEGAL_INSTR197501
ILLEGAL_NOPCODE_FUNCT7[2b:54]ILLEGAL_INSTR116091
ILLEGAL_NOPCODE_FUNCT7[55:7f]ILLEGAL_INSTR70141
ILLEGAL_FUNCT7[00,02:2a]ILLEGAL_INSTR31
ILLEGAL_FUNCT7[2b:54]ILLEGAL_INSTR5581
ILLEGAL_FUNCT7[55:7f]ILLEGAL_INSTR3011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp183.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp183.html new file mode 100644 index 00000000..f4ef987c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp183.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr29::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr29.pmpaddr29__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr29.pmpaddr29__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR29404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR29 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR29 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]241
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]31
legal_values161

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp184.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp184.html new file mode 100644 index 00000000..f48a8ea3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp184.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg6::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg6.pmpcfg6__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg6.pmpcfg6__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP27CFG404100.00100110
PMP26CFG404100.00100110
PMP25CFG404100.00100110
PMP24CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP27CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP27CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]71
illegal_values[ab:ff]91
legal_values1041

+
+
+Summary for Variable PMP26CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP26CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]31
illegal_values[56:aa]41
illegal_values[ab:ff]101
legal_values1071

+
+
+Summary for Variable PMP25CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP25CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]61
illegal_values[ab:ff]131
legal_values991

+
+
+Summary for Variable PMP24CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP24CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]761
illegal_values[56:aa]91
illegal_values[ab:ff]101
legal_values291

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp185.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp185.html new file mode 100644 index 00000000..b65a1f7e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp185.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr61::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr61.pmpaddr61__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr61.pmpaddr61__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR61101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR61 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR61 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01481

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp186.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp186.html new file mode 100644 index 00000000..7bf88dd1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp186.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr23::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr23.pmpaddr23__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr23.pmpaddr23__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR23101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR23 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR23 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp187.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp187.html new file mode 100644 index 00000000..8384fa86 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp187.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER3H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER3H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER3H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02061

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp188.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp188.html new file mode 100644 index 00000000..07d9b1b4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp188.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr48::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr48.pmpaddr48__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr48.pmpaddr48__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR48404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR48 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR48 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]61
illegal_values[1431655766:2863311530]21
illegal_values[2863311531:ffffffff]11
legal_values131

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp189.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp189.html new file mode 100644 index 00000000..4c22b8e4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp189.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER28404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER28 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER28 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]601
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]131
legal_values331

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp19.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp19.html new file mode 100644 index 00000000..4a16ef68 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp19.html @@ -0,0 +1,3499 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_load(withChksum=4020121393)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_load(withChksum=4020121393) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_lb_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_lh_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_lb_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_lb_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2560256100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_lb_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_lb_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_lh_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_lh_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2560256100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_lh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_lh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]41
auto[1]23191
auto[2]266981
auto[3]23871
auto[4]23731
auto[5]22311
auto[6]21861
auto[7]22741
auto[8]22601
auto[9]20811
auto[10]20681
auto[11]21291
auto[12]21441
auto[13]22341
auto[14]22461
auto[15]21001
auto[16]22341
auto[17]23301
auto[18]20651
auto[19]22821
auto[20]22051
auto[21]24541
auto[22]22631
auto[23]20891
auto[24]23721
auto[25]21171
auto[26]21601
auto[27]21501
auto[28]23231
auto[29]21971
auto[30]20781
auto[31]20511

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]32861
auto[1]32481
auto[2]19091
auto[3]32831
auto[4]29321
auto[5]28181
auto[6]30141
auto[7]30031
auto[8]28911
auto[9]27351
auto[10]29301
auto[11]29101
auto[12]29011
auto[13]29151
auto[14]31361
auto[15]28541
auto[16]28021
auto[17]28971
auto[18]29961
auto[19]28841
auto[20]28171
auto[21]29151
auto[22]28901
auto[23]28681
auto[24]28951
auto[25]30141
auto[26]29971
auto[27]29181
auto[28]27731
auto[29]29081
auto[30]28651
auto[31]29001

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0041
RD_0111
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0811
RD_0911
RD_0a11
RD_0b81
RD_0c11
RD_0d11
RD_0e1831
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1911
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61
auto_NON_ZERO930981

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO9221
auto_POSITIVE457891
auto_NEGATIVE463931

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO314001
auto_POSITIVE312371
auto_NEGATIVE304671

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1930971
BIT30_11821
BIT29_11831
BIT28_11831
BIT27_11831
BIT26_11831
BIT25_11831
BIT24_11831
BIT23_11831
BIT22_11831
BIT21_11831
BIT20_11831
BIT19_11831
BIT18_11831
BIT17_11831
BIT16_1353281
BIT15_1698981
BIT14_1337161
BIT13_1592831
BIT12_1527711
BIT11_1466301
BIT10_1470931
BIT9_1468911
BIT8_1463361
BIT7_1463851
BIT6_1462411
BIT5_1467331
BIT4_1464331
BIT3_1471621
BIT2_1466141
BIT1_1462171
BIT0_1467551
BIT31_071
BIT30_0929221
BIT29_0929211
BIT28_0929211
BIT27_0929211
BIT26_0929211
BIT25_0929211
BIT24_0929211
BIT23_0929211
BIT22_0929211
BIT21_0929211
BIT20_0929211
BIT19_0929211
BIT18_0929211
BIT17_0929211
BIT16_0577761
BIT15_0232061
BIT14_0593881
BIT13_0338211
BIT12_0403331
BIT11_0464741
BIT10_0460111
BIT9_0462131
BIT8_0467681
BIT7_0467191
BIT6_0468631
BIT5_0463711
BIT4_0466711
BIT3_0459421
BIT2_0464901
BIT1_0468871
BIT0_0463491

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1463931
BIT10_1465791
BIT9_1463631
BIT8_1465681
BIT7_1463981
BIT6_1466491
BIT5_1465581
BIT4_1468721
BIT3_1457641
BIT2_1463031
BIT1_1466031
BIT0_1463491
BIT11_0467111
BIT10_0465251
BIT9_0467411
BIT8_0465361
BIT7_0467061
BIT6_0464551
BIT5_0465461
BIT4_0462321
BIT3_0473401
BIT2_0468011
BIT1_0465011
BIT0_0467551

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1304671
BIT30_1304671
BIT29_1304671
BIT28_1304671
BIT27_1304671
BIT26_1304671
BIT25_1304671
BIT24_1304671
BIT23_1304671
BIT22_1304671
BIT21_1304671
BIT20_1304671
BIT19_1304671
BIT18_1304671
BIT17_1304671
BIT16_1304671
BIT15_1304671
BIT14_1304671
BIT13_1304671
BIT12_1304671
BIT11_1304671
BIT10_1304671
BIT9_1304671
BIT8_1304671
BIT7_1304671
BIT6_1300011
BIT5_1303221
BIT4_1313421
BIT3_1315851
BIT2_1313751
BIT1_1299241
BIT0_1252031
BIT31_0626371
BIT30_0626371
BIT29_0626371
BIT28_0626371
BIT27_0626371
BIT26_0626371
BIT25_0626371
BIT24_0626371
BIT23_0626371
BIT22_0626371
BIT21_0626371
BIT20_0626371
BIT19_0626371
BIT18_0626371
BIT17_0626371
BIT16_0626371
BIT15_0626371
BIT14_0626371
BIT13_0626371
BIT12_0626371
BIT11_0626371
BIT10_0626371
BIT9_0626371
BIT8_0626371
BIT7_0626371
BIT6_0631031
BIT5_0627821
BIT4_0617621
BIT3_0615191
BIT2_0617291
BIT1_0631801
BIT0_0679011

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO21
auto_ZEROauto_POSITIVE31
auto_ZEROauto_NEGATIVE11
auto_NON_ZEROauto_ZERO9201
auto_NON_ZEROauto_POSITIVE457861
auto_NON_ZEROauto_NEGATIVE463921

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]41
auto[1]7371
auto[2]84311
auto[3]7611
auto[4]7511
auto[5]7001
auto[6]7071
auto[7]6911
auto[8]7231
auto[9]6471
auto[10]6451
auto[11]7061
auto[12]7061
auto[13]6631
auto[14]6751
auto[15]7201
auto[16]7111
auto[17]7271
auto[18]6221
auto[19]6811
auto[20]7261
auto[21]7651
auto[22]7301
auto[23]6711
auto[24]7941
auto[25]6571
auto[26]6931
auto[27]6541
auto[28]7211
auto[29]6641
auto[30]6141
auto[31]7081

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]10591
auto[1]9201
auto[2]6101
auto[3]9991
auto[4]9671
auto[5]8961
auto[6]9681
auto[7]8741
auto[8]9351
auto[9]8801
auto[10]9341
auto[11]9111
auto[12]9401
auto[13]9011
auto[14]9171
auto[15]8991
auto[16]9101
auto[17]9561
auto[18]9121
auto[19]8991
auto[20]9751
auto[21]9081
auto[22]9381
auto[23]8651
auto[24]9711
auto[25]9361
auto[26]9011
auto[27]9711
auto[28]8921
auto[29]9671
auto[30]9181
auto[31]8761

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0041
RD_0111
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1911
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO41
auto_NON_ZERO294011

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2321
auto_POSITIVE142931
auto_NEGATIVE148801

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO94341
auto_POSITIVE102781
auto_NEGATIVE96931

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1294011
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1109971
BIT15_1220581
BIT14_1107461
BIT13_1188241
BIT12_1165671
BIT11_1145591
BIT10_1146461
BIT9_1147061
BIT8_1145091
BIT7_1146991
BIT6_1145341
BIT5_1149221
BIT4_1147471
BIT3_1146891
BIT2_1147851
BIT1_1148401
BIT0_1148311
BIT31_041
BIT30_0294041
BIT29_0294041
BIT28_0294041
BIT27_0294041
BIT26_0294041
BIT25_0294041
BIT24_0294041
BIT23_0294041
BIT22_0294041
BIT21_0294041
BIT20_0294041
BIT19_0294041
BIT18_0294041
BIT17_0294041
BIT16_0184081
BIT15_073471
BIT14_0186591
BIT13_0105811
BIT12_0128381
BIT11_0148461
BIT10_0147591
BIT9_0146991
BIT8_0148961
BIT7_0147061
BIT6_0148711
BIT5_0144831
BIT4_0146581
BIT3_0147161
BIT2_0146201
BIT1_0145651
BIT0_0145741

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1148801
BIT10_1148911
BIT9_1148311
BIT8_1149011
BIT7_1149131
BIT6_1148701
BIT5_1147001
BIT4_1149931
BIT3_1145351
BIT2_1145951
BIT1_1146901
BIT0_1148311
BIT11_0145251
BIT10_0145141
BIT9_0145741
BIT8_0145041
BIT7_0144921
BIT6_0145351
BIT5_0147051
BIT4_0144121
BIT3_0148701
BIT2_0148101
BIT1_0147151
BIT0_0145741

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_196931
BIT30_196931
BIT29_196931
BIT28_196931
BIT27_196931
BIT26_196931
BIT25_196931
BIT24_196931
BIT23_196931
BIT22_196931
BIT21_196931
BIT20_196931
BIT19_196931
BIT18_196931
BIT17_196931
BIT16_196931
BIT15_196931
BIT14_195361
BIT13_197001
BIT12_195221
BIT11_195901
BIT10_196201
BIT9_189171
BIT8_152721
BIT7_196471
BIT6_195501
BIT5_196151
BIT4_199971
BIT3_199311
BIT2_199101
BIT1_190061
BIT0_1137471
BIT31_0197121
BIT30_0197121
BIT29_0197121
BIT28_0197121
BIT27_0197121
BIT26_0197121
BIT25_0197121
BIT24_0197121
BIT23_0197121
BIT22_0197121
BIT21_0197121
BIT20_0197121
BIT19_0197121
BIT18_0197121
BIT17_0197121
BIT16_0197121
BIT15_0197121
BIT14_0198691
BIT13_0197051
BIT12_0198831
BIT11_0198151
BIT10_0197851
BIT9_0204881
BIT8_0241331
BIT7_0197581
BIT6_0198551
BIT5_0197901
BIT4_0194081
BIT3_0194741
BIT2_0194951
BIT1_0203991
BIT0_0156581

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO21
auto_ZEROauto_POSITIVE11
auto_ZEROauto_NEGATIVE11
auto_NON_ZEROauto_ZERO2301
auto_NON_ZEROauto_POSITIVE142921
auto_NON_ZEROauto_NEGATIVE148791

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp190.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp190.html new file mode 100644 index 00000000..b449669f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp190.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mepc::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mepc::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mepc::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mepc.mepc__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mepc.mepc__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mepc.mepc__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mepc.mepc__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MEPC404100.00100110

+
+
+
+
+
+
+Summary for Variable MEPC +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MEPC +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]361
other_values[1431655766:2863311530]4827931
other_values[2863311531:ffffffff]81
reset_value221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp191.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp191.html new file mode 100644 index 00000000..14d2b20d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp191.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter23::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter23.mhpmcounter23__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER23404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER23 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER23 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]581
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]121
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp192.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp192.html new file mode 100644 index 00000000..7ea6b214 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp192.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr46::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr46.pmpaddr46__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr46.pmpaddr46__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR46101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR46 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR46 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp193.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp193.html new file mode 100644 index 00000000..f15369e5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp193.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr27::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr27.pmpaddr27__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr27.pmpaddr27__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR27101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR27 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR27 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01421

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp194.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp194.html new file mode 100644 index 00000000..eaa0778d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp194.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent4::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent4.mhpmevent4__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent4.mhpmevent4__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT4101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT4 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01911

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp195.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp195.html new file mode 100644 index 00000000..0f7d94a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp195.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER3404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER3 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]481
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]151
legal_values261

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp196.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp196.html new file mode 100644 index 00000000..939ca77e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp196.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent7::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent7.mhpmevent7__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent7.mhpmevent7__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT7101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT7 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02101

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp197.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp197.html new file mode 100644 index 00000000..a7c40eff --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp197.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg0::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg0.pmpcfg0__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg0.pmpcfg0__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP3CFG404100.00100110
PMP2CFG404100.00100110
PMP1CFG404100.00100110
PMP0CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP3CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP3CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]81
illegal_values[ab:ff]161
legal_values1371

+
+
+Summary for Variable PMP2CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP2CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]111
illegal_values[56:aa]51
illegal_values[ab:ff]131
legal_values1391

+
+
+Summary for Variable PMP1CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP1CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]131
illegal_values[56:aa]111
illegal_values[ab:ff]161
legal_values1281

+
+
+Summary for Variable PMP0CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP0CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]841
illegal_values[56:aa]121
illegal_values[ab:ff]141
legal_values581

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp198.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp198.html new file mode 100644 index 00000000..45be5940 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp198.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER6404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER6 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER6 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]531
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]101
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp199.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp199.html new file mode 100644 index 00000000..4bc05f31 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp199.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg14::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg14.pmpcfg14__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg14.pmpcfg14__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP59CFG404100.00100110
PMP58CFG404100.00100110
PMP57CFG404100.00100110
PMP56CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP59CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP59CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]31
illegal_values[56:aa]131
illegal_values[ab:ff]71
legal_values1031

+
+
+Summary for Variable PMP58CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP58CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]31
illegal_values[56:aa]11
illegal_values[ab:ff]91
legal_values1131

+
+
+Summary for Variable PMP57CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP57CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]51
illegal_values[ab:ff]141
legal_values1001

+
+
+Summary for Variable PMP56CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP56CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]691
illegal_values[56:aa]121
illegal_values[ab:ff]91
legal_values361

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp2.html new file mode 100644 index 00000000..1167c18c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp2.html @@ -0,0 +1,1106 @@ + + + + + +Unified Coverage Report :: Group :: uvma_cvxif_pkg::cg_result + + + + + + + + + + +
+ +
Group : uvma_cvxif_pkg::cg_result
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_cvxif_pkg::cg_result +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
77.08 77.081 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_cvxif/src/comps/uvma_cvxif_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_cvxif_pkg.result_cg 77.081 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_cvxif_pkg.result_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
77.081 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_cvxif_pkg.result_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables107410390.00
Crosses2562243212.50

+
+Variables for Group Instance uvma_cvxif_pkg.result_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_result_valid101100.00100110
cp_result_id84450.00 100110
cp_rd32032100.00100110
cp_data_toggle64064100.00100110
cp_we202100.00100110

+
+Crosses for Group Instance uvma_cvxif_pkg.result_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_result2562243212.50 100110

+
+
+
+
+
+
+Summary for Variable cp_result_valid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_result_valid +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
RESULT_VALID128411

+
+
+Summary for Variable cp_result_id +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins84450.00

+
+User Defined Bins for cp_result_id +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
ID_4011
ID_5011
ID_6011
ID_7011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ID_0128911
ID_1128411
ID_2126031
ID_3126141

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0095031
RD_0113751
RD_0213131
RD_0314361
RD_0412801
RD_0512831
RD_0613631
RD_0713231
RD_0812971
RD_0913591
RD_0a12741
RD_0b13671
RD_0c12791
RD_0d13531
RD_0e12791
RD_0f13881
RD_1013411
RD_1114431
RD_1212911
RD_1313831
RD_1413911
RD_1514211
RD_1613751
RD_1713721
RD_1812561
RD_1912351
RD_1a12881
RD_1b13331
RD_1c12471
RD_1d13681
RD_1e13931
RD_1f13401

+
+
+Summary for Variable cp_data_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_data_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1200041
BIT30_1192191
BIT29_1191971
BIT28_1190771
BIT27_1189111
BIT26_1188611
BIT25_1190891
BIT24_1191121
BIT23_1189181
BIT22_1189531
BIT21_1188531
BIT20_1188191
BIT19_1188251
BIT18_1189111
BIT17_1189201
BIT16_1195641
BIT15_1199031
BIT14_1196331
BIT13_1199801
BIT12_1205371
BIT11_1205831
BIT10_1200881
BIT9_1195411
BIT8_1199441
BIT7_1200691
BIT6_1194921
BIT5_1202441
BIT4_1208411
BIT3_1207871
BIT2_1201011
BIT1_1192071
BIT0_1158571
BIT31_0309451
BIT30_0317301
BIT29_0317521
BIT28_0318721
BIT27_0320381
BIT26_0320881
BIT25_0318601
BIT24_0318371
BIT23_0320311
BIT22_0319961
BIT21_0320961
BIT20_0321301
BIT19_0321241
BIT18_0320381
BIT17_0320291
BIT16_0313851
BIT15_0310461
BIT14_0313161
BIT13_0309691
BIT12_0304121
BIT11_0303661
BIT10_0308611
BIT9_0314081
BIT8_0310051
BIT7_0308801
BIT6_0314571
BIT5_0307051
BIT4_0301081
BIT3_0301621
BIT2_0308481
BIT1_0317421
BIT0_0350921

+
+
+Summary for Variable cp_we +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_we +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
WE_080901
WE_1428591

+
+
+Summary for Cross cross_result +
+
+Samples crossed: cp_result_valid cp_result_id cp_we cp_rd
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL2562243212.50 224
Automatically Generated Cross Bins2562243212.50 224
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_result +
+
+Element holes +
+ + + + + + + + + + + + + + + + + + +
cp_result_validcp_result_idcp_wecp_rdCOUNTAT LEASTNUMBER
*[ID_0][WE_1]*----32
*[ID_2 , ID_3 , ID_4 , ID_5 , ID_6 , ID_7][WE_1]*----192

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_result_validcp_result_idcp_wecp_rdCOUNTAT LEAST
RESULT_VALIDID_1WE_1RD_003731
RESULT_VALIDID_1WE_1RD_013681
RESULT_VALIDID_1WE_1RD_023251
RESULT_VALIDID_1WE_1RD_033621
RESULT_VALIDID_1WE_1RD_043171
RESULT_VALIDID_1WE_1RD_053201
RESULT_VALIDID_1WE_1RD_063621
RESULT_VALIDID_1WE_1RD_073181
RESULT_VALIDID_1WE_1RD_083041
RESULT_VALIDID_1WE_1RD_093581
RESULT_VALIDID_1WE_1RD_0a3501
RESULT_VALIDID_1WE_1RD_0b3631
RESULT_VALIDID_1WE_1RD_0c3451
RESULT_VALIDID_1WE_1RD_0d3321
RESULT_VALIDID_1WE_1RD_0e3251
RESULT_VALIDID_1WE_1RD_0f3351
RESULT_VALIDID_1WE_1RD_103261
RESULT_VALIDID_1WE_1RD_113591
RESULT_VALIDID_1WE_1RD_123151
RESULT_VALIDID_1WE_1RD_133321
RESULT_VALIDID_1WE_1RD_143301
RESULT_VALIDID_1WE_1RD_153771
RESULT_VALIDID_1WE_1RD_163201
RESULT_VALIDID_1WE_1RD_173431
RESULT_VALIDID_1WE_1RD_183011
RESULT_VALIDID_1WE_1RD_192901
RESULT_VALIDID_1WE_1RD_1a3451
RESULT_VALIDID_1WE_1RD_1b3281
RESULT_VALIDID_1WE_1RD_1c3171
RESULT_VALIDID_1WE_1RD_1d3471
RESULT_VALIDID_1WE_1RD_1e3841
RESULT_VALIDID_1WE_1RD_1f3341

+
+User Defined Cross Bins for cross_result +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
IGN_RESULT_VALID00Excluded
IGN_WE00Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp20.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp20.html new file mode 100644 index 00000000..8e1564a1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp20.html @@ -0,0 +1,1807 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_load(withChksum=2973838669)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_load(withChksum=2973838669) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_lw_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_lw_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_lw_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2550255100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_lw_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_lw_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]41
auto[1]3251
auto[2]32301
auto[3]3381
auto[4]417681
auto[5]354341
auto[6]221291
auto[7]325111
auto[8]268751
auto[9]238951
auto[10]155791
auto[11]125691
auto[12]174141
auto[13]424881
auto[14]260391
auto[15]393831
auto[16]321361
auto[17]381511
auto[18]238691
auto[19]594371
auto[20]668991
auto[21]364361
auto[22]193901
auto[23]444611
auto[24]1323431
auto[25]286161
auto[26]392571
auto[27]242541
auto[28]424311
auto[29]242061
auto[30]273181
auto[31]217671

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]4401
auto[1]7281
auto[2]341511
auto[3]4231
auto[4]301211
auto[5]238981
auto[6]484991
auto[7]279781
auto[8]305491
auto[9]304001
auto[10]227561
auto[11]242471
auto[12]203591
auto[13]256571
auto[14]245571
auto[15]238461
auto[16]303271
auto[17]322131
auto[18]575521
auto[19]328141
auto[20]498781
auto[21]420771
auto[22]285141
auto[23]360941
auto[24]653851
auto[25]434831
auto[26]325771
auto[27]298281
auto[28]343301
auto[29]477791
auto[30]309091
auto[31]385831

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0041
RD_0111
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1911
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO41
auto_NON_ZERO10009481

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2415671
auto_POSITIVE7500511
auto_NEGATIVE93341

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2745031
auto_NON_ZERO7264491

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_110009481
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_128911
BIT16_150541
BIT15_19935631
BIT14_185221
BIT13_15692931
BIT12_14339451
BIT11_19946181
BIT10_19949181
BIT9_192861
BIT8_1103221
BIT7_12486111
BIT6_198861
BIT5_199421
BIT4_19909531
BIT3_19910351
BIT2_170181
BIT1_162531
BIT0_164501
BIT31_041
BIT30_010009511
BIT29_010009511
BIT28_010009511
BIT27_010009511
BIT26_010009511
BIT25_010009511
BIT24_010009511
BIT23_010009511
BIT22_010009511
BIT21_010009511
BIT20_010009511
BIT19_010009511
BIT18_010009511
BIT17_09980611
BIT16_09958981
BIT15_073891
BIT14_09924301
BIT13_04316591
BIT12_05670071
BIT11_063341
BIT10_060341
BIT9_09916661
BIT8_09906301
BIT7_07523411
BIT6_09910661
BIT5_09910101
BIT4_099991
BIT3_099171
BIT2_09939341
BIT1_09946991
BIT0_09945021

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_193341
BIT10_193261
BIT9_193491
BIT8_193471
BIT7_192631
BIT6_191871
BIT5_191981
BIT4_170791
BIT3_15065371
BIT2_15025911
BIT1_163691
BIT0_164501
BIT11_09916181
BIT10_09916261
BIT9_09916031
BIT8_09916051
BIT7_09916891
BIT6_09917651
BIT5_09917541
BIT4_09938731
BIT3_04944151
BIT2_04983611
BIT1_09945831
BIT0_09945021

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_14492121
BIT30_11586251
BIT29_11563701
BIT28_11555221
BIT27_11536541
BIT26_11461591
BIT25_11446291
BIT24_11486001
BIT23_11539391
BIT22_11517591
BIT21_11470831
BIT20_11498501
BIT19_11433201
BIT18_11550931
BIT17_11515871
BIT16_11596291
BIT15_11841731
BIT14_14151901
BIT13_13124521
BIT12_12753921
BIT11_14128961
BIT10_14270351
BIT9_13544541
BIT8_12072981
BIT7_12278471
BIT6_12199501
BIT5_12112121
BIT4_14048581
BIT3_14074261
BIT2_14065351
BIT1_11851381
BIT0_12301111
BIT31_05517401
BIT30_08423271
BIT29_08445821
BIT28_08454301
BIT27_08472981
BIT26_08547931
BIT25_08563231
BIT24_08523521
BIT23_08470131
BIT22_08491931
BIT21_08538691
BIT20_08511021
BIT19_08576321
BIT18_08458591
BIT17_08493651
BIT16_08413231
BIT15_08167791
BIT14_05857621
BIT13_06885001
BIT12_07255601
BIT11_05880561
BIT10_05739171
BIT9_06464981
BIT8_07936541
BIT7_07731051
BIT6_07810021
BIT5_07897401
BIT4_05960941
BIT3_05935261
BIT2_05944171
BIT1_08158141
BIT0_07708411

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO21
auto_ZEROauto_POSITIVE11
auto_ZEROauto_NEGATIVE11
auto_NON_ZEROauto_ZERO2415651
auto_NON_ZEROauto_POSITIVE7500501
auto_NON_ZEROauto_NEGATIVE93331

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp200.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp200.html new file mode 100644 index 00000000..b6ee9d2f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp200.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr21::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr21.pmpaddr21__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr21.pmpaddr21__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR21404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR21 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR21 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]201
illegal_values[1431655766:2863311530]11
illegal_values[2863311531:ffffffff]31
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp201.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp201.html new file mode 100644 index 00000000..3f481554 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp201.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr63::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr63.pmpaddr63__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr63.pmpaddr63__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR63404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR63 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR63 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]221
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]51
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp202.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp202.html new file mode 100644 index 00000000..d25e36ec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp202.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER12101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER12 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER12 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01871

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp203.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp203.html new file mode 100644 index 00000000..a1561cf8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp203.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg7::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg7.pmpcfg7__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg7.pmpcfg7__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP31CFG404100.00100110
PMP30CFG404100.00100110
PMP29CFG404100.00100110
PMP28CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP31CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP31CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]21
illegal_values[56:aa]151
illegal_values[ab:ff]81
legal_values991

+
+
+Summary for Variable PMP30CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP30CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]51
illegal_values[56:aa]61
illegal_values[ab:ff]81
legal_values1051

+
+
+Summary for Variable PMP29CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP29CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]121
illegal_values[56:aa]51
illegal_values[ab:ff]111
legal_values961

+
+
+Summary for Variable PMP28CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP28CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]721
illegal_values[56:aa]111
illegal_values[ab:ff]71
legal_values341

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp204.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp204.html new file mode 100644 index 00000000..34f5efde --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp204.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr44::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr44.pmpaddr44__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr44.pmpaddr44__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR44101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR44 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR44 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp205.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp205.html new file mode 100644 index 00000000..91f51999 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp205.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent9::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent9.mhpmevent9__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent9.mhpmevent9__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent9.mhpmevent9__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT9101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT9 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT9 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02071

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp206.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp206.html new file mode 100644 index 00000000..61458cd3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp206.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr40::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr40.pmpaddr40__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr40.pmpaddr40__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR40404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR40 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR40 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]181
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]81
legal_values231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp207.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp207.html new file mode 100644 index 00000000..fc7f654b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp207.html @@ -0,0 +1,260 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_misa::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_misa::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_misa::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.misa.misa__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.misa.misa__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.misa.misa__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables707100.00

+
+Variables for Group Instance csr_reg_cov.misa.misa__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MXL303100.00100110
EXTENSIONS404100.00100110

+
+
+
+
+
+
+Summary for Variable MXL +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MXL +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[0]1501
illegal_values[2:3]251
legal_values23471

+
+
+Summary for Variable EXTENSIONS +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for EXTENSIONS +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[0000000:0001105,0001107:1555555]25001
illegal_values[1555556:2aaaaaa]81
illegal_values[2aaaaab:3ffffff]131
legal_values11

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp208.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp208.html new file mode 100644 index 00000000..6d48e85c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp208.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mcycleh::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mcycleh::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mcycleh::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mcycleh.mcycleh__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mcycleh.mcycleh__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mcycleh.mcycleh__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.mcycleh.mcycleh__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCYCLEH303100.00100110

+
+
+
+
+
+
+Summary for Variable MCYCLEH +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MCYCLEH +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]951
other_values[1431655766:2863311530]131
other_values[2863311531:ffffffff]221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp209.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp209.html new file mode 100644 index 00000000..f8f7ef92 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp209.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER7101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER7 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02001

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp21.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp21.html new file mode 100644 index 00000000..95af4d77 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp21.html @@ -0,0 +1,1589 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_utype + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_utype
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_utype +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_auipc_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_lui_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_auipc_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_auipc_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1160116100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_auipc_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.001001132
cp_rd_value202100.00100110
cp_immu_value202100.00100110
cp_rd_toggle40040100.00100110
cp_immu_toggle40040100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_lui_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_lui_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1160116100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_lui_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.001001132
cp_rd_value202100.00100110
cp_immu_value202100.00100110
cp_rd_toggle40040100.00100110
cp_immu_toggle40040100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21741
auto[1]14191
auto[2]147831
auto[3]13701
auto[4]54201
auto[5]54251
auto[6]30131
auto[7]73531
auto[8]132931
auto[9]53741
auto[10]88711
auto[11]83141
auto[12]45911
auto[13]79031
auto[14]61001
auto[15]23491
auto[16]85241
auto[17]72691
auto[18]406371
auto[19]16391
auto[20]125491
auto[21]58691
auto[22]50251
auto[23]109011
auto[24]98641
auto[25]71791
auto[26]51531
auto[27]78291
auto[28]115021
auto[29]65701
auto[30]87091
auto[31]180451

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO2650151

+
+
+Summary for Variable cp_immu_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_immu_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO35271
auto_NON_ZERO2614891

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins40040100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_12546481
BIT30_1103511
BIT29_1104341
BIT28_1105201
BIT27_1104591
BIT26_1102601
BIT25_1103741
BIT24_1105741
BIT23_1102141
BIT22_1104271
BIT21_1104751
BIT20_1104661
BIT19_1104181
BIT18_1104011
BIT17_1102821
BIT16_1204351
BIT15_12402411
BIT14_1226861
BIT13_11520641
BIT12_11732321
BIT31_0103681
BIT30_02546651
BIT29_02545821
BIT28_02544961
BIT27_02545571
BIT26_02547561
BIT25_02546421
BIT24_02544421
BIT23_02548021
BIT22_02545891
BIT21_02545411
BIT20_02545501
BIT19_02545981
BIT18_02546151
BIT17_02547341
BIT16_02445811
BIT15_0247751
BIT14_02423301
BIT13_01129521
BIT12_0917841

+
+
+Summary for Variable cp_immu_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins40040100.00

+
+User Defined Bins for cp_immu_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT19_1103841
BIT18_1103671
BIT17_1104501
BIT16_1105361
BIT15_1104751
BIT14_1102761
BIT13_1103901
BIT12_1105901
BIT11_1102281
BIT10_1104441
BIT9_1104891
BIT8_1104841
BIT7_1104271
BIT6_1104051
BIT5_1103141
BIT4_1203181
BIT3_12402821
BIT2_1214941
BIT1_11525341
BIT0_11186171
BIT19_02546321
BIT18_02546491
BIT17_02545661
BIT16_02544801
BIT15_02545411
BIT14_02547401
BIT13_02546261
BIT12_02544261
BIT11_02547881
BIT10_02545721
BIT9_02545271
BIT8_02545321
BIT7_02545891
BIT6_02546111
BIT5_02547021
BIT4_02446981
BIT3_0247341
BIT2_02435221
BIT1_01124821
BIT0_01463991

+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]36621
auto[1]19871
auto[2]232991
auto[3]70691
auto[4]104091
auto[5]79741
auto[6]304521
auto[7]123861
auto[8]182241
auto[9]151401
auto[10]134411
auto[11]155191
auto[12]121511
auto[13]179511
auto[14]153501
auto[15]63911
auto[16]164321
auto[17]136161
auto[18]440851
auto[19]68681
auto[20]197601
auto[21]102981
auto[22]123131
auto[23]150651
auto[24]167801
auto[25]196391
auto[26]100701
auto[27]169261
auto[28]168801
auto[29]111521
auto[30]126941
auto[31]270721

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO4810541

+
+
+Summary for Variable cp_immu_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_immu_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO4810541

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins40040100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_14580941
BIT30_1358491
BIT29_1336831
BIT28_1333391
BIT27_1269471
BIT26_1272121
BIT25_1270381
BIT24_1269111
BIT23_1298711
BIT22_1270681
BIT21_1270961
BIT20_1267891
BIT19_1270671
BIT18_1272621
BIT17_1268641
BIT16_1271361
BIT15_1270491
BIT14_1269971
BIT13_1272191
BIT12_1294691
BIT31_0229611
BIT30_04452061
BIT29_04473721
BIT28_04477161
BIT27_04541081
BIT26_04538431
BIT25_04540171
BIT24_04541441
BIT23_04511841
BIT22_04539871
BIT21_04539591
BIT20_04542661
BIT19_04539881
BIT18_04537931
BIT17_04541911
BIT16_04539191
BIT15_04540061
BIT14_04540581
BIT13_04538361
BIT12_04515861

+
+
+Summary for Variable cp_immu_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins40040100.00

+
+User Defined Bins for cp_immu_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT19_14580941
BIT18_1358491
BIT17_1336831
BIT16_1333391
BIT15_1269471
BIT14_1272121
BIT13_1270381
BIT12_1269111
BIT11_1298711
BIT10_1270681
BIT9_1270961
BIT8_1267891
BIT7_1270671
BIT6_1272621
BIT5_1268641
BIT4_1271361
BIT3_1270491
BIT2_1269971
BIT1_1272191
BIT0_1294691
BIT19_0229611
BIT18_04452061
BIT17_04473721
BIT16_04477161
BIT15_04541081
BIT14_04538431
BIT13_04540171
BIT12_04541441
BIT11_04511841
BIT10_04539871
BIT9_04539591
BIT8_04542661
BIT7_04539881
BIT6_04537931
BIT5_04541911
BIT4_04539191
BIT3_04540061
BIT2_04540581
BIT1_04538361
BIT0_04515861

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp210.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp210.html new file mode 100644 index 00000000..a1b2bb89 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp210.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr0::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr0.pmpaddr0__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr0.pmpaddr0__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR0101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR0 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR0 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01701

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp211.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp211.html new file mode 100644 index 00000000..677aafc1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp211.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg13::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg13.pmpcfg13__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg13.pmpcfg13__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP55CFG101100.00100110
PMP54CFG101100.00100110
PMP53CFG101100.00100110
PMP52CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP55CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP55CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002021

+
+
+Summary for Variable PMP54CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP54CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002021

+
+
+Summary for Variable PMP53CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP53CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002021

+
+
+Summary for Variable PMP52CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP52CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002021

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp212.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp212.html new file mode 100644 index 00000000..0ec380ff --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp212.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER21101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER21 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER21 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02041

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp213.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp213.html new file mode 100644 index 00000000..692b1861 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp213.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr25::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr25.pmpaddr25__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr25.pmpaddr25__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr25.pmpaddr25__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR25404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR25 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR25 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]331
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]41
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp214.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp214.html new file mode 100644 index 00000000..7f48231d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp214.html @@ -0,0 +1,1405 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cva6_config + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cva6_config
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cva6_config +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
83.87 83.871 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.config_cg 83.871 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.config_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
83.871 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.config_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3152683.87

+
+Variables for Group Instance uvme_cva6_pkg.config_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_Xlen101100.00100110
cp_RVF101100.00100110
cp_F16En101100.00100110
cp_F16AltEn101100.00100110
cp_F8En101100.00100110
cp_FVecEn101100.00100110
cp_CvxifEn101100.00100110
cp_CExtEn101100.00100110
cp_AExtEn101100.00100110
cp_BExtEn101100.00100110
cp_VExtEn101100.00100110
cp_RVZiCond101100.00100110
cp_AxiIdWidth101100.00100110
cp_AxiAddrWidth101100.00100110
cp_AxiDataWidth101100.00100110
cp_FetchUserEn1100.00 100110
cp_FetchUserWidth101100.00100110
cp_DataUserEn1100.00 100110
cp_IcacheSetAssoc101100.00100110
cp_IcacheLineWidth101100.00100110
cp_DcacheSetAssoc1100.00 100110
cp_DcacheLineWidth101100.00100110
cp_NrCommitPorts101100.00100110
cp_FpgaEn101100.00100110
cp_NrLoadBufEntries1100.00 100110
cp_RASDepth101100.00100110
cp_BTBEntries101100.00100110
cp_BHTEntries101100.00100110
cp_NrPMPEntries1100.00 100110
cp_HaltAddress101100.00100110
cp_ExceptionAddress101100.00100110

+
+
+
+
+
+
+Summary for Variable cp_Xlen +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_Xlen +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
Xlen23561

+
+
+Summary for Variable cp_RVF +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_RVF +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
RVF23561

+
+
+Summary for Variable cp_F16En +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_F16En +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
F16En23561

+
+
+Summary for Variable cp_F16AltEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_F16AltEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
F16AltEn23561

+
+
+Summary for Variable cp_F8En +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_F8En +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
F8En23561

+
+
+Summary for Variable cp_FVecEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_FVecEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
FVecEn23561

+
+
+Summary for Variable cp_CvxifEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_CvxifEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
CvxifEn23561

+
+
+Summary for Variable cp_CExtEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_CExtEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
CExtEn23561

+
+
+Summary for Variable cp_AExtEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_AExtEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
AExtEn23561

+
+
+Summary for Variable cp_BExtEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_BExtEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
BExtEn23561

+
+
+Summary for Variable cp_VExtEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_VExtEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
VExtEn23561

+
+
+Summary for Variable cp_RVZiCond +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_RVZiCond +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
RVZiCond23561

+
+
+Summary for Variable cp_AxiIdWidth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_AxiIdWidth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
AxiIdWidth23561

+
+
+Summary for Variable cp_AxiAddrWidth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_AxiAddrWidth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
AxiAddrWidth23561

+
+
+Summary for Variable cp_AxiDataWidth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_AxiDataWidth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
AxiDataWidth23561

+
+
+Summary for Variable cp_FetchUserEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1100.00

+
+User Defined Bins for cp_FetchUserEn +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
FetchUserEn011

+
+
+Summary for Variable cp_FetchUserWidth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_FetchUserWidth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
FetchUserWidth23561

+
+
+Summary for Variable cp_DataUserEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1100.00

+
+User Defined Bins for cp_DataUserEn +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
DataUserEn011

+
+
+Summary for Variable cp_IcacheSetAssoc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_IcacheSetAssoc +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
IcacheSetAssoc23561

+
+
+Summary for Variable cp_IcacheLineWidth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_IcacheLineWidth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
IcacheLineWidth23561

+
+
+Summary for Variable cp_DcacheSetAssoc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1100.00

+
+User Defined Bins for cp_DcacheSetAssoc +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
DcacheSetAssoc011

+
+
+Summary for Variable cp_DcacheLineWidth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_DcacheLineWidth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
DcacheLineWidth23561

+
+
+Summary for Variable cp_NrCommitPorts +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_NrCommitPorts +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
NrCommitPorts23561

+
+
+Summary for Variable cp_FpgaEn +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_FpgaEn +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
FpgaEn23561

+
+
+Summary for Variable cp_NrLoadBufEntries +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1100.00

+
+User Defined Bins for cp_NrLoadBufEntries +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
NrLoadBufEntries011

+
+
+Summary for Variable cp_RASDepth +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_RASDepth +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
RASDepth23561

+
+
+Summary for Variable cp_BTBEntries +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_BTBEntries +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
BTBEntries23561

+
+
+Summary for Variable cp_BHTEntries +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_BHTEntries +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
BHTEntries23561

+
+
+Summary for Variable cp_NrPMPEntries +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1100.00

+
+User Defined Bins for cp_NrPMPEntries +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
NrPMPEntries011

+
+
+Summary for Variable cp_HaltAddress +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_HaltAddress +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
HaltAddress23561

+
+
+Summary for Variable cp_ExceptionAddress +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_ExceptionAddress +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ExceptionAddress23561

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp215.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp215.html new file mode 100644 index 00000000..0900007b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp215.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER4H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER4H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER4H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]161
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp216.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp216.html new file mode 100644 index 00000000..38992e66 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp216.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER19101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER19 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER19 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02201

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp217.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp217.html new file mode 100644 index 00000000..f3c129aa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp217.html @@ -0,0 +1,688 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mie::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mie::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mie::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mie.mie__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mie.mie__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mie.mie__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables15015100.00

+
+Variables for Group Instance csr_reg_cov.mie.mie__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
SGEIE101100.00100110
MEIE202100.00100110
VSEIE101100.00100110
SEIE101100.00100110
UEIE101100.00100110
MTIE202100.00100110
VSTIE101100.00100110
STIE101100.00100110
UTIE101100.00100110
MSIE101100.00100110
VSSIE101100.00100110
SSIE101100.00100110
USIE101100.00100110

+
+
+
+
+
+
+Summary for Variable SGEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SGEIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable MEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MEIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1]271
reset_value2681

+
+
+Summary for Variable VSEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for VSEIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable SEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SEIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable UEIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UEIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable MTIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MTIE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1]251
reset_value2701

+
+
+Summary for Variable VSTIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for VSTIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable STIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for STIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable UTIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for UTIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable MSIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MSIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable VSSIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for VSSIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable SSIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SSIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+Summary for Variable USIE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for USIE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp218.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp218.html new file mode 100644 index 00000000..d7fb2c6f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp218.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr44::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr44.pmpaddr44__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr44.pmpaddr44__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr44.pmpaddr44__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR44404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR44 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR44 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]251
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]51
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp219.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp219.html new file mode 100644 index 00000000..bfc527de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp219.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER27404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER27 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER27 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]171
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22.html new file mode 100644 index 00000000..e2ecadd7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22.html @@ -0,0 +1,343 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_sequential::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
98.84 98.841 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rev32_seq_cg 98.841 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rev32_seq_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
98.841 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rev32_seq_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables4660466100.00
Crosses1847420201645496.53

+
+Variables for Group Instance uvma_isacov_pkg.rev32_seq_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_instr1260126100.00100110
cp_instr_prev_x21260126100.00100110
cp_group13013100.00100110
cp_group_pipe_x213013100.00100110
cp_group_pipe_x300010
cp_group_pipe_x400010
cp_gpr_raw_hazard202100.00100110
cp_csr_hazard202100.00100110
cp_is_csr_write202100.00100110
cp_csr1820182100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rev32_seq_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_seq_instr_x21587620181385887.29 100110
cross_seq_group_x2169216798.82 100110
cross_seq_group_x300010
cross_seq_group_x400010
cross_seq_gpr_raw_hazard63063100.00100110
cross_seq_csr_hazard_x2236602366100.00100110

+
+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp220.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp220.html new file mode 100644 index 00000000..d7345346 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp220.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg15::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg15.pmpcfg15__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg15.pmpcfg15__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg15.pmpcfg15__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP63CFG101100.00100110
PMP62CFG101100.00100110
PMP61CFG101100.00100110
PMP60CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP63CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP63CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002431

+
+
+Summary for Variable PMP62CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP62CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002431

+
+
+Summary for Variable PMP61CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP61CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002431

+
+
+Summary for Variable PMP60CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP60CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002431

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp221.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp221.html new file mode 100644 index 00000000..86954b1e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp221.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr10::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr10.pmpaddr10__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr10.pmpaddr10__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR10101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR10 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR10 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01761

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp222.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp222.html new file mode 100644 index 00000000..8a102386 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp222.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr52::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr52.pmpaddr52__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr52.pmpaddr52__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR52101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR52 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR52 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp223.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp223.html new file mode 100644 index 00000000..05477663 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp223.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr42::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr42.pmpaddr42__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr42.pmpaddr42__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr42.pmpaddr42__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR42404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR42 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR42 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]51
legal_values171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp224.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp224.html new file mode 100644 index 00000000..3f5c0e63 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp224.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr39::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr39.pmpaddr39__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr39.pmpaddr39__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR39404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR39 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR39 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]241
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]21
legal_values221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp225.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp225.html new file mode 100644 index 00000000..d7e34cf8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp225.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg13::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg13.pmpcfg13__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg13.pmpcfg13__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg13.pmpcfg13__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP55CFG404100.00100110
PMP54CFG404100.00100110
PMP53CFG404100.00100110
PMP52CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP55CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP55CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]61
illegal_values[ab:ff]101
legal_values1031

+
+
+Summary for Variable PMP54CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP54CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]21
illegal_values[ab:ff]101
legal_values1041

+
+
+Summary for Variable PMP53CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP53CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]91
illegal_values[56:aa]71
illegal_values[ab:ff]121
legal_values951

+
+
+Summary for Variable PMP52CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP52CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]691
illegal_values[56:aa]41
illegal_values[ab:ff]111
legal_values391

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp226.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp226.html new file mode 100644 index 00000000..f6faccd6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp226.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent8::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent8.mhpmevent8__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent8.mhpmevent8__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT8404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT8 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT8 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]121
legal_values241

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp227.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp227.html new file mode 100644 index 00000000..8927399d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp227.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr33::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr33.pmpaddr33__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr33.pmpaddr33__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR33101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR33 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR33 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp228.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp228.html new file mode 100644 index 00000000..2f6e339c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp228.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr58::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr58.pmpaddr58__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr58.pmpaddr58__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR58404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR58 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR58 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]21
illegal_values[2863311531:ffffffff]21
legal_values181

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp229.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp229.html new file mode 100644 index 00000000..82991d72 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp229.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER31101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER31 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER31 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02061

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_1.html new file mode 100644 index 00000000..7b74f1b3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_1.html @@ -0,0 +1,20274 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rev32_seq_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_instr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins1260126100.00

+
+Automatically Generated Bins for cp_instr +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN0Excluded
auto_DRET0Excluded
auto_LR_W0Excluded
auto_SC_W0Excluded
auto_AMOSWAP_W0Excluded
auto_AMOADD_W0Excluded
auto_AMOXOR_W0Excluded
auto_AMOAND_W0Excluded
auto_AMOOR_W0Excluded
auto_AMOMIN_W0Excluded
auto_AMOMAX_W0Excluded
auto_AMOMINU_W0Excluded
auto_AMOMAXU_W0Excluded
auto_FENCE_I0Excluded
IGN_FENCEI0Excluded
IGN_A0Excluded
IGN_DRET0Excluded
IGN_UNKNOWN0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_LUI4810551
auto_AUIPC2650161
auto_JAL1227461
auto_JALR18671
auto_BEQ2880991
auto_BNE2665991
auto_BLT64591
auto_BGE66681
auto_BLTU66861
auto_BGEU69451
auto_LB931041
auto_LH294051
auto_LW10009521
auto_LBU1473181
auto_LHU292451
auto_SB919391
auto_SH291801
auto_SW12063711
auto_ADDI6243021
auto_SLTI205611
auto_SLTIU206271
auto_XORI206141
auto_ORI226061
auto_ANDI212631
auto_SLLI201901
auto_SRLI2209051
auto_SRAI203921
auto_ADD10786951
auto_SUB203951
auto_SLL203411
auto_SLT207811
auto_SLTU210191
auto_XOR200661
auto_SRL202691
auto_SRA204181
auto_OR200611
auto_AND763821
auto_FENCE112091
auto_ECALL14831
auto_EBREAK50571
auto_MRET2798951
auto_WFI32921
auto_MUL210511
auto_MULH206531
auto_MULHSU206311
auto_MULHU205051
auto_DIV206921
auto_DIVU209611
auto_REM202031
auto_REMU211361
auto_C_ADDI4SPN85931
auto_C_LW633861
auto_C_SW638661
auto_C_NOP631201
auto_C_ADDI12793901
auto_C_JAL591601
auto_C_LI3138661
auto_C_ADDI16SP143961
auto_C_LUI140051
auto_C_SRLI761501
auto_C_SRAI110911
auto_C_ANDI102621
auto_C_SUB108431
auto_C_XOR108341
auto_C_OR109661
auto_C_AND147481
auto_C_J677401
auto_C_BEQZ48381
auto_C_BNEZ700151
auto_C_SLLI139021
auto_C_LWSP151661
auto_C_JR3611
auto_C_MV129801
auto_C_EBREAK46741
auto_C_JALR3021
auto_C_ADD150641
auto_C_SWSP151591
auto_SH1ADD174801
auto_SH2ADD176261
auto_SH3ADD174601
auto_CLZ176281
auto_CTZ174941
auto_CPOP177671
auto_MIN176091
auto_MAX176071
auto_MINU173481
auto_MAXU176901
auto_SEXT_B175011
auto_SEXT_H173351
auto_ZEXT_H177471
auto_ANDN175661
auto_ORN178971
auto_XNOR176001
auto_ROR177171
auto_RORI175421
auto_ROL176391
auto_REV8173871
auto_ORC_B177651
auto_CLMUL175171
auto_CLMULH176101
auto_CLMULR175941
auto_BSET177781
auto_BSETI176051
auto_BCLR175021
auto_BCLRI176341
auto_BINV174601
auto_BINVI174791
auto_BEXT175441
auto_BEXTI176601
auto_CSRRW973891
auto_CSRRS14391741
auto_CSRRC88751
auto_CSRRWI91431
auto_CSRRSI89411
auto_CSRRCI91551
auto_C_LBU48091
auto_C_LHU1601
auto_C_LH1311
auto_C_SB7171
auto_C_SH1451
auto_C_ZEXT_B104781
auto_C_SEXT_B106371
auto_C_ZEXT_H105651
auto_C_SEXT_H106301
auto_C_NOT104491
auto_C_MUL108601

+
+
+Summary for Variable cp_instr_prev_x2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins1260126100.00

+
+Automatically Generated Bins for cp_instr_prev_x2 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN0Excluded
auto_DRET0Excluded
auto_LR_W0Excluded
auto_SC_W0Excluded
auto_AMOSWAP_W0Excluded
auto_AMOADD_W0Excluded
auto_AMOXOR_W0Excluded
auto_AMOAND_W0Excluded
auto_AMOOR_W0Excluded
auto_AMOMIN_W0Excluded
auto_AMOMAX_W0Excluded
auto_AMOMINU_W0Excluded
auto_AMOMAXU_W0Excluded
auto_FENCE_I0Excluded
IGN_FENCEI0Excluded
IGN_A0Excluded
IGN_DRET0Excluded
IGN_UNKNOWN0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_LUI4810551
auto_AUIPC2650161
auto_JAL1227461
auto_JALR18661
auto_BEQ2880991
auto_BNE2665991
auto_BLT64591
auto_BGE66681
auto_BLTU66861
auto_BGEU69451
auto_LB931041
auto_LH294051
auto_LW10009521
auto_LBU1473181
auto_LHU292451
auto_SB919391
auto_SH291801
auto_SW12063711
auto_ADDI6243011
auto_SLTI205611
auto_SLTIU206271
auto_XORI206141
auto_ORI226061
auto_ANDI212631
auto_SLLI201901
auto_SRLI2209051
auto_SRAI203921
auto_ADD10786921
auto_SUB203951
auto_SLL203411
auto_SLT207811
auto_SLTU210191
auto_XOR200661
auto_SRL202691
auto_SRA204181
auto_OR200611
auto_AND763821
auto_FENCE112091
auto_ECALL14831
auto_EBREAK50571
auto_MRET2798951
auto_WFI32921
auto_MUL210511
auto_MULH206531
auto_MULHSU206311
auto_MULHU205051
auto_DIV206921
auto_DIVU209611
auto_REM202031
auto_REMU211361
auto_C_ADDI4SPN85931
auto_C_LW633861
auto_C_SW638651
auto_C_NOP631201
auto_C_ADDI12793751
auto_C_JAL591591
auto_C_LI3138651
auto_C_ADDI16SP143961
auto_C_LUI140051
auto_C_SRLI761501
auto_C_SRAI110911
auto_C_ANDI102621
auto_C_SUB108431
auto_C_XOR108341
auto_C_OR109661
auto_C_AND147481
auto_C_J654081
auto_C_BEQZ48381
auto_C_BNEZ700151
auto_C_SLLI139021
auto_C_LWSP151661
auto_C_JR3611
auto_C_MV129801
auto_C_EBREAK46741
auto_C_JALR3021
auto_C_ADD150641
auto_C_SWSP151591
auto_SH1ADD174801
auto_SH2ADD176261
auto_SH3ADD174601
auto_CLZ176281
auto_CTZ174941
auto_CPOP177671
auto_MIN176091
auto_MAX176071
auto_MINU173481
auto_MAXU176901
auto_SEXT_B175011
auto_SEXT_H173351
auto_ZEXT_H177471
auto_ANDN175661
auto_ORN178971
auto_XNOR176001
auto_ROR177161
auto_RORI175421
auto_ROL176391
auto_REV8173871
auto_ORC_B177651
auto_CLMUL175171
auto_CLMULH176101
auto_CLMULR175941
auto_BSET177781
auto_BSETI176051
auto_BCLR175021
auto_BCLRI176341
auto_BINV174601
auto_BINVI174791
auto_BEXT175441
auto_BEXTI176601
auto_CSRRW973891
auto_CSRRS14391741
auto_CSRRC88751
auto_CSRRWI91431
auto_CSRRSI89411
auto_CSRRCI91551
auto_C_LBU48091
auto_C_LHU1601
auto_C_LH1311
auto_C_SB7171
auto_C_SH1451
auto_C_ZEXT_B104781
auto_C_SEXT_B106371
auto_C_ZEXT_H105651
auto_C_SEXT_H106301
auto_C_NOT104491
auto_C_MUL108601

+
+
+Summary for Variable cp_group +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins13013100.00

+
+Automatically Generated Bins for cp_group +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN_GROUP0Illegal
auto_MISALIGN_LOAD_GROUP0Illegal
auto_MISALIGN_STORE_GROUP0Illegal
auto_FENCE_I_GROUP0Illegal
auto_ALOAD_GROUP0Illegal
auto_ASTORE_GROUP0Illegal
auto_AMEM_GROUP0Illegal
ILL_FENCE_I0Illegal
ILL_MISALIGN0Illegal
ILL_EXT_A0Illegal
ILL_UNKNOWN0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_LOAD_GROUP13836761
auto_STORE_GROUP14073771
auto_ALU_GROUP55517151
auto_BRANCH_GROUP6563091
auto_JUMP_GROUP2521761
auto_FENCE_GROUP112091
auto_RET_GROUP2798951
auto_WFI_GROUP32921
auto_CSR_GROUP15726771
auto_ENV_GROUP112141
auto_MUL_GROUP319111
auto_MULTI_MUL_GROUP617891
auto_DIV_GROUP829921

+
+
+Summary for Variable cp_group_pipe_x2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins13013100.00

+
+Automatically Generated Bins for cp_group_pipe_x2 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN_GROUP0Illegal
auto_MISALIGN_LOAD_GROUP0Illegal
auto_MISALIGN_STORE_GROUP0Illegal
auto_FENCE_I_GROUP0Illegal
auto_ALOAD_GROUP0Illegal
auto_ASTORE_GROUP0Illegal
auto_AMEM_GROUP0Illegal
ILL_FENCE_I0Illegal
ILL_MISALIGN0Illegal
ILL_EXT_A0Illegal
ILL_UNKNOWN0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_LOAD_GROUP13836761
auto_STORE_GROUP14073761
auto_ALU_GROUP55516941
auto_BRANCH_GROUP6563091
auto_JUMP_GROUP2498421
auto_FENCE_GROUP112091
auto_RET_GROUP2798951
auto_WFI_GROUP32921
auto_CSR_GROUP15726771
auto_ENV_GROUP112141
auto_MUL_GROUP319111
auto_MULTI_MUL_GROUP617891
auto_DIV_GROUP829921

+
+
+Summary for Variable cp_group_pipe_x3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins000

+
+Automatically Generated Bins for cp_group_pipe_x3 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN_GROUP0Illegal
auto_LOAD_GROUP0Excluded
auto_STORE_GROUP0Excluded
auto_MISALIGN_LOAD_GROUP0Illegal
auto_MISALIGN_STORE_GROUP0Illegal
auto_ALU_GROUP0Excluded
auto_BRANCH_GROUP0Excluded
auto_JUMP_GROUP0Excluded
auto_FENCE_GROUP0Excluded
auto_FENCE_I_GROUP0Illegal
auto_RET_GROUP0Excluded
auto_WFI_GROUP0Excluded
auto_CSR_GROUP0Excluded
auto_ENV_GROUP0Excluded
auto_MUL_GROUP0Excluded
auto_MULTI_MUL_GROUP0Excluded
auto_DIV_GROUP0Excluded
auto_ALOAD_GROUP0Illegal
auto_ASTORE_GROUP0Illegal
auto_AMEM_GROUP0Illegal
ILL_FENCE_I0Illegal
ILL_MISALIGN0Illegal
ILL_EXT_A0Illegal
ILL_UNKNOWN0Illegal
IGN_X3_OFF0Excluded

+
+
+Summary for Variable cp_group_pipe_x4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins000

+
+Automatically Generated Bins for cp_group_pipe_x4 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_UNKNOWN_GROUP0Illegal
auto_LOAD_GROUP0Excluded
auto_STORE_GROUP0Excluded
auto_MISALIGN_LOAD_GROUP0Illegal
auto_MISALIGN_STORE_GROUP0Illegal
auto_ALU_GROUP0Excluded
auto_BRANCH_GROUP0Excluded
auto_JUMP_GROUP0Excluded
auto_FENCE_GROUP0Excluded
auto_FENCE_I_GROUP0Illegal
auto_RET_GROUP0Excluded
auto_WFI_GROUP0Excluded
auto_CSR_GROUP0Excluded
auto_ENV_GROUP0Excluded
auto_MUL_GROUP0Excluded
auto_MULTI_MUL_GROUP0Excluded
auto_DIV_GROUP0Excluded
auto_ALOAD_GROUP0Illegal
auto_ASTORE_GROUP0Illegal
auto_AMEM_GROUP0Illegal
ILL_FENCE_I0Illegal
ILL_MISALIGN0Illegal
ILL_EXT_A0Illegal
ILL_UNKNOWN0Illegal
IGN_X4_OFF0Excluded

+
+
+Summary for Variable cp_gpr_raw_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_gpr_raw_hazard +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
RAW_HAZARD35558511
NO_RAW_HAZARD77503811

+
+
+Summary for Variable cp_csr_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_csr_hazard +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_HAZARD1303971
NO_CSR_HAZARD111758351

+
+
+Summary for Variable cp_is_csr_write +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_is_csr_write +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
IS_CSR_WRITE1304381
NOT_CSR_WRITE111757941

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1820182100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RW_CSR_MVENDORID0Excluded
RW_CSR_MARCHID0Excluded
RW_CSR_MIMPID0Excluded
RW_CSR_MHARTID0Excluded
RW_CSR_MCONFIGPTR0Excluded
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RW_CSR_MSTATUS2683891
RW_CSR_MISA26391
RW_CSR_MIE27121
RW_CSR_MTVEC25461
RW_CSR_MSTATUSH3031
RW_CSR_MCOUNTINHIBIT2601
RW_CSR_MHPMEVENT32431
RW_CSR_MHPMEVENT42181
RW_CSR_MHPMEVENT52171
RW_CSR_MHPMEVENT62301
RW_CSR_MHPMEVENT72401
RW_CSR_MHPMEVENT82191
RW_CSR_MHPMEVENT92351
RW_CSR_MHPMEVENT102271
RW_CSR_MHPMEVENT112481
RW_CSR_MHPMEVENT122351
RW_CSR_MHPMEVENT132271
RW_CSR_MHPMEVENT142041
RW_CSR_MHPMEVENT152311
RW_CSR_MHPMEVENT162381
RW_CSR_MHPMEVENT172331
RW_CSR_MHPMEVENT182241
RW_CSR_MHPMEVENT192361
RW_CSR_MHPMEVENT202151
RW_CSR_MHPMEVENT212121
RW_CSR_MHPMEVENT222611
RW_CSR_MHPMEVENT232171
RW_CSR_MHPMEVENT242181
RW_CSR_MHPMEVENT252221
RW_CSR_MHPMEVENT262331
RW_CSR_MHPMEVENT272401
RW_CSR_MHPMEVENT282191
RW_CSR_MHPMEVENT292211
RW_CSR_MHPMEVENT302301
RW_CSR_MHPMEVENT312571
RW_CSR_MSCRATCH239241
RW_CSR_MEPC5573681
RW_CSR_MCAUSE4707751
RW_CSR_MTVAL3091
RW_CSR_MIP2056371
RW_CSR_PMPCFG03031
RW_CSR_PMPCFG13091
RW_CSR_PMPCFG23011
RW_CSR_PMPCFG33011
RW_CSR_PMPCFG42291
RW_CSR_PMPCFG52261
RW_CSR_PMPCFG62401
RW_CSR_PMPCFG72281
RW_CSR_PMPCFG82411
RW_CSR_PMPCFG92411
RW_CSR_PMPCFG102271
RW_CSR_PMPCFG112411
RW_CSR_PMPCFG122291
RW_CSR_PMPCFG132221
RW_CSR_PMPCFG142231
RW_CSR_PMPCFG152641
RW_CSR_PMPADDR02071
RW_CSR_PMPADDR11911
RW_CSR_PMPADDR22291
RW_CSR_PMPADDR31991
RW_CSR_PMPADDR41921
RW_CSR_PMPADDR52111
RW_CSR_PMPADDR62101
RW_CSR_PMPADDR72201
RW_CSR_PMPADDR81931
RW_CSR_PMPADDR92331
RW_CSR_PMPADDR102101
RW_CSR_PMPADDR112091
RW_CSR_PMPADDR122091
RW_CSR_PMPADDR132041
RW_CSR_PMPADDR141991
RW_CSR_PMPADDR152201
RW_CSR_PMPADDR16241
RW_CSR_PMPADDR171501
RW_CSR_PMPADDR181401
RW_CSR_PMPADDR191391
RW_CSR_PMPADDR201521
RW_CSR_PMPADDR211391
RW_CSR_PMPADDR221681
RW_CSR_PMPADDR231421
RW_CSR_PMPADDR241471
RW_CSR_PMPADDR251411
RW_CSR_PMPADDR261521
RW_CSR_PMPADDR271601
RW_CSR_PMPADDR281561
RW_CSR_PMPADDR291301
RW_CSR_PMPADDR301451
RW_CSR_PMPADDR311471
RW_CSR_PMPADDR32241
RW_CSR_PMPADDR331381
RW_CSR_PMPADDR341361
RW_CSR_PMPADDR351501
RW_CSR_PMPADDR361391
RW_CSR_PMPADDR371491
RW_CSR_PMPADDR381361
RW_CSR_PMPADDR391361
RW_CSR_PMPADDR401441
RW_CSR_PMPADDR411441
RW_CSR_PMPADDR421591
RW_CSR_PMPADDR431461
RW_CSR_PMPADDR441441
RW_CSR_PMPADDR451431
RW_CSR_PMPADDR461371
RW_CSR_PMPADDR471511
RW_CSR_PMPADDR48241
RW_CSR_PMPADDR491241
RW_CSR_PMPADDR501601
RW_CSR_PMPADDR511681
RW_CSR_PMPADDR521371
RW_CSR_PMPADDR531541
RW_CSR_PMPADDR541481
RW_CSR_PMPADDR551401
RW_CSR_PMPADDR561321
RW_CSR_PMPADDR571321
RW_CSR_PMPADDR581391
RW_CSR_PMPADDR591491
RW_CSR_PMPADDR601431
RW_CSR_PMPADDR611671
RW_CSR_PMPADDR621461
RW_CSR_PMPADDR631511
RW_CSR_MCYCLE3091
RW_CSR_MINSTRET3101
RW_CSR_MHPMCOUNTER32151
RW_CSR_MHPMCOUNTER42201
RW_CSR_MHPMCOUNTER52231
RW_CSR_MHPMCOUNTER62101
RW_CSR_MHPMCOUNTER72301
RW_CSR_MHPMCOUNTER82471
RW_CSR_MHPMCOUNTER92521
RW_CSR_MHPMCOUNTER102201
RW_CSR_MHPMCOUNTER112291
RW_CSR_MHPMCOUNTER122151
RW_CSR_MHPMCOUNTER132331
RW_CSR_MHPMCOUNTER142231
RW_CSR_MHPMCOUNTER152451
RW_CSR_MHPMCOUNTER162321
RW_CSR_MHPMCOUNTER172111
RW_CSR_MHPMCOUNTER182501
RW_CSR_MHPMCOUNTER192481
RW_CSR_MHPMCOUNTER202331
RW_CSR_MHPMCOUNTER212331
RW_CSR_MHPMCOUNTER222211
RW_CSR_MHPMCOUNTER232521
RW_CSR_MHPMCOUNTER242281
RW_CSR_MHPMCOUNTER252301
RW_CSR_MHPMCOUNTER262351
RW_CSR_MHPMCOUNTER272321
RW_CSR_MHPMCOUNTER282311
RW_CSR_MHPMCOUNTER292491
RW_CSR_MHPMCOUNTER302211
RW_CSR_MHPMCOUNTER312351
RW_CSR_MCYCLEH3031
RW_CSR_MINSTRETH2891
RW_CSR_MHPMCOUNTER3H2351
RW_CSR_MHPMCOUNTER4H2351
RW_CSR_MHPMCOUNTER5H2241
RW_CSR_MHPMCOUNTER6H2431
RW_CSR_MHPMCOUNTER7H2461
RW_CSR_MHPMCOUNTER8H2641
RW_CSR_MHPMCOUNTER9H2191
RW_CSR_MHPMCOUNTER10H2291
RW_CSR_MHPMCOUNTER11H2291
RW_CSR_MHPMCOUNTER12H2311
RW_CSR_MHPMCOUNTER13H2321
RW_CSR_MHPMCOUNTER14H2121
RW_CSR_MHPMCOUNTER15H2131
RW_CSR_MHPMCOUNTER16H2441
RW_CSR_MHPMCOUNTER17H2341
RW_CSR_MHPMCOUNTER18H2291
RW_CSR_MHPMCOUNTER19H2231
RW_CSR_MHPMCOUNTER20H2201
RW_CSR_MHPMCOUNTER21H2341
RW_CSR_MHPMCOUNTER22H2221
RW_CSR_MHPMCOUNTER23H2551
RW_CSR_MHPMCOUNTER24H2221
RW_CSR_MHPMCOUNTER25H2311
RW_CSR_MHPMCOUNTER26H2251
RW_CSR_MHPMCOUNTER27H2181
RW_CSR_MHPMCOUNTER28H2501
RW_CSR_MHPMCOUNTER29H2251
RW_CSR_MHPMCOUNTER30H2231
RW_CSR_MHPMCOUNTER31H2411

+
+
+Summary for Cross cross_seq_instr_x2 +
+
+Samples crossed: cp_instr cp_instr_prev_x2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins1587620181385887.29 2018

+
+Automatically Generated Cross Bins for cross_seq_instr_x2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_instrcp_instr_prev_x2COUNTAT LEASTNUMBER
[auto_LUI][auto_ECALL , auto_EBREAK]----2
[auto_LUI][auto_C_SW]011
[auto_LUI][auto_C_EBREAK , auto_C_JALR]----2
[auto_LUI][auto_C_SB , auto_C_SH]----2
[auto_AUIPC][auto_ECALL , auto_EBREAK]----2
[auto_AUIPC][auto_C_EBREAK]011
[auto_JAL][auto_ECALL , auto_EBREAK]----2
[auto_JAL][auto_WFI]011
[auto_JAL][auto_C_EBREAK , auto_C_JALR]----2
[auto_JAL][auto_C_LH]011
[auto_JALR][auto_JALR]011
[auto_JALR][auto_LB , auto_LH]----2
[auto_JALR][auto_LBU , auto_LHU , auto_SB , auto_SH]----4
[auto_JALR][auto_ECALL , auto_EBREAK]----2
[auto_JALR][auto_C_LW , auto_C_SW]----2
[auto_JALR][auto_C_JAL]011
[auto_JALR][auto_C_SRAI]011
[auto_JALR][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_JALR][auto_C_LWSP , auto_C_JR]----2
[auto_JALR][auto_C_EBREAK , auto_C_JALR]----2
[auto_JALR][auto_C_SWSP]011
[auto_JALR][auto_SH2ADD]011
[auto_JALR][auto_MIN , auto_MAX , auto_MINU]----3
[auto_JALR][auto_ANDN]011
[auto_JALR][auto_REV8]011
[auto_JALR][auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI]----4
[auto_JALR][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B]----6
[auto_JALR][auto_C_SEXT_H , auto_C_NOT]----2
[auto_BEQ][auto_LW]011
[auto_BEQ][auto_SW]011
[auto_BEQ][auto_ECALL , auto_EBREAK]----2
[auto_BEQ][auto_C_LW , auto_C_SW]----2
[auto_BEQ][auto_C_JAL]011
[auto_BEQ][auto_C_J]011
[auto_BEQ][auto_C_LWSP , auto_C_JR]----2
[auto_BEQ][auto_C_EBREAK , auto_C_JALR]----2
[auto_BEQ][auto_C_SWSP]011
[auto_BEQ][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----5
[auto_BNE][auto_LW]011
[auto_BNE][auto_EBREAK]011
[auto_BNE][auto_C_LW , auto_C_SW]----2
[auto_BNE][auto_C_JAL]011
[auto_BNE][auto_C_J]011
[auto_BNE][auto_C_LWSP]011
[auto_BNE][auto_C_EBREAK , auto_C_JALR]----2
[auto_BNE][auto_C_SWSP]011
[auto_BNE][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----5
[auto_BLT][auto_SW]011
[auto_BLT][auto_ECALL , auto_EBREAK]----2
[auto_BLT][auto_C_LW , auto_C_SW]----2
[auto_BLT][auto_C_JAL]011
[auto_BLT][auto_C_J]011
[auto_BLT][auto_C_LWSP , auto_C_JR]----2
[auto_BLT][auto_C_EBREAK , auto_C_JALR]----2
[auto_BLT][auto_C_SWSP]011
[auto_BLT][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----5
[auto_BGE][auto_SH , auto_SW]----2
[auto_BGE][auto_ECALL , auto_EBREAK]----2
[auto_BGE][auto_C_LW , auto_C_SW]----2
[auto_BGE][auto_C_J]011
[auto_BGE][auto_C_LWSP , auto_C_JR]----2
[auto_BGE][auto_C_EBREAK , auto_C_JALR]----2
[auto_BGE][auto_C_SWSP]011
[auto_BGE][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----5
[auto_BLTU][auto_LW]011
[auto_BLTU][auto_SH , auto_SW]----2
[auto_BLTU][auto_ECALL , auto_EBREAK]----2
[auto_BLTU][auto_C_LW , auto_C_SW]----2
[auto_BLTU][auto_C_JAL]011
[auto_BLTU][auto_C_LWSP , auto_C_JR]----2
[auto_BLTU][auto_C_EBREAK , auto_C_JALR]----2
[auto_BLTU][auto_C_SWSP]011
[auto_BLTU][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----5
[auto_BGEU][auto_LW]011
[auto_BGEU][auto_ECALL , auto_EBREAK]----2
[auto_BGEU][auto_C_LW , auto_C_SW]----2
[auto_BGEU][auto_C_JAL]011
[auto_BGEU][auto_C_J]011
[auto_BGEU][auto_C_LWSP , auto_C_JR]----2
[auto_BGEU][auto_C_EBREAK , auto_C_JALR]----2
[auto_BGEU][auto_C_SWSP]011
[auto_BGEU][auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----4
[auto_LB][auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----7
[auto_LB][auto_EBREAK]011
[auto_LB][auto_C_JAL]011
[auto_LB][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_LB][auto_C_JR]011
[auto_LB][auto_C_EBREAK , auto_C_JALR]----2
[auto_LH][auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----8
[auto_LH][auto_ECALL , auto_EBREAK]----2
[auto_LH][auto_C_JAL]011
[auto_LH][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_LH][auto_C_JR]011
[auto_LH][auto_C_EBREAK , auto_C_JALR]----2
[auto_LW][auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----8
[auto_LW][auto_ECALL , auto_EBREAK]----2
[auto_LW][auto_C_JAL]011
[auto_LW][auto_C_BEQZ , auto_C_BNEZ]----2
[auto_LW][auto_C_EBREAK , auto_C_JALR]----2
[auto_LBU][auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----8
[auto_LBU][auto_ECALL , auto_EBREAK]----2
[auto_LBU][auto_C_JAL]011
[auto_LBU][auto_C_BEQZ , auto_C_BNEZ]----2
[auto_LBU][auto_C_JR]011
[auto_LBU][auto_C_EBREAK , auto_C_JALR]----2
[auto_LHU][auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----8
[auto_LHU][auto_ECALL , auto_EBREAK]----2
[auto_LHU][auto_C_JAL]011
[auto_LHU][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_LHU][auto_C_JR]011
[auto_LHU][auto_C_EBREAK , auto_C_JALR]----2
[auto_SB][auto_JAL , auto_JALR , auto_BEQ]----3
[auto_SB][auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----4
[auto_SB][auto_EBREAK]011
[auto_SB][auto_C_JAL]011
[auto_SB][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_SB][auto_C_JR]011
[auto_SB][auto_C_EBREAK , auto_C_JALR]----2
[auto_SH][auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----8
[auto_SH][auto_ECALL , auto_EBREAK]----2
[auto_SH][auto_C_JAL]011
[auto_SH][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_SH][auto_C_JR]011
[auto_SH][auto_C_EBREAK , auto_C_JALR]----2
[auto_SH][auto_C_SH]011
[auto_SW][auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----7
[auto_SW][auto_ECALL , auto_EBREAK]----2
[auto_SW][auto_C_JAL]011
[auto_SW][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_SW][auto_C_JR]011
[auto_SW][auto_C_EBREAK , auto_C_JALR]----2
[auto_ADDI][auto_ECALL , auto_EBREAK]----2
[auto_ADDI][auto_C_SW]011
[auto_ADDI][auto_C_EBREAK]011
[auto_ADDI][auto_C_LHU , auto_C_LH]----2
[auto_ADDI][auto_C_SH]011
[auto_SLTI][auto_ECALL , auto_EBREAK]----2
[auto_SLTI][auto_C_LW , auto_C_SW]----2
[auto_SLTI][auto_C_JR]011
[auto_SLTI][auto_C_EBREAK]011
[auto_SLTI][auto_C_SH]011
[auto_SLTIU][auto_ECALL , auto_EBREAK]----2
[auto_SLTIU][auto_C_LW , auto_C_SW]----2
[auto_SLTIU][auto_C_JR]011
[auto_SLTIU][auto_C_EBREAK , auto_C_JALR]----2
[auto_SLTIU][auto_C_LHU , auto_C_LH]----2
[auto_XORI][auto_ECALL , auto_EBREAK]----2
[auto_XORI][auto_C_LW , auto_C_SW]----2
[auto_XORI][auto_C_EBREAK]011
[auto_XORI][auto_C_LHU]011
[auto_XORI][auto_C_SH]011
[auto_ORI][auto_ECALL , auto_EBREAK]----2
[auto_ORI][auto_C_LW]011
[auto_ORI][auto_C_EBREAK]011
[auto_ORI][auto_C_LHU , auto_C_LH]----2
[auto_ORI][auto_C_SH]011
[auto_ANDI][auto_ECALL , auto_EBREAK]----2
[auto_ANDI][auto_C_JR]011
[auto_ANDI][auto_C_EBREAK]011
[auto_ANDI][auto_C_LHU , auto_C_LH]----2
[auto_ANDI][auto_C_SH]011
[auto_SLLI][auto_ECALL , auto_EBREAK]----2
[auto_SLLI][auto_C_SW]011
[auto_SLLI][auto_C_JR]011
[auto_SLLI][auto_C_EBREAK]011
[auto_SLLI][auto_C_LHU , auto_C_LH]----2
[auto_SLLI][auto_C_SH]011
[auto_SRLI][auto_ECALL , auto_EBREAK]----2
[auto_SRLI][auto_C_JR]011
[auto_SRLI][auto_C_EBREAK , auto_C_JALR]----2
[auto_SRLI][auto_C_LHU , auto_C_LH]----2
[auto_SRLI][auto_C_SH]011
[auto_SRAI][auto_ECALL , auto_EBREAK]----2
[auto_SRAI][auto_C_EBREAK , auto_C_JALR]----2
[auto_SRAI][auto_C_LHU]011
[auto_SRAI][auto_C_SH]011
[auto_ADD][auto_ECALL , auto_EBREAK]----2
[auto_ADD][auto_C_LW]011
[auto_ADD][auto_C_JR]011
[auto_ADD][auto_C_EBREAK , auto_C_JALR]----2
[auto_ADD][auto_C_LHU , auto_C_LH]----2
[auto_ADD][auto_C_SH]011
[auto_SUB][auto_ECALL , auto_EBREAK]----2
[auto_SUB][auto_C_SW]011
[auto_SUB][auto_C_JR]011
[auto_SUB][auto_C_EBREAK]011
[auto_SUB][auto_C_LHU , auto_C_LH]----2
[auto_SUB][auto_C_SH]011
[auto_SLL][auto_ECALL , auto_EBREAK]----2
[auto_SLL][auto_C_SW]011
[auto_SLL][auto_C_JR]011
[auto_SLL][auto_C_EBREAK]011
[auto_SLL][auto_C_LBU]011
[auto_SLL][auto_C_LH]011
[auto_SLL][auto_C_SH]011
[auto_SLT][auto_ECALL , auto_EBREAK]----2
[auto_SLT][auto_C_EBREAK , auto_C_JALR]----2
[auto_SLT][auto_C_SB , auto_C_SH]----2
[auto_SLTU][auto_ECALL , auto_EBREAK]----2
[auto_SLTU][auto_C_EBREAK]011
[auto_SLTU][auto_C_LHU]011
[auto_SLTU][auto_C_SB]011
[auto_XOR][auto_ECALL , auto_EBREAK]----2
[auto_XOR][auto_C_LW]011
[auto_XOR][auto_C_JR]011
[auto_XOR][auto_C_EBREAK]011
[auto_XOR][auto_C_LHU , auto_C_LH]----2
[auto_XOR][auto_C_SH]011
[auto_SRL][auto_ECALL , auto_EBREAK]----2
[auto_SRL][auto_C_LW , auto_C_SW]----2
[auto_SRL][auto_C_EBREAK]011
[auto_SRL][auto_C_LBU]011
[auto_SRL][auto_C_SH]011
[auto_SRA][auto_ECALL , auto_EBREAK]----2
[auto_SRA][auto_C_EBREAK , auto_C_JALR]----2
[auto_SRA][auto_C_LHU , auto_C_LH]----2
[auto_OR][auto_ECALL , auto_EBREAK]----2
[auto_OR][auto_C_LW , auto_C_SW]----2
[auto_OR][auto_C_EBREAK , auto_C_JALR]----2
[auto_OR][auto_C_LHU]011
[auto_AND][auto_ECALL , auto_EBREAK]----2
[auto_AND][auto_C_LW]011
[auto_AND][auto_C_EBREAK]011
[auto_AND][auto_C_LHU]011
[auto_AND][auto_C_SH]011
[auto_FENCE][auto_EBREAK]011
[auto_FENCE][auto_C_SW]011
[auto_FENCE][auto_C_JR]011
[auto_FENCE][auto_C_EBREAK , auto_C_JALR]----2
[auto_FENCE][auto_C_LHU]011
[auto_ECALL][auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----8
[auto_ECALL][auto_ECALL , auto_EBREAK]----2
[auto_ECALL][auto_C_LW , auto_C_SW]----2
[auto_ECALL][auto_C_JAL]011
[auto_ECALL][auto_C_J , auto_C_BEQZ , auto_C_BNEZ]----3
[auto_ECALL][auto_C_JR]011
[auto_ECALL][auto_C_EBREAK , auto_C_JALR]----2
[auto_ECALL][auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH]----5
[auto_EBREAK][auto_JALR]011
[auto_EBREAK][auto_ECALL , auto_EBREAK]----2
[auto_EBREAK][auto_C_JR]011
[auto_EBREAK][auto_C_EBREAK , auto_C_JALR]----2
[auto_EBREAK][auto_C_LH]011
[auto_EBREAK][auto_C_SH]011
[auto_MRET][auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ]----5
[auto_MRET][auto_BLT , auto_BGE , auto_BLTU , auto_BGEU]----4
[auto_MRET][auto_LH , auto_LW , auto_LBU , auto_LHU]----4
[auto_MRET][auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND]----21
[auto_MRET][auto_ECALL , auto_EBREAK]----2
[auto_MRET][auto_MUL]011
[auto_MRET][auto_MULHSU , auto_MULHU]----2
[auto_MRET][auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP]----7
[auto_MRET][auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND]----11
[auto_MRET][auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP]----10
[auto_MRET][auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI]----32
[auto_MRET][auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI]----4

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_instrcp_instr_prev_x2COUNTSTATUS
[auto_UNKNOWN][auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL]--Excluded(140 bins)
[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK][auto_UNKNOWN]--Excluded(40 bins)
[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK][auto_DRET]--Excluded(40 bins)
[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK][auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W]--Excluded(440 bins)
[auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK][auto_FENCE_I]--Excluded(40 bins)
[auto_DRET][auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL]--Excluded(140 bins)
[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP][auto_UNKNOWN]--Excluded(37 bins)
[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP][auto_DRET]--Excluded(37 bins)
[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP][auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W]--Excluded(407 bins)
[auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP][auto_FENCE_I]--Excluded(37 bins)
[auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W][auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL]--Excluded(1540 bins)
[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI][auto_UNKNOWN]--Excluded(38 bins)
[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI][auto_DRET]--Excluded(38 bins)
[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI][auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W]--Excluded(418 bins)
[auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI][auto_FENCE_I]--Excluded(38 bins)
[auto_FENCE_I][auto_UNKNOWN , auto_LUI , auto_AUIPC , auto_JAL , auto_JALR , auto_BEQ , auto_BNE , auto_BLT , auto_BGE , auto_BLTU , auto_BGEU , auto_LB , auto_LH , auto_LW , auto_LBU , auto_LHU , auto_SB , auto_SH , auto_SW , auto_ADDI , auto_SLTI , auto_SLTIU , auto_XORI , auto_ORI , auto_ANDI , auto_SLLI , auto_SRLI , auto_SRAI , auto_ADD , auto_SUB , auto_SLL , auto_SLT , auto_SLTU , auto_XOR , auto_SRL , auto_SRA , auto_OR , auto_AND , auto_FENCE , auto_ECALL , auto_EBREAK , auto_DRET , auto_MRET , auto_WFI , auto_MUL , auto_MULH , auto_MULHSU , auto_MULHU , auto_DIV , auto_DIVU , auto_REM , auto_REMU , auto_C_ADDI4SPN , auto_C_LW , auto_C_SW , auto_C_NOP , auto_C_ADDI , auto_C_JAL , auto_C_LI , auto_C_ADDI16SP , auto_C_LUI , auto_C_SRLI , auto_C_SRAI , auto_C_ANDI , auto_C_SUB , auto_C_XOR , auto_C_OR , auto_C_AND , auto_C_J , auto_C_BEQZ , auto_C_BNEZ , auto_C_SLLI , auto_C_LWSP , auto_C_JR , auto_C_MV , auto_C_EBREAK , auto_C_JALR , auto_C_ADD , auto_C_SWSP , auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W , auto_SH1ADD , auto_SH2ADD , auto_SH3ADD , auto_CLZ , auto_CTZ , auto_CPOP , auto_MIN , auto_MAX , auto_MINU , auto_MAXU , auto_SEXT_B , auto_SEXT_H , auto_ZEXT_H , auto_ANDN , auto_ORN , auto_XNOR , auto_ROR , auto_RORI , auto_ROL , auto_REV8 , auto_ORC_B , auto_CLMUL , auto_CLMULH , auto_CLMULR , auto_BSET , auto_BSETI , auto_BCLR , auto_BCLRI , auto_BINV , auto_BINVI , auto_BEXT , auto_BEXTI , auto_CSRRW , auto_CSRRS , auto_CSRRC , auto_CSRRWI , auto_CSRRSI , auto_CSRRCI , auto_FENCE_I , auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL]--Excluded(140 bins)
[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL][auto_UNKNOWN]--Excluded(11 bins)
[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL][auto_DRET]--Excluded(11 bins)
[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL][auto_LR_W , auto_SC_W , auto_AMOSWAP_W , auto_AMOADD_W , auto_AMOXOR_W , auto_AMOAND_W , auto_AMOOR_W , auto_AMOMIN_W , auto_AMOMAX_W , auto_AMOMINU_W , auto_AMOMAXU_W]--Excluded(121 bins)
[auto_C_LBU , auto_C_LHU , auto_C_LH , auto_C_SB , auto_C_SH , auto_C_ZEXT_B , auto_C_SEXT_B , auto_C_ZEXT_H , auto_C_SEXT_H , auto_C_NOT , auto_C_MUL][auto_FENCE_I]--Excluded(11 bins)

+
+Covered bins +
+This cross has 13858 bins so the list has been split into multiple HTML pages. Click on the page number below to see each subset of bins.
+ + + + + + + + + + + + + + + + + + + + +
PAGEEXPECTEDUNCOVEREDCOVEREDPERCENT
1500005000100.00
2500005000100.00
3385803858100.00

+
+
+Summary for Cross cross_seq_group_x2 +
+
+Samples crossed: cp_group cp_group_pipe_x2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins169216798.82 2

+
+Automatically Generated Cross Bins for cross_seq_group_x2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + +
cp_groupcp_group_pipe_x2COUNTAT LEASTNUMBER
[auto_RET_GROUP][auto_ENV_GROUP]011
[auto_ENV_GROUP][auto_ENV_GROUP]011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_group_pipe_x2COUNTSTATUS
[auto_UNKNOWN_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(20 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_UNKNOWN_GROUP]--Illegal(2 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP]--Illegal(4 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_FENCE_I_GROUP]--Illegal(2 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(6 bins)
[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(40 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_UNKNOWN_GROUP]--Illegal(4 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP]--Illegal(8 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_FENCE_I_GROUP]--Illegal(4 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(12 bins)
[auto_FENCE_I_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(20 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_UNKNOWN_GROUP]--Illegal(7 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP]--Illegal(14 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_FENCE_I_GROUP]--Illegal(7 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(21 bins)
[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP]--Illegal(60 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_group_pipe_x2COUNTAT LEAST
auto_LOAD_GROUPauto_LOAD_GROUP6350921
auto_LOAD_GROUPauto_STORE_GROUP543491
auto_LOAD_GROUPauto_ALU_GROUP6112921
auto_LOAD_GROUPauto_BRANCH_GROUP171
auto_LOAD_GROUPauto_JUMP_GROUP11571
auto_LOAD_GROUPauto_FENCE_GROUP9681
auto_LOAD_GROUPauto_RET_GROUP59071
auto_LOAD_GROUPauto_WFI_GROUP681
auto_LOAD_GROUPauto_CSR_GROUP656981
auto_LOAD_GROUPauto_ENV_GROUP11
auto_LOAD_GROUPauto_MUL_GROUP18521
auto_LOAD_GROUPauto_MULTI_MUL_GROUP31551
auto_LOAD_GROUPauto_DIV_GROUP41201
auto_STORE_GROUPauto_LOAD_GROUP542661
auto_STORE_GROUPauto_STORE_GROUP5598081
auto_STORE_GROUPauto_ALU_GROUP7818301
auto_STORE_GROUPauto_BRANCH_GROUP11
auto_STORE_GROUPauto_JUMP_GROUP21
auto_STORE_GROUPauto_FENCE_GROUP5171
auto_STORE_GROUPauto_RET_GROUP30841
auto_STORE_GROUPauto_WFI_GROUP351
auto_STORE_GROUPauto_CSR_GROUP30831
auto_STORE_GROUPauto_ENV_GROUP11
auto_STORE_GROUPauto_MUL_GROUP9241
auto_STORE_GROUPauto_MULTI_MUL_GROUP16341
auto_STORE_GROUPauto_DIV_GROUP21921
auto_ALU_GROUPauto_LOAD_GROUP6758221
auto_ALU_GROUPauto_STORE_GROUP7805561
auto_ALU_GROUPauto_ALU_GROUP27845561
auto_ALU_GROUPauto_BRANCH_GROUP964141
auto_ALU_GROUPauto_JUMP_GROUP164511
auto_ALU_GROUPauto_FENCE_GROUP75401
auto_ALU_GROUPauto_RET_GROUP2514891
auto_ALU_GROUPauto_WFI_GROUP23311
auto_ALU_GROUPauto_CSR_GROUP7942751
auto_ALU_GROUPauto_ENV_GROUP110221
auto_ALU_GROUPauto_MUL_GROUP233251
auto_ALU_GROUPauto_MULTI_MUL_GROUP461291
auto_ALU_GROUPauto_DIV_GROUP617891
auto_BRANCH_GROUPauto_LOAD_GROUP1311
auto_BRANCH_GROUPauto_STORE_GROUP481
auto_BRANCH_GROUPauto_ALU_GROUP5689961
auto_BRANCH_GROUPauto_BRANCH_GROUP777171
auto_BRANCH_GROUPauto_JUMP_GROUP2591
auto_BRANCH_GROUPauto_FENCE_GROUP3931
auto_BRANCH_GROUPauto_RET_GROUP13701
auto_BRANCH_GROUPauto_WFI_GROUP411
auto_BRANCH_GROUPauto_CSR_GROUP26601
auto_BRANCH_GROUPauto_ENV_GROUP11
auto_BRANCH_GROUPauto_MUL_GROUP8751
auto_BRANCH_GROUPauto_MULTI_MUL_GROUP15961
auto_BRANCH_GROUPauto_DIV_GROUP22221
auto_JUMP_GROUPauto_LOAD_GROUP18101
auto_JUMP_GROUPauto_STORE_GROUP38131
auto_JUMP_GROUPauto_ALU_GROUP113371
auto_JUMP_GROUPauto_BRANCH_GROUP32281
auto_JUMP_GROUPauto_JUMP_GROUP2292921
auto_JUMP_GROUPauto_FENCE_GROUP1121
auto_JUMP_GROUPauto_RET_GROUP4151
auto_JUMP_GROUPauto_WFI_GROUP71
auto_JUMP_GROUPauto_CSR_GROUP8491
auto_JUMP_GROUPauto_ENV_GROUP11
auto_JUMP_GROUPauto_MUL_GROUP2501
auto_JUMP_GROUPauto_MULTI_MUL_GROUP4421
auto_JUMP_GROUPauto_DIV_GROUP6201
auto_FENCE_GROUPauto_LOAD_GROUP10141
auto_FENCE_GROUPauto_STORE_GROUP4941
auto_FENCE_GROUPauto_ALU_GROUP68301
auto_FENCE_GROUPauto_BRANCH_GROUP4811
auto_FENCE_GROUPauto_JUMP_GROUP1301
auto_FENCE_GROUPauto_FENCE_GROUP1011
auto_FENCE_GROUPauto_RET_GROUP5451
auto_FENCE_GROUPauto_WFI_GROUP441
auto_FENCE_GROUPauto_CSR_GROUP5761
auto_FENCE_GROUPauto_ENV_GROUP11
auto_FENCE_GROUPauto_MUL_GROUP1721
auto_FENCE_GROUPauto_MULTI_MUL_GROUP3541
auto_FENCE_GROUPauto_DIV_GROUP4671
auto_RET_GROUPauto_LOAD_GROUP11
auto_RET_GROUPauto_STORE_GROUP11
auto_RET_GROUPauto_ALU_GROUP2652061
auto_RET_GROUPauto_BRANCH_GROUP11
auto_RET_GROUPauto_JUMP_GROUP11
auto_RET_GROUPauto_FENCE_GROUP11
auto_RET_GROUPauto_RET_GROUP11
auto_RET_GROUPauto_WFI_GROUP11
auto_RET_GROUPauto_CSR_GROUP146791
auto_RET_GROUPauto_MUL_GROUP11
auto_RET_GROUPauto_MULTI_MUL_GROUP11
auto_RET_GROUPauto_DIV_GROUP11
auto_WFI_GROUPauto_LOAD_GROUP571
auto_WFI_GROUPauto_STORE_GROUP741
auto_WFI_GROUPauto_ALU_GROUP16531
auto_WFI_GROUPauto_BRANCH_GROUP1771
auto_WFI_GROUPauto_JUMP_GROUP141
auto_WFI_GROUPauto_FENCE_GROUP261
auto_WFI_GROUPauto_RET_GROUP4741
auto_WFI_GROUPauto_WFI_GROUP551
auto_WFI_GROUPauto_CSR_GROUP1831
auto_WFI_GROUPauto_ENV_GROUP11
auto_WFI_GROUPauto_MUL_GROUP601
auto_WFI_GROUPauto_MULTI_MUL_GROUP2581
auto_WFI_GROUPauto_DIV_GROUP2601
auto_CSR_GROUPauto_LOAD_GROUP56481
auto_CSR_GROUPauto_STORE_GROUP28971
auto_CSR_GROUPauto_ALU_GROUP3875241
auto_CSR_GROUPauto_BRANCH_GROUP4730501
auto_CSR_GROUPauto_JUMP_GROUP10031
auto_CSR_GROUPauto_FENCE_GROUP6241
auto_CSR_GROUPauto_RET_GROUP93631
auto_CSR_GROUPauto_WFI_GROUP1831
auto_CSR_GROUPauto_CSR_GROUP6856331
auto_CSR_GROUPauto_ENV_GROUP1831
auto_CSR_GROUPauto_MUL_GROUP9591
auto_CSR_GROUPauto_MULTI_MUL_GROUP14231
auto_CSR_GROUPauto_DIV_GROUP18471
auto_ENV_GROUPauto_LOAD_GROUP11641
auto_ENV_GROUPauto_STORE_GROUP6051
auto_ENV_GROUPauto_ALU_GROUP69111
auto_ENV_GROUPauto_BRANCH_GROUP5001
auto_ENV_GROUPauto_JUMP_GROUP1011
auto_ENV_GROUPauto_FENCE_GROUP761
auto_ENV_GROUPauto_RET_GROUP3351
auto_ENV_GROUPauto_WFI_GROUP121
auto_ENV_GROUPauto_CSR_GROUP6941
auto_ENV_GROUPauto_MUL_GROUP1921
auto_ENV_GROUPauto_MULTI_MUL_GROUP2701
auto_ENV_GROUPauto_DIV_GROUP3541
auto_MUL_GROUPauto_LOAD_GROUP17261
auto_MUL_GROUPauto_STORE_GROUP10671
auto_MUL_GROUPauto_ALU_GROUP222771
auto_MUL_GROUPauto_BRANCH_GROUP9141
auto_MUL_GROUPauto_JUMP_GROUP2671
auto_MUL_GROUPauto_FENCE_GROUP1851
auto_MUL_GROUPauto_RET_GROUP12181
auto_MUL_GROUPauto_WFI_GROUP481
auto_MUL_GROUPauto_CSR_GROUP9741
auto_MUL_GROUPauto_ENV_GROUP11
auto_MUL_GROUPauto_MUL_GROUP5511
auto_MUL_GROUPauto_MULTI_MUL_GROUP10461
auto_MUL_GROUPauto_DIV_GROUP16371
auto_MULTI_MUL_GROUPauto_LOAD_GROUP29011
auto_MULTI_MUL_GROUPauto_STORE_GROUP15781
auto_MULTI_MUL_GROUPauto_ALU_GROUP441881
auto_MULTI_MUL_GROUPauto_BRANCH_GROUP17011
auto_MULTI_MUL_GROUPauto_JUMP_GROUP4361
auto_MULTI_MUL_GROUPauto_FENCE_GROUP2851
auto_MULTI_MUL_GROUPauto_RET_GROUP23961
auto_MULTI_MUL_GROUPauto_WFI_GROUP1701
auto_MULTI_MUL_GROUPauto_CSR_GROUP15161
auto_MULTI_MUL_GROUPauto_ENV_GROUP11
auto_MULTI_MUL_GROUPauto_MUL_GROUP11361
auto_MULTI_MUL_GROUPauto_MULTI_MUL_GROUP22011
auto_MULTI_MUL_GROUPauto_DIV_GROUP32801
auto_DIV_GROUPauto_LOAD_GROUP40441
auto_DIV_GROUPauto_STORE_GROUP20861
auto_DIV_GROUPauto_ALU_GROUP590941
auto_DIV_GROUPauto_BRANCH_GROUP21081
auto_DIV_GROUPauto_JUMP_GROUP7291
auto_DIV_GROUPauto_FENCE_GROUP3811
auto_DIV_GROUPauto_RET_GROUP32981
auto_DIV_GROUPauto_WFI_GROUP2971
auto_DIV_GROUPauto_CSR_GROUP18571
auto_DIV_GROUPauto_ENV_GROUP11
auto_DIV_GROUPauto_MUL_GROUP16141
auto_DIV_GROUPauto_MULTI_MUL_GROUP32801
auto_DIV_GROUPauto_DIV_GROUP42031

+
+
+Summary for Cross cross_seq_group_x3 +
+
+Samples crossed: cp_group cp_group_pipe_x2 cp_group_pipe_x3
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+Summary for Cross cross_seq_group_x4 +
+
+Samples crossed: cp_group cp_group_pipe_x2 cp_group_pipe_x3 cp_group_pipe_x4
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+Summary for Cross cross_seq_gpr_raw_hazard +
+
+Samples crossed: cp_group cp_group_pipe_x2 cp_gpr_raw_hazard
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL63063100.00
Automatically Generated Cross Bins63063100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_seq_gpr_raw_hazard +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_group_pipe_x2cp_gpr_raw_hazardCOUNTSTATUS
[auto_UNKNOWN_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(40 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_UNKNOWN_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(4 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(8 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_FENCE_I_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(4 bins)
[auto_LOAD_GROUP , auto_STORE_GROUP][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(12 bins)
[auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(80 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_UNKNOWN_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(8 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(16 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_FENCE_I_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(8 bins)
[auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(24 bins)
[auto_FENCE_I_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(40 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_UNKNOWN_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(14 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(28 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_FENCE_I_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(14 bins)
[auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(42 bins)
[auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][auto_UNKNOWN_GROUP , auto_LOAD_GROUP , auto_STORE_GROUP , auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP , auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP , auto_FENCE_I_GROUP , auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP , auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][RAW_HAZARD , NO_RAW_HAZARD]--Illegal(120 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_groupcp_group_pipe_x2cp_gpr_raw_hazardCOUNTAT LEAST
auto_LOAD_GROUPauto_LOAD_GROUPRAW_HAZARD124941
auto_LOAD_GROUPauto_ALU_GROUPRAW_HAZARD4945821
auto_LOAD_GROUPauto_JUMP_GROUPRAW_HAZARD181
auto_LOAD_GROUPauto_CSR_GROUPRAW_HAZARD557281
auto_LOAD_GROUPauto_MUL_GROUPRAW_HAZARD21
auto_LOAD_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD11
auto_LOAD_GROUPauto_DIV_GROUPRAW_HAZARD21
auto_STORE_GROUPauto_LOAD_GROUPRAW_HAZARD17961
auto_STORE_GROUPauto_ALU_GROUPRAW_HAZARD6992231
auto_STORE_GROUPauto_JUMP_GROUPRAW_HAZARD11
auto_STORE_GROUPauto_CSR_GROUPRAW_HAZARD851
auto_STORE_GROUPauto_MUL_GROUPRAW_HAZARD161
auto_STORE_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD581
auto_STORE_GROUPauto_DIV_GROUPRAW_HAZARD681
auto_ALU_GROUPauto_LOAD_GROUPRAW_HAZARD171561
auto_ALU_GROUPauto_ALU_GROUPRAW_HAZARD14553301
auto_ALU_GROUPauto_JUMP_GROUPRAW_HAZARD3071
auto_ALU_GROUPauto_CSR_GROUPRAW_HAZARD4186631
auto_ALU_GROUPauto_MUL_GROUPRAW_HAZARD24751
auto_ALU_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD72291
auto_ALU_GROUPauto_DIV_GROUPRAW_HAZARD98321
auto_BRANCH_GROUPauto_LOAD_GROUPRAW_HAZARD81
auto_BRANCH_GROUPauto_ALU_GROUPRAW_HAZARD2609421
auto_BRANCH_GROUPauto_JUMP_GROUPRAW_HAZARD61
auto_BRANCH_GROUPauto_CSR_GROUPRAW_HAZARD1221
auto_BRANCH_GROUPauto_MUL_GROUPRAW_HAZARD481
auto_BRANCH_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD601
auto_BRANCH_GROUPauto_DIV_GROUPRAW_HAZARD1501
auto_JUMP_GROUPauto_LOAD_GROUPRAW_HAZARD11
auto_JUMP_GROUPauto_ALU_GROUPRAW_HAZARD14721
auto_JUMP_GROUPauto_JUMP_GROUPRAW_HAZARD11
auto_JUMP_GROUPauto_CSR_GROUPRAW_HAZARD11
auto_JUMP_GROUPauto_MUL_GROUPRAW_HAZARD11
auto_JUMP_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD11
auto_JUMP_GROUPauto_DIV_GROUPRAW_HAZARD11
auto_CSR_GROUPauto_LOAD_GROUPRAW_HAZARD311
auto_CSR_GROUPauto_ALU_GROUPRAW_HAZARD874511
auto_CSR_GROUPauto_JUMP_GROUPRAW_HAZARD21
auto_CSR_GROUPauto_CSR_GROUPRAW_HAZARD191
auto_CSR_GROUPauto_MUL_GROUPRAW_HAZARD41
auto_CSR_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD81
auto_CSR_GROUPauto_DIV_GROUPRAW_HAZARD121
auto_MUL_GROUPauto_LOAD_GROUPRAW_HAZARD1201
auto_MUL_GROUPauto_ALU_GROUPRAW_HAZARD35971
auto_MUL_GROUPauto_JUMP_GROUPRAW_HAZARD91
auto_MUL_GROUPauto_CSR_GROUPRAW_HAZARD601
auto_MUL_GROUPauto_MUL_GROUPRAW_HAZARD641
auto_MUL_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD2021
auto_MUL_GROUPauto_DIV_GROUPRAW_HAZARD2881
auto_MULTI_MUL_GROUPauto_LOAD_GROUPRAW_HAZARD1731
auto_MULTI_MUL_GROUPauto_ALU_GROUPRAW_HAZARD95451
auto_MULTI_MUL_GROUPauto_JUMP_GROUPRAW_HAZARD161
auto_MULTI_MUL_GROUPauto_CSR_GROUPRAW_HAZARD811
auto_MULTI_MUL_GROUPauto_MUL_GROUPRAW_HAZARD1751
auto_MULTI_MUL_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD5451
auto_MULTI_MUL_GROUPauto_DIV_GROUPRAW_HAZARD7111
auto_DIV_GROUPauto_LOAD_GROUPRAW_HAZARD2401
auto_DIV_GROUPauto_ALU_GROUPRAW_HAZARD125631
auto_DIV_GROUPauto_JUMP_GROUPRAW_HAZARD221
auto_DIV_GROUPauto_CSR_GROUPRAW_HAZARD951
auto_DIV_GROUPauto_MUL_GROUPRAW_HAZARD2871
auto_DIV_GROUPauto_MULTI_MUL_GROUPRAW_HAZARD7161
auto_DIV_GROUPauto_DIV_GROUPRAW_HAZARD9351

+
+User Defined Cross Bins for cross_seq_gpr_raw_hazard +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
IGN_HAZ0Excluded
IGN_GROUP0Excluded
IGN_PREV_GROUP0Excluded

+
+
+Summary for Cross cross_seq_csr_hazard_x2 +
+
+Samples crossed: cp_csr cp_group cp_csr_hazard
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL236602366100.00
Automatically Generated Cross Bins236602366100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_seq_csr_hazard_x2 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_csrcp_groupcp_csr_hazardCOUNTSTATUS
[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_LOAD_GROUP , auto_STORE_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Excluded(20 bins)
[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_ALU_GROUP , auto_BRANCH_GROUP , auto_JUMP_GROUP , auto_FENCE_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Excluded(40 bins)
[RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_RET_GROUP , auto_WFI_GROUP , auto_CSR_GROUP , auto_ENV_GROUP , auto_MUL_GROUP , auto_MULTI_MUL_GROUP , auto_DIV_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Excluded(70 bins)
[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_UNKNOWN_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Illegal(374 bins)
[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_MISALIGN_LOAD_GROUP , auto_MISALIGN_STORE_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Illegal(748 bins)
[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_FENCE_I_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Illegal(374 bins)
[RW_CSR_MSTATUS , RW_CSR_MISA , RW_CSR_MIE , RW_CSR_MTVEC , RW_CSR_MSTATUSH , RW_CSR_MCOUNTINHIBIT , RW_CSR_MHPMEVENT3 , RW_CSR_MHPMEVENT4 , RW_CSR_MHPMEVENT5 , RW_CSR_MHPMEVENT6 , RW_CSR_MHPMEVENT7 , RW_CSR_MHPMEVENT8 , RW_CSR_MHPMEVENT9 , RW_CSR_MHPMEVENT10 , RW_CSR_MHPMEVENT11 , RW_CSR_MHPMEVENT12 , RW_CSR_MHPMEVENT13 , RW_CSR_MHPMEVENT14 , RW_CSR_MHPMEVENT15 , RW_CSR_MHPMEVENT16 , RW_CSR_MHPMEVENT17 , RW_CSR_MHPMEVENT18 , RW_CSR_MHPMEVENT19 , RW_CSR_MHPMEVENT20 , RW_CSR_MHPMEVENT21 , RW_CSR_MHPMEVENT22 , RW_CSR_MHPMEVENT23 , RW_CSR_MHPMEVENT24 , RW_CSR_MHPMEVENT25 , RW_CSR_MHPMEVENT26 , RW_CSR_MHPMEVENT27 , RW_CSR_MHPMEVENT28 , RW_CSR_MHPMEVENT29 , RW_CSR_MHPMEVENT30 , RW_CSR_MHPMEVENT31 , RW_CSR_MSCRATCH , RW_CSR_MEPC , RW_CSR_MCAUSE , RW_CSR_MTVAL , RW_CSR_MIP , RW_CSR_PMPCFG0 , RW_CSR_PMPCFG1 , RW_CSR_PMPCFG2 , RW_CSR_PMPCFG3 , RW_CSR_PMPCFG4 , RW_CSR_PMPCFG5 , RW_CSR_PMPCFG6 , RW_CSR_PMPCFG7 , RW_CSR_PMPCFG8 , RW_CSR_PMPCFG9 , RW_CSR_PMPCFG10 , RW_CSR_PMPCFG11 , RW_CSR_PMPCFG12 , RW_CSR_PMPCFG13 , RW_CSR_PMPCFG14 , RW_CSR_PMPCFG15 , RW_CSR_PMPADDR0 , RW_CSR_PMPADDR1 , RW_CSR_PMPADDR2 , RW_CSR_PMPADDR3 , RW_CSR_PMPADDR4 , RW_CSR_PMPADDR5 , RW_CSR_PMPADDR6 , RW_CSR_PMPADDR7 , RW_CSR_PMPADDR8 , RW_CSR_PMPADDR9 , RW_CSR_PMPADDR10 , RW_CSR_PMPADDR11 , RW_CSR_PMPADDR12 , RW_CSR_PMPADDR13 , RW_CSR_PMPADDR14 , RW_CSR_PMPADDR15 , RW_CSR_PMPADDR16 , RW_CSR_PMPADDR17 , RW_CSR_PMPADDR18 , RW_CSR_PMPADDR19 , RW_CSR_PMPADDR20 , RW_CSR_PMPADDR21 , RW_CSR_PMPADDR22 , RW_CSR_PMPADDR23 , RW_CSR_PMPADDR24 , RW_CSR_PMPADDR25 , RW_CSR_PMPADDR26 , RW_CSR_PMPADDR27 , RW_CSR_PMPADDR28 , RW_CSR_PMPADDR29 , RW_CSR_PMPADDR30 , RW_CSR_PMPADDR31 , RW_CSR_PMPADDR32 , RW_CSR_PMPADDR33 , RW_CSR_PMPADDR34 , RW_CSR_PMPADDR35 , RW_CSR_PMPADDR36 , RW_CSR_PMPADDR37 , RW_CSR_PMPADDR38 , RW_CSR_PMPADDR39 , RW_CSR_PMPADDR40 , RW_CSR_PMPADDR41 , RW_CSR_PMPADDR42 , RW_CSR_PMPADDR43 , RW_CSR_PMPADDR44 , RW_CSR_PMPADDR45 , RW_CSR_PMPADDR46 , RW_CSR_PMPADDR47 , RW_CSR_PMPADDR48 , RW_CSR_PMPADDR49 , RW_CSR_PMPADDR50 , RW_CSR_PMPADDR51 , RW_CSR_PMPADDR52 , RW_CSR_PMPADDR53 , RW_CSR_PMPADDR54 , RW_CSR_PMPADDR55 , RW_CSR_PMPADDR56 , RW_CSR_PMPADDR57 , RW_CSR_PMPADDR58 , RW_CSR_PMPADDR59 , RW_CSR_PMPADDR60 , RW_CSR_PMPADDR61 , RW_CSR_PMPADDR62 , RW_CSR_PMPADDR63 , RW_CSR_MCYCLE , RW_CSR_MINSTRET , RW_CSR_MHPMCOUNTER3 , RW_CSR_MHPMCOUNTER4 , RW_CSR_MHPMCOUNTER5 , RW_CSR_MHPMCOUNTER6 , RW_CSR_MHPMCOUNTER7 , RW_CSR_MHPMCOUNTER8 , RW_CSR_MHPMCOUNTER9 , RW_CSR_MHPMCOUNTER10 , RW_CSR_MHPMCOUNTER11 , RW_CSR_MHPMCOUNTER12 , RW_CSR_MHPMCOUNTER13 , RW_CSR_MHPMCOUNTER14 , RW_CSR_MHPMCOUNTER15 , RW_CSR_MHPMCOUNTER16 , RW_CSR_MHPMCOUNTER17 , RW_CSR_MHPMCOUNTER18 , RW_CSR_MHPMCOUNTER19 , RW_CSR_MHPMCOUNTER20 , RW_CSR_MHPMCOUNTER21 , RW_CSR_MHPMCOUNTER22 , RW_CSR_MHPMCOUNTER23 , RW_CSR_MHPMCOUNTER24 , RW_CSR_MHPMCOUNTER25 , RW_CSR_MHPMCOUNTER26 , RW_CSR_MHPMCOUNTER27 , RW_CSR_MHPMCOUNTER28 , RW_CSR_MHPMCOUNTER29 , RW_CSR_MHPMCOUNTER30 , RW_CSR_MHPMCOUNTER31 , RW_CSR_MCYCLEH , RW_CSR_MINSTRETH , RW_CSR_MHPMCOUNTER3H , RW_CSR_MHPMCOUNTER4H , RW_CSR_MHPMCOUNTER5H , RW_CSR_MHPMCOUNTER6H , RW_CSR_MHPMCOUNTER7H , RW_CSR_MHPMCOUNTER8H , RW_CSR_MHPMCOUNTER9H , RW_CSR_MHPMCOUNTER10H , RW_CSR_MHPMCOUNTER11H , RW_CSR_MHPMCOUNTER12H , RW_CSR_MHPMCOUNTER13H , RW_CSR_MHPMCOUNTER14H , RW_CSR_MHPMCOUNTER15H , RW_CSR_MHPMCOUNTER16H , RW_CSR_MHPMCOUNTER17H , RW_CSR_MHPMCOUNTER18H , RW_CSR_MHPMCOUNTER19H , RW_CSR_MHPMCOUNTER20H , RW_CSR_MHPMCOUNTER21H , RW_CSR_MHPMCOUNTER22H , RW_CSR_MHPMCOUNTER23H , RW_CSR_MHPMCOUNTER24H , RW_CSR_MHPMCOUNTER25H , RW_CSR_MHPMCOUNTER26H , RW_CSR_MHPMCOUNTER27H , RW_CSR_MHPMCOUNTER28H , RW_CSR_MHPMCOUNTER29H , RW_CSR_MHPMCOUNTER30H , RW_CSR_MHPMCOUNTER31H , RW_CSR_MVENDORID , RW_CSR_MARCHID , RW_CSR_MIMPID , RW_CSR_MHARTID , RW_CSR_MCONFIGPTR][auto_ALOAD_GROUP , auto_ASTORE_GROUP , auto_AMEM_GROUP][CSR_HAZARD , NO_CSR_HAZARD]--Illegal(1122 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_csrcp_groupcp_csr_hazardCOUNTAT LEAST
RW_CSR_MSTATUSauto_LOAD_GROUPCSR_HAZARD201
RW_CSR_MSTATUSauto_STORE_GROUPCSR_HAZARD51
RW_CSR_MSTATUSauto_ALU_GROUPCSR_HAZARD26681
RW_CSR_MSTATUSauto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MSTATUSauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MSTATUSauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MSTATUSauto_RET_GROUPCSR_HAZARD11
RW_CSR_MSTATUSauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MSTATUSauto_CSR_GROUPCSR_HAZARD3091
RW_CSR_MSTATUSauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MSTATUSauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MSTATUSauto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_MSTATUSauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MISAauto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MISAauto_STORE_GROUPCSR_HAZARD91
RW_CSR_MISAauto_ALU_GROUPCSR_HAZARD24551
RW_CSR_MISAauto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_MISAauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MISAauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MISAauto_RET_GROUPCSR_HAZARD11
RW_CSR_MISAauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MISAauto_CSR_GROUPCSR_HAZARD471
RW_CSR_MISAauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MISAauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MISAauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MISAauto_DIV_GROUPCSR_HAZARD111
RW_CSR_MIEauto_LOAD_GROUPCSR_HAZARD191
RW_CSR_MIEauto_STORE_GROUPCSR_HAZARD91
RW_CSR_MIEauto_ALU_GROUPCSR_HAZARD1191
RW_CSR_MIEauto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_MIEauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MIEauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MIEauto_RET_GROUPCSR_HAZARD23411
RW_CSR_MIEauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MIEauto_CSR_GROUPCSR_HAZARD781
RW_CSR_MIEauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MIEauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MIEauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MIEauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MTVECauto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MTVECauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MTVECauto_ALU_GROUPCSR_HAZARD23861
RW_CSR_MTVECauto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_MTVECauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MTVECauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MTVECauto_RET_GROUPCSR_HAZARD21
RW_CSR_MTVECauto_WFI_GROUPCSR_HAZARD21
RW_CSR_MTVECauto_CSR_GROUPCSR_HAZARD441
RW_CSR_MTVECauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MTVECauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MTVECauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MTVECauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MSTATUSHauto_LOAD_GROUPCSR_HAZARD171
RW_CSR_MSTATUSHauto_STORE_GROUPCSR_HAZARD91
RW_CSR_MSTATUSHauto_ALU_GROUPCSR_HAZARD1061
RW_CSR_MSTATUSHauto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MSTATUSHauto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MSTATUSHauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MSTATUSHauto_RET_GROUPCSR_HAZARD11
RW_CSR_MSTATUSHauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MSTATUSHauto_CSR_GROUPCSR_HAZARD471
RW_CSR_MSTATUSHauto_ENV_GROUPCSR_HAZARD81
RW_CSR_MSTATUSHauto_MUL_GROUPCSR_HAZARD51
RW_CSR_MSTATUSHauto_MULTI_MUL_GROUPCSR_HAZARD101
RW_CSR_MSTATUSHauto_DIV_GROUPCSR_HAZARD71
RW_CSR_MCOUNTINHIBITauto_LOAD_GROUPCSR_HAZARD241
RW_CSR_MCOUNTINHIBITauto_STORE_GROUPCSR_HAZARD111
RW_CSR_MCOUNTINHIBITauto_ALU_GROUPCSR_HAZARD1151
RW_CSR_MCOUNTINHIBITauto_BRANCH_GROUPCSR_HAZARD111
RW_CSR_MCOUNTINHIBITauto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MCOUNTINHIBITauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MCOUNTINHIBITauto_RET_GROUPCSR_HAZARD11
RW_CSR_MCOUNTINHIBITauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MCOUNTINHIBITauto_CSR_GROUPCSR_HAZARD171
RW_CSR_MCOUNTINHIBITauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MCOUNTINHIBITauto_MUL_GROUPCSR_HAZARD81
RW_CSR_MCOUNTINHIBITauto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_MCOUNTINHIBITauto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT3auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT3auto_STORE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT3auto_ALU_GROUPCSR_HAZARD821
RW_CSR_MHPMEVENT3auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT3auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT3auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT3auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT3auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT3auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMEVENT3auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT3auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT3auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT3auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT4auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMEVENT4auto_STORE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT4auto_ALU_GROUPCSR_HAZARD611
RW_CSR_MHPMEVENT4auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT4auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT4auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT4auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT4auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT4auto_CSR_GROUPCSR_HAZARD361
RW_CSR_MHPMEVENT4auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT4auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT4auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT4auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT5auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMEVENT5auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT5auto_ALU_GROUPCSR_HAZARD661
RW_CSR_MHPMEVENT5auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT5auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT5auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT5auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT5auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT5auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMEVENT5auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT5auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT5auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT5auto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT6auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT6auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT6auto_ALU_GROUPCSR_HAZARD761
RW_CSR_MHPMEVENT6auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT6auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT6auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT6auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT6auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT6auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMEVENT6auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT6auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT6auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT6auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT7auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMEVENT7auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT7auto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMEVENT7auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT7auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT7auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT7auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT7auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT7auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMEVENT7auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT7auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT7auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT7auto_DIV_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT8auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT8auto_STORE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT8auto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMEVENT8auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT8auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT8auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT8auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT8auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT8auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT8auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT8auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT8auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT8auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT9auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT9auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT9auto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMEVENT9auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT9auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT9auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT9auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT9auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT9auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMEVENT9auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT9auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT9auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT9auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT10auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT10auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT10auto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMEVENT10auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT10auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT10auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT10auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT10auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT10auto_CSR_GROUPCSR_HAZARD361
RW_CSR_MHPMEVENT10auto_ENV_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT10auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT10auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT10auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT11auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMEVENT11auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT11auto_ALU_GROUPCSR_HAZARD721
RW_CSR_MHPMEVENT11auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT11auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT11auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT11auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT11auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT11auto_CSR_GROUPCSR_HAZARD431
RW_CSR_MHPMEVENT11auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT11auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT11auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT11auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT12auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT12auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT12auto_ALU_GROUPCSR_HAZARD721
RW_CSR_MHPMEVENT12auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT12auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT12auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT12auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT12auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT12auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT12auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT12auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT12auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT12auto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT13auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT13auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT13auto_ALU_GROUPCSR_HAZARD751
RW_CSR_MHPMEVENT13auto_BRANCH_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT13auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT13auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT13auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT13auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT13auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT13auto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT13auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT13auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT13auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT14auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT14auto_STORE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT14auto_ALU_GROUPCSR_HAZARD591
RW_CSR_MHPMEVENT14auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT14auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT14auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT14auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT14auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT14auto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMEVENT14auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT14auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT14auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT14auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT15auto_LOAD_GROUPCSR_HAZARD151
RW_CSR_MHPMEVENT15auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT15auto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMEVENT15auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT15auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT15auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT15auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT15auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT15auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMEVENT15auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT15auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT15auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT15auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT16auto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MHPMEVENT16auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT16auto_ALU_GROUPCSR_HAZARD621
RW_CSR_MHPMEVENT16auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT16auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT16auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT16auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT16auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT16auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMEVENT16auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT16auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT16auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT16auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT17auto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MHPMEVENT17auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT17auto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMEVENT17auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT17auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT17auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT17auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT17auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT17auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMEVENT17auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT17auto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT17auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT17auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT18auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT18auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT18auto_ALU_GROUPCSR_HAZARD731
RW_CSR_MHPMEVENT18auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT18auto_JUMP_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT18auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT18auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT18auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT18auto_CSR_GROUPCSR_HAZARD361
RW_CSR_MHPMEVENT18auto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT18auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT18auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT18auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT19auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT19auto_STORE_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT19auto_ALU_GROUPCSR_HAZARD581
RW_CSR_MHPMEVENT19auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT19auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT19auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT19auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT19auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT19auto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMEVENT19auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT19auto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT19auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT19auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT20auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT20auto_STORE_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT20auto_ALU_GROUPCSR_HAZARD601
RW_CSR_MHPMEVENT20auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT20auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT20auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT20auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT20auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT20auto_CSR_GROUPCSR_HAZARD391
RW_CSR_MHPMEVENT20auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT20auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT20auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT20auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT21auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMEVENT21auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT21auto_ALU_GROUPCSR_HAZARD661
RW_CSR_MHPMEVENT21auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT21auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT21auto_FENCE_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT21auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT21auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT21auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT21auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT21auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT21auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT21auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT22auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT22auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT22auto_ALU_GROUPCSR_HAZARD831
RW_CSR_MHPMEVENT22auto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_MHPMEVENT22auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT22auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT22auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT22auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT22auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT22auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT22auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT22auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT22auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT23auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT23auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT23auto_ALU_GROUPCSR_HAZARD701
RW_CSR_MHPMEVENT23auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT23auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT23auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT23auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT23auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT23auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMEVENT23auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT23auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT23auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT23auto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT24auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT24auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT24auto_ALU_GROUPCSR_HAZARD731
RW_CSR_MHPMEVENT24auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT24auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT24auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT24auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT24auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT24auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT24auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT24auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT24auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT24auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT25auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT25auto_STORE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT25auto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMEVENT25auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT25auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT25auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT25auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT25auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT25auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMEVENT25auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT25auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT25auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT25auto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT26auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT26auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT26auto_ALU_GROUPCSR_HAZARD751
RW_CSR_MHPMEVENT26auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT26auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT26auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT26auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT26auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT26auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMEVENT26auto_ENV_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT26auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT26auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT26auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT27auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT27auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT27auto_ALU_GROUPCSR_HAZARD821
RW_CSR_MHPMEVENT27auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT27auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT27auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT27auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT27auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT27auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMEVENT27auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT27auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT27auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT27auto_DIV_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT28auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMEVENT28auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT28auto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMEVENT28auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT28auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT28auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT28auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT28auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT28auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMEVENT28auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT28auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT28auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT28auto_DIV_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT29auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMEVENT29auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMEVENT29auto_ALU_GROUPCSR_HAZARD611
RW_CSR_MHPMEVENT29auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT29auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT29auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT29auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT29auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT29auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMEVENT29auto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMEVENT29auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT29auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT29auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT30auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT30auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT30auto_ALU_GROUPCSR_HAZARD631
RW_CSR_MHPMEVENT30auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMEVENT30auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT30auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT30auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT30auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT30auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMEVENT30auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT30auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT30auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT30auto_DIV_GROUPCSR_HAZARD101
RW_CSR_MHPMEVENT31auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMEVENT31auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMEVENT31auto_ALU_GROUPCSR_HAZARD971
RW_CSR_MHPMEVENT31auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMEVENT31auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT31auto_FENCE_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT31auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT31auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMEVENT31auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMEVENT31auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMEVENT31auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMEVENT31auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMEVENT31auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MSCRATCHauto_LOAD_GROUPCSR_HAZARD25791
RW_CSR_MSCRATCHauto_STORE_GROUPCSR_HAZARD13741
RW_CSR_MSCRATCHauto_ALU_GROUPCSR_HAZARD119631
RW_CSR_MSCRATCHauto_BRANCH_GROUPCSR_HAZARD8461
RW_CSR_MSCRATCHauto_JUMP_GROUPCSR_HAZARD4451
RW_CSR_MSCRATCHauto_FENCE_GROUPCSR_HAZARD1541
RW_CSR_MSCRATCHauto_RET_GROUPCSR_HAZARD11
RW_CSR_MSCRATCHauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MSCRATCHauto_CSR_GROUPCSR_HAZARD11041
RW_CSR_MSCRATCHauto_ENV_GROUPCSR_HAZARD221
RW_CSR_MSCRATCHauto_MUL_GROUPCSR_HAZARD2671
RW_CSR_MSCRATCHauto_MULTI_MUL_GROUPCSR_HAZARD5221
RW_CSR_MSCRATCHauto_DIV_GROUPCSR_HAZARD6971
RW_CSR_MEPCauto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MEPCauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MEPCauto_ALU_GROUPCSR_HAZARD624201
RW_CSR_MEPCauto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MEPCauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MEPCauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MEPCauto_RET_GROUPCSR_HAZARD119751
RW_CSR_MEPCauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MEPCauto_CSR_GROUPCSR_HAZARD2301
RW_CSR_MEPCauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MEPCauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MEPCauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MEPCauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MCAUSEauto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MCAUSEauto_STORE_GROUPCSR_HAZARD101
RW_CSR_MCAUSEauto_ALU_GROUPCSR_HAZARD1111
RW_CSR_MCAUSEauto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_MCAUSEauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MCAUSEauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MCAUSEauto_RET_GROUPCSR_HAZARD11
RW_CSR_MCAUSEauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MCAUSEauto_CSR_GROUPCSR_HAZARD481
RW_CSR_MCAUSEauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MCAUSEauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MCAUSEauto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_MCAUSEauto_DIV_GROUPCSR_HAZARD101
RW_CSR_MTVALauto_LOAD_GROUPCSR_HAZARD211
RW_CSR_MTVALauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MTVALauto_ALU_GROUPCSR_HAZARD1251
RW_CSR_MTVALauto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MTVALauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MTVALauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MTVALauto_RET_GROUPCSR_HAZARD11
RW_CSR_MTVALauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MTVALauto_CSR_GROUPCSR_HAZARD431
RW_CSR_MTVALauto_ENV_GROUPCSR_HAZARD61
RW_CSR_MTVALauto_MUL_GROUPCSR_HAZARD11
RW_CSR_MTVALauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MTVALauto_DIV_GROUPCSR_HAZARD91
RW_CSR_MIPauto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MIPauto_STORE_GROUPCSR_HAZARD71
RW_CSR_MIPauto_ALU_GROUPCSR_HAZARD1241
RW_CSR_MIPauto_BRANCH_GROUPCSR_HAZARD151
RW_CSR_MIPauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MIPauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MIPauto_RET_GROUPCSR_HAZARD11
RW_CSR_MIPauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MIPauto_CSR_GROUPCSR_HAZARD891
RW_CSR_MIPauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MIPauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MIPauto_MULTI_MUL_GROUPCSR_HAZARD81
RW_CSR_MIPauto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG0auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_PMPCFG0auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPCFG0auto_ALU_GROUPCSR_HAZARD941
RW_CSR_PMPCFG0auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPCFG0auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG0auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPCFG0auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG0auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG0auto_CSR_GROUPCSR_HAZARD721
RW_CSR_PMPCFG0auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG0auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPCFG0auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPCFG0auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPCFG1auto_LOAD_GROUPCSR_HAZARD151
RW_CSR_PMPCFG1auto_STORE_GROUPCSR_HAZARD131
RW_CSR_PMPCFG1auto_ALU_GROUPCSR_HAZARD1031
RW_CSR_PMPCFG1auto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_PMPCFG1auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG1auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPCFG1auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG1auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG1auto_CSR_GROUPCSR_HAZARD591
RW_CSR_PMPCFG1auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPCFG1auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG1auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG1auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPCFG2auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_PMPCFG2auto_STORE_GROUPCSR_HAZARD161
RW_CSR_PMPCFG2auto_ALU_GROUPCSR_HAZARD821
RW_CSR_PMPCFG2auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_PMPCFG2auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG2auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPCFG2auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG2auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG2auto_CSR_GROUPCSR_HAZARD621
RW_CSR_PMPCFG2auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPCFG2auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG2auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPCFG2auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPCFG3auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPCFG3auto_STORE_GROUPCSR_HAZARD101
RW_CSR_PMPCFG3auto_ALU_GROUPCSR_HAZARD1011
RW_CSR_PMPCFG3auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPCFG3auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG3auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPCFG3auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG3auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG3auto_CSR_GROUPCSR_HAZARD621
RW_CSR_PMPCFG3auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG3auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG3auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPCFG3auto_DIV_GROUPCSR_HAZARD121
RW_CSR_PMPCFG4auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_PMPCFG4auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPCFG4auto_ALU_GROUPCSR_HAZARD851
RW_CSR_PMPCFG4auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPCFG4auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG4auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPCFG4auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG4auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG4auto_CSR_GROUPCSR_HAZARD331
RW_CSR_PMPCFG4auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPCFG4auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG4auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG4auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG5auto_LOAD_GROUPCSR_HAZARD171
RW_CSR_PMPCFG5auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPCFG5auto_ALU_GROUPCSR_HAZARD801
RW_CSR_PMPCFG5auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPCFG5auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG5auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPCFG5auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG5auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG5auto_CSR_GROUPCSR_HAZARD281
RW_CSR_PMPCFG5auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG5auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG5auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_PMPCFG5auto_DIV_GROUPCSR_HAZARD71
RW_CSR_PMPCFG6auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_PMPCFG6auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPCFG6auto_ALU_GROUPCSR_HAZARD911
RW_CSR_PMPCFG6auto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_PMPCFG6auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG6auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPCFG6auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG6auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG6auto_CSR_GROUPCSR_HAZARD291
RW_CSR_PMPCFG6auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPCFG6auto_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPCFG6auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG6auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG7auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_PMPCFG7auto_STORE_GROUPCSR_HAZARD81
RW_CSR_PMPCFG7auto_ALU_GROUPCSR_HAZARD851
RW_CSR_PMPCFG7auto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_PMPCFG7auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG7auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPCFG7auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG7auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG7auto_CSR_GROUPCSR_HAZARD271
RW_CSR_PMPCFG7auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPCFG7auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPCFG7auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_PMPCFG7auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG8auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_PMPCFG8auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPCFG8auto_ALU_GROUPCSR_HAZARD1071
RW_CSR_PMPCFG8auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPCFG8auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG8auto_FENCE_GROUPCSR_HAZARD41
RW_CSR_PMPCFG8auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG8auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG8auto_CSR_GROUPCSR_HAZARD271
RW_CSR_PMPCFG8auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPCFG8auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG8auto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_PMPCFG8auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG9auto_LOAD_GROUPCSR_HAZARD151
RW_CSR_PMPCFG9auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPCFG9auto_ALU_GROUPCSR_HAZARD891
RW_CSR_PMPCFG9auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_PMPCFG9auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_PMPCFG9auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPCFG9auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG9auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG9auto_CSR_GROUPCSR_HAZARD311
RW_CSR_PMPCFG9auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPCFG9auto_MUL_GROUPCSR_HAZARD71
RW_CSR_PMPCFG9auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG9auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG10auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_PMPCFG10auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPCFG10auto_ALU_GROUPCSR_HAZARD891
RW_CSR_PMPCFG10auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPCFG10auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG10auto_FENCE_GROUPCSR_HAZARD41
RW_CSR_PMPCFG10auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG10auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG10auto_CSR_GROUPCSR_HAZARD271
RW_CSR_PMPCFG10auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG10auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG10auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG10auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG11auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPCFG11auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPCFG11auto_ALU_GROUPCSR_HAZARD1091
RW_CSR_PMPCFG11auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPCFG11auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG11auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPCFG11auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG11auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG11auto_CSR_GROUPCSR_HAZARD251
RW_CSR_PMPCFG11auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPCFG11auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG11auto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_PMPCFG11auto_DIV_GROUPCSR_HAZARD101
RW_CSR_PMPCFG12auto_LOAD_GROUPCSR_HAZARD191
RW_CSR_PMPCFG12auto_STORE_GROUPCSR_HAZARD121
RW_CSR_PMPCFG12auto_ALU_GROUPCSR_HAZARD841
RW_CSR_PMPCFG12auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPCFG12auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG12auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPCFG12auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG12auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG12auto_CSR_GROUPCSR_HAZARD311
RW_CSR_PMPCFG12auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPCFG12auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG12auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPCFG12auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG13auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPCFG13auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPCFG13auto_ALU_GROUPCSR_HAZARD871
RW_CSR_PMPCFG13auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPCFG13auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG13auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPCFG13auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG13auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG13auto_CSR_GROUPCSR_HAZARD291
RW_CSR_PMPCFG13auto_ENV_GROUPCSR_HAZARD51
RW_CSR_PMPCFG13auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG13auto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_PMPCFG13auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG14auto_LOAD_GROUPCSR_HAZARD171
RW_CSR_PMPCFG14auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPCFG14auto_ALU_GROUPCSR_HAZARD881
RW_CSR_PMPCFG14auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPCFG14auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPCFG14auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPCFG14auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG14auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG14auto_CSR_GROUPCSR_HAZARD261
RW_CSR_PMPCFG14auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPCFG14auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG14auto_MULTI_MUL_GROUPCSR_HAZARD91
RW_CSR_PMPCFG14auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPCFG15auto_LOAD_GROUPCSR_HAZARD151
RW_CSR_PMPCFG15auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPCFG15auto_ALU_GROUPCSR_HAZARD1071
RW_CSR_PMPCFG15auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPCFG15auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPCFG15auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPCFG15auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPCFG15auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPCFG15auto_CSR_GROUPCSR_HAZARD341
RW_CSR_PMPCFG15auto_ENV_GROUPCSR_HAZARD61
RW_CSR_PMPCFG15auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPCFG15auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPCFG15auto_DIV_GROUPCSR_HAZARD91
RW_CSR_PMPADDR0auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_PMPADDR0auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR0auto_ALU_GROUPCSR_HAZARD521
RW_CSR_PMPADDR0auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR0auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR0auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR0auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR0auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR0auto_CSR_GROUPCSR_HAZARD501
RW_CSR_PMPADDR0auto_ENV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR0auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR0auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR0auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR1auto_LOAD_GROUPCSR_HAZARD51
RW_CSR_PMPADDR1auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPADDR1auto_ALU_GROUPCSR_HAZARD491
RW_CSR_PMPADDR1auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR1auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR1auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR1auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR1auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR1auto_CSR_GROUPCSR_HAZARD441
RW_CSR_PMPADDR1auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR1auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR1auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR1auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR2auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR2auto_STORE_GROUPCSR_HAZARD81
RW_CSR_PMPADDR2auto_ALU_GROUPCSR_HAZARD531
RW_CSR_PMPADDR2auto_BRANCH_GROUPCSR_HAZARD111
RW_CSR_PMPADDR2auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR2auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR2auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR2auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR2auto_CSR_GROUPCSR_HAZARD461
RW_CSR_PMPADDR2auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR2auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR2auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR2auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR3auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR3auto_STORE_GROUPCSR_HAZARD81
RW_CSR_PMPADDR3auto_ALU_GROUPCSR_HAZARD491
RW_CSR_PMPADDR3auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR3auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR3auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR3auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR3auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR3auto_CSR_GROUPCSR_HAZARD451
RW_CSR_PMPADDR3auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR3auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR3auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR3auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR4auto_LOAD_GROUPCSR_HAZARD31
RW_CSR_PMPADDR4auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR4auto_ALU_GROUPCSR_HAZARD491
RW_CSR_PMPADDR4auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPADDR4auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR4auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR4auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR4auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR4auto_CSR_GROUPCSR_HAZARD441
RW_CSR_PMPADDR4auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR4auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR4auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR4auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR5auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR5auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR5auto_ALU_GROUPCSR_HAZARD591
RW_CSR_PMPADDR5auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR5auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_PMPADDR5auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR5auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR5auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR5auto_CSR_GROUPCSR_HAZARD471
RW_CSR_PMPADDR5auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR5auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR5auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR5auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR6auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR6auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR6auto_ALU_GROUPCSR_HAZARD541
RW_CSR_PMPADDR6auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR6auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR6auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR6auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR6auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR6auto_CSR_GROUPCSR_HAZARD471
RW_CSR_PMPADDR6auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR6auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR6auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR6auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR7auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR7auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR7auto_ALU_GROUPCSR_HAZARD601
RW_CSR_PMPADDR7auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR7auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR7auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR7auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR7auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR7auto_CSR_GROUPCSR_HAZARD421
RW_CSR_PMPADDR7auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR7auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR7auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR7auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR8auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR8auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR8auto_ALU_GROUPCSR_HAZARD301
RW_CSR_PMPADDR8auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR8auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR8auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR8auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR8auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR8auto_CSR_GROUPCSR_HAZARD421
RW_CSR_PMPADDR8auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR8auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR8auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR8auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR9auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR9auto_STORE_GROUPCSR_HAZARD91
RW_CSR_PMPADDR9auto_ALU_GROUPCSR_HAZARD711
RW_CSR_PMPADDR9auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR9auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR9auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR9auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR9auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR9auto_CSR_GROUPCSR_HAZARD421
RW_CSR_PMPADDR9auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR9auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR9auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR9auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR10auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_PMPADDR10auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR10auto_ALU_GROUPCSR_HAZARD611
RW_CSR_PMPADDR10auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR10auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR10auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR10auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR10auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR10auto_CSR_GROUPCSR_HAZARD391
RW_CSR_PMPADDR10auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR10auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR10auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR10auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR11auto_LOAD_GROUPCSR_HAZARD51
RW_CSR_PMPADDR11auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR11auto_ALU_GROUPCSR_HAZARD611
RW_CSR_PMPADDR11auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR11auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR11auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR11auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR11auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR11auto_CSR_GROUPCSR_HAZARD451
RW_CSR_PMPADDR11auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR11auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR11auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR11auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR12auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR12auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPADDR12auto_ALU_GROUPCSR_HAZARD681
RW_CSR_PMPADDR12auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR12auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR12auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR12auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR12auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR12auto_CSR_GROUPCSR_HAZARD431
RW_CSR_PMPADDR12auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR12auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR12auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR12auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR13auto_LOAD_GROUPCSR_HAZARD51
RW_CSR_PMPADDR13auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPADDR13auto_ALU_GROUPCSR_HAZARD581
RW_CSR_PMPADDR13auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR13auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR13auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR13auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR13auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR13auto_CSR_GROUPCSR_HAZARD411
RW_CSR_PMPADDR13auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR13auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR13auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_PMPADDR13auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR14auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR14auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR14auto_ALU_GROUPCSR_HAZARD531
RW_CSR_PMPADDR14auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR14auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_PMPADDR14auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR14auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR14auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR14auto_CSR_GROUPCSR_HAZARD421
RW_CSR_PMPADDR14auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR14auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR14auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR14auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR15auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_PMPADDR15auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR15auto_ALU_GROUPCSR_HAZARD561
RW_CSR_PMPADDR15auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPADDR15auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR15auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR15auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR15auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR15auto_CSR_GROUPCSR_HAZARD411
RW_CSR_PMPADDR15auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR15auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR15auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR15auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR16auto_LOAD_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_ALU_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_BRANCH_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_CSR_GROUPCSR_HAZARD101
RW_CSR_PMPADDR16auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR16auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR17auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_PMPADDR17auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR17auto_ALU_GROUPCSR_HAZARD531
RW_CSR_PMPADDR17auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR17auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR17auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR17auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR17auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR17auto_CSR_GROUPCSR_HAZARD121
RW_CSR_PMPADDR17auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR17auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR17auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR17auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR18auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR18auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR18auto_ALU_GROUPCSR_HAZARD471
RW_CSR_PMPADDR18auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR18auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR18auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR18auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR18auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR18auto_CSR_GROUPCSR_HAZARD111
RW_CSR_PMPADDR18auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR18auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR18auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR18auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR19auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR19auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR19auto_ALU_GROUPCSR_HAZARD451
RW_CSR_PMPADDR19auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR19auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR19auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR19auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR19auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR19auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR19auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR19auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR19auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR19auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR20auto_LOAD_GROUPCSR_HAZARD51
RW_CSR_PMPADDR20auto_STORE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR20auto_ALU_GROUPCSR_HAZARD551
RW_CSR_PMPADDR20auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR20auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR20auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR20auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR20auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR20auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR20auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR20auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR20auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR20auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR21auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR21auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR21auto_ALU_GROUPCSR_HAZARD371
RW_CSR_PMPADDR21auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR21auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR21auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR21auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR21auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR21auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR21auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR21auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR21auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR21auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR22auto_LOAD_GROUPCSR_HAZARD151
RW_CSR_PMPADDR22auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR22auto_ALU_GROUPCSR_HAZARD591
RW_CSR_PMPADDR22auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPADDR22auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR22auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR22auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR22auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR22auto_CSR_GROUPCSR_HAZARD121
RW_CSR_PMPADDR22auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR22auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR22auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR22auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR23auto_LOAD_GROUPCSR_HAZARD151
RW_CSR_PMPADDR23auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR23auto_ALU_GROUPCSR_HAZARD431
RW_CSR_PMPADDR23auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR23auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR23auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR23auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR23auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR23auto_CSR_GROUPCSR_HAZARD121
RW_CSR_PMPADDR23auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR23auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR23auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR23auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR24auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR24auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR24auto_ALU_GROUPCSR_HAZARD481
RW_CSR_PMPADDR24auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR24auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR24auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR24auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR24auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR24auto_CSR_GROUPCSR_HAZARD181
RW_CSR_PMPADDR24auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR24auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR24auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR24auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR25auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR25auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPADDR25auto_ALU_GROUPCSR_HAZARD571
RW_CSR_PMPADDR25auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR25auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR25auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR25auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR25auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR25auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR25auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR25auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR25auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR25auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR26auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR26auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPADDR26auto_ALU_GROUPCSR_HAZARD501
RW_CSR_PMPADDR26auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR26auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR26auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR26auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR26auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR26auto_CSR_GROUPCSR_HAZARD161
RW_CSR_PMPADDR26auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR26auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR26auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR26auto_DIV_GROUPCSR_HAZARD71
RW_CSR_PMPADDR27auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_PMPADDR27auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR27auto_ALU_GROUPCSR_HAZARD591
RW_CSR_PMPADDR27auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR27auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR27auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR27auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR27auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR27auto_CSR_GROUPCSR_HAZARD201
RW_CSR_PMPADDR27auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR27auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR27auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR27auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR28auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_PMPADDR28auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR28auto_ALU_GROUPCSR_HAZARD411
RW_CSR_PMPADDR28auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR28auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR28auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR28auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR28auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR28auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR28auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR28auto_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR28auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR28auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR29auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR29auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR29auto_ALU_GROUPCSR_HAZARD411
RW_CSR_PMPADDR29auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR29auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR29auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR29auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR29auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR29auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR29auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR29auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR29auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR29auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR30auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR30auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR30auto_ALU_GROUPCSR_HAZARD451
RW_CSR_PMPADDR30auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR30auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR30auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR30auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR30auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR30auto_CSR_GROUPCSR_HAZARD111
RW_CSR_PMPADDR30auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR30auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR30auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_PMPADDR30auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR31auto_LOAD_GROUPCSR_HAZARD21
RW_CSR_PMPADDR31auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR31auto_ALU_GROUPCSR_HAZARD541
RW_CSR_PMPADDR31auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR31auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR31auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR31auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR31auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR31auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR31auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR31auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR31auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR31auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR32auto_LOAD_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_ALU_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_BRANCH_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_CSR_GROUPCSR_HAZARD101
RW_CSR_PMPADDR32auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR32auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR33auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR33auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR33auto_ALU_GROUPCSR_HAZARD481
RW_CSR_PMPADDR33auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR33auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR33auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR33auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR33auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR33auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR33auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR33auto_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR33auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR33auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR34auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_PMPADDR34auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPADDR34auto_ALU_GROUPCSR_HAZARD451
RW_CSR_PMPADDR34auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR34auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR34auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR34auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR34auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR34auto_CSR_GROUPCSR_HAZARD111
RW_CSR_PMPADDR34auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR34auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR34auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR34auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR35auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR35auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR35auto_ALU_GROUPCSR_HAZARD521
RW_CSR_PMPADDR35auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR35auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR35auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR35auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR35auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR35auto_CSR_GROUPCSR_HAZARD161
RW_CSR_PMPADDR35auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR35auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR35auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR35auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR36auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR36auto_ALU_GROUPCSR_HAZARD511
RW_CSR_PMPADDR36auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR36auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR36auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR36auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR36auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR37auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR37auto_STORE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR37auto_ALU_GROUPCSR_HAZARD551
RW_CSR_PMPADDR37auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPADDR37auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR37auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR37auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR37auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR37auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR37auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR37auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR37auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR37auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR38auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR38auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR38auto_ALU_GROUPCSR_HAZARD421
RW_CSR_PMPADDR38auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR38auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR38auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR38auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR38auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR38auto_CSR_GROUPCSR_HAZARD111
RW_CSR_PMPADDR38auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR38auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR38auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR38auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR39auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR39auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPADDR39auto_ALU_GROUPCSR_HAZARD481
RW_CSR_PMPADDR39auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR39auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR39auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR39auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR39auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR39auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR39auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR39auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR39auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR39auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR40auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR40auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR40auto_ALU_GROUPCSR_HAZARD491
RW_CSR_PMPADDR40auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR40auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR40auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR40auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR40auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR40auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR40auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR40auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR40auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR40auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR41auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR41auto_STORE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR41auto_ALU_GROUPCSR_HAZARD561
RW_CSR_PMPADDR41auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR41auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR41auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR41auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR41auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR41auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR41auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR41auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR41auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR41auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR42auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR42auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR42auto_ALU_GROUPCSR_HAZARD501
RW_CSR_PMPADDR42auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPADDR42auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR42auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR42auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR42auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR42auto_CSR_GROUPCSR_HAZARD121
RW_CSR_PMPADDR42auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR42auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR42auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_PMPADDR42auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR43auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_PMPADDR43auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR43auto_ALU_GROUPCSR_HAZARD511
RW_CSR_PMPADDR43auto_BRANCH_GROUPCSR_HAZARD11
RW_CSR_PMPADDR43auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR43auto_FENCE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR43auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR43auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR43auto_CSR_GROUPCSR_HAZARD121
RW_CSR_PMPADDR43auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR43auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR43auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR43auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR44auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR44auto_STORE_GROUPCSR_HAZARD81
RW_CSR_PMPADDR44auto_ALU_GROUPCSR_HAZARD491
RW_CSR_PMPADDR44auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR44auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR44auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR44auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR44auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR44auto_CSR_GROUPCSR_HAZARD151
RW_CSR_PMPADDR44auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR44auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR44auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR44auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR45auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR45auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR45auto_ALU_GROUPCSR_HAZARD501
RW_CSR_PMPADDR45auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR45auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR45auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR45auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR45auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR45auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR45auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR45auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR45auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR45auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR46auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR46auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR46auto_ALU_GROUPCSR_HAZARD431
RW_CSR_PMPADDR46auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPADDR46auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_PMPADDR46auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR46auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR46auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR46auto_CSR_GROUPCSR_HAZARD161
RW_CSR_PMPADDR46auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR46auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR46auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR46auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR47auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR47auto_STORE_GROUPCSR_HAZARD91
RW_CSR_PMPADDR47auto_ALU_GROUPCSR_HAZARD551
RW_CSR_PMPADDR47auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPADDR47auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR47auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR47auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR47auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR47auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR47auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR47auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR47auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR47auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR48auto_LOAD_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_STORE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_ALU_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_BRANCH_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_CSR_GROUPCSR_HAZARD101
RW_CSR_PMPADDR48auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR48auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR49auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_PMPADDR49auto_STORE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR49auto_ALU_GROUPCSR_HAZARD481
RW_CSR_PMPADDR49auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR49auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR49auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR49auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR49auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR49auto_CSR_GROUPCSR_HAZARD171
RW_CSR_PMPADDR49auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR49auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR49auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR49auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR50auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR50auto_STORE_GROUPCSR_HAZARD71
RW_CSR_PMPADDR50auto_ALU_GROUPCSR_HAZARD551
RW_CSR_PMPADDR50auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPADDR50auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR50auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR50auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR50auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR50auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR50auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR50auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR50auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR50auto_DIV_GROUPCSR_HAZARD81
RW_CSR_PMPADDR51auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_PMPADDR51auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPADDR51auto_ALU_GROUPCSR_HAZARD641
RW_CSR_PMPADDR51auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_PMPADDR51auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR51auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR51auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR51auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR51auto_CSR_GROUPCSR_HAZARD161
RW_CSR_PMPADDR51auto_ENV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR51auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR51auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR51auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR52auto_LOAD_GROUPCSR_HAZARD51
RW_CSR_PMPADDR52auto_STORE_GROUPCSR_HAZARD81
RW_CSR_PMPADDR52auto_ALU_GROUPCSR_HAZARD391
RW_CSR_PMPADDR52auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR52auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR52auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR52auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR52auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR52auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR52auto_ENV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR52auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR52auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR52auto_DIV_GROUPCSR_HAZARD71
RW_CSR_PMPADDR53auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_PMPADDR53auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR53auto_ALU_GROUPCSR_HAZARD481
RW_CSR_PMPADDR53auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_PMPADDR53auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR53auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR53auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR53auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR53auto_CSR_GROUPCSR_HAZARD171
RW_CSR_PMPADDR53auto_ENV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR53auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR53auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR53auto_DIV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR54auto_LOAD_GROUPCSR_HAZARD31
RW_CSR_PMPADDR54auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR54auto_ALU_GROUPCSR_HAZARD591
RW_CSR_PMPADDR54auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_PMPADDR54auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR54auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR54auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR54auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR54auto_CSR_GROUPCSR_HAZARD121
RW_CSR_PMPADDR54auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR54auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR54auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_PMPADDR54auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR55auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR55auto_ALU_GROUPCSR_HAZARD441
RW_CSR_PMPADDR55auto_BRANCH_GROUPCSR_HAZARD111
RW_CSR_PMPADDR55auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_CSR_GROUPCSR_HAZARD151
RW_CSR_PMPADDR55auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR55auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR55auto_DIV_GROUPCSR_HAZARD61
RW_CSR_PMPADDR56auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR56auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR56auto_ALU_GROUPCSR_HAZARD391
RW_CSR_PMPADDR56auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_PMPADDR56auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR56auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR56auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR56auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR56auto_CSR_GROUPCSR_HAZARD131
RW_CSR_PMPADDR56auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR56auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR56auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR56auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR57auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR57auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR57auto_ALU_GROUPCSR_HAZARD471
RW_CSR_PMPADDR57auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_PMPADDR57auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR57auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR57auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR57auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR57auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR57auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR57auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR57auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR57auto_DIV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR58auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR58auto_STORE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR58auto_ALU_GROUPCSR_HAZARD501
RW_CSR_PMPADDR58auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR58auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR58auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR58auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR58auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR58auto_CSR_GROUPCSR_HAZARD111
RW_CSR_PMPADDR58auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR58auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR58auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR58auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR59auto_LOAD_GROUPCSR_HAZARD41
RW_CSR_PMPADDR59auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR59auto_ALU_GROUPCSR_HAZARD541
RW_CSR_PMPADDR59auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_PMPADDR59auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR59auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_PMPADDR59auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR59auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR59auto_CSR_GROUPCSR_HAZARD181
RW_CSR_PMPADDR59auto_ENV_GROUPCSR_HAZARD11
RW_CSR_PMPADDR59auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR59auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR59auto_DIV_GROUPCSR_HAZARD51
RW_CSR_PMPADDR60auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_PMPADDR60auto_STORE_GROUPCSR_HAZARD41
RW_CSR_PMPADDR60auto_ALU_GROUPCSR_HAZARD491
RW_CSR_PMPADDR60auto_BRANCH_GROUPCSR_HAZARD21
RW_CSR_PMPADDR60auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR60auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR60auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR60auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR60auto_CSR_GROUPCSR_HAZARD141
RW_CSR_PMPADDR60auto_ENV_GROUPCSR_HAZARD31
RW_CSR_PMPADDR60auto_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR60auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR60auto_DIV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR61auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_PMPADDR61auto_STORE_GROUPCSR_HAZARD61
RW_CSR_PMPADDR61auto_ALU_GROUPCSR_HAZARD541
RW_CSR_PMPADDR61auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR61auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_PMPADDR61auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR61auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR61auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR61auto_CSR_GROUPCSR_HAZARD151
RW_CSR_PMPADDR61auto_ENV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR61auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR61auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR61auto_DIV_GROUPCSR_HAZARD71
RW_CSR_PMPADDR62auto_LOAD_GROUPCSR_HAZARD61
RW_CSR_PMPADDR62auto_STORE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR62auto_ALU_GROUPCSR_HAZARD611
RW_CSR_PMPADDR62auto_BRANCH_GROUPCSR_HAZARD21
RW_CSR_PMPADDR62auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_PMPADDR62auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_PMPADDR62auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR62auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR62auto_CSR_GROUPCSR_HAZARD151
RW_CSR_PMPADDR62auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR62auto_MUL_GROUPCSR_HAZARD21
RW_CSR_PMPADDR62auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_PMPADDR62auto_DIV_GROUPCSR_HAZARD21
RW_CSR_PMPADDR63auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_PMPADDR63auto_STORE_GROUPCSR_HAZARD51
RW_CSR_PMPADDR63auto_ALU_GROUPCSR_HAZARD521
RW_CSR_PMPADDR63auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_PMPADDR63auto_JUMP_GROUPCSR_HAZARD71
RW_CSR_PMPADDR63auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_PMPADDR63auto_RET_GROUPCSR_HAZARD11
RW_CSR_PMPADDR63auto_WFI_GROUPCSR_HAZARD11
RW_CSR_PMPADDR63auto_CSR_GROUPCSR_HAZARD81
RW_CSR_PMPADDR63auto_ENV_GROUPCSR_HAZARD41
RW_CSR_PMPADDR63auto_MUL_GROUPCSR_HAZARD11
RW_CSR_PMPADDR63auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_PMPADDR63auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MCYCLEauto_LOAD_GROUPCSR_HAZARD181
RW_CSR_MCYCLEauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MCYCLEauto_ALU_GROUPCSR_HAZARD1081
RW_CSR_MCYCLEauto_BRANCH_GROUPCSR_HAZARD111
RW_CSR_MCYCLEauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MCYCLEauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MCYCLEauto_RET_GROUPCSR_HAZARD11
RW_CSR_MCYCLEauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MCYCLEauto_CSR_GROUPCSR_HAZARD451
RW_CSR_MCYCLEauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MCYCLEauto_MUL_GROUPCSR_HAZARD71
RW_CSR_MCYCLEauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MCYCLEauto_DIV_GROUPCSR_HAZARD71
RW_CSR_MINSTRETauto_LOAD_GROUPCSR_HAZARD241
RW_CSR_MINSTRETauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MINSTRETauto_ALU_GROUPCSR_HAZARD1201
RW_CSR_MINSTRETauto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_MINSTRETauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MINSTRETauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MINSTRETauto_RET_GROUPCSR_HAZARD11
RW_CSR_MINSTRETauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MINSTRETauto_CSR_GROUPCSR_HAZARD431
RW_CSR_MINSTRETauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MINSTRETauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MINSTRETauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MINSTRETauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER3auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER3auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER3auto_ALU_GROUPCSR_HAZARD671
RW_CSR_MHPMCOUNTER3auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER3auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER3auto_FENCE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER3auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER3auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER3auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMCOUNTER3auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER3auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER3auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER3auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER4auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER4auto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER4auto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMCOUNTER4auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER4auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER4auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER4auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER4auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER4auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER4auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER4auto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER4auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER4auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER5auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER5auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER5auto_ALU_GROUPCSR_HAZARD581
RW_CSR_MHPMCOUNTER5auto_BRANCH_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER5auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER5auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER5auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER5auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER5auto_CSR_GROUPCSR_HAZARD431
RW_CSR_MHPMCOUNTER5auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER5auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER5auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER5auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER6auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER6auto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER6auto_ALU_GROUPCSR_HAZARD581
RW_CSR_MHPMCOUNTER6auto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER6auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER6auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER6auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER6auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER6auto_CSR_GROUPCSR_HAZARD361
RW_CSR_MHPMCOUNTER6auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER6auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER6auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER6auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER7auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER7auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER7auto_ALU_GROUPCSR_HAZARD701
RW_CSR_MHPMCOUNTER7auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER7auto_JUMP_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER7auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER7auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER7auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER7auto_CSR_GROUPCSR_HAZARD391
RW_CSR_MHPMCOUNTER7auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER7auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER7auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER7auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER8auto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER8auto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER8auto_ALU_GROUPCSR_HAZARD731
RW_CSR_MHPMCOUNTER8auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER8auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER8auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER8auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER8auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER8auto_CSR_GROUPCSR_HAZARD451
RW_CSR_MHPMCOUNTER8auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER8auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER8auto_MULTI_MUL_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER8auto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER9auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER9auto_STORE_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER9auto_ALU_GROUPCSR_HAZARD671
RW_CSR_MHPMCOUNTER9auto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER9auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMCOUNTER9auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER9auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER9auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER9auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER10auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER10auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER10auto_ALU_GROUPCSR_HAZARD621
RW_CSR_MHPMCOUNTER10auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER10auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10auto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMCOUNTER10auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER10auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER10auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER11auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER11auto_STORE_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER11auto_ALU_GROUPCSR_HAZARD711
RW_CSR_MHPMCOUNTER11auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER11auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER11auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER11auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER11auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER11auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER11auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER11auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER11auto_MULTI_MUL_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER11auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER12auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER12auto_STORE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER12auto_ALU_GROUPCSR_HAZARD781
RW_CSR_MHPMCOUNTER12auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER12auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMCOUNTER12auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER12auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER12auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER13auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER13auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER13auto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMCOUNTER13auto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER13auto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER13auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER13auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER13auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER13auto_CSR_GROUPCSR_HAZARD431
RW_CSR_MHPMCOUNTER13auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER13auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER13auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER13auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER14auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER14auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER14auto_ALU_GROUPCSR_HAZARD631
RW_CSR_MHPMCOUNTER14auto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER14auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER14auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14auto_CSR_GROUPCSR_HAZARD431
RW_CSR_MHPMCOUNTER14auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER14auto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER14auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER14auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER15auto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MHPMCOUNTER15auto_STORE_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER15auto_ALU_GROUPCSR_HAZARD641
RW_CSR_MHPMCOUNTER15auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER15auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER15auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER15auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER15auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER15auto_CSR_GROUPCSR_HAZARD431
RW_CSR_MHPMCOUNTER15auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER15auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER15auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER15auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER16auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER16auto_STORE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER16auto_ALU_GROUPCSR_HAZARD741
RW_CSR_MHPMCOUNTER16auto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER16auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER16auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16auto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMCOUNTER16auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER16auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER16auto_MULTI_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER17auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER17auto_STORE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER17auto_ALU_GROUPCSR_HAZARD621
RW_CSR_MHPMCOUNTER17auto_BRANCH_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER17auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER17auto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER17auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER17auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER18auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER18auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER18auto_ALU_GROUPCSR_HAZARD761
RW_CSR_MHPMCOUNTER18auto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER18auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER18auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER18auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER18auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER18auto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMCOUNTER18auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER18auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER18auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER18auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER19auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMCOUNTER19auto_STORE_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER19auto_ALU_GROUPCSR_HAZARD791
RW_CSR_MHPMCOUNTER19auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER19auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER19auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER19auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER19auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER19auto_CSR_GROUPCSR_HAZARD391
RW_CSR_MHPMCOUNTER19auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER19auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER19auto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER19auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER20auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER20auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER20auto_ALU_GROUPCSR_HAZARD691
RW_CSR_MHPMCOUNTER20auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER20auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER20auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20auto_CSR_GROUPCSR_HAZARD391
RW_CSR_MHPMCOUNTER20auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER20auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER20auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER21auto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER21auto_STORE_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER21auto_ALU_GROUPCSR_HAZARD711
RW_CSR_MHPMCOUNTER21auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER21auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER21auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER21auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER21auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER21auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER21auto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER21auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER21auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER21auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER22auto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER22auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER22auto_ALU_GROUPCSR_HAZARD731
RW_CSR_MHPMCOUNTER22auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER22auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER22auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER22auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER22auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER22auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMCOUNTER22auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER22auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER22auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER22auto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER23auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER23auto_STORE_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER23auto_ALU_GROUPCSR_HAZARD851
RW_CSR_MHPMCOUNTER23auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER23auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER23auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER23auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER23auto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER23auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER24auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMCOUNTER24auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER24auto_ALU_GROUPCSR_HAZARD601
RW_CSR_MHPMCOUNTER24auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER24auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER24auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24auto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER24auto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER24auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER24auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER25auto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER25auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER25auto_ALU_GROUPCSR_HAZARD711
RW_CSR_MHPMCOUNTER25auto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER25auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER25auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25auto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMCOUNTER25auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER25auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER25auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER26auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER26auto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER26auto_ALU_GROUPCSR_HAZARD701
RW_CSR_MHPMCOUNTER26auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER26auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26auto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMCOUNTER26auto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER26auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER26auto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER27auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER27auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER27auto_ALU_GROUPCSR_HAZARD691
RW_CSR_MHPMCOUNTER27auto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER27auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27auto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27auto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER27auto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27auto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER27auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER27auto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER28auto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER28auto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER28auto_ALU_GROUPCSR_HAZARD721
RW_CSR_MHPMCOUNTER28auto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER28auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER28auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28auto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMCOUNTER28auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER28auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28auto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER28auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER29auto_LOAD_GROUPCSR_HAZARD111
RW_CSR_MHPMCOUNTER29auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER29auto_ALU_GROUPCSR_HAZARD811
RW_CSR_MHPMCOUNTER29auto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER29auto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER29auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER29auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER29auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER29auto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER29auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER29auto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER29auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER29auto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER30auto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER30auto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER30auto_ALU_GROUPCSR_HAZARD711
RW_CSR_MHPMCOUNTER30auto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER30auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER30auto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER30auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER30auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER30auto_CSR_GROUPCSR_HAZARD361
RW_CSR_MHPMCOUNTER30auto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER30auto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER30auto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER30auto_DIV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31auto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMCOUNTER31auto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER31auto_ALU_GROUPCSR_HAZARD801
RW_CSR_MHPMCOUNTER31auto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER31auto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31auto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER31auto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31auto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31auto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER31auto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER31auto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31auto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER31auto_DIV_GROUPCSR_HAZARD61
RW_CSR_MCYCLEHauto_LOAD_GROUPCSR_HAZARD251
RW_CSR_MCYCLEHauto_STORE_GROUPCSR_HAZARD71
RW_CSR_MCYCLEHauto_ALU_GROUPCSR_HAZARD1111
RW_CSR_MCYCLEHauto_BRANCH_GROUPCSR_HAZARD111
RW_CSR_MCYCLEHauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MCYCLEHauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MCYCLEHauto_RET_GROUPCSR_HAZARD11
RW_CSR_MCYCLEHauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MCYCLEHauto_CSR_GROUPCSR_HAZARD431
RW_CSR_MCYCLEHauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MCYCLEHauto_MUL_GROUPCSR_HAZARD71
RW_CSR_MCYCLEHauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MCYCLEHauto_DIV_GROUPCSR_HAZARD61
RW_CSR_MINSTRETHauto_LOAD_GROUPCSR_HAZARD181
RW_CSR_MINSTRETHauto_STORE_GROUPCSR_HAZARD91
RW_CSR_MINSTRETHauto_ALU_GROUPCSR_HAZARD1061
RW_CSR_MINSTRETHauto_BRANCH_GROUPCSR_HAZARD121
RW_CSR_MINSTRETHauto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MINSTRETHauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MINSTRETHauto_RET_GROUPCSR_HAZARD11
RW_CSR_MINSTRETHauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MINSTRETHauto_CSR_GROUPCSR_HAZARD401
RW_CSR_MINSTRETHauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MINSTRETHauto_MUL_GROUPCSR_HAZARD51
RW_CSR_MINSTRETHauto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MINSTRETHauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER3Hauto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER3Hauto_STORE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER3Hauto_ALU_GROUPCSR_HAZARD731
RW_CSR_MHPMCOUNTER3Hauto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER3Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER3Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER3Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER3Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER3Hauto_CSR_GROUPCSR_HAZARD391
RW_CSR_MHPMCOUNTER3Hauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER3Hauto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER3Hauto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER3Hauto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER4Hauto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER4Hauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER4Hauto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMCOUNTER4Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER4Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER4Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER4Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER4Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER4Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER4Hauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER4Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER4Hauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER4Hauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER5Hauto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMCOUNTER5Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER5Hauto_ALU_GROUPCSR_HAZARD691
RW_CSR_MHPMCOUNTER5Hauto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER5Hauto_JUMP_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER5Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER5Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER5Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER5Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER5Hauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER5Hauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER5Hauto_MULTI_MUL_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER5Hauto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER6Hauto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMCOUNTER6Hauto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER6Hauto_ALU_GROUPCSR_HAZARD701
RW_CSR_MHPMCOUNTER6Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER6Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER6Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER6Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER6Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER6Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER6Hauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER6Hauto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER6Hauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER6Hauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER7Hauto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER7Hauto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER7Hauto_ALU_GROUPCSR_HAZARD831
RW_CSR_MHPMCOUNTER7Hauto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER7Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER7Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER7Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER7Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER7Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER7Hauto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER7Hauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER7Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER7Hauto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER8Hauto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER8Hauto_STORE_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER8Hauto_ALU_GROUPCSR_HAZARD751
RW_CSR_MHPMCOUNTER8Hauto_BRANCH_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER8Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER8Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER8Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER8Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER8Hauto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMCOUNTER8Hauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER8Hauto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER8Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER8Hauto_DIV_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER9Hauto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER9Hauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER9Hauto_ALU_GROUPCSR_HAZARD531
RW_CSR_MHPMCOUNTER9Hauto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER9Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER9Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER9Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER9Hauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER9Hauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER9Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER9Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER10Hauto_LOAD_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER10Hauto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER10Hauto_ALU_GROUPCSR_HAZARD811
RW_CSR_MHPMCOUNTER10Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER10Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER10Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER10Hauto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMCOUNTER10Hauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER10Hauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER10Hauto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER10Hauto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER11Hauto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER11Hauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER11Hauto_ALU_GROUPCSR_HAZARD821
RW_CSR_MHPMCOUNTER11Hauto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER11Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER11Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER11Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER11Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER11Hauto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMCOUNTER11Hauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER11Hauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER11Hauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER11Hauto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER12Hauto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER12Hauto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER12Hauto_ALU_GROUPCSR_HAZARD731
RW_CSR_MHPMCOUNTER12Hauto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER12Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER12Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER12Hauto_ENV_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER12Hauto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER12Hauto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER12Hauto_DIV_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER13Hauto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER13Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER13Hauto_ALU_GROUPCSR_HAZARD831
RW_CSR_MHPMCOUNTER13Hauto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER13Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER13Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER13Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER13Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER13Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER13Hauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER13Hauto_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER13Hauto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER13Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER14Hauto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER14Hauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER14Hauto_ALU_GROUPCSR_HAZARD661
RW_CSR_MHPMCOUNTER14Hauto_BRANCH_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER14Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER14Hauto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER14Hauto_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER14Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER14Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER15Hauto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER15Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER15Hauto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMCOUNTER15Hauto_BRANCH_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER15Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER15Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER15Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER15Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER15Hauto_CSR_GROUPCSR_HAZARD391
RW_CSR_MHPMCOUNTER15Hauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER15Hauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER15Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER15Hauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER16Hauto_LOAD_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER16Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER16Hauto_ALU_GROUPCSR_HAZARD761
RW_CSR_MHPMCOUNTER16Hauto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER16Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER16Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER16Hauto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER16Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER16Hauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER16Hauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER17Hauto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER17Hauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER17Hauto_ALU_GROUPCSR_HAZARD841
RW_CSR_MHPMCOUNTER17Hauto_BRANCH_GROUPCSR_HAZARD91
RW_CSR_MHPMCOUNTER17Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER17Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER17Hauto_CSR_GROUPCSR_HAZARD371
RW_CSR_MHPMCOUNTER17Hauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER17Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER17Hauto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER17Hauto_DIV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER18Hauto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER18Hauto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER18Hauto_ALU_GROUPCSR_HAZARD691
RW_CSR_MHPMCOUNTER18Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER18Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER18Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER18Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER18Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER18Hauto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMCOUNTER18Hauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER18Hauto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER18Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER18Hauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER19Hauto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER19Hauto_STORE_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER19Hauto_ALU_GROUPCSR_HAZARD671
RW_CSR_MHPMCOUNTER19Hauto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER19Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER19Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER19Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER19Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER19Hauto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER19Hauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER19Hauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER19Hauto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER19Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER20Hauto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER20Hauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER20Hauto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMCOUNTER20Hauto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER20Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER20Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER20Hauto_CSR_GROUPCSR_HAZARD431
RW_CSR_MHPMCOUNTER20Hauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER20Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER20Hauto_MULTI_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER20Hauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER21Hauto_LOAD_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER21Hauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER21Hauto_ALU_GROUPCSR_HAZARD791
RW_CSR_MHPMCOUNTER21Hauto_BRANCH_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER21Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER21Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER21Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER21Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER21Hauto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMCOUNTER21Hauto_ENV_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER21Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER21Hauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER21Hauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER22Hauto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER22Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER22Hauto_ALU_GROUPCSR_HAZARD771
RW_CSR_MHPMCOUNTER22Hauto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER22Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER22Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER22Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER22Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER22Hauto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMCOUNTER22Hauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER22Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER22Hauto_MULTI_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER22Hauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER23Hauto_LOAD_GROUPCSR_HAZARD141
RW_CSR_MHPMCOUNTER23Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER23Hauto_ALU_GROUPCSR_HAZARD931
RW_CSR_MHPMCOUNTER23Hauto_BRANCH_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER23Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER23Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23Hauto_CSR_GROUPCSR_HAZARD411
RW_CSR_MHPMCOUNTER23Hauto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER23Hauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER23Hauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER23Hauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER24Hauto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER24Hauto_STORE_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER24Hauto_ALU_GROUPCSR_HAZARD581
RW_CSR_MHPMCOUNTER24Hauto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER24Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER24Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER24Hauto_CSR_GROUPCSR_HAZARD441
RW_CSR_MHPMCOUNTER24Hauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER24Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER24Hauto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER24Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER25Hauto_LOAD_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER25Hauto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER25Hauto_ALU_GROUPCSR_HAZARD861
RW_CSR_MHPMCOUNTER25Hauto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER25Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER25Hauto_FENCE_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER25Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25Hauto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER25Hauto_ENV_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER25Hauto_MUL_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER25Hauto_MULTI_MUL_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER25Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER26Hauto_LOAD_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER26Hauto_STORE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER26Hauto_ALU_GROUPCSR_HAZARD671
RW_CSR_MHPMCOUNTER26Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER26Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER26Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER26Hauto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMCOUNTER26Hauto_ENV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER26Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER26Hauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER26Hauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER27Hauto_LOAD_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER27Hauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER27Hauto_ALU_GROUPCSR_HAZARD661
RW_CSR_MHPMCOUNTER27Hauto_BRANCH_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER27Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER27Hauto_CSR_GROUPCSR_HAZARD401
RW_CSR_MHPMCOUNTER27Hauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER27Hauto_MUL_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER27Hauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER27Hauto_DIV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER28Hauto_LOAD_GROUPCSR_HAZARD131
RW_CSR_MHPMCOUNTER28Hauto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER28Hauto_ALU_GROUPCSR_HAZARD931
RW_CSR_MHPMCOUNTER28Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER28Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER28Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28Hauto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER28Hauto_ENV_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER28Hauto_MUL_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER28Hauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER28Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER29Hauto_LOAD_GROUPCSR_HAZARD121
RW_CSR_MHPMCOUNTER29Hauto_STORE_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER29Hauto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMCOUNTER29Hauto_BRANCH_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER29Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER29Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER29Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER29Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER29Hauto_CSR_GROUPCSR_HAZARD421
RW_CSR_MHPMCOUNTER29Hauto_ENV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER29Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER29Hauto_MULTI_MUL_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER29Hauto_DIV_GROUPCSR_HAZARD41
RW_CSR_MHPMCOUNTER30Hauto_LOAD_GROUPCSR_HAZARD101
RW_CSR_MHPMCOUNTER30Hauto_STORE_GROUPCSR_HAZARD81
RW_CSR_MHPMCOUNTER30Hauto_ALU_GROUPCSR_HAZARD761
RW_CSR_MHPMCOUNTER30Hauto_BRANCH_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER30Hauto_JUMP_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER30Hauto_FENCE_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER30Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER30Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER30Hauto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER30Hauto_ENV_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER30Hauto_MUL_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER30Hauto_MULTI_MUL_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER30Hauto_DIV_GROUPCSR_HAZARD51
RW_CSR_MHPMCOUNTER31Hauto_LOAD_GROUPCSR_HAZARD161
RW_CSR_MHPMCOUNTER31Hauto_STORE_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER31Hauto_ALU_GROUPCSR_HAZARD681
RW_CSR_MHPMCOUNTER31Hauto_BRANCH_GROUPCSR_HAZARD71
RW_CSR_MHPMCOUNTER31Hauto_JUMP_GROUPCSR_HAZARD21
RW_CSR_MHPMCOUNTER31Hauto_FENCE_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31Hauto_RET_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31Hauto_WFI_GROUPCSR_HAZARD11
RW_CSR_MHPMCOUNTER31Hauto_CSR_GROUPCSR_HAZARD381
RW_CSR_MHPMCOUNTER31Hauto_ENV_GROUPCSR_HAZARD31
RW_CSR_MHPMCOUNTER31Hauto_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER31Hauto_MULTI_MUL_GROUPCSR_HAZARD61
RW_CSR_MHPMCOUNTER31Hauto_DIV_GROUPCSR_HAZARD51

+
+User Defined Cross Bins for cross_seq_csr_hazard_x2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_HAZ0Excluded

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_1.html new file mode 100644 index 00000000..90429d5e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_1.html @@ -0,0 +1,30069 @@ + + + + + +Unified Coverage Report :: Covered bins + + + + + + + + + +
+ +
Covered bins for cross_seq_instr_x2
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Go back
+ [1] 2 3 >
+Notice: sort works only in the current subset of bins.
+
+Automatically Generated Cross Bins for cross_seq_instr_x2 +
+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_instrcp_instr_prev_x2COUNTAT LEAST
auto_LUIauto_LUI82131
auto_LUIauto_AUIPC2431
auto_LUIauto_JAL931
auto_LUIauto_JALR71
auto_LUIauto_BEQ801
auto_LUIauto_BNE731
auto_LUIauto_BLT721
auto_LUIauto_BGE561
auto_LUIauto_BLTU561
auto_LUIauto_BGEU701
auto_LUIauto_LB3381
auto_LUIauto_LH981
auto_LUIauto_LW491
auto_LUIauto_LBU3521
auto_LUIauto_LHU991
auto_LUIauto_SB3461
auto_LUIauto_SH1051
auto_LUIauto_SW1121
auto_LUIauto_ADDI169711
auto_LUIauto_SLTI2811
auto_LUIauto_SLTIU2671
auto_LUIauto_XORI2551
auto_LUIauto_ORI3561
auto_LUIauto_ANDI2791
auto_LUIauto_SLLI2591
auto_LUIauto_SRLI2421
auto_LUIauto_SRAI2231
auto_LUIauto_ADD2971
auto_LUIauto_SUB2571
auto_LUIauto_SLL2531
auto_LUIauto_SLT3501
auto_LUIauto_SLTU2741
auto_LUIauto_XOR2411
auto_LUIauto_SRL2351
auto_LUIauto_SRA2661
auto_LUIauto_OR2801
auto_LUIauto_AND2541
auto_LUIauto_FENCE951
auto_LUIauto_MRET63541
auto_LUIauto_WFI541
auto_LUIauto_MUL2471
auto_LUIauto_MULH2741
auto_LUIauto_MULHSU2731
auto_LUIauto_MULHU2421
auto_LUIauto_DIV2351
auto_LUIauto_DIVU4011
auto_LUIauto_REM2681
auto_LUIauto_REMU2721
auto_LUIauto_C_ADDI4SPN881
auto_LUIauto_C_LW11
auto_LUIauto_C_NOP10271
auto_LUIauto_C_ADDI2056281
auto_LUIauto_C_JAL301
auto_LUIauto_C_LI157821
auto_LUIauto_C_ADDI16SP881
auto_LUIauto_C_LUI991
auto_LUIauto_C_SRLI941
auto_LUIauto_C_SRAI781
auto_LUIauto_C_ANDI841
auto_LUIauto_C_SUB911
auto_LUIauto_C_XOR1131
auto_LUIauto_C_OR931
auto_LUIauto_C_AND1121
auto_LUIauto_C_J23671
auto_LUIauto_C_BEQZ311
auto_LUIauto_C_BNEZ391
auto_LUIauto_C_SLLI1281
auto_LUIauto_C_LWSP31
auto_LUIauto_C_JR41
auto_LUIauto_C_MV1041
auto_LUIauto_C_ADD1331
auto_LUIauto_C_SWSP51
auto_LUIauto_SH1ADD2051
auto_LUIauto_SH2ADD1881
auto_LUIauto_SH3ADD1991
auto_LUIauto_CLZ2001
auto_LUIauto_CTZ1861
auto_LUIauto_CPOP2191
auto_LUIauto_MIN2171
auto_LUIauto_MAX2091
auto_LUIauto_MINU1901
auto_LUIauto_MAXU1761
auto_LUIauto_SEXT_B2141
auto_LUIauto_SEXT_H2011
auto_LUIauto_ZEXT_H1721
auto_LUIauto_ANDN2031
auto_LUIauto_ORN2191
auto_LUIauto_XNOR1911
auto_LUIauto_ROR2211
auto_LUIauto_RORI2071
auto_LUIauto_ROL2071
auto_LUIauto_REV81741
auto_LUIauto_ORC_B2021
auto_LUIauto_CLMUL2031
auto_LUIauto_CLMULH1941
auto_LUIauto_CLMULR2171
auto_LUIauto_BSET1931
auto_LUIauto_BSETI1841
auto_LUIauto_BCLR2001
auto_LUIauto_BCLRI2021
auto_LUIauto_BINV1901
auto_LUIauto_BINVI2001
auto_LUIauto_BEXT1771
auto_LUIauto_BEXTI2041
auto_LUIauto_CSRRW731
auto_LUIauto_CSRRS2065291
auto_LUIauto_CSRRC631
auto_LUIauto_CSRRWI671
auto_LUIauto_CSRRSI681
auto_LUIauto_CSRRCI731
auto_LUIauto_C_LBU31
auto_LUIauto_C_LHU21
auto_LUIauto_C_LH11
auto_LUIauto_C_ZEXT_B841
auto_LUIauto_C_SEXT_B1091
auto_LUIauto_C_ZEXT_H1011
auto_LUIauto_C_SEXT_H831
auto_LUIauto_C_NOT911
auto_LUIauto_C_MUL1051
auto_AUIPCauto_LUI8301
auto_AUIPCauto_AUIPC3371
auto_AUIPCauto_JAL771
auto_AUIPCauto_JALR361
auto_AUIPCauto_BEQ761
auto_AUIPCauto_BNE801
auto_AUIPCauto_BLT961
auto_AUIPCauto_BGE971
auto_AUIPCauto_BLTU811
auto_AUIPCauto_BGEU661
auto_AUIPCauto_LB47451
auto_AUIPCauto_LH14931
auto_AUIPCauto_LW7131
auto_AUIPCauto_LBU45981
auto_AUIPCauto_LHU14001
auto_AUIPCauto_SB46311
auto_AUIPCauto_SH15411
auto_AUIPCauto_SW6821
auto_AUIPCauto_ADDI61291
auto_AUIPCauto_SLTI3251
auto_AUIPCauto_SLTIU2961
auto_AUIPCauto_XORI3291
auto_AUIPCauto_ORI3591
auto_AUIPCauto_ANDI4021
auto_AUIPCauto_SLLI3111
auto_AUIPCauto_SRLI3091
auto_AUIPCauto_SRAI3291
auto_AUIPCauto_ADD2201
auto_AUIPCauto_SUB3041
auto_AUIPCauto_SLL3611
auto_AUIPCauto_SLT3851
auto_AUIPCauto_SLTU3361
auto_AUIPCauto_XOR3171
auto_AUIPCauto_SRL2941
auto_AUIPCauto_SRA3091
auto_AUIPCauto_OR3371
auto_AUIPCauto_AND3061
auto_AUIPCauto_FENCE1351
auto_AUIPCauto_MRET19021
auto_AUIPCauto_WFI901
auto_AUIPCauto_MUL3161
auto_AUIPCauto_MULH3521
auto_AUIPCauto_MULHSU3191
auto_AUIPCauto_MULHU3531
auto_AUIPCauto_DIV4561
auto_AUIPCauto_DIVU3721
auto_AUIPCauto_REM3491
auto_AUIPCauto_REMU3241
auto_AUIPCauto_C_ADDI4SPN1371
auto_AUIPCauto_C_LW111
auto_AUIPCauto_C_SW101
auto_AUIPCauto_C_NOP8721
auto_AUIPCauto_C_ADDI2054351
auto_AUIPCauto_C_JAL351
auto_AUIPCauto_C_LI34131
auto_AUIPCauto_C_ADDI16SP1351
auto_AUIPCauto_C_LUI1651
auto_AUIPCauto_C_SRLI1401
auto_AUIPCauto_C_SRAI1301
auto_AUIPCauto_C_ANDI1241
auto_AUIPCauto_C_SUB1151
auto_AUIPCauto_C_XOR1331
auto_AUIPCauto_C_OR1621
auto_AUIPCauto_C_AND1391
auto_AUIPCauto_C_J361
auto_AUIPCauto_C_BEQZ581
auto_AUIPCauto_C_BNEZ601
auto_AUIPCauto_C_SLLI2131
auto_AUIPCauto_C_LWSP661
auto_AUIPCauto_C_JR211
auto_AUIPCauto_C_MV1911
auto_AUIPCauto_C_JALR341
auto_AUIPCauto_C_ADD2101
auto_AUIPCauto_C_SWSP571
auto_AUIPCauto_SH1ADD2661
auto_AUIPCauto_SH2ADD2671
auto_AUIPCauto_SH3ADD2841
auto_AUIPCauto_CLZ2741
auto_AUIPCauto_CTZ2501
auto_AUIPCauto_CPOP2381
auto_AUIPCauto_MIN2271
auto_AUIPCauto_MAX2421
auto_AUIPCauto_MINU2551
auto_AUIPCauto_MAXU2571
auto_AUIPCauto_SEXT_B2381
auto_AUIPCauto_SEXT_H2471
auto_AUIPCauto_ZEXT_H2791
auto_AUIPCauto_ANDN2521
auto_AUIPCauto_ORN2551
auto_AUIPCauto_XNOR2501
auto_AUIPCauto_ROR2431
auto_AUIPCauto_RORI2841
auto_AUIPCauto_ROL2421
auto_AUIPCauto_REV82451
auto_AUIPCauto_ORC_B2281
auto_AUIPCauto_CLMUL2861
auto_AUIPCauto_CLMULH2721
auto_AUIPCauto_CLMULR2411
auto_AUIPCauto_BSET2891
auto_AUIPCauto_BSETI2401
auto_AUIPCauto_BCLR2331
auto_AUIPCauto_BCLRI2311
auto_AUIPCauto_BINV2211
auto_AUIPCauto_BINVI2511
auto_AUIPCauto_BEXT2271
auto_AUIPCauto_BEXTI2561
auto_AUIPCauto_CSRRW48181
auto_AUIPCauto_CSRRS981
auto_AUIPCauto_CSRRC1031
auto_AUIPCauto_CSRRWI1271
auto_AUIPCauto_CSRRSI991
auto_AUIPCauto_CSRRCI1161
auto_AUIPCauto_C_LBU201
auto_AUIPCauto_C_LHU31
auto_AUIPCauto_C_LH41
auto_AUIPCauto_C_SB281
auto_AUIPCauto_C_SH51
auto_AUIPCauto_C_ZEXT_B1441
auto_AUIPCauto_C_SEXT_B1641
auto_AUIPCauto_C_ZEXT_H1701
auto_AUIPCauto_C_SEXT_H1461
auto_AUIPCauto_C_NOT1471
auto_AUIPCauto_C_MUL1471
auto_JALauto_LUI981
auto_JALauto_AUIPC1061
auto_JALauto_JAL730231
auto_JALauto_JALR21
auto_JALauto_BEQ171
auto_JALauto_BNE231
auto_JALauto_BLT181
auto_JALauto_BGE201
auto_JALauto_BLTU181
auto_JALauto_BGEU351
auto_JALauto_LB6211
auto_JALauto_LH2001
auto_JALauto_LW941
auto_JALauto_LBU6181
auto_JALauto_LHU2141
auto_JALauto_SB6321
auto_JALauto_SH1991
auto_JALauto_SW711
auto_JALauto_ADDI4481
auto_JALauto_SLTI1101
auto_JALauto_SLTIU951
auto_JALauto_XORI941
auto_JALauto_ORI841
auto_JALauto_ANDI941
auto_JALauto_SLLI991
auto_JALauto_SRLI951
auto_JALauto_SRAI1001
auto_JALauto_ADD1071
auto_JALauto_SUB891
auto_JALauto_SLL1161
auto_JALauto_SLT971
auto_JALauto_SLTU1101
auto_JALauto_XOR1431
auto_JALauto_SRL981
auto_JALauto_SRA961
auto_JALauto_OR1121
auto_JALauto_AND1181
auto_JALauto_FENCE851
auto_JALauto_MRET1431
auto_JALauto_MUL1211
auto_JALauto_MULH821
auto_JALauto_MULHSU1181
auto_JALauto_MULHU911
auto_JALauto_DIV951
auto_JALauto_DIVU801
auto_JALauto_REM991
auto_JALauto_REMU1821
auto_JALauto_C_ADDI4SPN571
auto_JALauto_C_LW11
auto_JALauto_C_SW11
auto_JALauto_C_NOP3231
auto_JALauto_C_ADDI1061
auto_JALauto_C_JAL187831
auto_JALauto_C_LI1131
auto_JALauto_C_ADDI16SP591
auto_JALauto_C_LUI901
auto_JALauto_C_SRLI841
auto_JALauto_C_SRAI951
auto_JALauto_C_ANDI511
auto_JALauto_C_SUB561
auto_JALauto_C_XOR651
auto_JALauto_C_OR561
auto_JALauto_C_AND471
auto_JALauto_C_J187661
auto_JALauto_C_BEQZ91
auto_JALauto_C_BNEZ21
auto_JALauto_C_SLLI1611
auto_JALauto_C_LWSP181
auto_JALauto_C_JR51
auto_JALauto_C_MV1011
auto_JALauto_C_ADD1371
auto_JALauto_C_SWSP251
auto_JALauto_SH1ADD1281
auto_JALauto_SH2ADD951
auto_JALauto_SH3ADD1021
auto_JALauto_CLZ791
auto_JALauto_CTZ921
auto_JALauto_CPOP1041
auto_JALauto_MIN901
auto_JALauto_MAX721
auto_JALauto_MINU881
auto_JALauto_MAXU911
auto_JALauto_SEXT_B1071
auto_JALauto_SEXT_H941
auto_JALauto_ZEXT_H1131
auto_JALauto_ANDN841
auto_JALauto_ORN791
auto_JALauto_XNOR851
auto_JALauto_ROR1031
auto_JALauto_RORI701
auto_JALauto_ROL861
auto_JALauto_REV8851
auto_JALauto_ORC_B771
auto_JALauto_CLMUL1041
auto_JALauto_CLMULH941
auto_JALauto_CLMULR831
auto_JALauto_BSET861
auto_JALauto_BSETI1091
auto_JALauto_BCLR1091
auto_JALauto_BCLRI1001
auto_JALauto_BINV1031
auto_JALauto_BINVI1011
auto_JALauto_BEXT881
auto_JALauto_BEXTI791
auto_JALauto_CSRRW2881
auto_JALauto_CSRRS921
auto_JALauto_CSRRC901
auto_JALauto_CSRRWI1181
auto_JALauto_CSRRSI941
auto_JALauto_CSRRCI861
auto_JALauto_C_LBU61
auto_JALauto_C_LHU21
auto_JALauto_C_SB41
auto_JALauto_C_SH11
auto_JALauto_C_ZEXT_B581
auto_JALauto_C_SEXT_B611
auto_JALauto_C_ZEXT_H591
auto_JALauto_C_SEXT_H621
auto_JALauto_C_NOT571
auto_JALauto_C_MUL671
auto_JALRauto_LUI151
auto_JALRauto_AUIPC51
auto_JALRauto_JAL11
auto_JALRauto_BEQ51
auto_JALRauto_BNE261
auto_JALRauto_BLT311
auto_JALRauto_BGE251
auto_JALRauto_BLTU221
auto_JALRauto_BGEU481
auto_JALRauto_LW11
auto_JALRauto_SW11
auto_JALRauto_ADDI6321
auto_JALRauto_SLTI141
auto_JALRauto_SLTIU181
auto_JALRauto_XORI51
auto_JALRauto_ORI101
auto_JALRauto_ANDI81
auto_JALRauto_SLLI211
auto_JALRauto_SRLI71
auto_JALRauto_SRAI51
auto_JALRauto_ADD321
auto_JALRauto_SUB21
auto_JALRauto_SLL31
auto_JALRauto_SLT301
auto_JALRauto_SLTU221
auto_JALRauto_XOR31
auto_JALRauto_SRL141
auto_JALRauto_SRA41
auto_JALRauto_OR51
auto_JALRauto_AND51
auto_JALRauto_FENCE81
auto_JALRauto_MRET1921
auto_JALRauto_WFI21
auto_JALRauto_MUL171
auto_JALRauto_MULH211
auto_JALRauto_MULHSU211
auto_JALRauto_MULHU91
auto_JALRauto_DIV271
auto_JALRauto_DIVU91
auto_JALRauto_REM71
auto_JALRauto_REMU91
auto_JALRauto_C_ADDI4SPN21
auto_JALRauto_C_NOP161
auto_JALRauto_C_ADDI81
auto_JALRauto_C_LI41
auto_JALRauto_C_ADDI16SP11
auto_JALRauto_C_LUI11
auto_JALRauto_C_SRLI51
auto_JALRauto_C_ANDI81
auto_JALRauto_C_SUB61
auto_JALRauto_C_XOR51
auto_JALRauto_C_OR41
auto_JALRauto_C_AND41
auto_JALRauto_C_SLLI91
auto_JALRauto_C_MV3431
auto_JALRauto_C_ADD51
auto_JALRauto_SH1ADD31
auto_JALRauto_SH3ADD21
auto_JALRauto_CLZ101
auto_JALRauto_CTZ31
auto_JALRauto_CPOP91
auto_JALRauto_MAXU11
auto_JALRauto_SEXT_B31
auto_JALRauto_SEXT_H11
auto_JALRauto_ZEXT_H21
auto_JALRauto_ORN11
auto_JALRauto_XNOR21
auto_JALRauto_ROR71
auto_JALRauto_RORI11
auto_JALRauto_ROL21
auto_JALRauto_ORC_B51
auto_JALRauto_CLMUL51
auto_JALRauto_CLMULH131
auto_JALRauto_CLMULR21
auto_JALRauto_BSET21
auto_JALRauto_BSETI71
auto_JALRauto_BCLR11
auto_JALRauto_BCLRI11
auto_JALRauto_BINV21
auto_JALRauto_BINVI11
auto_JALRauto_BEXT21
auto_JALRauto_BEXTI41
auto_JALRauto_CSRRW11
auto_JALRauto_CSRRS11
auto_JALRauto_C_SEXT_B11
auto_JALRauto_C_ZEXT_H41
auto_JALRauto_C_MUL51
auto_BEQauto_LUI821
auto_BEQauto_AUIPC661
auto_BEQauto_JAL181
auto_BEQauto_JALR261
auto_BEQauto_BEQ739521
auto_BEQauto_BNE771
auto_BEQauto_BLT641
auto_BEQauto_BGE741
auto_BEQauto_BLTU691
auto_BEQauto_BGEU661
auto_BEQauto_LB31
auto_BEQauto_LH21
auto_BEQauto_LBU61
auto_BEQauto_LHU31
auto_BEQauto_SB41
auto_BEQauto_SH11
auto_BEQauto_ADDI691
auto_BEQauto_SLTI681
auto_BEQauto_SLTIU701
auto_BEQauto_XORI771
auto_BEQauto_ORI821
auto_BEQauto_ANDI771
auto_BEQauto_SLLI1651
auto_BEQauto_SRLI821
auto_BEQauto_SRAI621
auto_BEQauto_ADD491
auto_BEQauto_SUB1021
auto_BEQauto_SLL701
auto_BEQauto_SLT771
auto_BEQauto_SLTU1121
auto_BEQauto_XOR691
auto_BEQauto_SRL711
auto_BEQauto_SRA821
auto_BEQauto_OR1601
auto_BEQauto_AND741
auto_BEQauto_FENCE501
auto_BEQauto_MRET1891
auto_BEQauto_WFI51
auto_BEQauto_MUL781
auto_BEQauto_MULH671
auto_BEQauto_MULHSU561
auto_BEQauto_MULHU571
auto_BEQauto_DIV661
auto_BEQauto_DIVU631
auto_BEQauto_REM751
auto_BEQauto_REMU781
auto_BEQauto_C_ADDI4SPN381
auto_BEQauto_C_NOP2431
auto_BEQauto_C_ADDI2053081
auto_BEQauto_C_LI23931
auto_BEQauto_C_ADDI16SP331
auto_BEQauto_C_LUI571
auto_BEQauto_C_SRLI521
auto_BEQauto_C_SRAI561
auto_BEQauto_C_ANDI331
auto_BEQauto_C_SUB391
auto_BEQauto_C_XOR511
auto_BEQauto_C_OR491
auto_BEQauto_C_AND521
auto_BEQauto_C_BEQZ551
auto_BEQauto_C_BNEZ351
auto_BEQauto_C_SLLI521
auto_BEQauto_C_MV481
auto_BEQauto_C_ADD621
auto_BEQauto_SH1ADD551
auto_BEQauto_SH2ADD661
auto_BEQauto_SH3ADD621
auto_BEQauto_CLZ701
auto_BEQauto_CTZ641
auto_BEQauto_CPOP721
auto_BEQauto_MIN611
auto_BEQauto_MAX621
auto_BEQauto_MINU651
auto_BEQauto_MAXU451
auto_BEQauto_SEXT_B791
auto_BEQauto_SEXT_H601
auto_BEQauto_ZEXT_H601
auto_BEQauto_ANDN651
auto_BEQauto_ORN671
auto_BEQauto_XNOR671
auto_BEQauto_ROR521
auto_BEQauto_RORI581
auto_BEQauto_ROL541
auto_BEQauto_REV8621
auto_BEQauto_ORC_B541
auto_BEQauto_CLMUL721
auto_BEQauto_CLMULH391
auto_BEQauto_CLMULR591
auto_BEQauto_BSET581
auto_BEQauto_BSETI621
auto_BEQauto_BCLR581
auto_BEQauto_BCLRI621
auto_BEQauto_BINV671
auto_BEQauto_BINVI561
auto_BEQauto_BEXT391
auto_BEQauto_BEXTI751
auto_BEQauto_CSRRW551
auto_BEQauto_CSRRS431
auto_BEQauto_CSRRC531
auto_BEQauto_CSRRWI511
auto_BEQauto_CSRRSI501
auto_BEQauto_CSRRCI511
auto_BEQauto_C_ZEXT_B441
auto_BEQauto_C_SEXT_B541
auto_BEQauto_C_ZEXT_H511
auto_BEQauto_C_SEXT_H481
auto_BEQauto_C_NOT431
auto_BEQauto_C_MUL381
auto_BNEauto_LUI551
auto_BNEauto_AUIPC871
auto_BNEauto_JAL121
auto_BNEauto_JALR91
auto_BNEauto_BEQ811
auto_BNEauto_BNE811
auto_BNEauto_BLT561
auto_BNEauto_BGE671
auto_BNEauto_BLTU691
auto_BNEauto_BGEU641
auto_BNEauto_LB41
auto_BNEauto_LH21
auto_BNEauto_LBU31
auto_BNEauto_LHU11
auto_BNEauto_SB41
auto_BNEauto_SH11
auto_BNEauto_SW21
auto_BNEauto_ADDI791
auto_BNEauto_SLTI651
auto_BNEauto_SLTIU701
auto_BNEauto_XORI571
auto_BNEauto_ORI601
auto_BNEauto_ANDI1531
auto_BNEauto_SLLI781
auto_BNEauto_SRLI2001811
auto_BNEauto_SRAI891
auto_BNEauto_ADD1491
auto_BNEauto_SUB841
auto_BNEauto_SLL751
auto_BNEauto_SLT891
auto_BNEauto_SLTU541
auto_BNEauto_XOR721
auto_BNEauto_SRL641
auto_BNEauto_SRA1621
auto_BNEauto_OR561
auto_BNEauto_AND561481
auto_BNEauto_FENCE501
auto_BNEauto_ECALL11
auto_BNEauto_MRET1731
auto_BNEauto_WFI121
auto_BNEauto_MUL521
auto_BNEauto_MULH561
auto_BNEauto_MULHSU581
auto_BNEauto_MULHU691
auto_BNEauto_DIV721
auto_BNEauto_DIVU641
auto_BNEauto_REM671
auto_BNEauto_REMU1021
auto_BNEauto_C_ADDI4SPN361
auto_BNEauto_C_NOP2131
auto_BNEauto_C_ADDI491
auto_BNEauto_C_LI521
auto_BNEauto_C_ADDI16SP481
auto_BNEauto_C_LUI491
auto_BNEauto_C_SRLI551
auto_BNEauto_C_SRAI551
auto_BNEauto_C_ANDI411
auto_BNEauto_C_SUB461
auto_BNEauto_C_XOR461
auto_BNEauto_C_OR281
auto_BNEauto_C_AND39341
auto_BNEauto_C_BEQZ451
auto_BNEauto_C_BNEZ351
auto_BNEauto_C_SLLI881
auto_BNEauto_C_JR21
auto_BNEauto_C_MV521
auto_BNEauto_C_ADD611
auto_BNEauto_SH1ADD571
auto_BNEauto_SH2ADD531
auto_BNEauto_SH3ADD551
auto_BNEauto_CLZ691
auto_BNEauto_CTZ681
auto_BNEauto_CPOP611
auto_BNEauto_MIN691
auto_BNEauto_MAX551
auto_BNEauto_MINU491
auto_BNEauto_MAXU521
auto_BNEauto_SEXT_B531
auto_BNEauto_SEXT_H601
auto_BNEauto_ZEXT_H501
auto_BNEauto_ANDN611
auto_BNEauto_ORN561
auto_BNEauto_XNOR591
auto_BNEauto_ROR551
auto_BNEauto_RORI541
auto_BNEauto_ROL661
auto_BNEauto_REV8531
auto_BNEauto_ORC_B631
auto_BNEauto_CLMUL681
auto_BNEauto_CLMULH621
auto_BNEauto_CLMULR661
auto_BNEauto_BSET521
auto_BNEauto_BSETI551
auto_BNEauto_BCLR571
auto_BNEauto_BCLRI521
auto_BNEauto_BINV721
auto_BNEauto_BINVI651
auto_BNEauto_BEXT451
auto_BNEauto_BEXTI621
auto_BNEauto_CSRRW521
auto_BNEauto_CSRRS531
auto_BNEauto_CSRRC561
auto_BNEauto_CSRRWI581
auto_BNEauto_CSRRSI681
auto_BNEauto_CSRRCI611
auto_BNEauto_C_ZEXT_B391
auto_BNEauto_C_SEXT_B401
auto_BNEauto_C_ZEXT_H551
auto_BNEauto_C_SEXT_H541
auto_BNEauto_C_NOT421
auto_BNEauto_C_MUL531
auto_BLTauto_LUI631
auto_BLTauto_AUIPC771
auto_BLTauto_JAL31
auto_BLTauto_JALR101
auto_BLTauto_BEQ841
auto_BLTauto_BNE761
auto_BLTauto_BLT671
auto_BLTauto_BGE671
auto_BLTauto_BLTU741
auto_BLTauto_BGEU631
auto_BLTauto_LB61
auto_BLTauto_LH21
auto_BLTauto_LW31
auto_BLTauto_LBU101
auto_BLTauto_LHU11
auto_BLTauto_SB51
auto_BLTauto_SH11
auto_BLTauto_ADDI1261
auto_BLTauto_SLTI771
auto_BLTauto_SLTIU771
auto_BLTauto_XORI631
auto_BLTauto_ORI1061
auto_BLTauto_ANDI691
auto_BLTauto_SLLI1241
auto_BLTauto_SRLI791
auto_BLTauto_SRAI711
auto_BLTauto_ADD571
auto_BLTauto_SUB831
auto_BLTauto_SLL581
auto_BLTauto_SLT741
auto_BLTauto_SLTU841
auto_BLTauto_XOR801
auto_BLTauto_SRL701
auto_BLTauto_SRA661
auto_BLTauto_OR681
auto_BLTauto_AND691
auto_BLTauto_FENCE481
auto_BLTauto_MRET1851
auto_BLTauto_WFI31
auto_BLTauto_MUL791
auto_BLTauto_MULH581
auto_BLTauto_MULHSU1381
auto_BLTauto_MULHU671
auto_BLTauto_DIV641
auto_BLTauto_DIVU761
auto_BLTauto_REM641
auto_BLTauto_REMU511
auto_BLTauto_C_ADDI4SPN441
auto_BLTauto_C_NOP2321
auto_BLTauto_C_ADDI501
auto_BLTauto_C_LI491
auto_BLTauto_C_ADDI16SP431
auto_BLTauto_C_LUI471
auto_BLTauto_C_SRLI621
auto_BLTauto_C_SRAI381
auto_BLTauto_C_ANDI641
auto_BLTauto_C_SUB381
auto_BLTauto_C_XOR311
auto_BLTauto_C_OR431
auto_BLTauto_C_AND501
auto_BLTauto_C_BEQZ411
auto_BLTauto_C_BNEZ451
auto_BLTauto_C_SLLI721
auto_BLTauto_C_MV471
auto_BLTauto_C_ADD591
auto_BLTauto_SH1ADD701
auto_BLTauto_SH2ADD641
auto_BLTauto_SH3ADD521
auto_BLTauto_CLZ531
auto_BLTauto_CTZ701
auto_BLTauto_CPOP581
auto_BLTauto_MIN641
auto_BLTauto_MAX531
auto_BLTauto_MINU631
auto_BLTauto_MAXU601
auto_BLTauto_SEXT_B571
auto_BLTauto_SEXT_H491
auto_BLTauto_ZEXT_H581
auto_BLTauto_ANDN531
auto_BLTauto_ORN651
auto_BLTauto_XNOR691
auto_BLTauto_ROR511
auto_BLTauto_RORI651
auto_BLTauto_ROL531
auto_BLTauto_REV8571
auto_BLTauto_ORC_B601
auto_BLTauto_CLMUL611
auto_BLTauto_CLMULH571
auto_BLTauto_CLMULR451
auto_BLTauto_BSET551
auto_BLTauto_BSETI561
auto_BLTauto_BCLR781
auto_BLTauto_BCLRI541
auto_BLTauto_BINV621
auto_BLTauto_BINVI591
auto_BLTauto_BEXT421
auto_BLTauto_BEXTI531
auto_BLTauto_CSRRW591
auto_BLTauto_CSRRS631
auto_BLTauto_CSRRC401
auto_BLTauto_CSRRWI581
auto_BLTauto_CSRRSI521
auto_BLTauto_CSRRCI581
auto_BLTauto_C_ZEXT_B401
auto_BLTauto_C_SEXT_B571
auto_BLTauto_C_ZEXT_H481
auto_BLTauto_C_SEXT_H361
auto_BLTauto_C_NOT361
auto_BLTauto_C_MUL451
auto_BGEauto_LUI761
auto_BGEauto_AUIPC611
auto_BGEauto_JAL621
auto_BGEauto_JALR671
auto_BGEauto_BEQ681
auto_BGEauto_BNE641
auto_BGEauto_BLT641
auto_BGEauto_BGE521
auto_BGEauto_BLTU771
auto_BGEauto_BGEU561
auto_BGEauto_LB61
auto_BGEauto_LH11
auto_BGEauto_LW21
auto_BGEauto_LBU51
auto_BGEauto_LHU11
auto_BGEauto_SB51
auto_BGEauto_ADDI871
auto_BGEauto_SLTI1491
auto_BGEauto_SLTIU621
auto_BGEauto_XORI731
auto_BGEauto_ORI1021
auto_BGEauto_ANDI741
auto_BGEauto_SLLI711
auto_BGEauto_SRLI591
auto_BGEauto_SRAI741
auto_BGEauto_ADD571
auto_BGEauto_SUB831
auto_BGEauto_SLL911
auto_BGEauto_SLT701
auto_BGEauto_SLTU621
auto_BGEauto_XOR831
auto_BGEauto_SRL571
auto_BGEauto_SRA561
auto_BGEauto_OR1101
auto_BGEauto_AND521
auto_BGEauto_FENCE581
auto_BGEauto_MRET2261
auto_BGEauto_WFI61
auto_BGEauto_MUL701
auto_BGEauto_MULH651
auto_BGEauto_MULHSU871
auto_BGEauto_MULHU581
auto_BGEauto_DIV921
auto_BGEauto_DIVU1551
auto_BGEauto_REM651
auto_BGEauto_REMU1071
auto_BGEauto_C_ADDI4SPN381
auto_BGEauto_C_NOP2371
auto_BGEauto_C_ADDI501
auto_BGEauto_C_JAL11
auto_BGEauto_C_LI501
auto_BGEauto_C_ADDI16SP471
auto_BGEauto_C_LUI521
auto_BGEauto_C_SRLI501
auto_BGEauto_C_SRAI451
auto_BGEauto_C_ANDI551
auto_BGEauto_C_SUB481
auto_BGEauto_C_XOR351
auto_BGEauto_C_OR431
auto_BGEauto_C_AND361
auto_BGEauto_C_BEQZ391
auto_BGEauto_C_BNEZ581
auto_BGEauto_C_SLLI501
auto_BGEauto_C_MV551
auto_BGEauto_C_ADD531
auto_BGEauto_SH1ADD721
auto_BGEauto_SH2ADD631
auto_BGEauto_SH3ADD581
auto_BGEauto_CLZ511
auto_BGEauto_CTZ531
auto_BGEauto_CPOP561
auto_BGEauto_MIN601
auto_BGEauto_MAX581
auto_BGEauto_MINU541
auto_BGEauto_MAXU661
auto_BGEauto_SEXT_B591
auto_BGEauto_SEXT_H671
auto_BGEauto_ZEXT_H681
auto_BGEauto_ANDN601
auto_BGEauto_ORN671
auto_BGEauto_XNOR651
auto_BGEauto_ROR571
auto_BGEauto_RORI601
auto_BGEauto_ROL681
auto_BGEauto_REV8471
auto_BGEauto_ORC_B591
auto_BGEauto_CLMUL561
auto_BGEauto_CLMULH561
auto_BGEauto_CLMULR551
auto_BGEauto_BSET561
auto_BGEauto_BSETI571
auto_BGEauto_BCLR621
auto_BGEauto_BCLRI541
auto_BGEauto_BINV551
auto_BGEauto_BINVI541
auto_BGEauto_BEXT691
auto_BGEauto_BEXTI631
auto_BGEauto_CSRRW561
auto_BGEauto_CSRRS461
auto_BGEauto_CSRRC461
auto_BGEauto_CSRRWI531
auto_BGEauto_CSRRSI531
auto_BGEauto_CSRRCI631
auto_BGEauto_C_ZEXT_B551
auto_BGEauto_C_SEXT_B471
auto_BGEauto_C_ZEXT_H451
auto_BGEauto_C_SEXT_H421
auto_BGEauto_C_NOT451
auto_BGEauto_C_MUL421
auto_BLTUauto_LUI1261
auto_BLTUauto_AUIPC591
auto_BLTUauto_JAL191
auto_BLTUauto_JALR81
auto_BLTUauto_BEQ601
auto_BLTUauto_BNE761
auto_BLTUauto_BLT1381
auto_BLTUauto_BGE731
auto_BLTUauto_BLTU601
auto_BLTUauto_BGEU611
auto_BLTUauto_LB61
auto_BLTUauto_LH21
auto_BLTUauto_LBU71
auto_BLTUauto_LHU11
auto_BLTUauto_SB51
auto_BLTUauto_ADDI811
auto_BLTUauto_SLTI641
auto_BLTUauto_SLTIU641
auto_BLTUauto_XORI781
auto_BLTUauto_ORI761
auto_BLTUauto_ANDI861
auto_BLTUauto_SLLI641
auto_BLTUauto_SRLI801
auto_BLTUauto_SRAI701
auto_BLTUauto_ADD511
auto_BLTUauto_SUB881
auto_BLTUauto_SLL661
auto_BLTUauto_SLT711
auto_BLTUauto_SLTU761
auto_BLTUauto_XOR701
auto_BLTUauto_SRL471
auto_BLTUauto_SRA1361
auto_BLTUauto_OR671
auto_BLTUauto_AND681
auto_BLTUauto_FENCE511
auto_BLTUauto_MRET2331
auto_BLTUauto_WFI101
auto_BLTUauto_MUL691
auto_BLTUauto_MULH721
auto_BLTUauto_MULHSU1281
auto_BLTUauto_MULHU741
auto_BLTUauto_DIV791
auto_BLTUauto_DIVU671
auto_BLTUauto_REM781
auto_BLTUauto_REMU981
auto_BLTUauto_C_ADDI4SPN491
auto_BLTUauto_C_NOP2171
auto_BLTUauto_C_ADDI531
auto_BLTUauto_C_LI441
auto_BLTUauto_C_ADDI16SP421
auto_BLTUauto_C_LUI461
auto_BLTUauto_C_SRLI441
auto_BLTUauto_C_SRAI661
auto_BLTUauto_C_ANDI631
auto_BLTUauto_C_SUB451
auto_BLTUauto_C_XOR461
auto_BLTUauto_C_OR461
auto_BLTUauto_C_AND511
auto_BLTUauto_C_J11
auto_BLTUauto_C_BEQZ521
auto_BLTUauto_C_BNEZ611
auto_BLTUauto_C_SLLI581
auto_BLTUauto_C_MV571
auto_BLTUauto_C_ADD511
auto_BLTUauto_SH1ADD551
auto_BLTUauto_SH2ADD721
auto_BLTUauto_SH3ADD591
auto_BLTUauto_CLZ621
auto_BLTUauto_CTZ611
auto_BLTUauto_CPOP821
auto_BLTUauto_MIN681
auto_BLTUauto_MAX541
auto_BLTUauto_MINU741
auto_BLTUauto_MAXU531
auto_BLTUauto_SEXT_B651
auto_BLTUauto_SEXT_H531
auto_BLTUauto_ZEXT_H711
auto_BLTUauto_ANDN661
auto_BLTUauto_ORN661
auto_BLTUauto_XNOR621
auto_BLTUauto_ROR511
auto_BLTUauto_RORI611
auto_BLTUauto_ROL501
auto_BLTUauto_REV8571
auto_BLTUauto_ORC_B651
auto_BLTUauto_CLMUL481
auto_BLTUauto_CLMULH571
auto_BLTUauto_CLMULR511
auto_BLTUauto_BSET551
auto_BLTUauto_BSETI561
auto_BLTUauto_BCLR501
auto_BLTUauto_BCLRI481
auto_BLTUauto_BINV461
auto_BLTUauto_BINVI571
auto_BLTUauto_BEXT571
auto_BLTUauto_BEXTI591
auto_BLTUauto_CSRRW631
auto_BLTUauto_CSRRS581
auto_BLTUauto_CSRRC661
auto_BLTUauto_CSRRWI451
auto_BLTUauto_CSRRSI581
auto_BLTUauto_CSRRCI481
auto_BLTUauto_C_ZEXT_B451
auto_BLTUauto_C_SEXT_B551
auto_BLTUauto_C_ZEXT_H431
auto_BLTUauto_C_SEXT_H591
auto_BLTUauto_C_NOT451
auto_BLTUauto_C_MUL551
auto_BGEUauto_LUI691
auto_BGEUauto_AUIPC1041
auto_BGEUauto_JAL41
auto_BGEUauto_JALR161
auto_BGEUauto_BEQ481
auto_BGEUauto_BNE781
auto_BGEUauto_BLT521
auto_BGEUauto_BGE651
auto_BGEUauto_BLTU1011
auto_BGEUauto_BGEU701
auto_BGEUauto_LB61
auto_BGEUauto_LH11
auto_BGEUauto_LBU31
auto_BGEUauto_LHU11
auto_BGEUauto_SB51
auto_BGEUauto_SH11
auto_BGEUauto_SW11
auto_BGEUauto_ADDI731
auto_BGEUauto_SLTI871
auto_BGEUauto_SLTIU711
auto_BGEUauto_XORI621
auto_BGEUauto_ORI551
auto_BGEUauto_ANDI1421
auto_BGEUauto_SLLI661
auto_BGEUauto_SRLI661
auto_BGEUauto_SRAI1571
auto_BGEUauto_ADD671
auto_BGEUauto_SUB681
auto_BGEUauto_SLL651
auto_BGEUauto_SLT671
auto_BGEUauto_SLTU791
auto_BGEUauto_XOR761
auto_BGEUauto_SRL641
auto_BGEUauto_SRA731
auto_BGEUauto_OR541
auto_BGEUauto_AND861
auto_BGEUauto_FENCE591
auto_BGEUauto_MRET1761
auto_BGEUauto_WFI51
auto_BGEUauto_MUL611
auto_BGEUauto_MULH861
auto_BGEUauto_MULHSU591
auto_BGEUauto_MULHU761
auto_BGEUauto_DIV841
auto_BGEUauto_DIVU761
auto_BGEUauto_REM671
auto_BGEUauto_REMU511
auto_BGEUauto_C_ADDI4SPN391
auto_BGEUauto_C_NOP1951
auto_BGEUauto_C_ADDI701
auto_BGEUauto_C_LI781
auto_BGEUauto_C_ADDI16SP481
auto_BGEUauto_C_LUI431
auto_BGEUauto_C_SRLI391
auto_BGEUauto_C_SRAI421
auto_BGEUauto_C_ANDI491
auto_BGEUauto_C_SUB461
auto_BGEUauto_C_XOR541
auto_BGEUauto_C_OR471
auto_BGEUauto_C_AND361
auto_BGEUauto_C_BEQZ501
auto_BGEUauto_C_BNEZ421
auto_BGEUauto_C_SLLI591
auto_BGEUauto_C_MV491
auto_BGEUauto_C_ADD5911
auto_BGEUauto_SH1ADD501
auto_BGEUauto_SH2ADD611
auto_BGEUauto_SH3ADD641
auto_BGEUauto_CLZ581
auto_BGEUauto_CTZ541
auto_BGEUauto_CPOP611
auto_BGEUauto_MIN591
auto_BGEUauto_MAX671
auto_BGEUauto_MINU521
auto_BGEUauto_MAXU561
auto_BGEUauto_SEXT_B601
auto_BGEUauto_SEXT_H611
auto_BGEUauto_ZEXT_H611
auto_BGEUauto_ANDN621
auto_BGEUauto_ORN521
auto_BGEUauto_XNOR631
auto_BGEUauto_ROR581
auto_BGEUauto_RORI621
auto_BGEUauto_ROL521
auto_BGEUauto_REV8491
auto_BGEUauto_ORC_B481
auto_BGEUauto_CLMUL591
auto_BGEUauto_CLMULH571
auto_BGEUauto_CLMULR561
auto_BGEUauto_BSET591
auto_BGEUauto_BSETI581
auto_BGEUauto_BCLR621
auto_BGEUauto_BCLRI581
auto_BGEUauto_BINV591
auto_BGEUauto_BINVI621
auto_BGEUauto_BEXT541
auto_BGEUauto_BEXTI601
auto_BGEUauto_CSRRW501
auto_BGEUauto_CSRRS431
auto_BGEUauto_CSRRC661
auto_BGEUauto_CSRRWI591
auto_BGEUauto_CSRRSI501
auto_BGEUauto_CSRRCI671
auto_BGEUauto_C_LBU11
auto_BGEUauto_C_ZEXT_B501
auto_BGEUauto_C_SEXT_B501
auto_BGEUauto_C_ZEXT_H431
auto_BGEUauto_C_SEXT_H471
auto_BGEUauto_C_NOT401
auto_BGEUauto_C_MUL451
auto_LBauto_LUI3651
auto_LBauto_AUIPC3361
auto_LBauto_JAL11
auto_LBauto_LB139651
auto_LBauto_LH38511
auto_LBauto_LW16331
auto_LBauto_LBU137581
auto_LBauto_LHU37841
auto_LBauto_SB139641
auto_LBauto_SH37021
auto_LBauto_SW15581
auto_LBauto_ADDI42671
auto_LBauto_SLTI3261
auto_LBauto_SLTIU3331
auto_LBauto_XORI3821
auto_LBauto_ORI3811
auto_LBauto_ANDI3861
auto_LBauto_SLLI3201
auto_LBauto_SRLI3611
auto_LBauto_SRAI3601
auto_LBauto_ADD3301
auto_LBauto_SUB3601
auto_LBauto_SLL3231
auto_LBauto_SLT3631
auto_LBauto_SLTU3681
auto_LBauto_XOR3281
auto_LBauto_SRL3391
auto_LBauto_SRA3331
auto_LBauto_OR3431
auto_LBauto_AND3261
auto_LBauto_FENCE3381
auto_LBauto_ECALL11
auto_LBauto_MRET20581
auto_LBauto_WFI151
auto_LBauto_MUL3571
auto_LBauto_MULH3561
auto_LBauto_MULHSU3561
auto_LBauto_MULHU3651
auto_LBauto_DIV3451
auto_LBauto_DIVU3691
auto_LBauto_REM3401
auto_LBauto_REMU3731
auto_LBauto_C_ADDI4SPN1711
auto_LBauto_C_LW191
auto_LBauto_C_SW291
auto_LBauto_C_NOP12321
auto_LBauto_C_ADDI2631
auto_LBauto_C_LI2771
auto_LBauto_C_ADDI16SP1581
auto_LBauto_C_LUI2861
auto_LBauto_C_SRLI2651
auto_LBauto_C_SRAI2721
auto_LBauto_C_ANDI2561
auto_LBauto_C_SUB2931
auto_LBauto_C_XOR2811
auto_LBauto_C_OR2751
auto_LBauto_C_AND2811
auto_LBauto_C_SLLI2861
auto_LBauto_C_LWSP1261
auto_LBauto_C_MV2581
auto_LBauto_C_ADD3151
auto_LBauto_C_SWSP1141
auto_LBauto_SH1ADD3051
auto_LBauto_SH2ADD3301
auto_LBauto_SH3ADD3511
auto_LBauto_CLZ3381
auto_LBauto_CTZ3421
auto_LBauto_CPOP3401
auto_LBauto_MIN3321
auto_LBauto_MAX3411
auto_LBauto_MINU3361
auto_LBauto_MAXU3331
auto_LBauto_SEXT_B3371
auto_LBauto_SEXT_H3501
auto_LBauto_ZEXT_H3461
auto_LBauto_ANDN3121
auto_LBauto_ORN3391
auto_LBauto_XNOR3521
auto_LBauto_ROR3251
auto_LBauto_RORI3291
auto_LBauto_ROL3141
auto_LBauto_REV83211
auto_LBauto_ORC_B3511
auto_LBauto_CLMUL3601
auto_LBauto_CLMULH3611
auto_LBauto_CLMULR3471
auto_LBauto_BSET3691
auto_LBauto_BSETI3641
auto_LBauto_BCLR3191
auto_LBauto_BCLRI3061
auto_LBauto_BINV3261
auto_LBauto_BINVI3631
auto_LBauto_BEXT3551
auto_LBauto_BEXTI3371
auto_LBauto_CSRRW5321
auto_LBauto_CSRRS3301
auto_LBauto_CSRRC3251
auto_LBauto_CSRRWI3121
auto_LBauto_CSRRSI3361
auto_LBauto_CSRRCI3671
auto_LBauto_C_LBU441
auto_LBauto_C_LHU161
auto_LBauto_C_LH141
auto_LBauto_C_SB541
auto_LBauto_C_SH161
auto_LBauto_C_ZEXT_B3091
auto_LBauto_C_SEXT_B2931
auto_LBauto_C_ZEXT_H2661
auto_LBauto_C_SEXT_H2891
auto_LBauto_C_NOT2921
auto_LBauto_C_MUL3021
auto_LHauto_LUI1061
auto_LHauto_AUIPC1341
auto_LHauto_LB37971
auto_LHauto_LH17151
auto_LHauto_LW6561
auto_LHauto_LBU38121
auto_LHauto_LHU17491
auto_LHauto_SB36431
auto_LHauto_SH16861
auto_LHauto_SW6861
auto_LHauto_ADDI13541
auto_LHauto_SLTI1041
auto_LHauto_SLTIU1061
auto_LHauto_XORI1041
auto_LHauto_ORI1261
auto_LHauto_ANDI1081
auto_LHauto_SLLI1091
auto_LHauto_SRLI1051
auto_LHauto_SRAI1131
auto_LHauto_ADD1011
auto_LHauto_SUB1141
auto_LHauto_SLL1201
auto_LHauto_SLT981
auto_LHauto_SLTU1351
auto_LHauto_XOR1201
auto_LHauto_SRL1071
auto_LHauto_SRA1181
auto_LHauto_OR1111
auto_LHauto_AND1311
auto_LHauto_FENCE1051
auto_LHauto_MRET7181
auto_LHauto_WFI41
auto_LHauto_MUL1181
auto_LHauto_MULH1081
auto_LHauto_MULHSU1061
auto_LHauto_MULHU1171
auto_LHauto_DIV931
auto_LHauto_DIVU1321
auto_LHauto_REM1011
auto_LHauto_REMU1161
auto_LHauto_C_ADDI4SPN551
auto_LHauto_C_LW91
auto_LHauto_C_SW71
auto_LHauto_C_NOP3451
auto_LHauto_C_ADDI841
auto_LHauto_C_LI881
auto_LHauto_C_ADDI16SP431
auto_LHauto_C_LUI901
auto_LHauto_C_SRLI961
auto_LHauto_C_SRAI981
auto_LHauto_C_ANDI831
auto_LHauto_C_SUB971
auto_LHauto_C_XOR901
auto_LHauto_C_OR851
auto_LHauto_C_AND961
auto_LHauto_C_SLLI961
auto_LHauto_C_LWSP441
auto_LHauto_C_MV1061
auto_LHauto_C_ADD731
auto_LHauto_C_SWSP301
auto_LHauto_SH1ADD1071
auto_LHauto_SH2ADD1121
auto_LHauto_SH3ADD1091
auto_LHauto_CLZ1031
auto_LHauto_CTZ981
auto_LHauto_CPOP1171
auto_LHauto_MIN1051
auto_LHauto_MAX951
auto_LHauto_MINU1031
auto_LHauto_MAXU1081
auto_LHauto_SEXT_B1041
auto_LHauto_SEXT_H951
auto_LHauto_ZEXT_H1091
auto_LHauto_ANDN1021
auto_LHauto_ORN971
auto_LHauto_XNOR901
auto_LHauto_ROR1231
auto_LHauto_RORI1361
auto_LHauto_ROL1151
auto_LHauto_REV81071
auto_LHauto_ORC_B1031
auto_LHauto_CLMUL1101
auto_LHauto_CLMULH1101
auto_LHauto_CLMULR1041
auto_LHauto_BSET941
auto_LHauto_BSETI1101
auto_LHauto_BCLR1151
auto_LHauto_BCLRI1181
auto_LHauto_BINV911
auto_LHauto_BINVI1011
auto_LHauto_BEXT1071
auto_LHauto_BEXTI1101
auto_LHauto_CSRRW1011
auto_LHauto_CSRRS1161
auto_LHauto_CSRRC1191
auto_LHauto_CSRRWI901
auto_LHauto_CSRRSI971
auto_LHauto_CSRRCI1061
auto_LHauto_C_LBU111
auto_LHauto_C_LHU21
auto_LHauto_C_LH21
auto_LHauto_C_SB121
auto_LHauto_C_SH21
auto_LHauto_C_ZEXT_B931
auto_LHauto_C_SEXT_B891
auto_LHauto_C_ZEXT_H801
auto_LHauto_C_SEXT_H971
auto_LHauto_C_NOT921
auto_LHauto_C_MUL871
auto_LWauto_LUI701
auto_LWauto_AUIPC731
auto_LWauto_LB15861
auto_LWauto_LH6821
auto_LWauto_LW4785041
auto_LWauto_LBU14891
auto_LWauto_LHU6591
auto_LWauto_SB15771
auto_LWauto_SH6971
auto_LWauto_SW5781
auto_LWauto_ADDI6771
auto_LWauto_SLTI561
auto_LWauto_SLTIU871
auto_LWauto_XORI1421
auto_LWauto_ORI571
auto_LWauto_ANDI851
auto_LWauto_SLLI571
auto_LWauto_SRLI1491
auto_LWauto_SRAI701
auto_LWauto_ADD4848021
auto_LWauto_SUB721
auto_LWauto_SLL541
auto_LWauto_SLT971
auto_LWauto_SLTU501
auto_LWauto_XOR811
auto_LWauto_SRL941
auto_LWauto_SRA751
auto_LWauto_OR631
auto_LWauto_AND611
auto_LWauto_FENCE531
auto_LWauto_MRET3881
auto_LWauto_WFI321
auto_LWauto_MUL791
auto_LWauto_MULH881
auto_LWauto_MULHSU1791
auto_LWauto_MULHU771
auto_LWauto_DIV731
auto_LWauto_DIVU801
auto_LWauto_REM1011
auto_LWauto_REMU751
auto_LWauto_C_ADDI4SPN231
auto_LWauto_C_LW228321
auto_LWauto_C_SW51
auto_LWauto_C_NOP2121
auto_LWauto_C_ADDI371
auto_LWauto_C_LI491
auto_LWauto_C_ADDI16SP311
auto_LWauto_C_LUI381
auto_LWauto_C_SRLI361
auto_LWauto_C_SRAI391
auto_LWauto_C_ANDI441
auto_LWauto_C_SUB411
auto_LWauto_C_XOR431
auto_LWauto_C_OR711
auto_LWauto_C_AND491
auto_LWauto_C_J10881
auto_LWauto_C_SLLI361
auto_LWauto_C_LWSP81
auto_LWauto_C_JR171
auto_LWauto_C_MV451
auto_LWauto_C_ADD781
auto_LWauto_C_SWSP111
auto_LWauto_SH1ADD561
auto_LWauto_SH2ADD611
auto_LWauto_SH3ADD461
auto_LWauto_CLZ451
auto_LWauto_CTZ481
auto_LWauto_CPOP531
auto_LWauto_MIN621
auto_LWauto_MAX421
auto_LWauto_MINU581
auto_LWauto_MAXU401
auto_LWauto_SEXT_B451
auto_LWauto_SEXT_H421
auto_LWauto_ZEXT_H521
auto_LWauto_ANDN461
auto_LWauto_ORN421
auto_LWauto_XNOR711
auto_LWauto_ROR451
auto_LWauto_RORI641
auto_LWauto_ROL741
auto_LWauto_REV8521
auto_LWauto_ORC_B381
auto_LWauto_CLMUL501
auto_LWauto_CLMULH521
auto_LWauto_CLMULR481
auto_LWauto_BSET351
auto_LWauto_BSETI471
auto_LWauto_BCLR451
auto_LWauto_BCLRI381
auto_LWauto_BINV601
auto_LWauto_BINVI391
auto_LWauto_BEXT381
auto_LWauto_BEXTI561
auto_LWauto_CSRRW391
auto_LWauto_CSRRS501
auto_LWauto_CSRRC401
auto_LWauto_CSRRWI371
auto_LWauto_CSRRSI371
auto_LWauto_CSRRCI341
auto_LWauto_C_LBU161
auto_LWauto_C_LHU41
auto_LWauto_C_LH11
auto_LWauto_C_SB101
auto_LWauto_C_SH31
auto_LWauto_C_ZEXT_B391
auto_LWauto_C_SEXT_B511
auto_LWauto_C_ZEXT_H451
auto_LWauto_C_SEXT_H741
auto_LWauto_C_NOT491
auto_LWauto_C_MUL311
auto_LBUauto_LUI3441
auto_LBUauto_AUIPC3431
auto_LBUauto_LB138421
auto_LBUauto_LH37381
auto_LBUauto_LW15501
auto_LBUauto_LBU134891
auto_LBUauto_LHU37531
auto_LBUauto_SB137101
auto_LBUauto_SH37421
auto_LBUauto_SW15231
auto_LBUauto_ADDI41271
auto_LBUauto_SLTI3431
auto_LBUauto_SLTIU3491
auto_LBUauto_XORI3491
auto_LBUauto_ORI3211
auto_LBUauto_ANDI3631
auto_LBUauto_SLLI3811
auto_LBUauto_SRLI3731
auto_LBUauto_SRAI3271
auto_LBUauto_ADD2981
auto_LBUauto_SUB3381
auto_LBUauto_SLL3401
auto_LBUauto_SLT3321
auto_LBUauto_SLTU3641
auto_LBUauto_XOR3391
auto_LBUauto_SRL3571
auto_LBUauto_SRA3451
auto_LBUauto_OR3531
auto_LBUauto_AND3431
auto_LBUauto_FENCE3571
auto_LBUauto_MRET20151
auto_LBUauto_WFI91
auto_LBUauto_MUL3581
auto_LBUauto_MULH3521
auto_LBUauto_MULHSU3431
auto_LBUauto_MULHU3661
auto_LBUauto_DIV3511
auto_LBUauto_DIVU3581
auto_LBUauto_REM3481
auto_LBUauto_REMU3631
auto_LBUauto_C_ADDI4SPN1581
auto_LBUauto_C_LW281
auto_LBUauto_C_SW201
auto_LBUauto_C_NOP11521
auto_LBUauto_C_ADDI2801
auto_LBUauto_C_LI2841
auto_LBUauto_C_ADDI16SP1561
auto_LBUauto_C_LUI2821
auto_LBUauto_C_SRLI2761
auto_LBUauto_C_SRAI2471
auto_LBUauto_C_ANDI2741
auto_LBUauto_C_SUB2601
auto_LBUauto_C_XOR2621
auto_LBUauto_C_OR2861
auto_LBUauto_C_AND3021
auto_LBUauto_C_J171
auto_LBUauto_C_SLLI2951
auto_LBUauto_C_LWSP1171
auto_LBUauto_C_MV2861
auto_LBUauto_C_ADD3051
auto_LBUauto_C_SWSP1201
auto_LBUauto_SH1ADD3341
auto_LBUauto_SH2ADD3371
auto_LBUauto_SH3ADD3081
auto_LBUauto_CLZ3541
auto_LBUauto_CTZ3321
auto_LBUauto_CPOP3361
auto_LBUauto_MIN3041
auto_LBUauto_MAX3561
auto_LBUauto_MINU3061
auto_LBUauto_MAXU3671
auto_LBUauto_SEXT_B3581
auto_LBUauto_SEXT_H3091
auto_LBUauto_ZEXT_H3221
auto_LBUauto_ANDN3231
auto_LBUauto_ORN3521
auto_LBUauto_XNOR3531
auto_LBUauto_ROR3611
auto_LBUauto_RORI3191
auto_LBUauto_ROL3541
auto_LBUauto_REV83361
auto_LBUauto_ORC_B3411
auto_LBUauto_CLMUL3331
auto_LBUauto_CLMULH3461
auto_LBUauto_CLMULR3311
auto_LBUauto_BSET3651
auto_LBUauto_BSETI3171
auto_LBUauto_BCLR3461
auto_LBUauto_BCLRI3551
auto_LBUauto_BINV3111
auto_LBUauto_BINVI3091
auto_LBUauto_BEXT3341
auto_LBUauto_BEXTI3461
auto_LBUauto_CSRRW3411
auto_LBUauto_CSRRS560271
auto_LBUauto_CSRRC2781
auto_LBUauto_CSRRWI3731
auto_LBUauto_CSRRSI3261
auto_LBUauto_CSRRCI3441
auto_LBUauto_C_LBU651
auto_LBUauto_C_LHU171
auto_LBUauto_C_LH111
auto_LBUauto_C_SB501
auto_LBUauto_C_SH141
auto_LBUauto_C_ZEXT_B3031
auto_LBUauto_C_SEXT_B2861
auto_LBUauto_C_ZEXT_H2881
auto_LBUauto_C_SEXT_H2841
auto_LBUauto_C_NOT2671
auto_LBUauto_C_MUL2861
auto_LHUauto_LUI1101
auto_LHUauto_AUIPC971
auto_LHUauto_LB36051
auto_LHUauto_LH16971
auto_LHUauto_LW6661
auto_LHUauto_LBU37541
auto_LHUauto_LHU17061
auto_LHUauto_SB37931
auto_LHUauto_SH16431
auto_LHUauto_SW7221
auto_LHUauto_ADDI14101
auto_LHUauto_SLTI1301
auto_LHUauto_SLTIU1221
auto_LHUauto_XORI1171
auto_LHUauto_ORI1091
auto_LHUauto_ANDI1061
auto_LHUauto_SLLI1001
auto_LHUauto_SRLI1311
auto_LHUauto_SRAI1301
auto_LHUauto_ADD1211
auto_LHUauto_SUB991
auto_LHUauto_SLL1141
auto_LHUauto_SLT1121
auto_LHUauto_SLTU1071
auto_LHUauto_XOR1261
auto_LHUauto_SRL1181
auto_LHUauto_SRA1001
auto_LHUauto_OR1141
auto_LHUauto_AND1031
auto_LHUauto_FENCE1041
auto_LHUauto_MRET6771
auto_LHUauto_WFI81
auto_LHUauto_MUL1141
auto_LHUauto_MULH1061
auto_LHUauto_MULHSU1071
auto_LHUauto_MULHU1031
auto_LHUauto_DIV1191
auto_LHUauto_DIVU1141
auto_LHUauto_REM1101
auto_LHUauto_REMU1181
auto_LHUauto_C_ADDI4SPN511
auto_LHUauto_C_LW81
auto_LHUauto_C_SW111
auto_LHUauto_C_NOP3821
auto_LHUauto_C_ADDI951
auto_LHUauto_C_LI811
auto_LHUauto_C_ADDI16SP491
auto_LHUauto_C_LUI761
auto_LHUauto_C_SRLI931
auto_LHUauto_C_SRAI831
auto_LHUauto_C_ANDI1041
auto_LHUauto_C_SUB1011
auto_LHUauto_C_XOR881
auto_LHUauto_C_OR841
auto_LHUauto_C_AND881
auto_LHUauto_C_SLLI851
auto_LHUauto_C_LWSP391
auto_LHUauto_C_MV811
auto_LHUauto_C_ADD811
auto_LHUauto_C_SWSP361
auto_LHUauto_SH1ADD991
auto_LHUauto_SH2ADD921
auto_LHUauto_SH3ADD1091
auto_LHUauto_CLZ1081
auto_LHUauto_CTZ1081
auto_LHUauto_CPOP1271
auto_LHUauto_MIN991
auto_LHUauto_MAX1031
auto_LHUauto_MINU971
auto_LHUauto_MAXU1021
auto_LHUauto_SEXT_B1101
auto_LHUauto_SEXT_H1071
auto_LHUauto_ZEXT_H931
auto_LHUauto_ANDN961
auto_LHUauto_ORN991
auto_LHUauto_XNOR1191
auto_LHUauto_ROR901
auto_LHUauto_RORI851
auto_LHUauto_ROL1051
auto_LHUauto_REV81031
auto_LHUauto_ORC_B1161
auto_LHUauto_CLMUL1041
auto_LHUauto_CLMULH1051
auto_LHUauto_CLMULR1141
auto_LHUauto_BSET1011
auto_LHUauto_BSETI1241
auto_LHUauto_BCLR1101
auto_LHUauto_BCLRI1071
auto_LHUauto_BINV871
auto_LHUauto_BINVI1041
auto_LHUauto_BEXT1181
auto_LHUauto_BEXTI1181
auto_LHUauto_CSRRW1311
auto_LHUauto_CSRRS901
auto_LHUauto_CSRRC1261
auto_LHUauto_CSRRWI1021
auto_LHUauto_CSRRSI1001
auto_LHUauto_CSRRCI1081
auto_LHUauto_C_LBU201
auto_LHUauto_C_LHU41
auto_LHUauto_C_LH21
auto_LHUauto_C_SB221
auto_LHUauto_C_SH41
auto_LHUauto_C_ZEXT_B761
auto_LHUauto_C_SEXT_B901
auto_LHUauto_C_ZEXT_H781
auto_LHUauto_C_SEXT_H971
auto_LHUauto_C_NOT801
auto_LHUauto_C_MUL981
auto_SBauto_LUI3611
auto_SBauto_AUIPC3571
auto_SBauto_BNE11
auto_SBauto_LB137981
auto_SBauto_LH37441
auto_SBauto_LW15581
auto_SBauto_LBU138471
auto_SBauto_LHU37711
auto_SBauto_SB136771
auto_SBauto_SH38161
auto_SBauto_SW15661
auto_SBauto_ADDI41841
auto_SBauto_SLTI3811
auto_SBauto_SLTIU3961
auto_SBauto_XORI3201
auto_SBauto_ORI4011
auto_SBauto_ANDI3541
auto_SBauto_SLLI3251
auto_SBauto_SRLI3471
auto_SBauto_SRAI3421
auto_SBauto_ADD3041
auto_SBauto_SUB3211
auto_SBauto_SLL3501
auto_SBauto_SLT3311
auto_SBauto_SLTU3371
auto_SBauto_XOR3391
auto_SBauto_SRL3571
auto_SBauto_SRA3561
auto_SBauto_OR3551
auto_SBauto_AND3221
auto_SBauto_FENCE3451
auto_SBauto_ECALL11
auto_SBauto_MRET18991
auto_SBauto_WFI111
auto_SBauto_MUL3431
auto_SBauto_MULH3021
auto_SBauto_MULHSU3641
auto_SBauto_MULHU3341
auto_SBauto_DIV3481
auto_SBauto_DIVU3701
auto_SBauto_REM3371
auto_SBauto_REMU3631
auto_SBauto_C_ADDI4SPN1621
auto_SBauto_C_LW261
auto_SBauto_C_SW271
auto_SBauto_C_NOP11361
auto_SBauto_C_ADDI2721
auto_SBauto_C_LI2891
auto_SBauto_C_ADDI16SP1381
auto_SBauto_C_LUI3021
auto_SBauto_C_SRLI2851
auto_SBauto_C_SRAI2751
auto_SBauto_C_ANDI2741
auto_SBauto_C_SUB2991
auto_SBauto_C_XOR2901
auto_SBauto_C_OR2601
auto_SBauto_C_AND2721
auto_SBauto_C_SLLI2801
auto_SBauto_C_LWSP1021
auto_SBauto_C_MV2811
auto_SBauto_C_ADD2941
auto_SBauto_C_SWSP1021
auto_SBauto_SH1ADD3571
auto_SBauto_SH2ADD3361
auto_SBauto_SH3ADD3521
auto_SBauto_CLZ3251
auto_SBauto_CTZ3321
auto_SBauto_CPOP3281
auto_SBauto_MIN3401
auto_SBauto_MAX3071
auto_SBauto_MINU3381
auto_SBauto_MAXU3831
auto_SBauto_SEXT_B3141
auto_SBauto_SEXT_H3351
auto_SBauto_ZEXT_H3321
auto_SBauto_ANDN3641
auto_SBauto_ORN3701
auto_SBauto_XNOR3471
auto_SBauto_ROR3461
auto_SBauto_RORI3581
auto_SBauto_ROL3551
auto_SBauto_REV83221
auto_SBauto_ORC_B3321
auto_SBauto_CLMUL3161
auto_SBauto_CLMULH3251
auto_SBauto_CLMULR3291
auto_SBauto_BSET3201
auto_SBauto_BSETI3451
auto_SBauto_BCLR3431
auto_SBauto_BCLRI3171
auto_SBauto_BINV3471
auto_SBauto_BINVI3521
auto_SBauto_BEXT3431
auto_SBauto_BEXTI3361
auto_SBauto_CSRRW3041
auto_SBauto_CSRRS3531
auto_SBauto_CSRRC2971
auto_SBauto_CSRRWI3411
auto_SBauto_CSRRSI3041
auto_SBauto_CSRRCI3581
auto_SBauto_C_LBU551
auto_SBauto_C_LHU151
auto_SBauto_C_LH131
auto_SBauto_C_SB551
auto_SBauto_C_SH181
auto_SBauto_C_ZEXT_B2711
auto_SBauto_C_SEXT_B2961
auto_SBauto_C_ZEXT_H2651
auto_SBauto_C_SEXT_H2801
auto_SBauto_C_NOT3011
auto_SBauto_C_MUL2661
auto_SHauto_LUI1231
auto_SHauto_AUIPC961
auto_SHauto_LB38211
auto_SHauto_LH17251
auto_SHauto_LW6651
auto_SHauto_LBU36741
auto_SHauto_LHU16491
auto_SHauto_SB37741
auto_SHauto_SH17051
auto_SHauto_SW7001
auto_SHauto_ADDI12831
auto_SHauto_SLTI1011
auto_SHauto_SLTIU951
auto_SHauto_XORI1011
auto_SHauto_ORI871
auto_SHauto_ANDI1021
auto_SHauto_SLLI1241
auto_SHauto_SRLI1101
auto_SHauto_SRAI1171
auto_SHauto_ADD981
auto_SHauto_SUB1171
auto_SHauto_SLL1281
auto_SHauto_SLT1001
auto_SHauto_SLTU1271
auto_SHauto_XOR1261
auto_SHauto_SRL1111
auto_SHauto_SRA1261
auto_SHauto_OR1131
auto_SHauto_AND1241
auto_SHauto_FENCE1011
auto_SHauto_MRET7731
auto_SHauto_WFI41
auto_SHauto_MUL981
auto_SHauto_MULH1081
auto_SHauto_MULHSU1071
auto_SHauto_MULHU1111
auto_SHauto_DIV1021
auto_SHauto_DIVU1201
auto_SHauto_REM1101
auto_SHauto_REMU1091
auto_SHauto_C_ADDI4SPN531
auto_SHauto_C_LW101
auto_SHauto_C_SW31
auto_SHauto_C_NOP3251
auto_SHauto_C_ADDI911
auto_SHauto_C_LI921
auto_SHauto_C_ADDI16SP511
auto_SHauto_C_LUI951
auto_SHauto_C_SRLI991
auto_SHauto_C_SRAI961
auto_SHauto_C_ANDI971
auto_SHauto_C_SUB881
auto_SHauto_C_XOR931
auto_SHauto_C_OR1011
auto_SHauto_C_AND871
auto_SHauto_C_SLLI991
auto_SHauto_C_LWSP261
auto_SHauto_C_MV1091
auto_SHauto_C_ADD831
auto_SHauto_C_SWSP311
auto_SHauto_SH1ADD1201
auto_SHauto_SH2ADD921
auto_SHauto_SH3ADD951
auto_SHauto_CLZ861
auto_SHauto_CTZ1111
auto_SHauto_CPOP1171
auto_SHauto_MIN951
auto_SHauto_MAX1071
auto_SHauto_MINU1121
auto_SHauto_MAXU1081
auto_SHauto_SEXT_B971
auto_SHauto_SEXT_H981
auto_SHauto_ZEXT_H951
auto_SHauto_ANDN1011
auto_SHauto_ORN941
auto_SHauto_XNOR1081
auto_SHauto_ROR1041
auto_SHauto_RORI1201
auto_SHauto_ROL1121
auto_SHauto_REV81111
auto_SHauto_ORC_B941
auto_SHauto_CLMUL1011
auto_SHauto_CLMULH921
auto_SHauto_CLMULR1021
auto_SHauto_BSET1061
auto_SHauto_BSETI1241
auto_SHauto_BCLR1041
auto_SHauto_BCLRI981
auto_SHauto_BINV951
auto_SHauto_BINVI981
auto_SHauto_BEXT1101
auto_SHauto_BEXTI1041
auto_SHauto_CSRRW1171
auto_SHauto_CSRRS1141
auto_SHauto_CSRRC1011
auto_SHauto_CSRRWI1041
auto_SHauto_CSRRSI1071
auto_SHauto_CSRRCI1061
auto_SHauto_C_LBU231
auto_SHauto_C_LHU21
auto_SHauto_C_LH11
auto_SHauto_C_SB141
auto_SHauto_C_ZEXT_B811
auto_SHauto_C_SEXT_B991
auto_SHauto_C_ZEXT_H741
auto_SHauto_C_SEXT_H871
auto_SHauto_C_NOT671
auto_SHauto_C_MUL781
auto_SWauto_LUI761
auto_SWauto_AUIPC2076491
auto_SWauto_JAL11
auto_SWauto_LB15801
auto_SWauto_LH6611
auto_SWauto_LW4761
auto_SWauto_LBU15831
auto_SWauto_LHU6451
auto_SWauto_SB15631
auto_SWauto_SH6991
auto_SWauto_SW4769371
auto_SWauto_ADDI2444441
auto_SWauto_SLTI721
auto_SWauto_SLTIU621
auto_SWauto_XORI671
auto_SWauto_ORI561
auto_SWauto_ANDI831
auto_SWauto_SLLI1141
auto_SWauto_SRLI931
auto_SWauto_SRAI671
auto_SWauto_ADD841
auto_SWauto_SUB731
auto_SWauto_SLL681
auto_SWauto_SLT1451
auto_SWauto_SLTU881
auto_SWauto_XOR901
auto_SWauto_SRL771
auto_SWauto_SRA441
auto_SWauto_OR461
auto_SWauto_AND891
auto_SWauto_FENCE641
auto_SWauto_MRET3691
auto_SWauto_WFI201
auto_SWauto_MUL831
auto_SWauto_MULH1571
auto_SWauto_MULHSU681
auto_SWauto_MULHU591
auto_SWauto_DIV741
auto_SWauto_DIVU601
auto_SWauto_REM761
auto_SWauto_REMU851
auto_SWauto_C_ADDI4SPN751
auto_SWauto_C_LW71
auto_SWauto_C_SW228361
auto_SWauto_C_NOP1551
auto_SWauto_C_ADDI2416741
auto_SWauto_C_LI401
auto_SWauto_C_ADDI16SP201
auto_SWauto_C_LUI451
auto_SWauto_C_SRLI351
auto_SWauto_C_SRAI401
auto_SWauto_C_ANDI471
auto_SWauto_C_SUB741
auto_SWauto_C_XOR741
auto_SWauto_C_OR651
auto_SWauto_C_AND591
auto_SWauto_C_SLLI471
auto_SWauto_C_LWSP71
auto_SWauto_C_MV561
auto_SWauto_C_ADD491
auto_SWauto_C_SWSP161
auto_SWauto_SH1ADD571
auto_SWauto_SH2ADD511
auto_SWauto_SH3ADD491
auto_SWauto_CLZ481
auto_SWauto_CTZ551
auto_SWauto_CPOP601
auto_SWauto_MIN621
auto_SWauto_MAX411
auto_SWauto_MINU351
auto_SWauto_MAXU391
auto_SWauto_SEXT_B521
auto_SWauto_SEXT_H611
auto_SWauto_ZEXT_H541
auto_SWauto_ANDN461
auto_SWauto_ORN421
auto_SWauto_XNOR451
auto_SWauto_ROR551
auto_SWauto_RORI331
auto_SWauto_ROL651
auto_SWauto_REV8521
auto_SWauto_ORC_B471
auto_SWauto_CLMUL421
auto_SWauto_CLMULH521
auto_SWauto_CLMULR451
auto_SWauto_BSET371
auto_SWauto_BSETI431
auto_SWauto_BCLR521
auto_SWauto_BCLRI501
auto_SWauto_BINV551
auto_SWauto_BINVI571
auto_SWauto_BEXT491
auto_SWauto_BEXTI381
auto_SWauto_CSRRW461
auto_SWauto_CSRRS421
auto_SWauto_CSRRC371
auto_SWauto_CSRRWI361
auto_SWauto_CSRRSI481
auto_SWauto_CSRRCI431
auto_SWauto_C_LBU31
auto_SWauto_C_LHU11
auto_SWauto_C_LH31
auto_SWauto_C_SB91
auto_SWauto_C_SH11
auto_SWauto_C_ZEXT_B401
auto_SWauto_C_SEXT_B441
auto_SWauto_C_ZEXT_H421
auto_SWauto_C_SEXT_H481
auto_SWauto_C_NOT571
auto_SWauto_C_MUL341
auto_ADDIauto_LUI353551
auto_ADDIauto_AUIPC369871
auto_ADDIauto_JAL1351
auto_ADDIauto_JALR921
auto_ADDIauto_BEQ701
auto_ADDIauto_BNE781
auto_ADDIauto_BLT891
auto_ADDIauto_BGE1261
auto_ADDIauto_BLTU1081
auto_ADDIauto_BGEU1111
auto_ADDIauto_LB3061
auto_ADDIauto_LH1181
auto_ADDIauto_LW2499921
auto_ADDIauto_LBU3591
auto_ADDIauto_LHU1161
auto_ADDIauto_SB3621
auto_ADDIauto_SH1011
auto_ADDIauto_SW711
auto_ADDIauto_ADDI5521
auto_ADDIauto_SLTI2981
auto_ADDIauto_SLTIU3591
auto_ADDIauto_XORI2881
auto_ADDIauto_ORI2891
auto_ADDIauto_ANDI2861
auto_ADDIauto_SLLI3041
auto_ADDIauto_SRLI3191
auto_ADDIauto_SRAI3081
auto_ADDIauto_ADD2625211
auto_ADDIauto_SUB4711
auto_ADDIauto_SLL3231
auto_ADDIauto_SLT3391
auto_ADDIauto_SLTU2701
auto_ADDIauto_XOR3191
auto_ADDIauto_SRL2931
auto_ADDIauto_SRA3401
auto_ADDIauto_OR2641
auto_ADDIauto_AND3831
auto_ADDIauto_FENCE1981
auto_ADDIauto_MRET21931
auto_ADDIauto_WFI1851
auto_ADDIauto_MUL3331
auto_ADDIauto_MULH3321
auto_ADDIauto_MULHSU3561
auto_ADDIauto_MULHU2911
auto_ADDIauto_DIV2811
auto_ADDIauto_DIVU3111
auto_ADDIauto_REM3171
auto_ADDIauto_REMU3561
auto_ADDIauto_C_ADDI4SPN781
auto_ADDIauto_C_LW129871
auto_ADDIauto_C_NOP7261
auto_ADDIauto_C_ADDI1681
auto_ADDIauto_C_JAL351
auto_ADDIauto_C_LI1271
auto_ADDIauto_C_ADDI16SP701
auto_ADDIauto_C_LUI25651
auto_ADDIauto_C_SRLI991
auto_ADDIauto_C_SRAI1201
auto_ADDIauto_C_ANDI1061
auto_ADDIauto_C_SUB1111
auto_ADDIauto_C_XOR1151
auto_ADDIauto_C_OR901
auto_ADDIauto_C_AND1051
auto_ADDIauto_C_J291
auto_ADDIauto_C_BEQZ491
auto_ADDIauto_C_BNEZ381
auto_ADDIauto_C_SLLI1251
auto_ADDIauto_C_LWSP91
auto_ADDIauto_C_JR401
auto_ADDIauto_C_MV1171
auto_ADDIauto_C_JALR471
auto_ADDIauto_C_ADD1661
auto_ADDIauto_C_SWSP61
auto_ADDIauto_SH1ADD2101
auto_ADDIauto_SH2ADD2071
auto_ADDIauto_SH3ADD1951
auto_ADDIauto_CLZ2301
auto_ADDIauto_CTZ1851
auto_ADDIauto_CPOP2131
auto_ADDIauto_MIN2391
auto_ADDIauto_MAX2591
auto_ADDIauto_MINU2021
auto_ADDIauto_MAXU2011
auto_ADDIauto_SEXT_B2171
auto_ADDIauto_SEXT_H1921
auto_ADDIauto_ZEXT_H2201
auto_ADDIauto_ANDN2111
auto_ADDIauto_ORN1901
auto_ADDIauto_XNOR2101
auto_ADDIauto_ROR2081
auto_ADDIauto_RORI2341
auto_ADDIauto_ROL2121
auto_ADDIauto_REV82101
auto_ADDIauto_ORC_B2231
auto_ADDIauto_CLMUL1971
auto_ADDIauto_CLMULH2081
auto_ADDIauto_CLMULR2051
auto_ADDIauto_BSET2051
auto_ADDIauto_BSETI2201
auto_ADDIauto_BCLR1841
auto_ADDIauto_BCLRI2121
auto_ADDIauto_BINV2131
auto_ADDIauto_BINVI2111
auto_ADDIauto_BEXT2181
auto_ADDIauto_BEXTI2371
auto_ADDIauto_CSRRW741
auto_ADDIauto_CSRRS1211
auto_ADDIauto_CSRRC781
auto_ADDIauto_CSRRWI701
auto_ADDIauto_CSRRSI661
auto_ADDIauto_CSRRCI581
auto_ADDIauto_C_LBU31
auto_ADDIauto_C_SB51
auto_ADDIauto_C_ZEXT_B1171
auto_ADDIauto_C_SEXT_B1051
auto_ADDIauto_C_ZEXT_H1321
auto_ADDIauto_C_SEXT_H831
auto_ADDIauto_C_NOT1221
auto_ADDIauto_C_MUL1071
auto_SLTIauto_LUI3521
auto_SLTIauto_AUIPC2691
auto_SLTIauto_JAL891
auto_SLTIauto_JALR201
auto_SLTIauto_BEQ731
auto_SLTIauto_BNE651
auto_SLTIauto_BLT861
auto_SLTIauto_BGE1381
auto_SLTIauto_BLTU641
auto_SLTIauto_BGEU691
auto_SLTIauto_LB3441
auto_SLTIauto_LH1141
auto_SLTIauto_LW1031
auto_SLTIauto_LBU3431
auto_SLTIauto_LHU981
auto_SLTIauto_SB3301
auto_SLTIauto_SH1081
auto_SLTIauto_SW761
auto_SLTIauto_ADDI4381
auto_SLTIauto_SLTI2821
auto_SLTIauto_SLTIU2551
auto_SLTIauto_XORI2621
auto_SLTIauto_ORI2371
auto_SLTIauto_ANDI2461
auto_SLTIauto_SLLI2781
auto_SLTIauto_SRLI3261
auto_SLTIauto_SRAI2311
auto_SLTIauto_ADD1911
auto_SLTIauto_SUB3051
auto_SLTIauto_SLL2421
auto_SLTIauto_SLT2771
auto_SLTIauto_SLTU2821
auto_SLTIauto_XOR2201
auto_SLTIauto_SRL2271
auto_SLTIauto_SRA2581
auto_SLTIauto_OR2481
auto_SLTIauto_AND2331
auto_SLTIauto_FENCE1141
auto_SLTIauto_MRET8111
auto_SLTIauto_WFI611
auto_SLTIauto_MUL2491
auto_SLTIauto_MULH2421
auto_SLTIauto_MULHSU2851
auto_SLTIauto_MULHU2351
auto_SLTIauto_DIV2301
auto_SLTIauto_DIVU2321
auto_SLTIauto_REM2691
auto_SLTIauto_REMU3051
auto_SLTIauto_C_ADDI4SPN721
auto_SLTIauto_C_NOP6261
auto_SLTIauto_C_ADDI1331
auto_SLTIauto_C_JAL281
auto_SLTIauto_C_LI1111
auto_SLTIauto_C_ADDI16SP701
auto_SLTIauto_C_LUI1041
auto_SLTIauto_C_SRLI1151
auto_SLTIauto_C_SRAI1011
auto_SLTIauto_C_ANDI1111
auto_SLTIauto_C_SUB971
auto_SLTIauto_C_XOR1031
auto_SLTIauto_C_OR931
auto_SLTIauto_C_AND1031
auto_SLTIauto_C_J351
auto_SLTIauto_C_BEQZ511
auto_SLTIauto_C_BNEZ411
auto_SLTIauto_C_SLLI1111
auto_SLTIauto_C_LWSP31
auto_SLTIauto_C_MV1051
auto_SLTIauto_C_JALR31
auto_SLTIauto_C_ADD1331
auto_SLTIauto_C_SWSP51
auto_SLTIauto_SH1ADD1881
auto_SLTIauto_SH2ADD1931
auto_SLTIauto_SH3ADD2031
auto_SLTIauto_CLZ1951
auto_SLTIauto_CTZ1891
auto_SLTIauto_CPOP1921
auto_SLTIauto_MIN1981
auto_SLTIauto_MAX2031
auto_SLTIauto_MINU2161
auto_SLTIauto_MAXU1941
auto_SLTIauto_SEXT_B2021
auto_SLTIauto_SEXT_H2191
auto_SLTIauto_ZEXT_H1871
auto_SLTIauto_ANDN2111
auto_SLTIauto_ORN2001
auto_SLTIauto_XNOR2361
auto_SLTIauto_ROR1911
auto_SLTIauto_RORI1811
auto_SLTIauto_ROL2211
auto_SLTIauto_REV81981
auto_SLTIauto_ORC_B1881
auto_SLTIauto_CLMUL1861
auto_SLTIauto_CLMULH1881
auto_SLTIauto_CLMULR1951
auto_SLTIauto_BSET2001
auto_SLTIauto_BSETI1931
auto_SLTIauto_BCLR2091
auto_SLTIauto_BCLRI1941
auto_SLTIauto_BINV2191
auto_SLTIauto_BINVI1881
auto_SLTIauto_BEXT1971
auto_SLTIauto_BEXTI2101
auto_SLTIauto_CSRRW701
auto_SLTIauto_CSRRS721
auto_SLTIauto_CSRRC691
auto_SLTIauto_CSRRWI771
auto_SLTIauto_CSRRSI711
auto_SLTIauto_CSRRCI651
auto_SLTIauto_C_LBU41
auto_SLTIauto_C_LHU11
auto_SLTIauto_C_LH11
auto_SLTIauto_C_SB21
auto_SLTIauto_C_ZEXT_B941
auto_SLTIauto_C_SEXT_B981
auto_SLTIauto_C_ZEXT_H1001
auto_SLTIauto_C_SEXT_H1031
auto_SLTIauto_C_NOT931
auto_SLTIauto_C_MUL911
auto_SLTIUauto_LUI3241
auto_SLTIUauto_AUIPC2651
auto_SLTIUauto_JAL721
auto_SLTIUauto_JALR241
auto_SLTIUauto_BEQ711
auto_SLTIUauto_BNE661
auto_SLTIUauto_BLT551
auto_SLTIUauto_BGE651
auto_SLTIUauto_BLTU661
auto_SLTIUauto_BGEU611
auto_SLTIUauto_LB3871
auto_SLTIUauto_LH1121
auto_SLTIUauto_LW541
auto_SLTIUauto_LBU3141
auto_SLTIUauto_LHU1221
auto_SLTIUauto_SB3321
auto_SLTIUauto_SH1181
auto_SLTIUauto_SW721
auto_SLTIUauto_ADDI4241
auto_SLTIUauto_SLTI2491
auto_SLTIUauto_SLTIU3051
auto_SLTIUauto_XORI2671
auto_SLTIUauto_ORI2571
auto_SLTIUauto_ANDI3051
auto_SLTIUauto_SLLI3211
auto_SLTIUauto_SRLI3311
auto_SLTIUauto_SRAI2731
auto_SLTIUauto_ADD2321
auto_SLTIUauto_SUB2371
auto_SLTIUauto_SLL2681
auto_SLTIUauto_SLT2431
auto_SLTIUauto_SLTU2681
auto_SLTIUauto_XOR2261
auto_SLTIUauto_SRL2591
auto_SLTIUauto_SRA2771
auto_SLTIUauto_OR2621
auto_SLTIUauto_AND2611
auto_SLTIUauto_FENCE951
auto_SLTIUauto_MRET8411
auto_SLTIUauto_WFI661
auto_SLTIUauto_MUL2411
auto_SLTIUauto_MULH2451
auto_SLTIUauto_MULHSU2691
auto_SLTIUauto_MULHU2791
auto_SLTIUauto_DIV2581
auto_SLTIUauto_DIVU2381
auto_SLTIUauto_REM2501
auto_SLTIUauto_REMU2561
auto_SLTIUauto_C_ADDI4SPN831
auto_SLTIUauto_C_NOP6361
auto_SLTIUauto_C_ADDI1281
auto_SLTIUauto_C_JAL271
auto_SLTIUauto_C_LI991
auto_SLTIUauto_C_ADDI16SP711
auto_SLTIUauto_C_LUI741
auto_SLTIUauto_C_SRLI1081
auto_SLTIUauto_C_SRAI951
auto_SLTIUauto_C_ANDI851
auto_SLTIUauto_C_SUB991
auto_SLTIUauto_C_XOR1041
auto_SLTIUauto_C_OR891
auto_SLTIUauto_C_AND921
auto_SLTIUauto_C_J351
auto_SLTIUauto_C_BEQZ451
auto_SLTIUauto_C_BNEZ441
auto_SLTIUauto_C_SLLI1531
auto_SLTIUauto_C_LWSP91
auto_SLTIUauto_C_MV1181
auto_SLTIUauto_C_ADD1671
auto_SLTIUauto_C_SWSP31
auto_SLTIUauto_SH1ADD2151
auto_SLTIUauto_SH2ADD1961
auto_SLTIUauto_SH3ADD2001
auto_SLTIUauto_CLZ1981
auto_SLTIUauto_CTZ1941
auto_SLTIUauto_CPOP2131
auto_SLTIUauto_MIN2161
auto_SLTIUauto_MAX2071
auto_SLTIUauto_MINU1931
auto_SLTIUauto_MAXU1821
auto_SLTIUauto_SEXT_B1941
auto_SLTIUauto_SEXT_H1941
auto_SLTIUauto_ZEXT_H1951
auto_SLTIUauto_ANDN2221
auto_SLTIUauto_ORN1901
auto_SLTIUauto_XNOR2031
auto_SLTIUauto_ROR1661
auto_SLTIUauto_RORI1991
auto_SLTIUauto_ROL1941
auto_SLTIUauto_REV82161
auto_SLTIUauto_ORC_B2271
auto_SLTIUauto_CLMUL2121
auto_SLTIUauto_CLMULH1971
auto_SLTIUauto_CLMULR1911
auto_SLTIUauto_BSET2151
auto_SLTIUauto_BSETI1781
auto_SLTIUauto_BCLR2091
auto_SLTIUauto_BCLRI2111
auto_SLTIUauto_BINV1891
auto_SLTIUauto_BINVI1961
auto_SLTIUauto_BEXT1931
auto_SLTIUauto_BEXTI2121
auto_SLTIUauto_CSRRW631
auto_SLTIUauto_CSRRS641
auto_SLTIUauto_CSRRC651
auto_SLTIUauto_CSRRWI571
auto_SLTIUauto_CSRRSI551
auto_SLTIUauto_CSRRCI521
auto_SLTIUauto_C_LBU31
auto_SLTIUauto_C_SB21
auto_SLTIUauto_C_SH11
auto_SLTIUauto_C_ZEXT_B721
auto_SLTIUauto_C_SEXT_B841
auto_SLTIUauto_C_ZEXT_H1221
auto_SLTIUauto_C_SEXT_H1221
auto_SLTIUauto_C_NOT1001
auto_SLTIUauto_C_MUL1011
auto_XORIauto_LUI2511
auto_XORIauto_AUIPC2771
auto_XORIauto_JAL671
auto_XORIauto_JALR101
auto_XORIauto_BEQ611
auto_XORIauto_BNE581
auto_XORIauto_BLT851
auto_XORIauto_BGE561
auto_XORIauto_BLTU681
auto_XORIauto_BGEU641
auto_XORIauto_LB3521
auto_XORIauto_LH971
auto_XORIauto_LW1041
auto_XORIauto_LBU3481
auto_XORIauto_LHU981
auto_XORIauto_SB3611
auto_XORIauto_SH941
auto_XORIauto_SW811
auto_XORIauto_ADDI4511
auto_XORIauto_SLTI2351
auto_XORIauto_SLTIU2621
auto_XORIauto_XORI2611
auto_XORIauto_ORI2301
auto_XORIauto_ANDI4031
auto_XORIauto_SLLI2291
auto_XORIauto_SRLI2521
auto_XORIauto_SRAI2631
auto_XORIauto_ADD2261
auto_XORIauto_SUB2641
auto_XORIauto_SLL2591
auto_XORIauto_SLT2751
auto_XORIauto_SLTU2541
auto_XORIauto_XOR2381
auto_XORIauto_SRL2861
auto_XORIauto_SRA2501
auto_XORIauto_OR2471
auto_XORIauto_AND2691
auto_XORIauto_FENCE881
auto_XORIauto_MRET8351
auto_XORIauto_WFI721
auto_XORIauto_MUL3101
auto_XORIauto_MULH2411
auto_XORIauto_MULHSU2761
auto_XORIauto_MULHU2791
auto_XORIauto_DIV2351
auto_XORIauto_DIVU2521
auto_XORIauto_REM2761
auto_XORIauto_REMU2821
auto_XORIauto_C_ADDI4SPN731
auto_XORIauto_C_NOP6171
auto_XORIauto_C_ADDI1131
auto_XORIauto_C_JAL261
auto_XORIauto_C_LI1031
auto_XORIauto_C_ADDI16SP721
auto_XORIauto_C_LUI1141
auto_XORIauto_C_SRLI1131
auto_XORIauto_C_SRAI1141
auto_XORIauto_C_ANDI971
auto_XORIauto_C_SUB1171
auto_XORIauto_C_XOR1111
auto_XORIauto_C_OR1021
auto_XORIauto_C_AND1121
auto_XORIauto_C_J291
auto_XORIauto_C_BEQZ511
auto_XORIauto_C_BNEZ531
auto_XORIauto_C_SLLI1131
auto_XORIauto_C_LWSP31
auto_XORIauto_C_JR31
auto_XORIauto_C_MV1191
auto_XORIauto_C_JALR21
auto_XORIauto_C_ADD1501
auto_XORIauto_C_SWSP41
auto_XORIauto_SH1ADD2051
auto_XORIauto_SH2ADD1891
auto_XORIauto_SH3ADD1961
auto_XORIauto_CLZ2241
auto_XORIauto_CTZ2091
auto_XORIauto_CPOP1951
auto_XORIauto_MIN2121
auto_XORIauto_MAX1931
auto_XORIauto_MINU2101
auto_XORIauto_MAXU1871
auto_XORIauto_SEXT_B2091
auto_XORIauto_SEXT_H2041
auto_XORIauto_ZEXT_H1951
auto_XORIauto_ANDN2041
auto_XORIauto_ORN1941
auto_XORIauto_XNOR2081
auto_XORIauto_ROR1731
auto_XORIauto_RORI1851
auto_XORIauto_ROL2081
auto_XORIauto_REV81991
auto_XORIauto_ORC_B1961
auto_XORIauto_CLMUL1961
auto_XORIauto_CLMULH1721
auto_XORIauto_CLMULR1851
auto_XORIauto_BSET2061
auto_XORIauto_BSETI1951
auto_XORIauto_BCLR1771
auto_XORIauto_BCLRI1861
auto_XORIauto_BINV1761
auto_XORIauto_BINVI1931
auto_XORIauto_BEXT2111
auto_XORIauto_BEXTI1861
auto_XORIauto_CSRRW531
auto_XORIauto_CSRRS691
auto_XORIauto_CSRRC821
auto_XORIauto_CSRRWI921
auto_XORIauto_CSRRSI751
auto_XORIauto_CSRRCI721
auto_XORIauto_C_LBU11
auto_XORIauto_C_LH11
auto_XORIauto_C_SB11
auto_XORIauto_C_ZEXT_B1251
auto_XORIauto_C_SEXT_B741
auto_XORIauto_C_ZEXT_H1021
auto_XORIauto_C_SEXT_H1191
auto_XORIauto_C_NOT981
auto_XORIauto_C_MUL1291
auto_ORIauto_LUI2371
auto_ORIauto_AUIPC2911
auto_ORIauto_JAL601
auto_ORIauto_JALR291
auto_ORIauto_BEQ761
auto_ORIauto_BNE781
auto_ORIauto_BLT741
auto_ORIauto_BGE761
auto_ORIauto_BLTU601
auto_ORIauto_BGEU731
auto_ORIauto_LB3591
auto_ORIauto_LH1051
auto_ORIauto_LW741
auto_ORIauto_LBU3181
auto_ORIauto_LHU1111
auto_ORIauto_SB3581
auto_ORIauto_SH1051
auto_ORIauto_SW731
auto_ORIauto_ADDI28081
auto_ORIauto_SLTI2331
auto_ORIauto_SLTIU2441
auto_ORIauto_XORI2571
auto_ORIauto_ORI2831
auto_ORIauto_ANDI2561
auto_ORIauto_SLLI2771
auto_ORIauto_SRLI2541
auto_ORIauto_SRAI2391
auto_ORIauto_ADD2021
auto_ORIauto_SUB2261
auto_ORIauto_SLL2451
auto_ORIauto_SLT3111
auto_ORIauto_SLTU2621
auto_ORIauto_XOR2451
auto_ORIauto_SRL2631
auto_ORIauto_SRA2461
auto_ORIauto_OR2561
auto_ORIauto_AND2681
auto_ORIauto_FENCE1071
auto_ORIauto_MRET7891
auto_ORIauto_WFI1391
auto_ORIauto_MUL2441
auto_ORIauto_MULH2741
auto_ORIauto_MULHSU2811
auto_ORIauto_MULHU2401
auto_ORIauto_DIV2531
auto_ORIauto_DIVU2501
auto_ORIauto_REM2551
auto_ORIauto_REMU2571
auto_ORIauto_C_ADDI4SPN831
auto_ORIauto_C_SW11
auto_ORIauto_C_NOP6501
auto_ORIauto_C_ADDI1171
auto_ORIauto_C_JAL181
auto_ORIauto_C_LI961
auto_ORIauto_C_ADDI16SP721
auto_ORIauto_C_LUI991
auto_ORIauto_C_SRLI1021
auto_ORIauto_C_SRAI1041
auto_ORIauto_C_ANDI971
auto_ORIauto_C_SUB811
auto_ORIauto_C_XOR971
auto_ORIauto_C_OR961
auto_ORIauto_C_AND851
auto_ORIauto_C_J381
auto_ORIauto_C_BEQZ521
auto_ORIauto_C_BNEZ591
auto_ORIauto_C_SLLI1451
auto_ORIauto_C_LWSP61
auto_ORIauto_C_JR41
auto_ORIauto_C_MV1191
auto_ORIauto_C_JALR21
auto_ORIauto_C_ADD1361
auto_ORIauto_C_SWSP41
auto_ORIauto_SH1ADD1841
auto_ORIauto_SH2ADD2131
auto_ORIauto_SH3ADD2031
auto_ORIauto_CLZ1911
auto_ORIauto_CTZ1561
auto_ORIauto_CPOP1951
auto_ORIauto_MIN2271
auto_ORIauto_MAX1931
auto_ORIauto_MINU1711
auto_ORIauto_MAXU2121
auto_ORIauto_SEXT_B2031
auto_ORIauto_SEXT_H1751
auto_ORIauto_ZEXT_H2151
auto_ORIauto_ANDN1861
auto_ORIauto_ORN2101
auto_ORIauto_XNOR1981
auto_ORIauto_ROR1841
auto_ORIauto_RORI1871
auto_ORIauto_ROL1731
auto_ORIauto_REV82031
auto_ORIauto_ORC_B1821
auto_ORIauto_CLMUL2151
auto_ORIauto_CLMULH1861
auto_ORIauto_CLMULR2011
auto_ORIauto_BSET1941
auto_ORIauto_BSETI1861
auto_ORIauto_BCLR2051
auto_ORIauto_BCLRI1821
auto_ORIauto_BINV1971
auto_ORIauto_BINVI1991
auto_ORIauto_BEXT2211
auto_ORIauto_BEXTI1901
auto_ORIauto_CSRRW611
auto_ORIauto_CSRRS681
auto_ORIauto_CSRRC751
auto_ORIauto_CSRRWI721
auto_ORIauto_CSRRSI711
auto_ORIauto_CSRRCI731
auto_ORIauto_C_LBU31
auto_ORIauto_C_SB21
auto_ORIauto_C_ZEXT_B941
auto_ORIauto_C_SEXT_B1041
auto_ORIauto_C_ZEXT_H951
auto_ORIauto_C_SEXT_H841
auto_ORIauto_C_NOT961
auto_ORIauto_C_MUL871
auto_ANDIauto_LUI2481
auto_ANDIauto_AUIPC3571
auto_ANDIauto_JAL771
auto_ANDIauto_JALR391
auto_ANDIauto_BEQ1541
auto_ANDIauto_BNE1471
auto_ANDIauto_BLT641
auto_ANDIauto_BGE651
auto_ANDIauto_BLTU701
auto_ANDIauto_BGEU931
auto_ANDIauto_LB3221
auto_ANDIauto_LH1091
auto_ANDIauto_LW501
auto_ANDIauto_LBU3301
auto_ANDIauto_LHU1221
auto_ANDIauto_SB3601
auto_ANDIauto_SH1061
auto_ANDIauto_SW761
auto_ANDIauto_ADDI4241
auto_ANDIauto_SLTI2901
auto_ANDIauto_SLTIU2841
auto_ANDIauto_XORI2541
auto_ANDIauto_ORI2831
auto_ANDIauto_ANDI2831
auto_ANDIauto_SLLI2651
auto_ANDIauto_SRLI2871
auto_ANDIauto_SRAI2641
auto_ANDIauto_ADD2141
auto_ANDIauto_SUB2891
auto_ANDIauto_SLL2721
auto_ANDIauto_SLT4151
auto_ANDIauto_SLTU2821
auto_ANDIauto_XOR2591
auto_ANDIauto_SRL2661
auto_ANDIauto_SRA2451
auto_ANDIauto_OR2471
auto_ANDIauto_AND3211
auto_ANDIauto_FENCE1161
auto_ANDIauto_MRET8761
auto_ANDIauto_WFI1221
auto_ANDIauto_MUL3501
auto_ANDIauto_MULH2501
auto_ANDIauto_MULHSU2761
auto_ANDIauto_MULHU2931
auto_ANDIauto_DIV2851
auto_ANDIauto_DIVU2511
auto_ANDIauto_REM2571
auto_ANDIauto_REMU2611
auto_ANDIauto_C_ADDI4SPN901
auto_ANDIauto_C_LW11
auto_ANDIauto_C_SW11
auto_ANDIauto_C_NOP6751
auto_ANDIauto_C_ADDI1091
auto_ANDIauto_C_JAL281
auto_ANDIauto_C_LI1191
auto_ANDIauto_C_ADDI16SP691
auto_ANDIauto_C_LUI861
auto_ANDIauto_C_SRLI881
auto_ANDIauto_C_SRAI931
auto_ANDIauto_C_ANDI891
auto_ANDIauto_C_SUB891
auto_ANDIauto_C_XOR1171
auto_ANDIauto_C_OR1041
auto_ANDIauto_C_AND1141
auto_ANDIauto_C_J381
auto_ANDIauto_C_BEQZ591
auto_ANDIauto_C_BNEZ361
auto_ANDIauto_C_SLLI1261
auto_ANDIauto_C_LWSP31
auto_ANDIauto_C_MV1381
auto_ANDIauto_C_JALR21
auto_ANDIauto_C_ADD1321
auto_ANDIauto_C_SWSP71
auto_ANDIauto_SH1ADD1901
auto_ANDIauto_SH2ADD1951
auto_ANDIauto_SH3ADD1931
auto_ANDIauto_CLZ1961
auto_ANDIauto_CTZ1951
auto_ANDIauto_CPOP1891
auto_ANDIauto_MIN2001
auto_ANDIauto_MAX1901
auto_ANDIauto_MINU2181
auto_ANDIauto_MAXU2011
auto_ANDIauto_SEXT_B1641
auto_ANDIauto_SEXT_H1931
auto_ANDIauto_ZEXT_H1831
auto_ANDIauto_ANDN1811
auto_ANDIauto_ORN1901
auto_ANDIauto_XNOR1991
auto_ANDIauto_ROR2141
auto_ANDIauto_RORI1801
auto_ANDIauto_ROL1991
auto_ANDIauto_REV81961
auto_ANDIauto_ORC_B2081
auto_ANDIauto_CLMUL2161
auto_ANDIauto_CLMULH2171
auto_ANDIauto_CLMULR2111
auto_ANDIauto_BSET1971
auto_ANDIauto_BSETI2081
auto_ANDIauto_BCLR1731
auto_ANDIauto_BCLRI1851
auto_ANDIauto_BINV2091
auto_ANDIauto_BINVI2051
auto_ANDIauto_BEXT2021
auto_ANDIauto_BEXTI2021
auto_ANDIauto_CSRRW591
auto_ANDIauto_CSRRS801
auto_ANDIauto_CSRRC671
auto_ANDIauto_CSRRWI701
auto_ANDIauto_CSRRSI631
auto_ANDIauto_CSRRCI601
auto_ANDIauto_C_LBU31
auto_ANDIauto_C_SB21
auto_ANDIauto_C_ZEXT_B961
auto_ANDIauto_C_SEXT_B951
auto_ANDIauto_C_ZEXT_H941
auto_ANDIauto_C_SEXT_H1071
auto_ANDIauto_C_NOT841
auto_ANDIauto_C_MUL1011
auto_SLLIauto_LUI2771
auto_SLLIauto_AUIPC2511
auto_SLLIauto_JAL631
auto_SLLIauto_JALR151
auto_SLLIauto_BEQ771
auto_SLLIauto_BNE521
auto_SLLIauto_BLT721
auto_SLLIauto_BGE1081
auto_SLLIauto_BLTU871
auto_SLLIauto_BGEU641
auto_SLLIauto_LB3231
auto_SLLIauto_LH941
auto_SLLIauto_LW1011
auto_SLLIauto_LBU3581
auto_SLLIauto_LHU1051
auto_SLLIauto_SB3601
auto_SLLIauto_SH1161
auto_SLLIauto_SW951
auto_SLLIauto_ADDI4901
auto_SLLIauto_SLTI2401
auto_SLLIauto_SLTIU2521
auto_SLLIauto_XORI2621
auto_SLLIauto_ORI2411
auto_SLLIauto_ANDI2301
auto_SLLIauto_SLLI2901
auto_SLLIauto_SRLI2421
auto_SLLIauto_SRAI2531
auto_SLLIauto_ADD3301
auto_SLLIauto_SUB2461
auto_SLLIauto_SLL3391
auto_SLLIauto_SLT2111
auto_SLLIauto_SLTU2661
auto_SLLIauto_XOR2541
auto_SLLIauto_SRL2821
auto_SLLIauto_SRA2481
auto_SLLIauto_OR2711
auto_SLLIauto_AND2911
auto_SLLIauto_FENCE1071
auto_SLLIauto_MRET7361
auto_SLLIauto_WFI551
auto_SLLIauto_MUL2511
auto_SLLIauto_MULH2861
auto_SLLIauto_MULHSU2381
auto_SLLIauto_MULHU2531
auto_SLLIauto_DIV2451
auto_SLLIauto_DIVU2691
auto_SLLIauto_REM2561
auto_SLLIauto_REMU2761
auto_SLLIauto_C_ADDI4SPN611
auto_SLLIauto_C_LW21
auto_SLLIauto_C_NOP6221
auto_SLLIauto_C_ADDI1971
auto_SLLIauto_C_JAL271
auto_SLLIauto_C_LI1071
auto_SLLIauto_C_ADDI16SP671
auto_SLLIauto_C_LUI991
auto_SLLIauto_C_SRLI1031
auto_SLLIauto_C_SRAI921
auto_SLLIauto_C_ANDI821
auto_SLLIauto_C_SUB741
auto_SLLIauto_C_XOR1041
auto_SLLIauto_C_OR1011
auto_SLLIauto_C_AND831
auto_SLLIauto_C_J321
auto_SLLIauto_C_BEQZ461
auto_SLLIauto_C_BNEZ441
auto_SLLIauto_C_SLLI1601
auto_SLLIauto_C_LWSP71
auto_SLLIauto_C_MV971
auto_SLLIauto_C_JALR11
auto_SLLIauto_C_ADD1661
auto_SLLIauto_C_SWSP21
auto_SLLIauto_SH1ADD1981
auto_SLLIauto_SH2ADD1911
auto_SLLIauto_SH3ADD2121
auto_SLLIauto_CLZ1821
auto_SLLIauto_CTZ1761
auto_SLLIauto_CPOP1981
auto_SLLIauto_MIN1701
auto_SLLIauto_MAX2001
auto_SLLIauto_MINU1771
auto_SLLIauto_MAXU1921
auto_SLLIauto_SEXT_B1791
auto_SLLIauto_SEXT_H1821
auto_SLLIauto_ZEXT_H1901
auto_SLLIauto_ANDN1741
auto_SLLIauto_ORN1881
auto_SLLIauto_XNOR1891
auto_SLLIauto_ROR1841
auto_SLLIauto_RORI2171
auto_SLLIauto_ROL1961
auto_SLLIauto_REV81911
auto_SLLIauto_ORC_B1911
auto_SLLIauto_CLMUL1911
auto_SLLIauto_CLMULH2051
auto_SLLIauto_CLMULR1761
auto_SLLIauto_BSET2051
auto_SLLIauto_BSETI2041
auto_SLLIauto_BCLR1601
auto_SLLIauto_BCLRI1931
auto_SLLIauto_BINV1881
auto_SLLIauto_BINVI1901
auto_SLLIauto_BEXT2021
auto_SLLIauto_BEXTI1671
auto_SLLIauto_CSRRW611
auto_SLLIauto_CSRRS601
auto_SLLIauto_CSRRC691
auto_SLLIauto_CSRRWI821
auto_SLLIauto_CSRRSI591
auto_SLLIauto_CSRRCI821
auto_SLLIauto_C_LBU21
auto_SLLIauto_C_SB41
auto_SLLIauto_C_ZEXT_B831
auto_SLLIauto_C_SEXT_B841
auto_SLLIauto_C_ZEXT_H851
auto_SLLIauto_C_SEXT_H1051
auto_SLLIauto_C_NOT571
auto_SLLIauto_C_MUL951
auto_SRLIauto_LUI2681
auto_SRLIauto_AUIPC2791
auto_SRLIauto_JAL631
auto_SRLIauto_JALR211
auto_SRLIauto_BEQ881
auto_SRLIauto_BNE1511
auto_SRLIauto_BLT581
auto_SRLIauto_BGE561
auto_SRLIauto_BLTU1501
auto_SRLIauto_BGEU701
auto_SRLIauto_LB3741
auto_SRLIauto_LH1101
auto_SRLIauto_LW601
auto_SRLIauto_LBU3541
auto_SRLIauto_LHU961
auto_SRLIauto_SB3421
auto_SRLIauto_SH1021
auto_SRLIauto_SW451
auto_SRLIauto_ADDI4091
auto_SRLIauto_SLTI2681
auto_SRLIauto_SLTIU2421
auto_SRLIauto_XORI2701
auto_SRLIauto_ORI2761
auto_SRLIauto_ANDI2581
auto_SRLIauto_SLLI2901
auto_SRLIauto_SRLI2801
auto_SRLIauto_SRAI2471
auto_SRLIauto_ADD2371
auto_SRLIauto_SUB2631
auto_SRLIauto_SLL2631
auto_SRLIauto_SLT2691
auto_SRLIauto_SLTU2321
auto_SRLIauto_XOR2231
auto_SRLIauto_SRL2601
auto_SRLIauto_SRA2511
auto_SRLIauto_OR2811
auto_SRLIauto_AND2321
auto_SRLIauto_FENCE1011
auto_SRLIauto_MRET8131
auto_SRLIauto_WFI581
auto_SRLIauto_MUL2521
auto_SRLIauto_MULH2981
auto_SRLIauto_MULHSU2641
auto_SRLIauto_MULHU2281
auto_SRLIauto_DIV2721
auto_SRLIauto_DIVU2791
auto_SRLIauto_REM2721
auto_SRLIauto_REMU4501
auto_SRLIauto_C_ADDI4SPN771
auto_SRLIauto_C_LW11
auto_SRLIauto_C_SW11
auto_SRLIauto_C_NOP6591
auto_SRLIauto_C_ADDI1241
auto_SRLIauto_C_JAL271
auto_SRLIauto_C_LI1131
auto_SRLIauto_C_ADDI16SP881
auto_SRLIauto_C_LUI1241
auto_SRLIauto_C_SRLI1031
auto_SRLIauto_C_SRAI1221
auto_SRLIauto_C_ANDI941
auto_SRLIauto_C_SUB901
auto_SRLIauto_C_XOR971
auto_SRLIauto_C_OR921
auto_SRLIauto_C_AND881
auto_SRLIauto_C_J391
auto_SRLIauto_C_BEQZ431
auto_SRLIauto_C_BNEZ461
auto_SRLIauto_C_SLLI1291
auto_SRLIauto_C_LWSP61
auto_SRLIauto_C_MV1141
auto_SRLIauto_C_ADD1161
auto_SRLIauto_C_SWSP81
auto_SRLIauto_SH1ADD1901
auto_SRLIauto_SH2ADD1811
auto_SRLIauto_SH3ADD1891
auto_SRLIauto_CLZ2061
auto_SRLIauto_CTZ2021
auto_SRLIauto_CPOP2101
auto_SRLIauto_MIN1991
auto_SRLIauto_MAX1621
auto_SRLIauto_MINU2071
auto_SRLIauto_MAXU2021
auto_SRLIauto_SEXT_B2091
auto_SRLIauto_SEXT_H1851
auto_SRLIauto_ZEXT_H1851
auto_SRLIauto_ANDN2001
auto_SRLIauto_ORN1991
auto_SRLIauto_XNOR1881
auto_SRLIauto_ROR1801
auto_SRLIauto_RORI1961
auto_SRLIauto_ROL1811
auto_SRLIauto_REV82061
auto_SRLIauto_ORC_B2091
auto_SRLIauto_CLMUL2201
auto_SRLIauto_CLMULH2101
auto_SRLIauto_CLMULR1921
auto_SRLIauto_BSET2071
auto_SRLIauto_BSETI2151
auto_SRLIauto_BCLR2051
auto_SRLIauto_BCLRI1921
auto_SRLIauto_BINV2271
auto_SRLIauto_BINVI2091
auto_SRLIauto_BEXT1941
auto_SRLIauto_BEXTI1931
auto_SRLIauto_CSRRW811
auto_SRLIauto_CSRRS2001951
auto_SRLIauto_CSRRC701
auto_SRLIauto_CSRRWI561
auto_SRLIauto_CSRRSI751
auto_SRLIauto_CSRRCI741
auto_SRLIauto_C_LBU11
auto_SRLIauto_C_SB31
auto_SRLIauto_C_ZEXT_B1191
auto_SRLIauto_C_SEXT_B1011
auto_SRLIauto_C_ZEXT_H761
auto_SRLIauto_C_SEXT_H891
auto_SRLIauto_C_NOT931
auto_SRLIauto_C_MUL961
auto_SRAIauto_LUI2641
auto_SRAIauto_AUIPC2581
auto_SRAIauto_JAL621
auto_SRAIauto_JALR61
auto_SRAIauto_BEQ761
auto_SRAIauto_BNE891
auto_SRAIauto_BLT781
auto_SRAIauto_BGE891
auto_SRAIauto_BLTU731
auto_SRAIauto_BGEU611
auto_SRAIauto_LB3451
auto_SRAIauto_LH1041
auto_SRAIauto_LW711
auto_SRAIauto_LBU3411
auto_SRAIauto_LHU1161
auto_SRAIauto_SB3321
auto_SRAIauto_SH991
auto_SRAIauto_SW921
auto_SRAIauto_ADDI4151
auto_SRAIauto_SLTI2561
auto_SRAIauto_SLTIU3741
auto_SRAIauto_XORI2561
auto_SRAIauto_ORI2671
auto_SRAIauto_ANDI2431
auto_SRAIauto_SLLI2661
auto_SRAIauto_SRLI2451
auto_SRAIauto_SRAI3161
auto_SRAIauto_ADD2151
auto_SRAIauto_SUB2871
auto_SRAIauto_SLL3271
auto_SRAIauto_SLT2401
auto_SRAIauto_SLTU2731
auto_SRAIauto_XOR2441
auto_SRAIauto_SRL3511
auto_SRAIauto_SRA2421
auto_SRAIauto_OR2431
auto_SRAIauto_AND3571
auto_SRAIauto_FENCE1041
auto_SRAIauto_MRET7701
auto_SRAIauto_WFI571
auto_SRAIauto_MUL2141
auto_SRAIauto_MULH2541
auto_SRAIauto_MULHSU2681
auto_SRAIauto_MULHU2601
auto_SRAIauto_DIV2401
auto_SRAIauto_DIVU2481
auto_SRAIauto_REM2611
auto_SRAIauto_REMU2601
auto_SRAIauto_C_ADDI4SPN771
auto_SRAIauto_C_LW11
auto_SRAIauto_C_SW21
auto_SRAIauto_C_NOP6211
auto_SRAIauto_C_ADDI991
auto_SRAIauto_C_JAL231
auto_SRAIauto_C_LI781
auto_SRAIauto_C_ADDI16SP711
auto_SRAIauto_C_LUI1031
auto_SRAIauto_C_SRLI901
auto_SRAIauto_C_SRAI1051
auto_SRAIauto_C_ANDI851
auto_SRAIauto_C_SUB911
auto_SRAIauto_C_XOR1031
auto_SRAIauto_C_OR1051
auto_SRAIauto_C_AND891
auto_SRAIauto_C_J251
auto_SRAIauto_C_BEQZ401
auto_SRAIauto_C_BNEZ401
auto_SRAIauto_C_SLLI1311
auto_SRAIauto_C_LWSP41
auto_SRAIauto_C_JR11
auto_SRAIauto_C_MV1291
auto_SRAIauto_C_ADD1431
auto_SRAIauto_C_SWSP61
auto_SRAIauto_SH1ADD1851
auto_SRAIauto_SH2ADD1791
auto_SRAIauto_SH3ADD2091
auto_SRAIauto_CLZ2161
auto_SRAIauto_CTZ2001
auto_SRAIauto_CPOP2151
auto_SRAIauto_MIN2131
auto_SRAIauto_MAX1601
auto_SRAIauto_MINU1871
auto_SRAIauto_MAXU2151
auto_SRAIauto_SEXT_B1891
auto_SRAIauto_SEXT_H1831
auto_SRAIauto_ZEXT_H1841
auto_SRAIauto_ANDN2011
auto_SRAIauto_ORN1961
auto_SRAIauto_XNOR1981
auto_SRAIauto_ROR1951
auto_SRAIauto_RORI2401
auto_SRAIauto_ROL2001
auto_SRAIauto_REV81911
auto_SRAIauto_ORC_B1801
auto_SRAIauto_CLMUL1901
auto_SRAIauto_CLMULH2041
auto_SRAIauto_CLMULR1891
auto_SRAIauto_BSET2001
auto_SRAIauto_BSETI2061
auto_SRAIauto_BCLR1811
auto_SRAIauto_BCLRI2111
auto_SRAIauto_BINV1891
auto_SRAIauto_BINVI2181
auto_SRAIauto_BEXT2001
auto_SRAIauto_BEXTI1841
auto_SRAIauto_CSRRW591
auto_SRAIauto_CSRRS551
auto_SRAIauto_CSRRC631
auto_SRAIauto_CSRRWI611
auto_SRAIauto_CSRRSI711
auto_SRAIauto_CSRRCI911
auto_SRAIauto_C_LBU31
auto_SRAIauto_C_LH21
auto_SRAIauto_C_SB31
auto_SRAIauto_C_ZEXT_B741
auto_SRAIauto_C_SEXT_B951
auto_SRAIauto_C_ZEXT_H891
auto_SRAIauto_C_SEXT_H741
auto_SRAIauto_C_NOT951
auto_SRAIauto_C_MUL781
auto_ADDauto_LUI2091
auto_ADDauto_AUIPC2221
auto_ADDauto_JAL691
auto_ADDauto_JALR121
auto_ADDauto_BEQ611
auto_ADDauto_BNE521
auto_ADDauto_BLT661
auto_ADDauto_BGE821
auto_ADDauto_BLTU591
auto_ADDauto_BGEU861
auto_ADDauto_LB3311
auto_ADDauto_LH1071
auto_ADDauto_LW421
auto_ADDauto_LBU3121
auto_ADDauto_LHU1011
auto_ADDauto_SB3011
auto_ADDauto_SH921
auto_ADDauto_SW6960551
auto_ADDauto_ADDI2625921
auto_ADDauto_SLTI2391
auto_ADDauto_SLTIU1981
auto_ADDauto_XORI2401
auto_ADDauto_ORI2761
auto_ADDauto_ANDI2701
auto_ADDauto_SLLI2521
auto_ADDauto_SRLI2001
auto_ADDauto_SRAI2001
auto_ADDauto_ADD2611
auto_ADDauto_SUB2181
auto_ADDauto_SLL2051
auto_ADDauto_SLT2131
auto_ADDauto_SLTU4021
auto_ADDauto_XOR1811
auto_ADDauto_SRL2401
auto_ADDauto_SRA2341
auto_ADDauto_OR2231
auto_ADDauto_AND2271
auto_ADDauto_FENCE791
auto_ADDauto_MRET7331
auto_ADDauto_WFI711
auto_ADDauto_MUL2571
auto_ADDauto_MULH2011
auto_ADDauto_MULHSU3141
auto_ADDauto_MULHU2291
auto_ADDauto_DIV2201
auto_ADDauto_DIVU2781
auto_ADDauto_REM2081
auto_ADDauto_REMU2421
auto_ADDauto_C_ADDI4SPN681
auto_ADDauto_C_SW318651
auto_ADDauto_C_NOP6071
auto_ADDauto_C_ADDI991
auto_ADDauto_C_JAL281
auto_ADDauto_C_LI951
auto_ADDauto_C_ADDI16SP30231
auto_ADDauto_C_LUI951
auto_ADDauto_C_SRLI821
auto_ADDauto_C_SRAI911
auto_ADDauto_C_ANDI741
auto_ADDauto_C_SUB721
auto_ADDauto_C_XOR911
auto_ADDauto_C_OR821
auto_ADDauto_C_AND871
auto_ADDauto_C_J271
auto_ADDauto_C_BEQZ431
auto_ADDauto_C_BNEZ451
auto_ADDauto_C_SLLI1281
auto_ADDauto_C_LWSP51
auto_ADDauto_C_MV901
auto_ADDauto_C_ADD1061
auto_ADDauto_C_SWSP77941
auto_ADDauto_SH1ADD1551
auto_ADDauto_SH2ADD1481
auto_ADDauto_SH3ADD1571
auto_ADDauto_CLZ1401
auto_ADDauto_CTZ1391
auto_ADDauto_CPOP1461
auto_ADDauto_MIN1531
auto_ADDauto_MAX1791
auto_ADDauto_MINU1531
auto_ADDauto_MAXU1521
auto_ADDauto_SEXT_B1631
auto_ADDauto_SEXT_H1311
auto_ADDauto_ZEXT_H1361
auto_ADDauto_ANDN1601
auto_ADDauto_ORN1511
auto_ADDauto_XNOR1491
auto_ADDauto_ROR1511
auto_ADDauto_RORI1741
auto_ADDauto_ROL1751
auto_ADDauto_REV81561
auto_ADDauto_ORC_B1461
auto_ADDauto_CLMUL1351
auto_ADDauto_CLMULH1861
auto_ADDauto_CLMULR1501
auto_ADDauto_BSET1541
auto_ADDauto_BSETI1531
auto_ADDauto_BCLR1711
auto_ADDauto_BCLRI1441
auto_ADDauto_BINV1731
auto_ADDauto_BINVI1681
auto_ADDauto_BEXT1631
auto_ADDauto_BEXTI1601
auto_ADDauto_CSRRW602181
auto_ADDauto_CSRRS691
auto_ADDauto_CSRRC681
auto_ADDauto_CSRRWI611
auto_ADDauto_CSRRSI621
auto_ADDauto_CSRRCI551
auto_ADDauto_C_LBU31
auto_ADDauto_C_SB31
auto_ADDauto_C_ZEXT_B1081
auto_ADDauto_C_SEXT_B881
auto_ADDauto_C_ZEXT_H831
auto_ADDauto_C_SEXT_H811
auto_ADDauto_C_NOT771
auto_ADDauto_C_MUL891
auto_SUBauto_LUI2771
auto_SUBauto_AUIPC2551
auto_SUBauto_JAL571
auto_SUBauto_JALR191
auto_SUBauto_BEQ681
auto_SUBauto_BNE701
auto_SUBauto_BLT771
auto_SUBauto_BGE1631
auto_SUBauto_BLTU671
auto_SUBauto_BGEU641
auto_SUBauto_LB3351
auto_SUBauto_LH1011
auto_SUBauto_LW731
auto_SUBauto_LBU3261
auto_SUBauto_LHU1101
auto_SUBauto_SB3231
auto_SUBauto_SH1121
auto_SUBauto_SW541
auto_SUBauto_ADDI3941
auto_SUBauto_SLTI2641
auto_SUBauto_SLTIU2781
auto_SUBauto_XORI2541
auto_SUBauto_ORI2511
auto_SUBauto_ANDI2651
auto_SUBauto_SLLI2411
auto_SUBauto_SRLI2841
auto_SUBauto_SRAI2381
auto_SUBauto_ADD2421
auto_SUBauto_SUB2921
auto_SUBauto_SLL2731
auto_SUBauto_SLT2521
auto_SUBauto_SLTU3021
auto_SUBauto_XOR2371
auto_SUBauto_SRL2361
auto_SUBauto_SRA2551
auto_SUBauto_OR2171
auto_SUBauto_AND2351
auto_SUBauto_FENCE871
auto_SUBauto_MRET7931
auto_SUBauto_WFI711
auto_SUBauto_MUL2611
auto_SUBauto_MULH3501
auto_SUBauto_MULHSU2651
auto_SUBauto_MULHU2531
auto_SUBauto_DIV2491
auto_SUBauto_DIVU2471
auto_SUBauto_REM2301
auto_SUBauto_REMU2931
auto_SUBauto_C_ADDI4SPN881
auto_SUBauto_C_LW11
auto_SUBauto_C_NOP7671
auto_SUBauto_C_ADDI1361
auto_SUBauto_C_JAL441
auto_SUBauto_C_LI891
auto_SUBauto_C_ADDI16SP691
auto_SUBauto_C_LUI941
auto_SUBauto_C_SRLI821
auto_SUBauto_C_SRAI1011
auto_SUBauto_C_ANDI991
auto_SUBauto_C_SUB881
auto_SUBauto_C_XOR1001
auto_SUBauto_C_OR1181
auto_SUBauto_C_AND1081
auto_SUBauto_C_J331
auto_SUBauto_C_BEQZ441
auto_SUBauto_C_BNEZ441
auto_SUBauto_C_SLLI1121
auto_SUBauto_C_LWSP31
auto_SUBauto_C_MV1211
auto_SUBauto_C_JALR21
auto_SUBauto_C_ADD1271
auto_SUBauto_C_SWSP91
auto_SUBauto_SH1ADD1871
auto_SUBauto_SH2ADD1811
auto_SUBauto_SH3ADD2071
auto_SUBauto_CLZ1761
auto_SUBauto_CTZ2141
auto_SUBauto_CPOP1961
auto_SUBauto_MIN1961
auto_SUBauto_MAX1981
auto_SUBauto_MINU2041
auto_SUBauto_MAXU1921
auto_SUBauto_SEXT_B1861
auto_SUBauto_SEXT_H1881
auto_SUBauto_ZEXT_H1761
auto_SUBauto_ANDN1771
auto_SUBauto_ORN2381
auto_SUBauto_XNOR2111
auto_SUBauto_ROR2201
auto_SUBauto_RORI2091
auto_SUBauto_ROL1971
auto_SUBauto_REV81861
auto_SUBauto_ORC_B1761
auto_SUBauto_CLMUL2141
auto_SUBauto_CLMULH1801
auto_SUBauto_CLMULR1881
auto_SUBauto_BSET1901
auto_SUBauto_BSETI1821
auto_SUBauto_BCLR1961
auto_SUBauto_BCLRI1951
auto_SUBauto_BINV1811
auto_SUBauto_BINVI1971
auto_SUBauto_BEXT2041
auto_SUBauto_BEXTI1981
auto_SUBauto_CSRRW871
auto_SUBauto_CSRRS841
auto_SUBauto_CSRRC521
auto_SUBauto_CSRRWI771
auto_SUBauto_CSRRSI981
auto_SUBauto_CSRRCI801
auto_SUBauto_C_LBU61
auto_SUBauto_C_SB11
auto_SUBauto_C_ZEXT_B821
auto_SUBauto_C_SEXT_B921
auto_SUBauto_C_ZEXT_H921
auto_SUBauto_C_SEXT_H861
auto_SUBauto_C_NOT931
auto_SUBauto_C_MUL861
auto_SLLauto_LUI2861
auto_SLLauto_AUIPC2431
auto_SLLauto_JAL801
auto_SLLauto_JALR161
auto_SLLauto_BEQ561
auto_SLLauto_BNE711
auto_SLLauto_BLT931
auto_SLLauto_BGE621
auto_SLLauto_BLTU601
auto_SLLauto_BGEU391
auto_SLLauto_LB3521
auto_SLLauto_LH1071
auto_SLLauto_LW831
auto_SLLauto_LBU3171
auto_SLLauto_LHU961
auto_SLLauto_SB3401
auto_SLLauto_SH981
auto_SLLauto_SW581
auto_SLLauto_ADDI4021
auto_SLLauto_SLTI2471
auto_SLLauto_SLTIU2711
auto_SLLauto_XORI2761
auto_SLLauto_ORI2691
auto_SLLauto_ANDI2441
auto_SLLauto_SLLI2851
auto_SLLauto_SRLI2741
auto_SLLauto_SRAI2271
auto_SLLauto_ADD2211
auto_SLLauto_SUB2501
auto_SLLauto_SLL2931
auto_SLLauto_SLT2791
auto_SLLauto_SLTU2461
auto_SLLauto_XOR2421
auto_SLLauto_SRL2271
auto_SLLauto_SRA2721
auto_SLLauto_OR2561
auto_SLLauto_AND3761
auto_SLLauto_FENCE931
auto_SLLauto_MRET7991
auto_SLLauto_WFI1551
auto_SLLauto_MUL2451
auto_SLLauto_MULH2611
auto_SLLauto_MULHSU2561
auto_SLLauto_MULHU2611
auto_SLLauto_DIV2671
auto_SLLauto_DIVU2431
auto_SLLauto_REM2821
auto_SLLauto_REMU2501
auto_SLLauto_C_ADDI4SPN921
auto_SLLauto_C_LW11
auto_SLLauto_C_NOP6481
auto_SLLauto_C_ADDI1161
auto_SLLauto_C_JAL331
auto_SLLauto_C_LI1101
auto_SLLauto_C_ADDI16SP661
auto_SLLauto_C_LUI991
auto_SLLauto_C_SRLI851
auto_SLLauto_C_SRAI1011
auto_SLLauto_C_ANDI1161
auto_SLLauto_C_SUB911
auto_SLLauto_C_XOR951
auto_SLLauto_C_OR931
auto_SLLauto_C_AND1161
auto_SLLauto_C_J301
auto_SLLauto_C_BEQZ491
auto_SLLauto_C_BNEZ471
auto_SLLauto_C_SLLI1111
auto_SLLauto_C_LWSP91
auto_SLLauto_C_MV1111
auto_SLLauto_C_JALR21
auto_SLLauto_C_ADD1431
auto_SLLauto_C_SWSP61
auto_SLLauto_SH1ADD1971
auto_SLLauto_SH2ADD1681
auto_SLLauto_SH3ADD1831
auto_SLLauto_CLZ2301
auto_SLLauto_CTZ2221
auto_SLLauto_CPOP1731
auto_SLLauto_MIN1961
auto_SLLauto_MAX2261
auto_SLLauto_MINU1921
auto_SLLauto_MAXU1971
auto_SLLauto_SEXT_B2041
auto_SLLauto_SEXT_H2021
auto_SLLauto_ZEXT_H2081
auto_SLLauto_ANDN1611
auto_SLLauto_ORN2091
auto_SLLauto_XNOR2191
auto_SLLauto_ROR2081
auto_SLLauto_RORI2031
auto_SLLauto_ROL1821
auto_SLLauto_REV82071
auto_SLLauto_ORC_B1861
auto_SLLauto_CLMUL2001
auto_SLLauto_CLMULH1721
auto_SLLauto_CLMULR1851
auto_SLLauto_BSET1841
auto_SLLauto_BSETI2001
auto_SLLauto_BCLR2191
auto_SLLauto_BCLRI1971
auto_SLLauto_BINV1911
auto_SLLauto_BINVI1881
auto_SLLauto_BEXT1811
auto_SLLauto_BEXTI2041
auto_SLLauto_CSRRW721
auto_SLLauto_CSRRS651
auto_SLLauto_CSRRC701
auto_SLLauto_CSRRWI521
auto_SLLauto_CSRRSI611
auto_SLLauto_CSRRCI761
auto_SLLauto_C_LHU11
auto_SLLauto_C_SB11
auto_SLLauto_C_ZEXT_B941
auto_SLLauto_C_SEXT_B941
auto_SLLauto_C_ZEXT_H1041
auto_SLLauto_C_SEXT_H951
auto_SLLauto_C_NOT941
auto_SLLauto_C_MUL721
auto_SLTauto_LUI2541
auto_SLTauto_AUIPC2951
auto_SLTauto_JAL751
auto_SLTauto_JALR91
auto_SLTauto_BEQ671
auto_SLTauto_BNE761
auto_SLTauto_BLT791
auto_SLTauto_BGE741
auto_SLTauto_BLTU691
auto_SLTauto_BGEU771
auto_SLTauto_LB3581
auto_SLTauto_LH1101
auto_SLTauto_LW421
auto_SLTauto_LBU3651
auto_SLTauto_LHU1051
auto_SLTauto_SB2861
auto_SLTauto_SH1091
auto_SLTauto_SW691
auto_SLTauto_ADDI5481
auto_SLTauto_SLTI2491
auto_SLTauto_SLTIU2891
auto_SLTauto_XORI2451
auto_SLTauto_ORI2631
auto_SLTauto_ANDI2251
auto_SLTauto_SLLI2861
auto_SLTauto_SRLI2931
auto_SLTauto_SRAI3351
auto_SLTauto_ADD2521
auto_SLTauto_SUB2631
auto_SLTauto_SLL2631
auto_SLTauto_SLT3371
auto_SLTauto_SLTU2641
auto_SLTauto_XOR3281
auto_SLTauto_SRL2651
auto_SLTauto_SRA2621
auto_SLTauto_OR2641
auto_SLTauto_AND2441
auto_SLTauto_FENCE1041
auto_SLTauto_MRET7351
auto_SLTauto_WFI511
auto_SLTauto_MUL2361
auto_SLTauto_MULH2401
auto_SLTauto_MULHSU2691
auto_SLTauto_MULHU2691
auto_SLTauto_DIV2921
auto_SLTauto_DIVU2911
auto_SLTauto_REM2401
auto_SLTauto_REMU2821
auto_SLTauto_C_ADDI4SPN791
auto_SLTauto_C_LW11
auto_SLTauto_C_SW11
auto_SLTauto_C_NOP6421
auto_SLTauto_C_ADDI1201
auto_SLTauto_C_JAL271
auto_SLTauto_C_LI1081
auto_SLTauto_C_ADDI16SP811
auto_SLTauto_C_LUI1221
auto_SLTauto_C_SRLI1141
auto_SLTauto_C_SRAI1051
auto_SLTauto_C_ANDI871
auto_SLTauto_C_SUB991
auto_SLTauto_C_XOR921
auto_SLTauto_C_OR1101
auto_SLTauto_C_AND1151
auto_SLTauto_C_J251
auto_SLTauto_C_BEQZ461
auto_SLTauto_C_BNEZ531
auto_SLTauto_C_SLLI1311
auto_SLTauto_C_LWSP51
auto_SLTauto_C_JR11
auto_SLTauto_C_MV1131
auto_SLTauto_C_ADD1431
auto_SLTauto_C_SWSP21
auto_SLTauto_SH1ADD2151
auto_SLTauto_SH2ADD2291
auto_SLTauto_SH3ADD1801
auto_SLTauto_CLZ1871
auto_SLTauto_CTZ1871
auto_SLTauto_CPOP1751
auto_SLTauto_MIN2261
auto_SLTauto_MAX1881
auto_SLTauto_MINU1791
auto_SLTauto_MAXU1951
auto_SLTauto_SEXT_B2011
auto_SLTauto_SEXT_H1931
auto_SLTauto_ZEXT_H2041
auto_SLTauto_ANDN2111
auto_SLTauto_ORN1971
auto_SLTauto_XNOR1851
auto_SLTauto_ROR1861
auto_SLTauto_RORI1921
auto_SLTauto_ROL2111
auto_SLTauto_REV82141
auto_SLTauto_ORC_B2231
auto_SLTauto_CLMUL2021
auto_SLTauto_CLMULH2341
auto_SLTauto_CLMULR1971
auto_SLTauto_BSET2171
auto_SLTauto_BSETI1951
auto_SLTauto_BCLR1861
auto_SLTauto_BCLRI1741
auto_SLTauto_BINV1881
auto_SLTauto_BINVI1641
auto_SLTauto_BEXT1931
auto_SLTauto_BEXTI2161
auto_SLTauto_CSRRW701
auto_SLTauto_CSRRS791
auto_SLTauto_CSRRC741
auto_SLTauto_CSRRWI791
auto_SLTauto_CSRRSI761
auto_SLTauto_CSRRCI851
auto_SLTauto_C_LBU11
auto_SLTauto_C_LHU11
auto_SLTauto_C_LH21
auto_SLTauto_C_ZEXT_B891
auto_SLTauto_C_SEXT_B751
auto_SLTauto_C_ZEXT_H911
auto_SLTauto_C_SEXT_H871
auto_SLTauto_C_NOT891
auto_SLTauto_C_MUL1141
auto_SLTUauto_LUI2461
auto_SLTUauto_AUIPC2691
auto_SLTUauto_JAL711
auto_SLTUauto_JALR631
auto_SLTUauto_BEQ781
auto_SLTUauto_BNE591
auto_SLTUauto_BLT791
auto_SLTUauto_BGE591
auto_SLTUauto_BLTU1391
auto_SLTUauto_BGEU591
auto_SLTUauto_LB3321
auto_SLTUauto_LH1181
auto_SLTUauto_LW581
auto_SLTUauto_LBU3421
auto_SLTUauto_LHU1111
auto_SLTUauto_SB3411
auto_SLTUauto_SH1181
auto_SLTUauto_SW581
auto_SLTUauto_ADDI5531
auto_SLTUauto_SLTI2621
auto_SLTUauto_SLTIU2281
auto_SLTUauto_XORI2861
auto_SLTUauto_ORI2531
auto_SLTUauto_ANDI2881
auto_SLTUauto_SLLI2241
auto_SLTUauto_SRLI2601
auto_SLTUauto_SRAI3531
auto_SLTUauto_ADD2251
auto_SLTUauto_SUB3001
auto_SLTUauto_SLL2751
auto_SLTUauto_SLT2721
auto_SLTUauto_SLTU3641
auto_SLTUauto_XOR2531
auto_SLTUauto_SRL2401
auto_SLTUauto_SRA2201
auto_SLTUauto_OR2771
auto_SLTUauto_AND2421
auto_SLTUauto_FENCE1431
auto_SLTUauto_MRET8861
auto_SLTUauto_WFI641
auto_SLTUauto_MUL2871
auto_SLTUauto_MULH2521
auto_SLTUauto_MULHSU2771
auto_SLTUauto_MULHU3681
auto_SLTUauto_DIV2351
auto_SLTUauto_DIVU2531
auto_SLTUauto_REM2291
auto_SLTUauto_REMU2441
auto_SLTUauto_C_ADDI4SPN991
auto_SLTUauto_C_LW11
auto_SLTUauto_C_SW11
auto_SLTUauto_C_NOP6861
auto_SLTUauto_C_ADDI1391
auto_SLTUauto_C_JAL301
auto_SLTUauto_C_LI941
auto_SLTUauto_C_ADDI16SP691
auto_SLTUauto_C_LUI1111
auto_SLTUauto_C_SRLI851
auto_SLTUauto_C_SRAI1111
auto_SLTUauto_C_ANDI741
auto_SLTUauto_C_SUB1061
auto_SLTUauto_C_XOR841
auto_SLTUauto_C_OR1181
auto_SLTUauto_C_AND1001
auto_SLTUauto_C_J241
auto_SLTUauto_C_BEQZ411
auto_SLTUauto_C_BNEZ381
auto_SLTUauto_C_SLLI1151
auto_SLTUauto_C_LWSP71
auto_SLTUauto_C_JR51
auto_SLTUauto_C_MV901
auto_SLTUauto_C_JALR61
auto_SLTUauto_C_ADD1521
auto_SLTUauto_C_SWSP41
auto_SLTUauto_SH1ADD2011
auto_SLTUauto_SH2ADD1971
auto_SLTUauto_SH3ADD1961
auto_SLTUauto_CLZ2341
auto_SLTUauto_CTZ1891
auto_SLTUauto_CPOP2161
auto_SLTUauto_MIN1971
auto_SLTUauto_MAX1981
auto_SLTUauto_MINU2071
auto_SLTUauto_MAXU1911
auto_SLTUauto_SEXT_B2001
auto_SLTUauto_SEXT_H2021
auto_SLTUauto_ZEXT_H1931
auto_SLTUauto_ANDN2111
auto_SLTUauto_ORN2061
auto_SLTUauto_XNOR2191
auto_SLTUauto_ROR1891
auto_SLTUauto_RORI2241
auto_SLTUauto_ROL1961
auto_SLTUauto_REV82241
auto_SLTUauto_ORC_B1771
auto_SLTUauto_CLMUL1901
auto_SLTUauto_CLMULH1681
auto_SLTUauto_CLMULR2091
auto_SLTUauto_BSET2151
auto_SLTUauto_BSETI1871
auto_SLTUauto_BCLR1901
auto_SLTUauto_BCLRI1901
auto_SLTUauto_BINV2251
auto_SLTUauto_BINVI2121
auto_SLTUauto_BEXT1901
auto_SLTUauto_BEXTI2141
auto_SLTUauto_CSRRW611
auto_SLTUauto_CSRRS461
auto_SLTUauto_CSRRC731
auto_SLTUauto_CSRRWI531
auto_SLTUauto_CSRRSI591
auto_SLTUauto_CSRRCI741
auto_SLTUauto_C_LBU31
auto_SLTUauto_C_LH11
auto_SLTUauto_C_SH11
auto_SLTUauto_C_ZEXT_B951
auto_SLTUauto_C_SEXT_B1001
auto_SLTUauto_C_ZEXT_H931
auto_SLTUauto_C_SEXT_H1001
auto_SLTUauto_C_NOT1001
auto_SLTUauto_C_MUL1001
auto_XORauto_LUI2691
auto_XORauto_AUIPC2681
auto_XORauto_JAL661
auto_XORauto_JALR161
auto_XORauto_BEQ751
auto_XORauto_BNE571
auto_XORauto_BLT741
auto_XORauto_BGE591
auto_XORauto_BLTU731
auto_XORauto_BGEU761
auto_XORauto_LB3321
auto_XORauto_LH1121
auto_XORauto_LW541
auto_XORauto_LBU3721
auto_XORauto_LHU1041
auto_XORauto_SB3431
auto_XORauto_SH901
auto_XORauto_SW1661
auto_XORauto_ADDI4081
auto_XORauto_SLTI2781
auto_XORauto_SLTIU2421
auto_XORauto_XORI2421
auto_XORauto_ORI2701
auto_XORauto_ANDI2911
auto_XORauto_SLLI2311
auto_XORauto_SRLI2641
auto_XORauto_SRAI2631
auto_XORauto_ADD1961
auto_XORauto_SUB2331
auto_XORauto_SLL2371
auto_XORauto_SLT2671
auto_XORauto_SLTU2731
auto_XORauto_XOR1951
auto_XORauto_SRL2301
auto_XORauto_SRA2431
auto_XORauto_OR2731
auto_XORauto_AND2531
auto_XORauto_FENCE1201
auto_XORauto_MRET8091
auto_XORauto_WFI681
auto_XORauto_MUL3431
auto_XORauto_MULH2431
auto_XORauto_MULHSU2361
auto_XORauto_MULHU3201
auto_XORauto_DIV2281
auto_XORauto_DIVU2721
auto_XORauto_REM2371
auto_XORauto_REMU2771
auto_XORauto_C_ADDI4SPN821
auto_XORauto_C_SW11
auto_XORauto_C_NOP6771
auto_XORauto_C_ADDI1211
auto_XORauto_C_JAL291
auto_XORauto_C_LI1061
auto_XORauto_C_ADDI16SP921
auto_XORauto_C_LUI951
auto_XORauto_C_SRLI841
auto_XORauto_C_SRAI971
auto_XORauto_C_ANDI751
auto_XORauto_C_SUB1141
auto_XORauto_C_XOR941
auto_XORauto_C_OR931
auto_XORauto_C_AND981
auto_XORauto_C_J501
auto_XORauto_C_BEQZ551
auto_XORauto_C_BNEZ331
auto_XORauto_C_SLLI1221
auto_XORauto_C_LWSP51
auto_XORauto_C_MV1111
auto_XORauto_C_JALR21
auto_XORauto_C_ADD1171
auto_XORauto_C_SWSP41
auto_XORauto_SH1ADD1781
auto_XORauto_SH2ADD1811
auto_XORauto_SH3ADD1831
auto_XORauto_CLZ2051
auto_XORauto_CTZ1721
auto_XORauto_CPOP1891
auto_XORauto_MIN1871
auto_XORauto_MAX1871
auto_XORauto_MINU1731
auto_XORauto_MAXU2141
auto_XORauto_SEXT_B1771
auto_XORauto_SEXT_H2051
auto_XORauto_ZEXT_H1731
auto_XORauto_ANDN2001
auto_XORauto_ORN1921
auto_XORauto_XNOR1751
auto_XORauto_ROR2091
auto_XORauto_RORI1841
auto_XORauto_ROL1771
auto_XORauto_REV82121
auto_XORauto_ORC_B1861
auto_XORauto_CLMUL1621
auto_XORauto_CLMULH2111
auto_XORauto_CLMULR2071
auto_XORauto_BSET1971
auto_XORauto_BSETI1721
auto_XORauto_BCLR1681
auto_XORauto_BCLRI1651
auto_XORauto_BINV1891
auto_XORauto_BINVI1821
auto_XORauto_BEXT2111
auto_XORauto_BEXTI2021
auto_XORauto_CSRRW721
auto_XORauto_CSRRS631
auto_XORauto_CSRRC661
auto_XORauto_CSRRWI721
auto_XORauto_CSRRSI741
auto_XORauto_CSRRCI711
auto_XORauto_C_LBU21
auto_XORauto_C_SB21
auto_XORauto_C_ZEXT_B961
auto_XORauto_C_SEXT_B961
auto_XORauto_C_ZEXT_H911
auto_XORauto_C_SEXT_H1051
auto_XORauto_C_NOT1011
auto_XORauto_C_MUL1251
auto_SRLauto_LUI2281
auto_SRLauto_AUIPC2571
auto_SRLauto_JAL781
auto_SRLauto_JALR471
auto_SRLauto_BEQ1041
auto_SRLauto_BNE621
auto_SRLauto_BLT1011
auto_SRLauto_BGE751
auto_SRLauto_BLTU711
auto_SRLauto_BGEU541
auto_SRLauto_LB3631
auto_SRLauto_LH1201
auto_SRLauto_LW701
auto_SRLauto_LBU3621
auto_SRLauto_LHU1061
auto_SRLauto_SB3151
auto_SRLauto_SH971
auto_SRLauto_SW531
auto_SRLauto_ADDI3811
auto_SRLauto_SLTI2401
auto_SRLauto_SLTIU3461
auto_SRLauto_XORI2741
auto_SRLauto_ORI2561
auto_SRLauto_ANDI2791
auto_SRLauto_SLLI2831
auto_SRLauto_SRLI2581
auto_SRLauto_SRAI2691
auto_SRLauto_ADD2111
auto_SRLauto_SUB2771
auto_SRLauto_SLL2351
auto_SRLauto_SLT2501
auto_SRLauto_SLTU2401
auto_SRLauto_XOR2511
auto_SRLauto_SRL2771
auto_SRLauto_SRA2291
auto_SRLauto_OR2251
auto_SRLauto_AND2581
auto_SRLauto_FENCE751
auto_SRLauto_MRET8381
auto_SRLauto_WFI641
auto_SRLauto_MUL2641
auto_SRLauto_MULH2551
auto_SRLauto_MULHSU2731
auto_SRLauto_MULHU2771
auto_SRLauto_DIV2811
auto_SRLauto_DIVU3411
auto_SRLauto_REM2841
auto_SRLauto_REMU2651
auto_SRLauto_C_ADDI4SPN611
auto_SRLauto_C_NOP6441
auto_SRLauto_C_ADDI1301
auto_SRLauto_C_JAL311
auto_SRLauto_C_LI1031
auto_SRLauto_C_ADDI16SP671
auto_SRLauto_C_LUI921
auto_SRLauto_C_SRLI1041
auto_SRLauto_C_SRAI891
auto_SRLauto_C_ANDI871
auto_SRLauto_C_SUB961
auto_SRLauto_C_XOR1021
auto_SRLauto_C_OR1091
auto_SRLauto_C_AND891
auto_SRLauto_C_J241
auto_SRLauto_C_BEQZ381
auto_SRLauto_C_BNEZ321
auto_SRLauto_C_SLLI971
auto_SRLauto_C_LWSP11
auto_SRLauto_C_JR41
auto_SRLauto_C_MV1091
auto_SRLauto_C_JALR81
auto_SRLauto_C_ADD1311
auto_SRLauto_C_SWSP41
auto_SRLauto_SH1ADD2011
auto_SRLauto_SH2ADD1851
auto_SRLauto_SH3ADD1781
auto_SRLauto_CLZ1991
auto_SRLauto_CTZ2061
auto_SRLauto_CPOP1931
auto_SRLauto_MIN2071
auto_SRLauto_MAX1831
auto_SRLauto_MINU1701
auto_SRLauto_MAXU1911
auto_SRLauto_SEXT_B1761
auto_SRLauto_SEXT_H1681
auto_SRLauto_ZEXT_H1831
auto_SRLauto_ANDN2031
auto_SRLauto_ORN2011
auto_SRLauto_XNOR1851
auto_SRLauto_ROR2201
auto_SRLauto_RORI1921
auto_SRLauto_ROL1841
auto_SRLauto_REV81811
auto_SRLauto_ORC_B2081
auto_SRLauto_CLMUL1831
auto_SRLauto_CLMULH1911
auto_SRLauto_CLMULR1851
auto_SRLauto_BSET2101
auto_SRLauto_BSETI1831
auto_SRLauto_BCLR2101
auto_SRLauto_BCLRI2501
auto_SRLauto_BINV2131
auto_SRLauto_BINVI1821
auto_SRLauto_BEXT1971
auto_SRLauto_BEXTI2131
auto_SRLauto_CSRRW661
auto_SRLauto_CSRRS701
auto_SRLauto_CSRRC621
auto_SRLauto_CSRRWI861
auto_SRLauto_CSRRSI631
auto_SRLauto_CSRRCI641
auto_SRLauto_C_LHU11
auto_SRLauto_C_LH11
auto_SRLauto_C_SB21
auto_SRLauto_C_ZEXT_B931
auto_SRLauto_C_SEXT_B941
auto_SRLauto_C_ZEXT_H901
auto_SRLauto_C_SEXT_H881
auto_SRLauto_C_NOT851
auto_SRLauto_C_MUL1021
auto_SRAauto_LUI2741
auto_SRAauto_AUIPC2811
auto_SRAauto_JAL601
auto_SRAauto_JALR761
auto_SRAauto_BEQ611
auto_SRAauto_BNE581
auto_SRAauto_BLT571
auto_SRAauto_BGE721
auto_SRAauto_BLTU711
auto_SRAauto_BGEU761
auto_SRAauto_LB3061
auto_SRAauto_LH1101
auto_SRAauto_LW481
auto_SRAauto_LBU3421
auto_SRAauto_LHU1151
auto_SRAauto_SB3451
auto_SRAauto_SH1061
auto_SRAauto_SW501
auto_SRAauto_ADDI4161
auto_SRAauto_SLTI2491
auto_SRAauto_SLTIU2281
auto_SRAauto_XORI2601
auto_SRAauto_ORI2621
auto_SRAauto_ANDI3271
auto_SRAauto_SLLI2411
auto_SRAauto_SRLI2681
auto_SRAauto_SRAI2681
auto_SRAauto_ADD2361
auto_SRAauto_SUB2391
auto_SRAauto_SLL2621
auto_SRAauto_SLT2581
auto_SRAauto_SLTU2641
auto_SRAauto_XOR2491
auto_SRAauto_SRL2471
auto_SRAauto_SRA3371
auto_SRAauto_OR2291
auto_SRAauto_AND2391
auto_SRAauto_FENCE1071
auto_SRAauto_MRET8021
auto_SRAauto_WFI851
auto_SRAauto_MUL2641
auto_SRAauto_MULH2491
auto_SRAauto_MULHSU2411
auto_SRAauto_MULHU2921
auto_SRAauto_DIV3541
auto_SRAauto_DIVU2641
auto_SRAauto_REM2591
auto_SRAauto_REMU2861
auto_SRAauto_C_ADDI4SPN861
auto_SRAauto_C_LW31
auto_SRAauto_C_SW11
auto_SRAauto_C_NOP6511
auto_SRAauto_C_ADDI1211
auto_SRAauto_C_JAL331
auto_SRAauto_C_LI1091
auto_SRAauto_C_ADDI16SP711
auto_SRAauto_C_LUI1251
auto_SRAauto_C_SRLI1151
auto_SRAauto_C_SRAI911
auto_SRAauto_C_ANDI991
auto_SRAauto_C_SUB1001
auto_SRAauto_C_XOR1091
auto_SRAauto_C_OR981
auto_SRAauto_C_AND1001
auto_SRAauto_C_J431
auto_SRAauto_C_BEQZ371
auto_SRAauto_C_BNEZ571
auto_SRAauto_C_SLLI1271
auto_SRAauto_C_LWSP41
auto_SRAauto_C_JR41
auto_SRAauto_C_MV1091
auto_SRAauto_C_ADD1281
auto_SRAauto_C_SWSP61
auto_SRAauto_SH1ADD1761
auto_SRAauto_SH2ADD2101
auto_SRAauto_SH3ADD2111
auto_SRAauto_CLZ1881
auto_SRAauto_CTZ2021
auto_SRAauto_CPOP2171
auto_SRAauto_MIN1771
auto_SRAauto_MAX1991
auto_SRAauto_MINU1981
auto_SRAauto_MAXU1931
auto_SRAauto_SEXT_B2071
auto_SRAauto_SEXT_H2101
auto_SRAauto_ZEXT_H2121
auto_SRAauto_ANDN1821
auto_SRAauto_ORN1811
auto_SRAauto_XNOR1911
auto_SRAauto_ROR2081
auto_SRAauto_RORI1751
auto_SRAauto_ROL2121
auto_SRAauto_REV81671
auto_SRAauto_ORC_B2151
auto_SRAauto_CLMUL2241
auto_SRAauto_CLMULH1821
auto_SRAauto_CLMULR1861
auto_SRAauto_BSET1851
auto_SRAauto_BSETI1841
auto_SRAauto_BCLR2021
auto_SRAauto_BCLRI2041
auto_SRAauto_BINV1651
auto_SRAauto_BINVI1761
auto_SRAauto_BEXT2131
auto_SRAauto_BEXTI2081
auto_SRAauto_CSRRW501
auto_SRAauto_CSRRS701
auto_SRAauto_CSRRC771
auto_SRAauto_CSRRWI731
auto_SRAauto_CSRRSI591
auto_SRAauto_CSRRCI581
auto_SRAauto_C_LBU21
auto_SRAauto_C_SB21
auto_SRAauto_C_SH11
auto_SRAauto_C_ZEXT_B941
auto_SRAauto_C_SEXT_B1011
auto_SRAauto_C_ZEXT_H871
auto_SRAauto_C_SEXT_H931
auto_SRAauto_C_NOT781
auto_SRAauto_C_MUL961
auto_ORauto_LUI2561
auto_ORauto_AUIPC2951
auto_ORauto_JAL811
auto_ORauto_JALR151
auto_ORauto_BEQ851
auto_ORauto_BNE831
auto_ORauto_BLT641
auto_ORauto_BGE741
auto_ORauto_BLTU771
auto_ORauto_BGEU491
auto_ORauto_LB3601
auto_ORauto_LH991
auto_ORauto_LW691
auto_ORauto_LBU3461
auto_ORauto_LHU1051
auto_ORauto_SB3701
auto_ORauto_SH1081
auto_ORauto_SW521
auto_ORauto_ADDI4011
auto_ORauto_SLTI2701
auto_ORauto_SLTIU2831
auto_ORauto_XORI2591
auto_ORauto_ORI2501
auto_ORauto_ANDI2581
auto_ORauto_SLLI2591
auto_ORauto_SRLI2871
auto_ORauto_SRAI2701
auto_ORauto_ADD1791
auto_ORauto_SUB2441
auto_ORauto_SLL2521
auto_ORauto_SLT2761
auto_ORauto_SLTU2391
auto_ORauto_XOR2391
auto_ORauto_SRL2281
auto_ORauto_SRA2521
auto_ORauto_OR2681
auto_ORauto_AND2561
auto_ORauto_FENCE801
auto_ORauto_MRET7981
auto_ORauto_WFI731
auto_ORauto_MUL2531
auto_ORauto_MULH2651
auto_ORauto_MULHSU2271
auto_ORauto_MULHU2651
auto_ORauto_DIV2571
auto_ORauto_DIVU2331
auto_ORauto_REM2981
auto_ORauto_REMU2501
auto_ORauto_C_ADDI4SPN761
auto_ORauto_C_NOP6221
auto_ORauto_C_ADDI1291
auto_ORauto_C_JAL241
auto_ORauto_C_LI981
auto_ORauto_C_ADDI16SP681
auto_ORauto_C_LUI1081
auto_ORauto_C_SRLI1131
auto_ORauto_C_SRAI871
auto_ORauto_C_ANDI841
auto_ORauto_C_SUB1241
auto_ORauto_C_XOR921
auto_ORauto_C_OR911
auto_ORauto_C_AND991
auto_ORauto_C_J421
auto_ORauto_C_BEQZ491
auto_ORauto_C_BNEZ391
auto_ORauto_C_SLLI1001
auto_ORauto_C_LWSP61
auto_ORauto_C_JR71
auto_ORauto_C_MV1361
auto_ORauto_C_ADD1391
auto_ORauto_C_SWSP41
auto_ORauto_SH1ADD1941
auto_ORauto_SH2ADD1981
auto_ORauto_SH3ADD1861
auto_ORauto_CLZ1881
auto_ORauto_CTZ1931
auto_ORauto_CPOP1961
auto_ORauto_MIN2171
auto_ORauto_MAX1961
auto_ORauto_MINU1881
auto_ORauto_MAXU1721
auto_ORauto_SEXT_B1941
auto_ORauto_SEXT_H1881
auto_ORauto_ZEXT_H1921
auto_ORauto_ANDN1771
auto_ORauto_ORN2091
auto_ORauto_XNOR1771
auto_ORauto_ROR2191
auto_ORauto_RORI2001
auto_ORauto_ROL2101
auto_ORauto_REV81931
auto_ORauto_ORC_B1971
auto_ORauto_CLMUL1761
auto_ORauto_CLMULH1851
auto_ORauto_CLMULR1861
auto_ORauto_BSET1961
auto_ORauto_BSETI2391
auto_ORauto_BCLR1991
auto_ORauto_BCLRI1951
auto_ORauto_BINV1831
auto_ORauto_BINVI1861
auto_ORauto_BEXT2011
auto_ORauto_BEXTI1641
auto_ORauto_CSRRW591
auto_ORauto_CSRRS531
auto_ORauto_CSRRC601
auto_ORauto_CSRRWI611
auto_ORauto_CSRRSI781
auto_ORauto_CSRRCI761
auto_ORauto_C_LBU31
auto_ORauto_C_LH11
auto_ORauto_C_SB31
auto_ORauto_C_SH11
auto_ORauto_C_ZEXT_B981
auto_ORauto_C_SEXT_B1031
auto_ORauto_C_ZEXT_H1051
auto_ORauto_C_SEXT_H851
auto_ORauto_C_NOT891
auto_ORauto_C_MUL981
auto_ANDauto_LUI2451
auto_ANDauto_AUIPC2411
auto_ANDauto_JAL801
auto_ANDauto_JALR71
auto_ANDauto_BEQ481
auto_ANDauto_BNE521
auto_ANDauto_BLT771
auto_ANDauto_BGE771
auto_ANDauto_BLTU581
auto_ANDauto_BGEU1051
auto_ANDauto_LB3721
auto_ANDauto_LH1101
auto_ANDauto_LW1541
auto_ANDauto_LBU3261
auto_ANDauto_LHU1011
auto_ANDauto_SB2891
auto_ANDauto_SH971
auto_ANDauto_SW541
auto_ANDauto_ADDI3861
auto_ANDauto_SLTI2391
auto_ANDauto_SLTIU2491
auto_ANDauto_XORI2261
auto_ANDauto_ORI2231
auto_ANDauto_ANDI2611
auto_ANDauto_SLLI2281
auto_ANDauto_SRLI3621
auto_ANDauto_SRAI2111
auto_ANDauto_ADD2071
auto_ANDauto_SUB3401
auto_ANDauto_SLL2371
auto_ANDauto_SLT2341
auto_ANDauto_SLTU2381
auto_ANDauto_XOR2041
auto_ANDauto_SRL2631
auto_ANDauto_SRA2901
auto_ANDauto_OR2271
auto_ANDauto_AND3331
auto_ANDauto_FENCE871
auto_ANDauto_MRET8691
auto_ANDauto_WFI681
auto_ANDauto_MUL3071
auto_ANDauto_MULH3611
auto_ANDauto_MULHSU2511
auto_ANDauto_MULHU2791
auto_ANDauto_DIV2761
auto_ANDauto_DIVU2431
auto_ANDauto_REM2871
auto_ANDauto_REMU2381
auto_ANDauto_C_ADDI4SPN581
auto_ANDauto_C_SW11
auto_ANDauto_C_NOP7031
auto_ANDauto_C_ADDI1341
auto_ANDauto_C_JAL391
auto_ANDauto_C_LI561661
auto_ANDauto_C_ADDI16SP831
auto_ANDauto_C_LUI881
auto_ANDauto_C_SRLI991
auto_ANDauto_C_SRAI981
auto_ANDauto_C_ANDI891
auto_ANDauto_C_SUB1091
auto_ANDauto_C_XOR851
auto_ANDauto_C_OR1021
auto_ANDauto_C_AND871
auto_ANDauto_C_J291
auto_ANDauto_C_BEQZ461
auto_ANDauto_C_BNEZ411
auto_ANDauto_C_SLLI1351
auto_ANDauto_C_LWSP51
auto_ANDauto_C_JR11
auto_ANDauto_C_MV941
auto_ANDauto_C_JALR131
auto_ANDauto_C_ADD1301
auto_ANDauto_C_SWSP91
auto_ANDauto_SH1ADD2021
auto_ANDauto_SH2ADD1871
auto_ANDauto_SH3ADD1971
auto_ANDauto_CLZ1951
auto_ANDauto_CTZ2001
auto_ANDauto_CPOP1991
auto_ANDauto_MIN1921
auto_ANDauto_MAX2131
auto_ANDauto_MINU1721
auto_ANDauto_MAXU2011
auto_ANDauto_SEXT_B2001
auto_ANDauto_SEXT_H1951
auto_ANDauto_ZEXT_H1771
auto_ANDauto_ANDN1961
auto_ANDauto_ORN1891
auto_ANDauto_XNOR1991
auto_ANDauto_ROR1991
auto_ANDauto_RORI1851
auto_ANDauto_ROL1871
auto_ANDauto_REV81791
auto_ANDauto_ORC_B1871
auto_ANDauto_CLMUL1631
auto_ANDauto_CLMULH1831
auto_ANDauto_CLMULR2241
auto_ANDauto_BSET1931
auto_ANDauto_BSETI2201
auto_ANDauto_BCLR2061
auto_ANDauto_BCLRI2041
auto_ANDauto_BINV2081
auto_ANDauto_BINVI1901
auto_ANDauto_BEXT2051
auto_ANDauto_BEXTI1941
auto_ANDauto_CSRRW721
auto_ANDauto_CSRRS771
auto_ANDauto_CSRRC681
auto_ANDauto_CSRRWI761
auto_ANDauto_CSRRSI711
auto_ANDauto_CSRRCI731
auto_ANDauto_C_LBU11
auto_ANDauto_C_LH11
auto_ANDauto_C_SB31
auto_ANDauto_C_ZEXT_B821
auto_ANDauto_C_SEXT_B771
auto_ANDauto_C_ZEXT_H921
auto_ANDauto_C_SEXT_H851
auto_ANDauto_C_NOT811
auto_ANDauto_C_MUL1211
auto_FENCEauto_LUI1071
auto_FENCEauto_AUIPC1231
auto_FENCEauto_JAL681
auto_FENCEauto_JALR141
auto_FENCEauto_BEQ711
auto_FENCEauto_BNE481
auto_FENCEauto_BLT641
auto_FENCEauto_BGE741
auto_FENCEauto_BLTU711
auto_FENCEauto_BGEU691
auto_FENCEauto_LB3191
auto_FENCEauto_LH911
auto_FENCEauto_LW1351
auto_FENCEauto_LBU3531
auto_FENCEauto_LHU1071
auto_FENCEauto_SB3181
auto_FENCEauto_SH1081
auto_FENCEauto_SW621
auto_FENCEauto_ADDI2441
auto_FENCEauto_SLTI1791
auto_FENCEauto_SLTIU1221
auto_FENCEauto_XORI1271
auto_FENCEauto_ORI1681
auto_FENCEauto_ANDI941
auto_FENCEauto_SLLI921
auto_FENCEauto_SRLI1241
auto_FENCEauto_SRAI1151
auto_FENCEauto_ADD1041
auto_FENCEauto_SUB1001
auto_FENCEauto_SLL1041
auto_FENCEauto_SLT1221
auto_FENCEauto_SLTU861
auto_FENCEauto_XOR1101
auto_FENCEauto_SRL901
auto_FENCEauto_SRA1071
auto_FENCEauto_OR1141
auto_FENCEauto_AND1251
auto_FENCEauto_FENCE1011
auto_FENCEauto_ECALL11
auto_FENCEauto_MRET5451
auto_FENCEauto_WFI441
auto_FENCEauto_MUL1011
auto_FENCEauto_MULH1231
auto_FENCEauto_MULHSU1241
auto_FENCEauto_MULHU1071
auto_FENCEauto_DIV1201
auto_FENCEauto_DIVU1181
auto_FENCEauto_REM1141
auto_FENCEauto_REMU1151
auto_FENCEauto_C_ADDI4SPN591
auto_FENCEauto_C_LW11
auto_FENCEauto_C_NOP3291
auto_FENCEauto_C_ADDI781
auto_FENCEauto_C_JAL231
auto_FENCEauto_C_LI811
auto_FENCEauto_C_ADDI16SP611
auto_FENCEauto_C_LUI631
auto_FENCEauto_C_SRLI571
auto_FENCEauto_C_SRAI701
auto_FENCEauto_C_ANDI721
auto_FENCEauto_C_SUB741
auto_FENCEauto_C_XOR691
auto_FENCEauto_C_OR761
auto_FENCEauto_C_AND741
auto_FENCEauto_C_J251
auto_FENCEauto_C_BEQZ441
auto_FENCEauto_C_BNEZ401
auto_FENCEauto_C_SLLI971
auto_FENCEauto_C_LWSP51
auto_FENCEauto_C_MV691
auto_FENCEauto_C_ADD781
auto_FENCEauto_C_SWSP31
auto_FENCEauto_SH1ADD941
auto_FENCEauto_SH2ADD841
auto_FENCEauto_SH3ADD941
auto_FENCEauto_CLZ791
auto_FENCEauto_CTZ871
auto_FENCEauto_CPOP631
auto_FENCEauto_MIN801
auto_FENCEauto_MAX791
auto_FENCEauto_MINU861
auto_FENCEauto_MAXU761
auto_FENCEauto_SEXT_B831
auto_FENCEauto_SEXT_H661
auto_FENCEauto_ZEXT_H871
auto_FENCEauto_ANDN791
auto_FENCEauto_ORN951
auto_FENCEauto_XNOR801
auto_FENCEauto_ROR661
auto_FENCEauto_RORI781
auto_FENCEauto_ROL661
auto_FENCEauto_REV8881
auto_FENCEauto_ORC_B681
auto_FENCEauto_CLMUL811
auto_FENCEauto_CLMULH751
auto_FENCEauto_CLMULR701
auto_FENCEauto_BSET601
auto_FENCEauto_BSETI921
auto_FENCEauto_BCLR841
auto_FENCEauto_BCLRI771
auto_FENCEauto_BINV671
auto_FENCEauto_BINVI711
auto_FENCEauto_BEXT871
auto_FENCEauto_BEXTI921
auto_FENCEauto_CSRRW2491
auto_FENCEauto_CSRRS701
auto_FENCEauto_CSRRC661
auto_FENCEauto_CSRRWI701
auto_FENCEauto_CSRRSI621
auto_FENCEauto_CSRRCI591
auto_FENCEauto_C_LBU21
auto_FENCEauto_C_LH11
auto_FENCEauto_C_SB21
auto_FENCEauto_C_SH11
auto_FENCEauto_C_ZEXT_B471
auto_FENCEauto_C_SEXT_B621
auto_FENCEauto_C_ZEXT_H871
auto_FENCEauto_C_SEXT_H631
auto_FENCEauto_C_NOT731
auto_FENCEauto_C_MUL711
auto_ECALLauto_LUI91
auto_ECALLauto_AUIPC21
auto_ECALLauto_LB1471
auto_ECALLauto_LH431
auto_ECALLauto_LW101
auto_ECALLauto_LBU1351
auto_ECALLauto_LHU341
auto_ECALLauto_SB1381
auto_ECALLauto_SH401
auto_ECALLauto_SW181
auto_ECALLauto_ADDI351
auto_ECALLauto_SLTI81
auto_ECALLauto_SLTIU71
auto_ECALLauto_XORI71
auto_ECALLauto_ORI101
auto_ECALLauto_ANDI11
auto_ECALLauto_SLLI81
auto_ECALLauto_SRLI61
auto_ECALLauto_SRAI91
auto_ECALLauto_ADD41
auto_ECALLauto_SUB71
auto_ECALLauto_SLL11
auto_ECALLauto_SLT111
auto_ECALLauto_SLTU101
auto_ECALLauto_XOR61
auto_ECALLauto_SRL61
auto_ECALLauto_SRA121
auto_ECALLauto_OR61
auto_ECALLauto_AND61
auto_ECALLauto_FENCE71
auto_ECALLauto_MRET761
auto_ECALLauto_WFI31
auto_ECALLauto_MUL111
auto_ECALLauto_MULH71
auto_ECALLauto_MULHSU51
auto_ECALLauto_MULHU71
auto_ECALLauto_DIV91
auto_ECALLauto_DIVU51
auto_ECALLauto_REM71
auto_ECALLauto_REMU51
auto_ECALLauto_C_ADDI4SPN31
auto_ECALLauto_C_NOP281
auto_ECALLauto_C_ADDI81
auto_ECALLauto_C_LI91
auto_ECALLauto_C_ADDI16SP41
auto_ECALLauto_C_LUI11
auto_ECALLauto_C_SRLI101
auto_ECALLauto_C_SRAI151
auto_ECALLauto_C_ANDI91
auto_ECALLauto_C_SUB31
auto_ECALLauto_C_XOR31
auto_ECALLauto_C_OR51
auto_ECALLauto_C_AND51
auto_ECALLauto_C_SLLI91
auto_ECALLauto_C_LWSP51
auto_ECALLauto_C_MV51
auto_ECALLauto_C_ADD121
auto_ECALLauto_C_SWSP11
auto_ECALLauto_SH1ADD91
auto_ECALLauto_SH2ADD81
auto_ECALLauto_SH3ADD121
auto_ECALLauto_CLZ31
auto_ECALLauto_CTZ61
auto_ECALLauto_CPOP61
auto_ECALLauto_MIN61
auto_ECALLauto_MAX91
auto_ECALLauto_MINU71
auto_ECALLauto_MAXU31
auto_ECALLauto_SEXT_B31
auto_ECALLauto_SEXT_H61
auto_ECALLauto_ZEXT_H111
auto_ECALLauto_ANDN11
auto_ECALLauto_ORN41
auto_ECALLauto_XNOR81
auto_ECALLauto_ROR41
auto_ECALLauto_RORI91
auto_ECALLauto_ROL71
auto_ECALLauto_REV861
auto_ECALLauto_ORC_B81
auto_ECALLauto_CLMUL71
auto_ECALLauto_CLMULH31
auto_ECALLauto_CLMULR101
auto_ECALLauto_BSET111
auto_ECALLauto_BSETI61
auto_ECALLauto_BCLR61
auto_ECALLauto_BCLRI71
auto_ECALLauto_BINV71
auto_ECALLauto_BINVI71
auto_ECALLauto_BEXT81
auto_ECALLauto_BEXTI71
auto_ECALLauto_CSRRW1871
auto_ECALLauto_CSRRS71
auto_ECALLauto_CSRRC101
auto_ECALLauto_CSRRWI81
auto_ECALLauto_CSRRSI41
auto_ECALLauto_CSRRCI41
auto_ECALLauto_C_ZEXT_B41
auto_ECALLauto_C_SEXT_B41
auto_ECALLauto_C_ZEXT_H41
auto_ECALLauto_C_SEXT_H121
auto_ECALLauto_C_NOT41
auto_ECALLauto_C_MUL71
auto_EBREAKauto_LUI481
auto_EBREAKauto_AUIPC481
auto_EBREAKauto_JAL181
auto_EBREAKauto_BEQ381
auto_EBREAKauto_BNE381
auto_EBREAKauto_BLT281
auto_EBREAKauto_BGE311
auto_EBREAKauto_BLTU281
auto_EBREAKauto_BGEU291
auto_EBREAKauto_LB1571
auto_EBREAKauto_LH481
auto_EBREAKauto_LW151
auto_EBREAKauto_LBU1451
auto_EBREAKauto_LHU411
auto_EBREAKauto_SB1341
auto_EBREAKauto_SH411
auto_EBREAKauto_SW191
auto_EBREAKauto_ADDI701
auto_EBREAKauto_SLTI531
auto_EBREAKauto_SLTIU391
auto_EBREAKauto_XORI451
auto_EBREAKauto_ORI421
auto_EBREAKauto_ANDI501
auto_EBREAKauto_SLLI491
auto_EBREAKauto_SRLI551
auto_EBREAKauto_SRAI351
auto_EBREAKauto_ADD551
auto_EBREAKauto_SUB561
auto_EBREAKauto_SLL491
auto_EBREAKauto_SLT441
auto_EBREAKauto_SLTU451
auto_EBREAKauto_XOR511
auto_EBREAKauto_SRL611
auto_EBREAKauto_SRA571
auto_EBREAKauto_OR591
auto_EBREAKauto_AND491
auto_EBREAKauto_FENCE331
auto_EBREAKauto_MRET1601
auto_EBREAKauto_WFI91
auto_EBREAKauto_MUL551
auto_EBREAKauto_MULH411
auto_EBREAKauto_MULHSU471
auto_EBREAKauto_MULHU531
auto_EBREAKauto_DIV511
auto_EBREAKauto_DIVU401
auto_EBREAKauto_REM461
auto_EBREAKauto_REMU531
auto_EBREAKauto_C_ADDI4SPN451
auto_EBREAKauto_C_LW11
auto_EBREAKauto_C_SW21
auto_EBREAKauto_C_NOP1931
auto_EBREAKauto_C_ADDI321
auto_EBREAKauto_C_JAL181
auto_EBREAKauto_C_LI431
auto_EBREAKauto_C_ADDI16SP301
auto_EBREAKauto_C_LUI441
auto_EBREAKauto_C_SRLI391
auto_EBREAKauto_C_SRAI361
auto_EBREAKauto_C_ANDI431
auto_EBREAKauto_C_SUB481
auto_EBREAKauto_C_XOR391
auto_EBREAKauto_C_OR441
auto_EBREAKauto_C_AND411
auto_EBREAKauto_C_J201
auto_EBREAKauto_C_BEQZ321
auto_EBREAKauto_C_BNEZ191
auto_EBREAKauto_C_SLLI421
auto_EBREAKauto_C_LWSP21
auto_EBREAKauto_C_MV411
auto_EBREAKauto_C_ADD401
auto_EBREAKauto_C_SWSP41
auto_EBREAKauto_SH1ADD421
auto_EBREAKauto_SH2ADD341
auto_EBREAKauto_SH3ADD331
auto_EBREAKauto_CLZ371
auto_EBREAKauto_CTZ431
auto_EBREAKauto_CPOP461
auto_EBREAKauto_MIN521
auto_EBREAKauto_MAX491
auto_EBREAKauto_MINU281
auto_EBREAKauto_MAXU361
auto_EBREAKauto_SEXT_B361
auto_EBREAKauto_SEXT_H491
auto_EBREAKauto_ZEXT_H341
auto_EBREAKauto_ANDN311
auto_EBREAKauto_ORN361
auto_EBREAKauto_XNOR441
auto_EBREAKauto_ROR291
auto_EBREAKauto_RORI341
auto_EBREAKauto_ROL351
auto_EBREAKauto_REV8231
auto_EBREAKauto_ORC_B331
auto_EBREAKauto_CLMUL441
auto_EBREAKauto_CLMULH381
auto_EBREAKauto_CLMULR471
auto_EBREAKauto_BSET461
auto_EBREAKauto_BSETI431
auto_EBREAKauto_BCLR331
auto_EBREAKauto_BCLRI461
auto_EBREAKauto_BINV441
auto_EBREAKauto_BINVI321
auto_EBREAKauto_BEXT311
auto_EBREAKauto_BEXTI471
auto_EBREAKauto_CSRRW341
auto_EBREAKauto_CSRRS341
auto_EBREAKauto_CSRRC421
auto_EBREAKauto_CSRRWI431
auto_EBREAKauto_CSRRSI361
auto_EBREAKauto_CSRRCI401
auto_EBREAKauto_C_LBU21
auto_EBREAKauto_C_LHU11
auto_EBREAKauto_C_SB11
auto_EBREAKauto_C_ZEXT_B361
auto_EBREAKauto_C_SEXT_B341
auto_EBREAKauto_C_ZEXT_H351
auto_EBREAKauto_C_SEXT_H441
auto_EBREAKauto_C_NOT421
auto_EBREAKauto_C_MUL421
auto_MRETauto_BNE11
auto_MRETauto_LB11
auto_MRETauto_SB11
auto_MRETauto_FENCE11
auto_MRETauto_MRET11
auto_MRETauto_WFI11
auto_MRETauto_MULH11
auto_MRETauto_DIV11
auto_MRETauto_C_ADDI2652061
auto_MRETauto_C_J11
auto_MRETauto_CSRRW144971
auto_MRETauto_CSRRS1821
auto_MRETauto_C_MUL11
auto_WFIauto_LUI751
auto_WFIauto_AUIPC731
auto_WFIauto_JAL91
auto_WFIauto_JALR51
auto_WFIauto_BEQ881
auto_WFIauto_BNE11
auto_WFIauto_BLT141
auto_WFIauto_BGE101
auto_WFIauto_BLTU431
auto_WFIauto_BGEU211
auto_WFIauto_LB161
auto_WFIauto_LH51
auto_WFIauto_LW181
auto_WFIauto_LBU81
auto_WFIauto_LHU101
auto_WFIauto_SB121
auto_WFIauto_SH121
auto_WFIauto_SW491
auto_WFIauto_ADDI961
auto_WFIauto_SLTI811
auto_WFIauto_SLTIU761
auto_WFIauto_XORI761
auto_WFIauto_ORI711
auto_WFIauto_ANDI761
auto_WFIauto_SLLI631
auto_WFIauto_SRLI461
auto_WFIauto_SRAI591
auto_WFIauto_ADD471
auto_WFIauto_SUB381
auto_WFIauto_SLL561
auto_WFIauto_SLT671
auto_WFIauto_SLTU621
auto_WFIauto_XOR1651
auto_WFIauto_SRL1031
auto_WFIauto_SRA531
auto_WFIauto_OR681
auto_WFIauto_AND601
auto_WFIauto_FENCE261
auto_WFIauto_ECALL11
auto_WFIauto_MRET4741
auto_WFIauto_WFI551
auto_WFIauto_MUL601
auto_WFIauto_MULH1481
auto_WFIauto_MULHSU441
auto_WFIauto_MULHU661
auto_WFIauto_DIV1111
auto_WFIauto_DIVU461
auto_WFIauto_REM591
auto_WFIauto_REMU441
auto_WFIauto_C_NOP1081
auto_WFIauto_C_ADDI131
auto_WFIauto_C_SRLI71
auto_WFIauto_C_XOR21
auto_WFIauto_C_SLLI41
auto_WFIauto_C_MV61
auto_WFIauto_C_ADD21
auto_WFIauto_C_SWSP11
auto_WFIauto_CSRRW1831
auto_MULauto_LUI3241
auto_MULauto_AUIPC2251
auto_MULauto_JAL791
auto_MULauto_JALR91
auto_MULauto_BEQ811
auto_MULauto_BNE671
auto_MULauto_BLT641
auto_MULauto_BGE881
auto_MULauto_BLTU671
auto_MULauto_BGEU791
auto_MULauto_LB3291
auto_MULauto_LH1281
auto_MULauto_LW871
auto_MULauto_LBU3111
auto_MULauto_LHU991
auto_MULauto_SB3471
auto_MULauto_SH1021
auto_MULauto_SW1771
auto_MULauto_ADDI4951
auto_MULauto_SLTI2781
auto_MULauto_SLTIU2541
auto_MULauto_XORI3021
auto_MULauto_ORI2411
auto_MULauto_ANDI3761
auto_MULauto_SLLI2451
auto_MULauto_SRLI2781
auto_MULauto_SRAI2481
auto_MULauto_ADD2191
auto_MULauto_SUB2481
auto_MULauto_SLL3021
auto_MULauto_SLT2441
auto_MULauto_SLTU2501
auto_MULauto_XOR2761
auto_MULauto_SRL2871
auto_MULauto_SRA2561
auto_MULauto_OR2661
auto_MULauto_AND2741
auto_MULauto_FENCE1241
auto_MULauto_MRET8651
auto_MULauto_WFI481
auto_MULauto_MUL2621
auto_MULauto_MULH2401
auto_MULauto_MULHSU2261
auto_MULauto_MULHU2601
auto_MULauto_DIV2881
auto_MULauto_DIVU3641
auto_MULauto_REM3041
auto_MULauto_REMU2711
auto_MULauto_C_ADDI4SPN761
auto_MULauto_C_LW11
auto_MULauto_C_NOP6481
auto_MULauto_C_ADDI1391
auto_MULauto_C_JAL201
auto_MULauto_C_LI971
auto_MULauto_C_ADDI16SP811
auto_MULauto_C_LUI911
auto_MULauto_C_SRLI1081
auto_MULauto_C_SRAI911
auto_MULauto_C_ANDI851
auto_MULauto_C_SUB1001
auto_MULauto_C_XOR751
auto_MULauto_C_OR1121
auto_MULauto_C_AND901
auto_MULauto_C_J361
auto_MULauto_C_BEQZ391
auto_MULauto_C_BNEZ571
auto_MULauto_C_SLLI1401
auto_MULauto_C_LWSP61
auto_MULauto_C_MV1191
auto_MULauto_C_JALR11
auto_MULauto_C_ADD1311
auto_MULauto_C_SWSP41
auto_MULauto_SH1ADD1721
auto_MULauto_SH2ADD2061
auto_MULauto_SH3ADD1971
auto_MULauto_CLZ1811
auto_MULauto_CTZ2131
auto_MULauto_CPOP1631
auto_MULauto_MIN1841
auto_MULauto_MAX1951
auto_MULauto_MINU2111
auto_MULauto_MAXU2011
auto_MULauto_SEXT_B1701
auto_MULauto_SEXT_H1921
auto_MULauto_ZEXT_H1961
auto_MULauto_ANDN1801
auto_MULauto_ORN1991
auto_MULauto_XNOR1941
auto_MULauto_ROR1901
auto_MULauto_RORI1801
auto_MULauto_ROL1921
auto_MULauto_REV81901
auto_MULauto_ORC_B1741
auto_MULauto_CLMUL1881
auto_MULauto_CLMULH2011
auto_MULauto_CLMULR2031
auto_MULauto_BSET2011
auto_MULauto_BSETI2091
auto_MULauto_BCLR2021
auto_MULauto_BCLRI2041
auto_MULauto_BINV1991
auto_MULauto_BINVI2071
auto_MULauto_BEXT1791
auto_MULauto_BEXTI2091
auto_MULauto_CSRRW2531
auto_MULauto_CSRRS861
auto_MULauto_CSRRC761
auto_MULauto_CSRRWI761
auto_MULauto_CSRRSI861
auto_MULauto_CSRRCI811
auto_MULauto_C_LBU11
auto_MULauto_C_LHU21
auto_MULauto_C_LH11
auto_MULauto_C_SB21
auto_MULauto_C_ZEXT_B991
auto_MULauto_C_SEXT_B1011
auto_MULauto_C_ZEXT_H1091
auto_MULauto_C_SEXT_H951
auto_MULauto_C_NOT891
auto_MULauto_C_MUL1111
auto_MULHauto_LUI2681
auto_MULHauto_AUIPC2541
auto_MULHauto_JAL621
auto_MULHauto_JALR121
auto_MULHauto_BEQ631
auto_MULHauto_BNE1541
auto_MULHauto_BLT1031
auto_MULHauto_BGE811
auto_MULHauto_BLTU571
auto_MULHauto_BGEU651
auto_MULHauto_LB3261
auto_MULHauto_LH961
auto_MULHauto_LW791
auto_MULHauto_LBU3441
auto_MULHauto_LHU1171
auto_MULHauto_SB3141
auto_MULHauto_SH1031
auto_MULHauto_SW931
auto_MULHauto_ADDI4471
auto_MULHauto_SLTI2751
auto_MULHauto_SLTIU2511
auto_MULHauto_XORI2481
auto_MULHauto_ORI2391
auto_MULHauto_ANDI2681
auto_MULHauto_SLLI2611
auto_MULHauto_SRLI2741
auto_MULHauto_SRAI2401
auto_MULHauto_ADD2171
auto_MULHauto_SUB2411
auto_MULHauto_SLL2341
auto_MULHauto_SLT2361
auto_MULHauto_SLTU4111
auto_MULHauto_XOR2361
auto_MULHauto_SRL3301
auto_MULHauto_SRA3161
auto_MULHauto_OR2481
auto_MULHauto_AND2501
auto_MULHauto_FENCE1111
auto_MULHauto_ECALL11
auto_MULHauto_MRET7871
auto_MULHauto_WFI551
auto_MULHauto_MUL2581
auto_MULHauto_MULH2371
auto_MULHauto_MULHSU2531
auto_MULHauto_MULHU2301
auto_MULHauto_DIV2251
auto_MULHauto_DIVU3351
auto_MULHauto_REM2531
auto_MULHauto_REMU2521
auto_MULHauto_C_ADDI4SPN881
auto_MULHauto_C_SW11
auto_MULHauto_C_NOP6031
auto_MULHauto_C_ADDI1041
auto_MULHauto_C_JAL231
auto_MULHauto_C_LI1041
auto_MULHauto_C_ADDI16SP901
auto_MULHauto_C_LUI1081
auto_MULHauto_C_SRLI1051
auto_MULHauto_C_SRAI1051
auto_MULHauto_C_ANDI931
auto_MULHauto_C_SUB1101
auto_MULHauto_C_XOR801
auto_MULHauto_C_OR911
auto_MULHauto_C_AND1071
auto_MULHauto_C_J301
auto_MULHauto_C_BEQZ421
auto_MULHauto_C_BNEZ441
auto_MULHauto_C_SLLI1201
auto_MULHauto_C_LWSP21
auto_MULHauto_C_JR31
auto_MULHauto_C_MV941
auto_MULHauto_C_JALR31
auto_MULHauto_C_ADD1321
auto_MULHauto_C_SWSP51
auto_MULHauto_SH1ADD1951
auto_MULHauto_SH2ADD1901
auto_MULHauto_SH3ADD1771
auto_MULHauto_CLZ1881
auto_MULHauto_CTZ2071
auto_MULHauto_CPOP2131
auto_MULHauto_MIN1791
auto_MULHauto_MAX2021
auto_MULHauto_MINU2321
auto_MULHauto_MAXU2211
auto_MULHauto_SEXT_B2251
auto_MULHauto_SEXT_H2121
auto_MULHauto_ZEXT_H2081
auto_MULHauto_ANDN1941
auto_MULHauto_ORN2231
auto_MULHauto_XNOR1801
auto_MULHauto_ROR1721
auto_MULHauto_RORI1851
auto_MULHauto_ROL2071
auto_MULHauto_REV81881
auto_MULHauto_ORC_B2301
auto_MULHauto_CLMUL2141
auto_MULHauto_CLMULH2001
auto_MULHauto_CLMULR1881
auto_MULHauto_BSET1891
auto_MULHauto_BSETI1911
auto_MULHauto_BCLR1891
auto_MULHauto_BCLRI1821
auto_MULHauto_BINV1941
auto_MULHauto_BINVI1931
auto_MULHauto_BEXT1841
auto_MULHauto_BEXTI1981
auto_MULHauto_CSRRW2571
auto_MULHauto_CSRRS831
auto_MULHauto_CSRRC671
auto_MULHauto_CSRRWI711
auto_MULHauto_CSRRSI791
auto_MULHauto_CSRRCI721
auto_MULHauto_C_LBU21
auto_MULHauto_C_LHU21
auto_MULHauto_C_SB11
auto_MULHauto_C_SH11
auto_MULHauto_C_ZEXT_B1001
auto_MULHauto_C_SEXT_B901
auto_MULHauto_C_ZEXT_H771
auto_MULHauto_C_SEXT_H981
auto_MULHauto_C_NOT1041
auto_MULHauto_C_MUL1021
auto_MULHSUauto_LUI2791
auto_MULHSUauto_AUIPC2631
auto_MULHSUauto_JAL871
auto_MULHSUauto_JALR201
auto_MULHSUauto_BEQ621
auto_MULHSUauto_BNE601
auto_MULHSUauto_BLT741
auto_MULHSUauto_BGE691
auto_MULHSUauto_BLTU911
auto_MULHSUauto_BGEU991
auto_MULHSUauto_LB3341
auto_MULHSUauto_LH1261
auto_MULHSUauto_LW631
auto_MULHSUauto_LBU3181
auto_MULHSUauto_LHU1011
auto_MULHSUauto_SB3431
auto_MULHSUauto_SH1021
auto_MULHSUauto_SW681
auto_MULHSUauto_ADDI5071
auto_MULHSUauto_SLTI2621
auto_MULHSUauto_SLTIU2981
auto_MULHSUauto_XORI2901
auto_MULHSUauto_ORI2651
auto_MULHSUauto_ANDI2371
auto_MULHSUauto_SLLI2511
auto_MULHSUauto_SRLI2521
auto_MULHSUauto_SRAI2641
auto_MULHSUauto_ADD2341
auto_MULHSUauto_SUB2661
auto_MULHSUauto_SLL2721
auto_MULHSUauto_SLT2571
auto_MULHSUauto_SLTU2921
auto_MULHSUauto_XOR3511
auto_MULHSUauto_SRL2521
auto_MULHSUauto_SRA2601
auto_MULHSUauto_OR2561
auto_MULHSUauto_AND2501
auto_MULHSUauto_FENCE891
auto_MULHSUauto_MRET7961
auto_MULHSUauto_WFI521
auto_MULHSUauto_MUL2451
auto_MULHSUauto_MULH2561
auto_MULHSUauto_MULHSU2291
auto_MULHSUauto_MULHU2581
auto_MULHSUauto_DIV2611
auto_MULHSUauto_DIVU3521
auto_MULHSUauto_REM2631
auto_MULHSUauto_REMU3171
auto_MULHSUauto_C_ADDI4SPN721
auto_MULHSUauto_C_NOP6541
auto_MULHSUauto_C_ADDI1201
auto_MULHSUauto_C_JAL281
auto_MULHSUauto_C_LI991
auto_MULHSUauto_C_ADDI16SP771
auto_MULHSUauto_C_LUI891
auto_MULHSUauto_C_SRLI941
auto_MULHSUauto_C_SRAI1051
auto_MULHSUauto_C_ANDI1171
auto_MULHSUauto_C_SUB941
auto_MULHSUauto_C_XOR931
auto_MULHSUauto_C_OR1021
auto_MULHSUauto_C_AND1021
auto_MULHSUauto_C_J311
auto_MULHSUauto_C_BEQZ501
auto_MULHSUauto_C_BNEZ441
auto_MULHSUauto_C_SLLI1411
auto_MULHSUauto_C_JR11
auto_MULHSUauto_C_MV971
auto_MULHSUauto_C_JALR21
auto_MULHSUauto_C_ADD1341
auto_MULHSUauto_C_SWSP31
auto_MULHSUauto_SH1ADD1981
auto_MULHSUauto_SH2ADD1941
auto_MULHSUauto_SH3ADD1831
auto_MULHSUauto_CLZ1981
auto_MULHSUauto_CTZ1811
auto_MULHSUauto_CPOP2091
auto_MULHSUauto_MIN2091
auto_MULHSUauto_MAX2061
auto_MULHSUauto_MINU2031
auto_MULHSUauto_MAXU1841
auto_MULHSUauto_SEXT_B1861
auto_MULHSUauto_SEXT_H1741
auto_MULHSUauto_ZEXT_H2291
auto_MULHSUauto_ANDN2201
auto_MULHSUauto_ORN1891
auto_MULHSUauto_XNOR1981
auto_MULHSUauto_ROR2391
auto_MULHSUauto_RORI1741
auto_MULHSUauto_ROL2211
auto_MULHSUauto_REV81531
auto_MULHSUauto_ORC_B2161
auto_MULHSUauto_CLMUL2011
auto_MULHSUauto_CLMULH1811
auto_MULHSUauto_CLMULR2041
auto_MULHSUauto_BSET2271
auto_MULHSUauto_BSETI1921
auto_MULHSUauto_BCLR2081
auto_MULHSUauto_BCLRI1941
auto_MULHSUauto_BINV1791
auto_MULHSUauto_BINVI1911
auto_MULHSUauto_BEXT2091
auto_MULHSUauto_BEXTI1871
auto_MULHSUauto_CSRRW761
auto_MULHSUauto_CSRRS761
auto_MULHSUauto_CSRRC591

+
+Go back
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_2.html new file mode 100644 index 00000000..8a0c3e57 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_2.html @@ -0,0 +1,30069 @@ + + + + + +Unified Coverage Report :: Covered bins + + + + + + + + + +
+ +
Covered bins for cross_seq_instr_x2
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Go back
+ < 1 [2] 3 >
+Notice: sort works only in the current subset of bins.
+
+Automatically Generated Cross Bins for cross_seq_instr_x2 +
+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_instrcp_instr_prev_x2COUNTAT LEAST
auto_MULHSUauto_CSRRWI701
auto_MULHSUauto_CSRRSI641
auto_MULHSUauto_CSRRCI821
auto_MULHSUauto_C_LBU21
auto_MULHSUauto_C_LHU11
auto_MULHSUauto_C_LH11
auto_MULHSUauto_C_SB11
auto_MULHSUauto_C_SH11
auto_MULHSUauto_C_ZEXT_B851
auto_MULHSUauto_C_SEXT_B871
auto_MULHSUauto_C_ZEXT_H831
auto_MULHSUauto_C_SEXT_H791
auto_MULHSUauto_C_NOT931
auto_MULHSUauto_C_MUL921
auto_MULHUauto_LUI2261
auto_MULHUauto_AUIPC2631
auto_MULHUauto_JAL611
auto_MULHUauto_JALR71
auto_MULHUauto_BEQ761
auto_MULHUauto_BNE581
auto_MULHUauto_BLT771
auto_MULHUauto_BGE671
auto_MULHUauto_BLTU711
auto_MULHUauto_BGEU881
auto_MULHUauto_LB3471
auto_MULHUauto_LH1041
auto_MULHUauto_LW811
auto_MULHUauto_LBU3331
auto_MULHUauto_LHU1121
auto_MULHUauto_SB3541
auto_MULHUauto_SH1141
auto_MULHUauto_SW691
auto_MULHUauto_ADDI4091
auto_MULHUauto_SLTI3121
auto_MULHUauto_SLTIU2641
auto_MULHUauto_XORI2561
auto_MULHUauto_ORI2761
auto_MULHUauto_ANDI3411
auto_MULHUauto_SLLI2471
auto_MULHUauto_SRLI2771
auto_MULHUauto_SRAI2821
auto_MULHUauto_ADD2471
auto_MULHUauto_SUB2331
auto_MULHUauto_SLL2451
auto_MULHUauto_SLT2701
auto_MULHUauto_SLTU2621
auto_MULHUauto_XOR2401
auto_MULHUauto_SRL2381
auto_MULHUauto_SRA2531
auto_MULHUauto_OR2331
auto_MULHUauto_AND2421
auto_MULHUauto_FENCE851
auto_MULHUauto_MRET8131
auto_MULHUauto_WFI631
auto_MULHUauto_MUL3551
auto_MULHUauto_MULH2321
auto_MULHUauto_MULHSU2581
auto_MULHUauto_MULHU2481
auto_MULHUauto_DIV2531
auto_MULHUauto_DIVU2451
auto_MULHUauto_REM2631
auto_MULHUauto_REMU2611
auto_MULHUauto_C_ADDI4SPN831
auto_MULHUauto_C_NOP6661
auto_MULHUauto_C_ADDI1371
auto_MULHUauto_C_JAL311
auto_MULHUauto_C_LI1101
auto_MULHUauto_C_ADDI16SP841
auto_MULHUauto_C_LUI941
auto_MULHUauto_C_SRLI991
auto_MULHUauto_C_SRAI851
auto_MULHUauto_C_ANDI1111
auto_MULHUauto_C_SUB1211
auto_MULHUauto_C_XOR1071
auto_MULHUauto_C_OR1031
auto_MULHUauto_C_AND981
auto_MULHUauto_C_J321
auto_MULHUauto_C_BEQZ551
auto_MULHUauto_C_BNEZ511
auto_MULHUauto_C_SLLI1311
auto_MULHUauto_C_LWSP71
auto_MULHUauto_C_MV981
auto_MULHUauto_C_JALR31
auto_MULHUauto_C_ADD1291
auto_MULHUauto_C_SWSP31
auto_MULHUauto_SH1ADD1821
auto_MULHUauto_SH2ADD2061
auto_MULHUauto_SH3ADD1981
auto_MULHUauto_CLZ1991
auto_MULHUauto_CTZ2001
auto_MULHUauto_CPOP1841
auto_MULHUauto_MIN1981
auto_MULHUauto_MAX1941
auto_MULHUauto_MINU1871
auto_MULHUauto_MAXU1921
auto_MULHUauto_SEXT_B2091
auto_MULHUauto_SEXT_H1821
auto_MULHUauto_ZEXT_H1851
auto_MULHUauto_ANDN1961
auto_MULHUauto_ORN2061
auto_MULHUauto_XNOR2051
auto_MULHUauto_ROR2061
auto_MULHUauto_RORI1891
auto_MULHUauto_ROL2031
auto_MULHUauto_REV82271
auto_MULHUauto_ORC_B2271
auto_MULHUauto_CLMUL1901
auto_MULHUauto_CLMULH1881
auto_MULHUauto_CLMULR2031
auto_MULHUauto_BSET2121
auto_MULHUauto_BSETI1961
auto_MULHUauto_BCLR1961
auto_MULHUauto_BCLRI1811
auto_MULHUauto_BINV2041
auto_MULHUauto_BINVI1741
auto_MULHUauto_BEXT2211
auto_MULHUauto_BEXTI2021
auto_MULHUauto_CSRRW781
auto_MULHUauto_CSRRS621
auto_MULHUauto_CSRRC701
auto_MULHUauto_CSRRWI861
auto_MULHUauto_CSRRSI811
auto_MULHUauto_CSRRCI831
auto_MULHUauto_C_LBU21
auto_MULHUauto_C_LHU11
auto_MULHUauto_C_SB11
auto_MULHUauto_C_SH11
auto_MULHUauto_C_ZEXT_B921
auto_MULHUauto_C_SEXT_B981
auto_MULHUauto_C_ZEXT_H971
auto_MULHUauto_C_SEXT_H911
auto_MULHUauto_C_NOT871
auto_MULHUauto_C_MUL841
auto_DIVauto_LUI2641
auto_DIVauto_AUIPC2431
auto_DIVauto_JAL831
auto_DIVauto_JALR151
auto_DIVauto_BEQ661
auto_DIVauto_BNE621
auto_DIVauto_BLT591
auto_DIVauto_BGE751
auto_DIVauto_BLTU691
auto_DIVauto_BGEU681
auto_DIVauto_LB3581
auto_DIVauto_LH1011
auto_DIVauto_LW781
auto_DIVauto_LBU3851
auto_DIVauto_LHU1031
auto_DIVauto_SB3361
auto_DIVauto_SH981
auto_DIVauto_SW741
auto_DIVauto_ADDI4411
auto_DIVauto_SLTI3451
auto_DIVauto_SLTIU2421
auto_DIVauto_XORI2521
auto_DIVauto_ORI2601
auto_DIVauto_ANDI2561
auto_DIVauto_SLLI2461
auto_DIVauto_SRLI2771
auto_DIVauto_SRAI2501
auto_DIVauto_ADD1901
auto_DIVauto_SUB2461
auto_DIVauto_SLL2461
auto_DIVauto_SLT2831
auto_DIVauto_SLTU2831
auto_DIVauto_XOR2381
auto_DIVauto_SRL2641
auto_DIVauto_SRA2451
auto_DIVauto_OR2431
auto_DIVauto_AND2761
auto_DIVauto_FENCE931
auto_DIVauto_ECALL11
auto_DIVauto_MRET7751
auto_DIVauto_WFI551
auto_DIVauto_MUL3131
auto_DIVauto_MULH2921
auto_DIVauto_MULHSU2581
auto_DIVauto_MULHU2871
auto_DIVauto_DIV2641
auto_DIVauto_DIVU2721
auto_DIVauto_REM2461
auto_DIVauto_REMU2721
auto_DIVauto_C_ADDI4SPN731
auto_DIVauto_C_NOP7641
auto_DIVauto_C_ADDI1781
auto_DIVauto_C_JAL381
auto_DIVauto_C_LI981
auto_DIVauto_C_ADDI16SP731
auto_DIVauto_C_LUI1041
auto_DIVauto_C_SRLI1161
auto_DIVauto_C_SRAI931
auto_DIVauto_C_ANDI821
auto_DIVauto_C_SUB751
auto_DIVauto_C_XOR1041
auto_DIVauto_C_OR1001
auto_DIVauto_C_AND861
auto_DIVauto_C_J361
auto_DIVauto_C_BEQZ391
auto_DIVauto_C_BNEZ501
auto_DIVauto_C_SLLI1441
auto_DIVauto_C_LWSP51
auto_DIVauto_C_JR11
auto_DIVauto_C_MV1141
auto_DIVauto_C_JALR11
auto_DIVauto_C_ADD1451
auto_DIVauto_C_SWSP101
auto_DIVauto_SH1ADD1771
auto_DIVauto_SH2ADD2231
auto_DIVauto_SH3ADD2071
auto_DIVauto_CLZ1741
auto_DIVauto_CTZ1991
auto_DIVauto_CPOP1931
auto_DIVauto_MIN1841
auto_DIVauto_MAX2021
auto_DIVauto_MINU1981
auto_DIVauto_MAXU1901
auto_DIVauto_SEXT_B2041
auto_DIVauto_SEXT_H1871
auto_DIVauto_ZEXT_H2281
auto_DIVauto_ANDN1741
auto_DIVauto_ORN2201
auto_DIVauto_XNOR1891
auto_DIVauto_ROR1771
auto_DIVauto_RORI2141
auto_DIVauto_ROL1831
auto_DIVauto_REV81981
auto_DIVauto_ORC_B2121
auto_DIVauto_CLMUL1791
auto_DIVauto_CLMULH1971
auto_DIVauto_CLMULR2271
auto_DIVauto_BSET1981
auto_DIVauto_BSETI1751
auto_DIVauto_BCLR1801
auto_DIVauto_BCLRI2161
auto_DIVauto_BINV1901
auto_DIVauto_BINVI2091
auto_DIVauto_BEXT2011
auto_DIVauto_BEXTI2071
auto_DIVauto_CSRRW2501
auto_DIVauto_CSRRS581
auto_DIVauto_CSRRC601
auto_DIVauto_CSRRWI641
auto_DIVauto_CSRRSI711
auto_DIVauto_CSRRCI671
auto_DIVauto_C_LBU11
auto_DIVauto_C_LHU11
auto_DIVauto_C_LH11
auto_DIVauto_C_SB31
auto_DIVauto_C_SH11
auto_DIVauto_C_ZEXT_B801
auto_DIVauto_C_SEXT_B981
auto_DIVauto_C_ZEXT_H731
auto_DIVauto_C_SEXT_H1031
auto_DIVauto_C_NOT791
auto_DIVauto_C_MUL931
auto_DIVUauto_LUI2361
auto_DIVUauto_AUIPC2561
auto_DIVUauto_JAL1451
auto_DIVUauto_JALR401
auto_DIVUauto_BEQ681
auto_DIVUauto_BNE901
auto_DIVUauto_BLT711
auto_DIVUauto_BGE711
auto_DIVUauto_BLTU791
auto_DIVUauto_BGEU561
auto_DIVUauto_LB3681
auto_DIVUauto_LH1091
auto_DIVUauto_LW641
auto_DIVUauto_LBU3491
auto_DIVUauto_LHU1001
auto_DIVUauto_SB3841
auto_DIVUauto_SH861
auto_DIVUauto_SW731
auto_DIVUauto_ADDI4261
auto_DIVUauto_SLTI2631
auto_DIVUauto_SLTIU2841
auto_DIVUauto_XORI3951
auto_DIVUauto_ORI2491
auto_DIVUauto_ANDI3081
auto_DIVUauto_SLLI2281
auto_DIVUauto_SRLI2721
auto_DIVUauto_SRAI4031
auto_DIVUauto_ADD2001
auto_DIVUauto_SUB2261
auto_DIVUauto_SLL2641
auto_DIVUauto_SLT2371
auto_DIVUauto_SLTU3421
auto_DIVUauto_XOR2401
auto_DIVUauto_SRL3241
auto_DIVUauto_SRA2391
auto_DIVUauto_OR2601
auto_DIVUauto_AND2671
auto_DIVUauto_FENCE831
auto_DIVUauto_MRET8151
auto_DIVUauto_WFI771
auto_DIVUauto_MUL2551
auto_DIVUauto_MULH2411
auto_DIVUauto_MULHSU3071
auto_DIVUauto_MULHU2861
auto_DIVUauto_DIV2491
auto_DIVUauto_DIVU2471
auto_DIVUauto_REM2991
auto_DIVUauto_REMU3541
auto_DIVUauto_C_ADDI4SPN921
auto_DIVUauto_C_LW11
auto_DIVUauto_C_NOP6851
auto_DIVUauto_C_ADDI1171
auto_DIVUauto_C_JAL291
auto_DIVUauto_C_LI1061
auto_DIVUauto_C_ADDI16SP751
auto_DIVUauto_C_LUI1111
auto_DIVUauto_C_SRLI891
auto_DIVUauto_C_SRAI781
auto_DIVUauto_C_ANDI771
auto_DIVUauto_C_SUB1111
auto_DIVUauto_C_XOR831
auto_DIVUauto_C_OR961
auto_DIVUauto_C_AND991
auto_DIVUauto_C_J301
auto_DIVUauto_C_BEQZ391
auto_DIVUauto_C_BNEZ411
auto_DIVUauto_C_SLLI1041
auto_DIVUauto_C_LWSP111
auto_DIVUauto_C_JR71
auto_DIVUauto_C_MV1131
auto_DIVUauto_C_ADD1211
auto_DIVUauto_C_SWSP41
auto_DIVUauto_SH1ADD1881
auto_DIVUauto_SH2ADD2191
auto_DIVUauto_SH3ADD1891
auto_DIVUauto_CLZ1961
auto_DIVUauto_CTZ2001
auto_DIVUauto_CPOP2091
auto_DIVUauto_MIN1721
auto_DIVUauto_MAX1921
auto_DIVUauto_MINU2051
auto_DIVUauto_MAXU2141
auto_DIVUauto_SEXT_B1921
auto_DIVUauto_SEXT_H2031
auto_DIVUauto_ZEXT_H2181
auto_DIVUauto_ANDN1891
auto_DIVUauto_ORN2251
auto_DIVUauto_XNOR2101
auto_DIVUauto_ROR2051
auto_DIVUauto_RORI2001
auto_DIVUauto_ROL2071
auto_DIVUauto_REV81851
auto_DIVUauto_ORC_B1961
auto_DIVUauto_CLMUL2041
auto_DIVUauto_CLMULH1951
auto_DIVUauto_CLMULR1771
auto_DIVUauto_BSET1891
auto_DIVUauto_BSETI2051
auto_DIVUauto_BCLR1951
auto_DIVUauto_BCLRI1911
auto_DIVUauto_BINV2121
auto_DIVUauto_BINVI1771
auto_DIVUauto_BEXT2071
auto_DIVUauto_BEXTI2171
auto_DIVUauto_CSRRW691
auto_DIVUauto_CSRRS851
auto_DIVUauto_CSRRC661
auto_DIVUauto_CSRRWI711
auto_DIVUauto_CSRRSI801
auto_DIVUauto_CSRRCI471
auto_DIVUauto_C_LBU31
auto_DIVUauto_C_LHU11
auto_DIVUauto_C_LH11
auto_DIVUauto_C_SB11
auto_DIVUauto_C_ZEXT_B1071
auto_DIVUauto_C_SEXT_B931
auto_DIVUauto_C_ZEXT_H891
auto_DIVUauto_C_SEXT_H981
auto_DIVUauto_C_NOT731
auto_DIVUauto_C_MUL901
auto_REMauto_LUI2891
auto_REMauto_AUIPC2401
auto_REMauto_JAL751
auto_REMauto_JALR121
auto_REMauto_BEQ521
auto_REMauto_BNE591
auto_REMauto_BLT561
auto_REMauto_BGE751
auto_REMauto_BLTU641
auto_REMauto_BGEU621
auto_REMauto_LB3571
auto_REMauto_LH1051
auto_REMauto_LW671
auto_REMauto_LBU3531
auto_REMauto_LHU1081
auto_REMauto_SB3101
auto_REMauto_SH901
auto_REMauto_SW531
auto_REMauto_ADDI4261
auto_REMauto_SLTI2421
auto_REMauto_SLTIU2661
auto_REMauto_XORI2681
auto_REMauto_ORI2531
auto_REMauto_ANDI2931
auto_REMauto_SLLI2421
auto_REMauto_SRLI2611
auto_REMauto_SRAI2751
auto_REMauto_ADD2291
auto_REMauto_SUB2681
auto_REMauto_SLL2861
auto_REMauto_SLT2461
auto_REMauto_SLTU2591
auto_REMauto_XOR2481
auto_REMauto_SRL2441
auto_REMauto_SRA2021
auto_REMauto_OR2561
auto_REMauto_AND2511
auto_REMauto_FENCE901
auto_REMauto_MRET8091
auto_REMauto_WFI551
auto_REMauto_MUL2691
auto_REMauto_MULH2491
auto_REMauto_MULHSU2531
auto_REMauto_MULHU2721
auto_REMauto_DIV2841
auto_REMauto_DIVU2411
auto_REMauto_REM2151
auto_REMauto_REMU2661
auto_REMauto_C_ADDI4SPN921
auto_REMauto_C_LW21
auto_REMauto_C_SW11
auto_REMauto_C_NOP6881
auto_REMauto_C_ADDI1181
auto_REMauto_C_JAL301
auto_REMauto_C_LI1131
auto_REMauto_C_ADDI16SP961
auto_REMauto_C_LUI1261
auto_REMauto_C_SRLI1091
auto_REMauto_C_SRAI1301
auto_REMauto_C_ANDI731
auto_REMauto_C_SUB871
auto_REMauto_C_XOR831
auto_REMauto_C_OR931
auto_REMauto_C_AND1101
auto_REMauto_C_J271
auto_REMauto_C_BEQZ421
auto_REMauto_C_BNEZ551
auto_REMauto_C_SLLI1331
auto_REMauto_C_LWSP51
auto_REMauto_C_JR21
auto_REMauto_C_MV1161
auto_REMauto_C_ADD1611
auto_REMauto_C_SWSP61
auto_REMauto_SH1ADD1761
auto_REMauto_SH2ADD2341
auto_REMauto_SH3ADD2001
auto_REMauto_CLZ2111
auto_REMauto_CTZ1831
auto_REMauto_CPOP1851
auto_REMauto_MIN2101
auto_REMauto_MAX1781
auto_REMauto_MINU1991
auto_REMauto_MAXU2191
auto_REMauto_SEXT_B1861
auto_REMauto_SEXT_H2041
auto_REMauto_ZEXT_H1861
auto_REMauto_ANDN1841
auto_REMauto_ORN2031
auto_REMauto_XNOR2061
auto_REMauto_ROR1971
auto_REMauto_RORI1961
auto_REMauto_ROL1911
auto_REMauto_REV81701
auto_REMauto_ORC_B1991
auto_REMauto_CLMUL1761
auto_REMauto_CLMULH1761
auto_REMauto_CLMULR2081
auto_REMauto_BSET2041
auto_REMauto_BSETI1981
auto_REMauto_BCLR1861
auto_REMauto_BCLRI2011
auto_REMauto_BINV1881
auto_REMauto_BINVI2041
auto_REMauto_BEXT1921
auto_REMauto_BEXTI1921
auto_REMauto_CSRRW771
auto_REMauto_CSRRS771
auto_REMauto_CSRRC671
auto_REMauto_CSRRWI821
auto_REMauto_CSRRSI691
auto_REMauto_CSRRCI741
auto_REMauto_C_LBU31
auto_REMauto_C_LH21
auto_REMauto_C_SB21
auto_REMauto_C_SH11
auto_REMauto_C_ZEXT_B911
auto_REMauto_C_SEXT_B1041
auto_REMauto_C_ZEXT_H861
auto_REMauto_C_SEXT_H991
auto_REMauto_C_NOT841
auto_REMauto_C_MUL1001
auto_REMUauto_LUI2611
auto_REMUauto_AUIPC3051
auto_REMUauto_JAL821
auto_REMUauto_JALR151
auto_REMUauto_BEQ1011
auto_REMUauto_BNE651
auto_REMUauto_BLT591
auto_REMUauto_BGE681
auto_REMUauto_BLTU1571
auto_REMUauto_BGEU921
auto_REMUauto_LB3711
auto_REMUauto_LH1071
auto_REMUauto_LW631
auto_REMUauto_LBU3381
auto_REMUauto_LHU1151
auto_REMUauto_SB3591
auto_REMUauto_SH1071
auto_REMUauto_SW801
auto_REMUauto_ADDI4051
auto_REMUauto_SLTI2341
auto_REMUauto_SLTIU2391
auto_REMUauto_XORI2401
auto_REMUauto_ORI2371
auto_REMUauto_ANDI2731
auto_REMUauto_SLLI2631
auto_REMUauto_SRLI2711
auto_REMUauto_SRAI2801
auto_REMUauto_ADD2091
auto_REMUauto_SUB3491
auto_REMUauto_SLL2411
auto_REMUauto_SLT2531
auto_REMUauto_SLTU3141
auto_REMUauto_XOR2261
auto_REMUauto_SRL2381
auto_REMUauto_SRA2661
auto_REMUauto_OR2641
auto_REMUauto_AND2221
auto_REMUauto_FENCE1151
auto_REMUauto_MRET8991
auto_REMUauto_WFI1101
auto_REMUauto_MUL3951
auto_REMUauto_MULH2831
auto_REMUauto_MULHSU2671
auto_REMUauto_MULHU2851
auto_REMUauto_DIV2651
auto_REMUauto_DIVU2511
auto_REMUauto_REM2101
auto_REMUauto_REMU2681
auto_REMUauto_C_ADDI4SPN831
auto_REMUauto_C_NOP6771
auto_REMUauto_C_ADDI2021
auto_REMUauto_C_JAL271
auto_REMUauto_C_LI941
auto_REMUauto_C_ADDI16SP791
auto_REMUauto_C_LUI991
auto_REMUauto_C_SRLI871
auto_REMUauto_C_SRAI1091
auto_REMUauto_C_ANDI761
auto_REMUauto_C_SUB921
auto_REMUauto_C_XOR1181
auto_REMUauto_C_OR1271
auto_REMUauto_C_AND981
auto_REMUauto_C_J311
auto_REMUauto_C_BEQZ471
auto_REMUauto_C_BNEZ511
auto_REMUauto_C_SLLI1171
auto_REMUauto_C_LWSP51
auto_REMUauto_C_JR11
auto_REMUauto_C_MV1221
auto_REMUauto_C_JALR21
auto_REMUauto_C_ADD1241
auto_REMUauto_C_SWSP41
auto_REMUauto_SH1ADD2091
auto_REMUauto_SH2ADD2171
auto_REMUauto_SH3ADD2011
auto_REMUauto_CLZ2031
auto_REMUauto_CTZ2101
auto_REMUauto_CPOP2061
auto_REMUauto_MIN2041
auto_REMUauto_MAX2091
auto_REMUauto_MINU2131
auto_REMUauto_MAXU2071
auto_REMUauto_SEXT_B1821
auto_REMUauto_SEXT_H2111
auto_REMUauto_ZEXT_H1971
auto_REMUauto_ANDN1911
auto_REMUauto_ORN2161
auto_REMUauto_XNOR2101
auto_REMUauto_ROR2001
auto_REMUauto_RORI2061
auto_REMUauto_ROL2141
auto_REMUauto_REV81801
auto_REMUauto_ORC_B1911
auto_REMUauto_CLMUL1791
auto_REMUauto_CLMULH1941
auto_REMUauto_CLMULR2251
auto_REMUauto_BSET2081
auto_REMUauto_BSETI2321
auto_REMUauto_BCLR2041
auto_REMUauto_BCLRI1911
auto_REMUauto_BINV2091
auto_REMUauto_BINVI2081
auto_REMUauto_BEXT2111
auto_REMUauto_BEXTI2111
auto_REMUauto_CSRRW641
auto_REMUauto_CSRRS711
auto_REMUauto_CSRRC731
auto_REMUauto_CSRRWI821
auto_REMUauto_CSRRSI571
auto_REMUauto_CSRRCI761
auto_REMUauto_C_LBU11
auto_REMUauto_C_LHU11
auto_REMUauto_C_LH11
auto_REMUauto_C_SB21
auto_REMUauto_C_SH11
auto_REMUauto_C_ZEXT_B721
auto_REMUauto_C_SEXT_B1121
auto_REMUauto_C_ZEXT_H881
auto_REMUauto_C_SEXT_H971
auto_REMUauto_C_NOT1011
auto_REMUauto_C_MUL991
auto_C_ADDI4SPNauto_LUI941
auto_C_ADDI4SPNauto_AUIPC891
auto_C_ADDI4SPNauto_JAL261
auto_C_ADDI4SPNauto_BEQ281
auto_C_ADDI4SPNauto_BNE461
auto_C_ADDI4SPNauto_BLT621
auto_C_ADDI4SPNauto_BGE421
auto_C_ADDI4SPNauto_BLTU421
auto_C_ADDI4SPNauto_BGEU281
auto_C_ADDI4SPNauto_LB1601
auto_C_ADDI4SPNauto_LH591
auto_C_ADDI4SPNauto_LW531
auto_C_ADDI4SPNauto_LBU1591
auto_C_ADDI4SPNauto_LHU501
auto_C_ADDI4SPNauto_SB1521
auto_C_ADDI4SPNauto_SH471
auto_C_ADDI4SPNauto_SW501
auto_C_ADDI4SPNauto_ADDI1771
auto_C_ADDI4SPNauto_SLTI831
auto_C_ADDI4SPNauto_SLTIU781
auto_C_ADDI4SPNauto_XORI771
auto_C_ADDI4SPNauto_ORI721
auto_C_ADDI4SPNauto_ANDI681
auto_C_ADDI4SPNauto_SLLI811
auto_C_ADDI4SPNauto_SRLI581
auto_C_ADDI4SPNauto_SRAI901
auto_C_ADDI4SPNauto_ADD751
auto_C_ADDI4SPNauto_SUB771
auto_C_ADDI4SPNauto_SLL771
auto_C_ADDI4SPNauto_SLT781
auto_C_ADDI4SPNauto_SLTU921
auto_C_ADDI4SPNauto_XOR841
auto_C_ADDI4SPNauto_SRL791
auto_C_ADDI4SPNauto_SRA971
auto_C_ADDI4SPNauto_OR721
auto_C_ADDI4SPNauto_AND741
auto_C_ADDI4SPNauto_FENCE491
auto_C_ADDI4SPNauto_MRET2451
auto_C_ADDI4SPNauto_MUL551
auto_C_ADDI4SPNauto_MULH771
auto_C_ADDI4SPNauto_MULHSU1001
auto_C_ADDI4SPNauto_MULHU691
auto_C_ADDI4SPNauto_DIV731
auto_C_ADDI4SPNauto_DIVU871
auto_C_ADDI4SPNauto_REM741
auto_C_ADDI4SPNauto_REMU641
auto_C_ADDI4SPNauto_C_ADDI4SPN721
auto_C_ADDI4SPNauto_C_SW11
auto_C_ADDI4SPNauto_C_NOP2441
auto_C_ADDI4SPNauto_C_ADDI791
auto_C_ADDI4SPNauto_C_JAL321
auto_C_ADDI4SPNauto_C_LI571
auto_C_ADDI4SPNauto_C_ADDI16SP801
auto_C_ADDI4SPNauto_C_LUI771
auto_C_ADDI4SPNauto_C_SRLI951
auto_C_ADDI4SPNauto_C_SRAI781
auto_C_ADDI4SPNauto_C_ANDI751
auto_C_ADDI4SPNauto_C_SUB681
auto_C_ADDI4SPNauto_C_XOR611
auto_C_ADDI4SPNauto_C_OR771
auto_C_ADDI4SPNauto_C_AND641
auto_C_ADDI4SPNauto_C_J271
auto_C_ADDI4SPNauto_C_BEQZ581
auto_C_ADDI4SPNauto_C_BNEZ441
auto_C_ADDI4SPNauto_C_SLLI1151
auto_C_ADDI4SPNauto_C_MV931
auto_C_ADDI4SPNauto_C_JALR11
auto_C_ADDI4SPNauto_C_ADD861
auto_C_ADDI4SPNauto_C_SWSP851
auto_C_ADDI4SPNauto_SH1ADD971
auto_C_ADDI4SPNauto_SH2ADD781
auto_C_ADDI4SPNauto_SH3ADD881
auto_C_ADDI4SPNauto_CLZ771
auto_C_ADDI4SPNauto_CTZ681
auto_C_ADDI4SPNauto_CPOP681
auto_C_ADDI4SPNauto_MIN791
auto_C_ADDI4SPNauto_MAX791
auto_C_ADDI4SPNauto_MINU701
auto_C_ADDI4SPNauto_MAXU671
auto_C_ADDI4SPNauto_SEXT_B631
auto_C_ADDI4SPNauto_SEXT_H901
auto_C_ADDI4SPNauto_ZEXT_H581
auto_C_ADDI4SPNauto_ANDN731
auto_C_ADDI4SPNauto_ORN671
auto_C_ADDI4SPNauto_XNOR811
auto_C_ADDI4SPNauto_ROR861
auto_C_ADDI4SPNauto_RORI711
auto_C_ADDI4SPNauto_ROL1071
auto_C_ADDI4SPNauto_REV8781
auto_C_ADDI4SPNauto_ORC_B771
auto_C_ADDI4SPNauto_CLMUL761
auto_C_ADDI4SPNauto_CLMULH681
auto_C_ADDI4SPNauto_CLMULR1041
auto_C_ADDI4SPNauto_BSET881
auto_C_ADDI4SPNauto_BSETI941
auto_C_ADDI4SPNauto_BCLR711
auto_C_ADDI4SPNauto_BCLRI811
auto_C_ADDI4SPNauto_BINV861
auto_C_ADDI4SPNauto_BINVI791
auto_C_ADDI4SPNauto_BEXT671
auto_C_ADDI4SPNauto_BEXTI751
auto_C_ADDI4SPNauto_CSRRW401
auto_C_ADDI4SPNauto_CSRRS461
auto_C_ADDI4SPNauto_CSRRC481
auto_C_ADDI4SPNauto_CSRRWI471
auto_C_ADDI4SPNauto_CSRRSI491
auto_C_ADDI4SPNauto_CSRRCI461
auto_C_ADDI4SPNauto_C_LH21
auto_C_ADDI4SPNauto_C_SB21
auto_C_ADDI4SPNauto_C_SH11
auto_C_ADDI4SPNauto_C_ZEXT_B781
auto_C_ADDI4SPNauto_C_SEXT_B781
auto_C_ADDI4SPNauto_C_ZEXT_H841
auto_C_ADDI4SPNauto_C_SEXT_H831
auto_C_ADDI4SPNauto_C_NOT711
auto_C_ADDI4SPNauto_C_MUL691
auto_C_LWauto_LUI21
auto_C_LWauto_LB261
auto_C_LWauto_LH41
auto_C_LWauto_LW168121
auto_C_LWauto_LBU241
auto_C_LWauto_LHU31
auto_C_LWauto_SB251
auto_C_LWauto_SH101
auto_C_LWauto_SW51
auto_C_LWauto_ADDI71
auto_C_LWauto_SLTIU21
auto_C_LWauto_XORI21
auto_C_LWauto_ORI31
auto_C_LWauto_SRLI11
auto_C_LWauto_SRAI11
auto_C_LWauto_ADD378851
auto_C_LWauto_SUB11
auto_C_LWauto_SLTU11
auto_C_LWauto_XOR21
auto_C_LWauto_SRL11
auto_C_LWauto_SRA11
auto_C_LWauto_AND11
auto_C_LWauto_FENCE31
auto_C_LWauto_MRET21
auto_C_LWauto_MUL11
auto_C_LWauto_MULH11
auto_C_LWauto_DIV11
auto_C_LWauto_DIVU31
auto_C_LWauto_REM11
auto_C_LWauto_REMU11
auto_C_LWauto_C_LW84981
auto_C_LWauto_C_SW81
auto_C_LWauto_C_NOP31
auto_C_LWauto_C_ADDI11
auto_C_LWauto_C_LI31
auto_C_LWauto_C_ADDI16SP11
auto_C_LWauto_C_SUB11
auto_C_LWauto_C_XOR11
auto_C_LWauto_C_OR11
auto_C_LWauto_C_SLLI11
auto_C_LWauto_C_MV21
auto_C_LWauto_C_ADD11
auto_C_LWauto_SH1ADD11
auto_C_LWauto_SH2ADD11
auto_C_LWauto_CTZ21
auto_C_LWauto_CPOP11
auto_C_LWauto_MIN31
auto_C_LWauto_MAXU21
auto_C_LWauto_ZEXT_H11
auto_C_LWauto_ORN11
auto_C_LWauto_XNOR21
auto_C_LWauto_ROR11
auto_C_LWauto_ORC_B11
auto_C_LWauto_CLMUL21
auto_C_LWauto_BSETI11
auto_C_LWauto_BCLR11
auto_C_LWauto_BCLRI21
auto_C_LWauto_BEXT11
auto_C_LWauto_CSRRW11
auto_C_LWauto_CSRRS11
auto_C_LWauto_C_LBU11
auto_C_LWauto_C_LHU11
auto_C_LWauto_C_LH21
auto_C_LWauto_C_SH11
auto_C_LWauto_C_SEXT_B11
auto_C_LWauto_C_MUL21
auto_C_SWauto_LB231
auto_C_SWauto_LH81
auto_C_SWauto_LW31
auto_C_SWauto_LBU301
auto_C_SWauto_LHU81
auto_C_SWauto_SB301
auto_C_SWauto_SH121
auto_C_SWauto_SW173031
auto_C_SWauto_ADDI190151
auto_C_SWauto_SLTIU11
auto_C_SWauto_ORI11
auto_C_SWauto_ANDI21
auto_C_SWauto_SLLI11
auto_C_SWauto_SRLI11
auto_C_SWauto_SUB11
auto_C_SWauto_SLT21
auto_C_SWauto_SLTU21
auto_C_SWauto_SRA11
auto_C_SWauto_OR11
auto_C_SWauto_AND11
auto_C_SWauto_FENCE21
auto_C_SWauto_MRET61
auto_C_SWauto_DIV11
auto_C_SWauto_REM11
auto_C_SWauto_REMU11
auto_C_SWauto_C_ADDI4SPN11
auto_C_SWauto_C_LW41
auto_C_SWauto_C_SW84801
auto_C_SWauto_C_NOP31
auto_C_SWauto_C_ADDI188801
auto_C_SWauto_C_XOR11
auto_C_SWauto_C_OR11
auto_C_SWauto_SH1ADD11
auto_C_SWauto_CTZ11
auto_C_SWauto_MAX11
auto_C_SWauto_MINU21
auto_C_SWauto_SEXT_H11
auto_C_SWauto_ZEXT_H11
auto_C_SWauto_ORN21
auto_C_SWauto_ORC_B11
auto_C_SWauto_CLMULH11
auto_C_SWauto_CLMULR21
auto_C_SWauto_BSET21
auto_C_SWauto_BSETI21
auto_C_SWauto_BCLRI11
auto_C_SWauto_BINV21
auto_C_SWauto_BEXT21
auto_C_SWauto_CSRRW21
auto_C_SWauto_CSRRS11
auto_C_SWauto_C_LBU21
auto_C_SWauto_C_LHU21
auto_C_SWauto_C_SH51
auto_C_SWauto_C_ZEXT_B21
auto_C_SWauto_C_SEXT_H11
auto_C_SWauto_C_NOT11
auto_C_SWauto_C_MUL11
auto_C_NOPauto_LUI11131
auto_C_NOPauto_AUIPC6221
auto_C_NOPauto_JAL1911
auto_C_NOPauto_JALR511
auto_C_NOPauto_BEQ1731
auto_C_NOPauto_BNE1791
auto_C_NOPauto_BLT1851
auto_C_NOPauto_BGE1621
auto_C_NOPauto_BLTU1641
auto_C_NOPauto_BGEU1901
auto_C_NOPauto_LB9621
auto_C_NOPauto_LH2991
auto_C_NOPauto_LW1921
auto_C_NOPauto_LBU9341
auto_C_NOPauto_LHU2921
auto_C_NOPauto_SB9851
auto_C_NOPauto_SH3311
auto_C_NOPauto_SW2031
auto_C_NOPauto_ADDI11351
auto_C_NOPauto_SLTI6471
auto_C_NOPauto_SLTIU6331
auto_C_NOPauto_XORI5831
auto_C_NOPauto_ORI6091
auto_C_NOPauto_ANDI5981
auto_C_NOPauto_SLLI5721
auto_C_NOPauto_SRLI6161
auto_C_NOPauto_SRAI6021
auto_C_NOPauto_ADD6651
auto_C_NOPauto_SUB6511
auto_C_NOPauto_SLL5931
auto_C_NOPauto_SLT6051
auto_C_NOPauto_SLTU6161
auto_C_NOPauto_XOR6131
auto_C_NOPauto_SRL6611
auto_C_NOPauto_SRA5681
auto_C_NOPauto_OR5801
auto_C_NOPauto_AND6411
auto_C_NOPauto_FENCE2841
auto_C_NOPauto_MRET66801
auto_C_NOPauto_WFI1211
auto_C_NOPauto_MUL6691
auto_C_NOPauto_MULH6071
auto_C_NOPauto_MULHSU6421
auto_C_NOPauto_MULHU6241
auto_C_NOPauto_DIV6621
auto_C_NOPauto_DIVU6251
auto_C_NOPauto_REM6091
auto_C_NOPauto_REMU6361
auto_C_NOPauto_C_ADDI4SPN1911
auto_C_NOPauto_C_LW11
auto_C_NOPauto_C_SW31
auto_C_NOPauto_C_NOP56211
auto_C_NOPauto_C_ADDI3351
auto_C_NOPauto_C_JAL1101
auto_C_NOPauto_C_LI3071
auto_C_NOPauto_C_ADDI16SP2461
auto_C_NOPauto_C_LUI2781
auto_C_NOPauto_C_SRLI3031
auto_C_NOPauto_C_SRAI3051
auto_C_NOPauto_C_ANDI3031
auto_C_NOPauto_C_SUB3081
auto_C_NOPauto_C_XOR2471
auto_C_NOPauto_C_OR2831
auto_C_NOPauto_C_AND2881
auto_C_NOPauto_C_J1141
auto_C_NOPauto_C_BEQZ1141
auto_C_NOPauto_C_BNEZ1261
auto_C_NOPauto_C_SLLI3741
auto_C_NOPauto_C_LWSP171
auto_C_NOPauto_C_JR581
auto_C_NOPauto_C_MV3461
auto_C_NOPauto_C_JALR51
auto_C_NOPauto_C_ADD3841
auto_C_NOPauto_C_SWSP101
auto_C_NOPauto_SH1ADD4701
auto_C_NOPauto_SH2ADD4651
auto_C_NOPauto_SH3ADD4791
auto_C_NOPauto_CLZ4841
auto_C_NOPauto_CTZ4651
auto_C_NOPauto_CPOP5081
auto_C_NOPauto_MIN4701
auto_C_NOPauto_MAX5041
auto_C_NOPauto_MINU5261
auto_C_NOPauto_MAXU4691
auto_C_NOPauto_SEXT_B4521
auto_C_NOPauto_SEXT_H4621
auto_C_NOPauto_ZEXT_H4851
auto_C_NOPauto_ANDN4761
auto_C_NOPauto_ORN4711
auto_C_NOPauto_XNOR4911
auto_C_NOPauto_ROR4861
auto_C_NOPauto_RORI4781
auto_C_NOPauto_ROL4501
auto_C_NOPauto_REV84841
auto_C_NOPauto_ORC_B5191
auto_C_NOPauto_CLMUL4741
auto_C_NOPauto_CLMULH5181
auto_C_NOPauto_CLMULR4741
auto_C_NOPauto_BSET5401
auto_C_NOPauto_BSETI5201
auto_C_NOPauto_BCLR5361
auto_C_NOPauto_BCLRI4921
auto_C_NOPauto_BINV5281
auto_C_NOPauto_BINVI5021
auto_C_NOPauto_BEXT4971
auto_C_NOPauto_BEXTI4861
auto_C_NOPauto_CSRRW25361
auto_C_NOPauto_CSRRS1961
auto_C_NOPauto_CSRRC1921
auto_C_NOPauto_CSRRWI1861
auto_C_NOPauto_CSRRSI2061
auto_C_NOPauto_CSRRCI1851
auto_C_NOPauto_C_LBU51
auto_C_NOPauto_C_LHU11
auto_C_NOPauto_C_SB81
auto_C_NOPauto_C_SH71
auto_C_NOPauto_C_ZEXT_B2831
auto_C_NOPauto_C_SEXT_B2931
auto_C_NOPauto_C_ZEXT_H2761
auto_C_NOPauto_C_SEXT_H2561
auto_C_NOPauto_C_NOT2951
auto_C_NOPauto_C_MUL2821
auto_C_ADDIauto_LUI4120161
auto_C_ADDIauto_AUIPC9811
auto_C_ADDIauto_JAL2171
auto_C_ADDIauto_JALR6931
auto_C_ADDIauto_BEQ3211
auto_C_ADDIauto_BNE602561
auto_C_ADDIauto_BLT3021
auto_C_ADDIauto_BGE4001
auto_C_ADDIauto_BLTU3631
auto_C_ADDIauto_BGEU3231
auto_C_ADDIauto_LB19601
auto_C_ADDIauto_LH6511
auto_C_ADDIauto_LW2419321
auto_C_ADDIauto_LBU19171
auto_C_ADDIauto_LHU7081
auto_C_ADDIauto_SB18601
auto_C_ADDIauto_SH7281
auto_C_ADDIauto_SW4221
auto_C_ADDIauto_ADDI22311
auto_C_ADDIauto_SLTI8411
auto_C_ADDIauto_SLTIU8501
auto_C_ADDIauto_XORI9341
auto_C_ADDIauto_ORI7671
auto_C_ADDIauto_ANDI8751
auto_C_ADDIauto_SLLI8321
auto_C_ADDIauto_SRLI8811
auto_C_ADDIauto_SRAI8301
auto_C_ADDIauto_ADD8121
auto_C_ADDIauto_SUB8941
auto_C_ADDIauto_SLL8301
auto_C_ADDIauto_SLT8831
auto_C_ADDIauto_SLTU8081
auto_C_ADDIauto_XOR9011
auto_C_ADDIauto_SRL8321
auto_C_ADDIauto_SRA9131
auto_C_ADDIauto_OR8331
auto_C_ADDIauto_AND8281
auto_C_ADDIauto_FENCE12351
auto_C_ADDIauto_ECALL12911
auto_C_ADDIauto_EBREAK50571
auto_C_ADDIauto_MRET2014771
auto_C_ADDIauto_WFI4431
auto_C_ADDIauto_MUL9251
auto_C_ADDIauto_MULH7771
auto_C_ADDIauto_MULHSU8801
auto_C_ADDIauto_MULHU7931
auto_C_ADDIauto_DIV7391
auto_C_ADDIauto_DIVU8461
auto_C_ADDIauto_REM7881
auto_C_ADDIauto_REMU8991
auto_C_ADDIauto_C_ADDI4SPN3481
auto_C_ADDIauto_C_LW188821
auto_C_ADDIauto_C_SW71
auto_C_ADDIauto_C_NOP21981
auto_C_ADDIauto_C_ADDI479601
auto_C_ADDIauto_C_JAL911
auto_C_ADDIauto_C_LI2058821
auto_C_ADDIauto_C_ADDI16SP2841
auto_C_ADDIauto_C_LUI5181
auto_C_ADDIauto_C_SRLI4451
auto_C_ADDIauto_C_SRAI4531
auto_C_ADDIauto_C_ANDI4001
auto_C_ADDIauto_C_SUB3881
auto_C_ADDIauto_C_XOR4141
auto_C_ADDIauto_C_OR4351
auto_C_ADDIauto_C_AND3841
auto_C_ADDIauto_C_J561
auto_C_ADDIauto_C_BEQZ911
auto_C_ADDIauto_C_BNEZ1001
auto_C_ADDIauto_C_SLLI7031
auto_C_ADDIauto_C_LWSP48921
auto_C_ADDIauto_C_JR31
auto_C_ADDIauto_C_MV5771
auto_C_ADDIauto_C_EBREAK46741
auto_C_ADDIauto_C_JALR181
auto_C_ADDIauto_C_ADD5921
auto_C_ADDIauto_C_SWSP311
auto_C_ADDIauto_SH1ADD4191
auto_C_ADDIauto_SH2ADD4001
auto_C_ADDIauto_SH3ADD4201
auto_C_ADDIauto_CLZ3951
auto_C_ADDIauto_CTZ4041
auto_C_ADDIauto_CPOP4071
auto_C_ADDIauto_MIN4181
auto_C_ADDIauto_MAX4381
auto_C_ADDIauto_MINU3701
auto_C_ADDIauto_MAXU3931
auto_C_ADDIauto_SEXT_B4201
auto_C_ADDIauto_SEXT_H3911
auto_C_ADDIauto_ZEXT_H4121
auto_C_ADDIauto_ANDN4191
auto_C_ADDIauto_ORN4121
auto_C_ADDIauto_XNOR3921
auto_C_ADDIauto_ROR4471
auto_C_ADDIauto_RORI4071
auto_C_ADDIauto_ROL4151
auto_C_ADDIauto_REV83761
auto_C_ADDIauto_ORC_B3931
auto_C_ADDIauto_CLMUL4191
auto_C_ADDIauto_CLMULH4311
auto_C_ADDIauto_CLMULR3871
auto_C_ADDIauto_BSET3991
auto_C_ADDIauto_BSETI4131
auto_C_ADDIauto_BCLR3701
auto_C_ADDIauto_BCLRI4101
auto_C_ADDIauto_BINV4271
auto_C_ADDIauto_BINVI3791
auto_C_ADDIauto_BEXT4121
auto_C_ADDIauto_BEXTI3991
auto_C_ADDIauto_CSRRW3741
auto_C_ADDIauto_CSRRS121371
auto_C_ADDIauto_CSRRC1791
auto_C_ADDIauto_CSRRWI1751
auto_C_ADDIauto_CSRRSI1791
auto_C_ADDIauto_CSRRCI1471
auto_C_ADDIauto_C_LBU211
auto_C_ADDIauto_C_LHU21
auto_C_ADDIauto_C_LH51
auto_C_ADDIauto_C_SB91
auto_C_ADDIauto_C_SH31
auto_C_ADDIauto_C_ZEXT_B4311
auto_C_ADDIauto_C_SEXT_B4371
auto_C_ADDIauto_C_ZEXT_H4641
auto_C_ADDIauto_C_SEXT_H3801
auto_C_ADDIauto_C_NOT4251
auto_C_ADDIauto_C_MUL4271
auto_C_JALauto_JAL214811
auto_C_JALauto_BLTU11
auto_C_JALauto_LW171
auto_C_JALauto_SW11
auto_C_JALauto_C_JAL187701
auto_C_JALauto_C_LI171
auto_C_JALauto_C_J188471
auto_C_JALauto_C_LWSP171
auto_C_JALauto_C_JR11
auto_C_JALauto_C_MV81
auto_C_LIauto_LUI52651
auto_C_LIauto_AUIPC1031
auto_C_LIauto_JAL461
auto_C_LIauto_JALR91
auto_C_LIauto_BEQ541
auto_C_LIauto_BNE511
auto_C_LIauto_BLT391
auto_C_LIauto_BGE501
auto_C_LIauto_BLTU611
auto_C_LIauto_BGEU451
auto_C_LIauto_LB2961
auto_C_LIauto_LH751
auto_C_LIauto_LW551
auto_C_LIauto_LBU559781
auto_C_LIauto_LHU841
auto_C_LIauto_SB2951
auto_C_LIauto_SH771
auto_C_LIauto_SW591
auto_C_LIauto_ADDI112451
auto_C_LIauto_SLTI1121
auto_C_LIauto_SLTIU1091
auto_C_LIauto_XORI1151
auto_C_LIauto_ORI881
auto_C_LIauto_ANDI1121
auto_C_LIauto_SLLI941
auto_C_LIauto_SRLI881
auto_C_LIauto_SRAI881
auto_C_LIauto_ADD1051
auto_C_LIauto_SUB1051
auto_C_LIauto_SLL801
auto_C_LIauto_SLT991
auto_C_LIauto_SLTU951
auto_C_LIauto_XOR1151
auto_C_LIauto_SRL981
auto_C_LIauto_SRA1051
auto_C_LIauto_OR1001
auto_C_LIauto_AND951
auto_C_LIauto_FENCE681
auto_C_LIauto_MRET5341
auto_C_LIauto_MUL1151
auto_C_LIauto_MULH951
auto_C_LIauto_MULHSU1101
auto_C_LIauto_MULHU911
auto_C_LIauto_DIV1031
auto_C_LIauto_DIVU1151
auto_C_LIauto_REM1031
auto_C_LIauto_REMU971
auto_C_LIauto_C_ADDI4SPN841
auto_C_LIauto_C_LW21
auto_C_LIauto_C_SW21
auto_C_LIauto_C_NOP5571
auto_C_LIauto_C_ADDI3471
auto_C_LIauto_C_JAL391
auto_C_LIauto_C_LI111451
auto_C_LIauto_C_ADDI16SP921
auto_C_LIauto_C_LUI1471
auto_C_LIauto_C_SRLI1301
auto_C_LIauto_C_SRAI1201
auto_C_LIauto_C_ANDI981
auto_C_LIauto_C_SUB1081
auto_C_LIauto_C_XOR931
auto_C_LIauto_C_OR1071
auto_C_LIauto_C_AND991
auto_C_LIauto_C_J23641
auto_C_LIauto_C_BEQZ571
auto_C_LIauto_C_BNEZ431
auto_C_LIauto_C_SLLI1691
auto_C_LIauto_C_LWSP51
auto_C_LIauto_C_JR231
auto_C_LIauto_C_MV1501
auto_C_LIauto_C_JALR51
auto_C_LIauto_C_ADD1641
auto_C_LIauto_C_SWSP61
auto_C_LIauto_SH1ADD971
auto_C_LIauto_SH2ADD1051
auto_C_LIauto_SH3ADD1121
auto_C_LIauto_CLZ871
auto_C_LIauto_CTZ981
auto_C_LIauto_CPOP1101
auto_C_LIauto_MIN1081
auto_C_LIauto_MAX901
auto_C_LIauto_MINU1061
auto_C_LIauto_MAXU1481
auto_C_LIauto_SEXT_B931
auto_C_LIauto_SEXT_H1061
auto_C_LIauto_ZEXT_H1041
auto_C_LIauto_ANDN1341
auto_C_LIauto_ORN961
auto_C_LIauto_XNOR911
auto_C_LIauto_ROR1011
auto_C_LIauto_RORI1161
auto_C_LIauto_ROL961
auto_C_LIauto_REV81121
auto_C_LIauto_ORC_B1111
auto_C_LIauto_CLMUL1061
auto_C_LIauto_CLMULH1031
auto_C_LIauto_CLMULR961
auto_C_LIauto_BSET951
auto_C_LIauto_BSETI941
auto_C_LIauto_BCLR1051
auto_C_LIauto_BCLRI961
auto_C_LIauto_BINV1121
auto_C_LIauto_BINVI1351
auto_C_LIauto_BEXT981
auto_C_LIauto_BEXTI1101
auto_C_LIauto_CSRRW22651
auto_C_LIauto_CSRRS2091211
auto_C_LIauto_CSRRC6741
auto_C_LIauto_CSRRWI651
auto_C_LIauto_CSRRSI521
auto_C_LIauto_CSRRCI711
auto_C_LIauto_C_LBU42381
auto_C_LIauto_C_LHU11
auto_C_LIauto_C_SB41
auto_C_LIauto_C_ZEXT_B1131
auto_C_LIauto_C_SEXT_B1321
auto_C_LIauto_C_ZEXT_H1201
auto_C_LIauto_C_SEXT_H1091
auto_C_LIauto_C_NOT1281
auto_C_LIauto_C_MUL1091
auto_C_ADDI16SPauto_LUI791
auto_C_ADDI16SPauto_AUIPC681
auto_C_ADDI16SPauto_JAL521
auto_C_ADDI16SPauto_JALR11
auto_C_ADDI16SPauto_BEQ341
auto_C_ADDI16SPauto_BNE401
auto_C_ADDI16SPauto_BLT411
auto_C_ADDI16SPauto_BGE551
auto_C_ADDI16SPauto_BLTU351
auto_C_ADDI16SPauto_BGEU331
auto_C_ADDI16SPauto_LB1531
auto_C_ADDI16SPauto_LH481
auto_C_ADDI16SPauto_LW181
auto_C_ADDI16SPauto_LBU1441
auto_C_ADDI16SPauto_LHU391
auto_C_ADDI16SPauto_SB1711
auto_C_ADDI16SPauto_SH441
auto_C_ADDI16SPauto_SW311
auto_C_ADDI16SPauto_ADDI1531
auto_C_ADDI16SPauto_SLTI681
auto_C_ADDI16SPauto_SLTIU741
auto_C_ADDI16SPauto_XORI771
auto_C_ADDI16SPauto_ORI1021
auto_C_ADDI16SPauto_ANDI691
auto_C_ADDI16SPauto_SLLI711
auto_C_ADDI16SPauto_SRLI801
auto_C_ADDI16SPauto_SRAI731
auto_C_ADDI16SPauto_ADD30141
auto_C_ADDI16SPauto_SUB671
auto_C_ADDI16SPauto_SLL941
auto_C_ADDI16SPauto_SLT821
auto_C_ADDI16SPauto_SLTU861
auto_C_ADDI16SPauto_XOR971
auto_C_ADDI16SPauto_SRL641
auto_C_ADDI16SPauto_SRA861
auto_C_ADDI16SPauto_OR741
auto_C_ADDI16SPauto_AND801
auto_C_ADDI16SPauto_FENCE551
auto_C_ADDI16SPauto_MRET2871
auto_C_ADDI16SPauto_MUL641
auto_C_ADDI16SPauto_MULH691
auto_C_ADDI16SPauto_MULHSU571
auto_C_ADDI16SPauto_MULHU721
auto_C_ADDI16SPauto_DIV741
auto_C_ADDI16SPauto_DIVU711
auto_C_ADDI16SPauto_REM741
auto_C_ADDI16SPauto_REMU781
auto_C_ADDI16SPauto_C_ADDI4SPN961
auto_C_ADDI16SPauto_C_NOP2891
auto_C_ADDI16SPauto_C_ADDI1041
auto_C_ADDI16SPauto_C_JAL431
auto_C_ADDI16SPauto_C_LI1031
auto_C_ADDI16SPauto_C_ADDI16SP821
auto_C_ADDI16SPauto_C_LUI861
auto_C_ADDI16SPauto_C_SRLI941
auto_C_ADDI16SPauto_C_SRAI901
auto_C_ADDI16SPauto_C_ANDI781
auto_C_ADDI16SPauto_C_SUB741
auto_C_ADDI16SPauto_C_XOR901
auto_C_ADDI16SPauto_C_OR751
auto_C_ADDI16SPauto_C_AND841
auto_C_ADDI16SPauto_C_J291
auto_C_ADDI16SPauto_C_BEQZ321
auto_C_ADDI16SPauto_C_BNEZ391
auto_C_ADDI16SPauto_C_SLLI981
auto_C_ADDI16SPauto_C_LWSP29911
auto_C_ADDI16SPauto_C_MV881
auto_C_ADDI16SPauto_C_JALR31
auto_C_ADDI16SPauto_C_ADD961
auto_C_ADDI16SPauto_SH1ADD741
auto_C_ADDI16SPauto_SH2ADD821
auto_C_ADDI16SPauto_SH3ADD731
auto_C_ADDI16SPauto_CLZ801
auto_C_ADDI16SPauto_CTZ731
auto_C_ADDI16SPauto_CPOP751
auto_C_ADDI16SPauto_MIN721
auto_C_ADDI16SPauto_MAX661
auto_C_ADDI16SPauto_MINU841
auto_C_ADDI16SPauto_MAXU561
auto_C_ADDI16SPauto_SEXT_B821
auto_C_ADDI16SPauto_SEXT_H791
auto_C_ADDI16SPauto_ZEXT_H731
auto_C_ADDI16SPauto_ANDN831
auto_C_ADDI16SPauto_ORN691
auto_C_ADDI16SPauto_XNOR781
auto_C_ADDI16SPauto_ROR611
auto_C_ADDI16SPauto_RORI791
auto_C_ADDI16SPauto_ROL731
auto_C_ADDI16SPauto_REV8831
auto_C_ADDI16SPauto_ORC_B781
auto_C_ADDI16SPauto_CLMUL711
auto_C_ADDI16SPauto_CLMULH671
auto_C_ADDI16SPauto_CLMULR871
auto_C_ADDI16SPauto_BSET851
auto_C_ADDI16SPauto_BSETI731
auto_C_ADDI16SPauto_BCLR831
auto_C_ADDI16SPauto_BCLRI761
auto_C_ADDI16SPauto_BINV541
auto_C_ADDI16SPauto_BINVI741
auto_C_ADDI16SPauto_BEXT651
auto_C_ADDI16SPauto_BEXTI791
auto_C_ADDI16SPauto_CSRRW451
auto_C_ADDI16SPauto_CSRRS431
auto_C_ADDI16SPauto_CSRRC471
auto_C_ADDI16SPauto_CSRRWI441
auto_C_ADDI16SPauto_CSRRSI391
auto_C_ADDI16SPauto_CSRRCI501
auto_C_ADDI16SPauto_C_LBU11
auto_C_ADDI16SPauto_C_ZEXT_B801
auto_C_ADDI16SPauto_C_SEXT_B871
auto_C_ADDI16SPauto_C_ZEXT_H861
auto_C_ADDI16SPauto_C_SEXT_H721
auto_C_ADDI16SPauto_C_NOT751
auto_C_ADDI16SPauto_C_MUL781
auto_C_LUIauto_LUI961
auto_C_LUIauto_AUIPC891
auto_C_LUIauto_JAL361
auto_C_LUIauto_JALR91
auto_C_LUIauto_BEQ701
auto_C_LUIauto_BNE341
auto_C_LUIauto_BLT531
auto_C_LUIauto_BGE511
auto_C_LUIauto_BLTU431
auto_C_LUIauto_BGEU441
auto_C_LUIauto_LB2951
auto_C_LUIauto_LH821
auto_C_LUIauto_LW381
auto_C_LUIauto_LBU2871
auto_C_LUIauto_LHU921
auto_C_LUIauto_SB2731
auto_C_LUIauto_SH801
auto_C_LUIauto_SW581
auto_C_LUIauto_ADDI1831
auto_C_LUIauto_SLTI1121
auto_C_LUIauto_SLTIU1111
auto_C_LUIauto_XORI1001
auto_C_LUIauto_ORI951
auto_C_LUIauto_ANDI991
auto_C_LUIauto_SLLI1091
auto_C_LUIauto_SRLI941
auto_C_LUIauto_SRAI921
auto_C_LUIauto_ADD971
auto_C_LUIauto_SUB1111
auto_C_LUIauto_SLL1101
auto_C_LUIauto_SLT931
auto_C_LUIauto_SLTU1071
auto_C_LUIauto_XOR1121
auto_C_LUIauto_SRL1011
auto_C_LUIauto_SRA951
auto_C_LUIauto_OR911
auto_C_LUIauto_AND821
auto_C_LUIauto_FENCE711
auto_C_LUIauto_MRET3851
auto_C_LUIauto_MUL1231
auto_C_LUIauto_MULH991
auto_C_LUIauto_MULHSU1091
auto_C_LUIauto_MULHU951
auto_C_LUIauto_DIV1061
auto_C_LUIauto_DIVU1101
auto_C_LUIauto_REM961
auto_C_LUIauto_REMU1231
auto_C_LUIauto_C_ADDI4SPN831
auto_C_LUIauto_C_LW11
auto_C_LUIauto_C_NOP26091
auto_C_LUIauto_C_ADDI1521
auto_C_LUIauto_C_JAL301
auto_C_LUIauto_C_LI1491
auto_C_LUIauto_C_ADDI16SP831
auto_C_LUIauto_C_LUI1211
auto_C_LUIauto_C_SRLI1291
auto_C_LUIauto_C_SRAI1121
auto_C_LUIauto_C_ANDI901
auto_C_LUIauto_C_SUB1031
auto_C_LUIauto_C_XOR951
auto_C_LUIauto_C_OR971
auto_C_LUIauto_C_AND991
auto_C_LUIauto_C_J271
auto_C_LUIauto_C_BEQZ461
auto_C_LUIauto_C_BNEZ381
auto_C_LUIauto_C_SLLI1921
auto_C_LUIauto_C_LWSP31
auto_C_LUIauto_C_JR11
auto_C_LUIauto_C_MV1181
auto_C_LUIauto_C_ADD1391
auto_C_LUIauto_C_SWSP51
auto_C_LUIauto_SH1ADD841
auto_C_LUIauto_SH2ADD1111
auto_C_LUIauto_SH3ADD1101
auto_C_LUIauto_CLZ981
auto_C_LUIauto_CTZ1221
auto_C_LUIauto_CPOP971
auto_C_LUIauto_MIN971
auto_C_LUIauto_MAX991
auto_C_LUIauto_MINU941
auto_C_LUIauto_MAXU1111
auto_C_LUIauto_SEXT_B1051
auto_C_LUIauto_SEXT_H1151
auto_C_LUIauto_ZEXT_H1131
auto_C_LUIauto_ANDN1001
auto_C_LUIauto_ORN1131
auto_C_LUIauto_XNOR1061
auto_C_LUIauto_ROR901
auto_C_LUIauto_RORI1071
auto_C_LUIauto_ROL1021
auto_C_LUIauto_REV81111
auto_C_LUIauto_ORC_B1121
auto_C_LUIauto_CLMUL1061
auto_C_LUIauto_CLMULH861
auto_C_LUIauto_CLMULR861
auto_C_LUIauto_BSET1071
auto_C_LUIauto_BSETI791
auto_C_LUIauto_BCLR1071
auto_C_LUIauto_BCLRI1001
auto_C_LUIauto_BINV911
auto_C_LUIauto_BINVI1211
auto_C_LUIauto_BEXT831
auto_C_LUIauto_BEXTI1171
auto_C_LUIauto_CSRRW2051
auto_C_LUIauto_CSRRS911
auto_C_LUIauto_CSRRC671
auto_C_LUIauto_CSRRWI571
auto_C_LUIauto_CSRRSI771
auto_C_LUIauto_CSRRCI581
auto_C_LUIauto_C_LBU41
auto_C_LUIauto_C_SB31
auto_C_LUIauto_C_ZEXT_B1081
auto_C_LUIauto_C_SEXT_B861
auto_C_LUIauto_C_ZEXT_H871
auto_C_LUIauto_C_SEXT_H1061
auto_C_LUIauto_C_NOT1131
auto_C_LUIauto_C_MUL1001
auto_C_SRLIauto_LUI981
auto_C_SRLIauto_AUIPC1171
auto_C_SRLIauto_JAL291
auto_C_SRLIauto_JALR21
auto_C_SRLIauto_BEQ521
auto_C_SRLIauto_BNE471
auto_C_SRLIauto_BLT411
auto_C_SRLIauto_BGE421
auto_C_SRLIauto_BLTU401
auto_C_SRLIauto_BGEU451
auto_C_SRLIauto_LB2701
auto_C_SRLIauto_LH691
auto_C_SRLIauto_LW551
auto_C_SRLIauto_LBU2601
auto_C_SRLIauto_LHU821
auto_C_SRLIauto_SB2491
auto_C_SRLIauto_SH901
auto_C_SRLIauto_SW271
auto_C_SRLIauto_ADDI1691
auto_C_SRLIauto_SLTI1011
auto_C_SRLIauto_SLTIU821
auto_C_SRLIauto_XORI1121
auto_C_SRLIauto_ORI1061
auto_C_SRLIauto_ANDI1011
auto_C_SRLIauto_SLLI1061
auto_C_SRLIauto_SRLI981
auto_C_SRLIauto_SRAI1101
auto_C_SRLIauto_ADD741
auto_C_SRLIauto_SUB941
auto_C_SRLIauto_SLL1041
auto_C_SRLIauto_SLT951
auto_C_SRLIauto_SLTU911
auto_C_SRLIauto_XOR1001
auto_C_SRLIauto_SRL1071
auto_C_SRLIauto_SRA1061
auto_C_SRLIauto_OR941
auto_C_SRLIauto_AND1001
auto_C_SRLIauto_FENCE531
auto_C_SRLIauto_MRET4341
auto_C_SRLIauto_WFI11
auto_C_SRLIauto_MUL871
auto_C_SRLIauto_MULH891
auto_C_SRLIauto_MULHSU1091
auto_C_SRLIauto_MULHU981
auto_C_SRLIauto_DIV991
auto_C_SRLIauto_DIVU991
auto_C_SRLIauto_REM881
auto_C_SRLIauto_REMU971
auto_C_SRLIauto_C_ADDI4SPN751
auto_C_SRLIauto_C_LW41
auto_C_SRLIauto_C_SW11
auto_C_SRLIauto_C_NOP3481
auto_C_SRLIauto_C_ADDI1371
auto_C_SRLIauto_C_JAL361
auto_C_SRLIauto_C_LI1061
auto_C_SRLIauto_C_ADDI16SP871
auto_C_SRLIauto_C_LUI1061
auto_C_SRLIauto_C_SRLI931
auto_C_SRLIauto_C_SRAI1001
auto_C_SRLIauto_C_ANDI871
auto_C_SRLIauto_C_SUB871
auto_C_SRLIauto_C_XOR981
auto_C_SRLIauto_C_OR1011
auto_C_SRLIauto_C_AND1111
auto_C_SRLIauto_C_J361
auto_C_SRLIauto_C_BEQZ471
auto_C_SRLIauto_C_BNEZ441
auto_C_SRLIauto_C_SLLI1701
auto_C_SRLIauto_C_LWSP21
auto_C_SRLIauto_C_JR21
auto_C_SRLIauto_C_MV1201
auto_C_SRLIauto_C_JALR11
auto_C_SRLIauto_C_ADD1301
auto_C_SRLIauto_C_SWSP51
auto_C_SRLIauto_SH1ADD841
auto_C_SRLIauto_SH2ADD1061
auto_C_SRLIauto_SH3ADD921
auto_C_SRLIauto_CLZ1181
auto_C_SRLIauto_CTZ1091
auto_C_SRLIauto_CPOP961
auto_C_SRLIauto_MIN971
auto_C_SRLIauto_MAX1051
auto_C_SRLIauto_MINU1011
auto_C_SRLIauto_MAXU1011
auto_C_SRLIauto_SEXT_B1001
auto_C_SRLIauto_SEXT_H1051
auto_C_SRLIauto_ZEXT_H771
auto_C_SRLIauto_ANDN921
auto_C_SRLIauto_ORN911
auto_C_SRLIauto_XNOR901
auto_C_SRLIauto_ROR961
auto_C_SRLIauto_RORI1091
auto_C_SRLIauto_ROL1021
auto_C_SRLIauto_REV81081
auto_C_SRLIauto_ORC_B961
auto_C_SRLIauto_CLMUL961
auto_C_SRLIauto_CLMULH1181
auto_C_SRLIauto_CLMULR921
auto_C_SRLIauto_BSET901
auto_C_SRLIauto_BSETI901
auto_C_SRLIauto_BCLR1061
auto_C_SRLIauto_BCLRI931
auto_C_SRLIauto_BINV1041
auto_C_SRLIauto_BINVI1041
auto_C_SRLIauto_BEXT1071
auto_C_SRLIauto_BEXTI991
auto_C_SRLIauto_CSRRW691
auto_C_SRLIauto_CSRRS651261
auto_C_SRLIauto_CSRRC571
auto_C_SRLIauto_CSRRWI501
auto_C_SRLIauto_CSRRSI591
auto_C_SRLIauto_CSRRCI571
auto_C_SRLIauto_C_LBU11
auto_C_SRLIauto_C_LHU21
auto_C_SRLIauto_C_SH11
auto_C_SRLIauto_C_ZEXT_B1001
auto_C_SRLIauto_C_SEXT_B821
auto_C_SRLIauto_C_ZEXT_H951
auto_C_SRLIauto_C_SEXT_H901
auto_C_SRLIauto_C_NOT1141
auto_C_SRLIauto_C_MUL1201
auto_C_SRAIauto_LUI801
auto_C_SRAIauto_AUIPC931
auto_C_SRAIauto_JAL311
auto_C_SRAIauto_JALR61
auto_C_SRAIauto_BEQ581
auto_C_SRAIauto_BNE441
auto_C_SRAIauto_BLT401
auto_C_SRAIauto_BGE381
auto_C_SRAIauto_BLTU491
auto_C_SRAIauto_BGEU481
auto_C_SRAIauto_LB2421
auto_C_SRAIauto_LH771
auto_C_SRAIauto_LW371
auto_C_SRAIauto_LBU2281
auto_C_SRAIauto_LHU831
auto_C_SRAIauto_SB2711
auto_C_SRAIauto_SH791
auto_C_SRAIauto_SW391
auto_C_SRAIauto_ADDI2001
auto_C_SRAIauto_SLTI881
auto_C_SRAIauto_SLTIU1061
auto_C_SRAIauto_XORI1061
auto_C_SRAIauto_ORI901
auto_C_SRAIauto_ANDI1131
auto_C_SRAIauto_SLLI1071
auto_C_SRAIauto_SRLI1111
auto_C_SRAIauto_SRAI1011
auto_C_SRAIauto_ADD1051
auto_C_SRAIauto_SUB1091
auto_C_SRAIauto_SLL911
auto_C_SRAIauto_SLT1231
auto_C_SRAIauto_SLTU1021
auto_C_SRAIauto_XOR1131
auto_C_SRAIauto_SRL871
auto_C_SRAIauto_SRA1021
auto_C_SRAIauto_OR921
auto_C_SRAIauto_AND801
auto_C_SRAIauto_FENCE651
auto_C_SRAIauto_MRET4211
auto_C_SRAIauto_MUL1031
auto_C_SRAIauto_MULH951
auto_C_SRAIauto_MULHSU1031
auto_C_SRAIauto_MULHU951
auto_C_SRAIauto_DIV1071
auto_C_SRAIauto_DIVU1041
auto_C_SRAIauto_REM771
auto_C_SRAIauto_REMU861
auto_C_SRAIauto_C_ADDI4SPN891
auto_C_SRAIauto_C_LW11
auto_C_SRAIauto_C_NOP3341
auto_C_SRAIauto_C_ADDI1181
auto_C_SRAIauto_C_JAL321
auto_C_SRAIauto_C_LI1351
auto_C_SRAIauto_C_ADDI16SP831
auto_C_SRAIauto_C_LUI1161
auto_C_SRAIauto_C_SRLI1251
auto_C_SRAIauto_C_SRAI1111
auto_C_SRAIauto_C_ANDI911
auto_C_SRAIauto_C_SUB1051
auto_C_SRAIauto_C_XOR1131
auto_C_SRAIauto_C_OR821
auto_C_SRAIauto_C_AND1111
auto_C_SRAIauto_C_J381
auto_C_SRAIauto_C_BEQZ451
auto_C_SRAIauto_C_BNEZ611
auto_C_SRAIauto_C_SLLI1571
auto_C_SRAIauto_C_LWSP51
auto_C_SRAIauto_C_MV1261
auto_C_SRAIauto_C_JALR11
auto_C_SRAIauto_C_ADD1291
auto_C_SRAIauto_C_SWSP51
auto_C_SRAIauto_SH1ADD1061
auto_C_SRAIauto_SH2ADD1011
auto_C_SRAIauto_SH3ADD971
auto_C_SRAIauto_CLZ991
auto_C_SRAIauto_CTZ1101
auto_C_SRAIauto_CPOP931
auto_C_SRAIauto_MIN841
auto_C_SRAIauto_MAX961
auto_C_SRAIauto_MINU1001
auto_C_SRAIauto_MAXU971
auto_C_SRAIauto_SEXT_B1091
auto_C_SRAIauto_SEXT_H981
auto_C_SRAIauto_ZEXT_H1101
auto_C_SRAIauto_ANDN1001
auto_C_SRAIauto_ORN1011
auto_C_SRAIauto_XNOR901
auto_C_SRAIauto_ROR991
auto_C_SRAIauto_RORI901
auto_C_SRAIauto_ROL721
auto_C_SRAIauto_REV8921
auto_C_SRAIauto_ORC_B841
auto_C_SRAIauto_CLMUL961
auto_C_SRAIauto_CLMULH1071
auto_C_SRAIauto_CLMULR951
auto_C_SRAIauto_BSET971
auto_C_SRAIauto_BSETI961
auto_C_SRAIauto_BCLR871
auto_C_SRAIauto_BCLRI901
auto_C_SRAIauto_BINV1071
auto_C_SRAIauto_BINVI1021
auto_C_SRAIauto_BEXT1071
auto_C_SRAIauto_BEXTI931
auto_C_SRAIauto_CSRRW671
auto_C_SRAIauto_CSRRS671
auto_C_SRAIauto_CSRRC471
auto_C_SRAIauto_CSRRWI571
auto_C_SRAIauto_CSRRSI671
auto_C_SRAIauto_CSRRCI591
auto_C_SRAIauto_C_LBU21
auto_C_SRAIauto_C_LHU11
auto_C_SRAIauto_C_SB31
auto_C_SRAIauto_C_SH21
auto_C_SRAIauto_C_ZEXT_B981
auto_C_SRAIauto_C_SEXT_B971
auto_C_SRAIauto_C_ZEXT_H1001
auto_C_SRAIauto_C_SEXT_H971
auto_C_SRAIauto_C_NOT851
auto_C_SRAIauto_C_MUL991
auto_C_ANDIauto_LUI871
auto_C_ANDIauto_AUIPC961
auto_C_ANDIauto_JAL301
auto_C_ANDIauto_JALR81
auto_C_ANDIauto_BEQ451
auto_C_ANDIauto_BNE351
auto_C_ANDIauto_BLT461
auto_C_ANDIauto_BGE441
auto_C_ANDIauto_BLTU431
auto_C_ANDIauto_BGEU341
auto_C_ANDIauto_LB2781
auto_C_ANDIauto_LH781
auto_C_ANDIauto_LW371
auto_C_ANDIauto_LBU2691
auto_C_ANDIauto_LHU841
auto_C_ANDIauto_SB3061
auto_C_ANDIauto_SH841
auto_C_ANDIauto_SW341
auto_C_ANDIauto_ADDI1711
auto_C_ANDIauto_SLTI871
auto_C_ANDIauto_SLTIU1011
auto_C_ANDIauto_XORI861
auto_C_ANDIauto_ORI1121
auto_C_ANDIauto_ANDI1051
auto_C_ANDIauto_SLLI711
auto_C_ANDIauto_SRLI861
auto_C_ANDIauto_SRAI791
auto_C_ANDIauto_ADD851
auto_C_ANDIauto_SUB781
auto_C_ANDIauto_SLL981
auto_C_ANDIauto_SLT881
auto_C_ANDIauto_SLTU771
auto_C_ANDIauto_XOR911
auto_C_ANDIauto_SRL891
auto_C_ANDIauto_SRA861
auto_C_ANDIauto_OR1101
auto_C_ANDIauto_AND1021
auto_C_ANDIauto_FENCE481
auto_C_ANDIauto_MRET3291
auto_C_ANDIauto_MUL801
auto_C_ANDIauto_MULH991
auto_C_ANDIauto_MULHSU951
auto_C_ANDIauto_MULHU891
auto_C_ANDIauto_DIV911
auto_C_ANDIauto_DIVU861
auto_C_ANDIauto_REM791
auto_C_ANDIauto_REMU971
auto_C_ANDIauto_C_ADDI4SPN671
auto_C_ANDIauto_C_LW11
auto_C_ANDIauto_C_NOP3231
auto_C_ANDIauto_C_ADDI1331
auto_C_ANDIauto_C_JAL241
auto_C_ANDIauto_C_LI901
auto_C_ANDIauto_C_ADDI16SP781
auto_C_ANDIauto_C_LUI951
auto_C_ANDIauto_C_SRLI991
auto_C_ANDIauto_C_SRAI1001
auto_C_ANDIauto_C_ANDI791
auto_C_ANDIauto_C_SUB991
auto_C_ANDIauto_C_XOR1001
auto_C_ANDIauto_C_OR1471
auto_C_ANDIauto_C_AND1121
auto_C_ANDIauto_C_J261
auto_C_ANDIauto_C_BEQZ511
auto_C_ANDIauto_C_BNEZ421
auto_C_ANDIauto_C_SLLI1051
auto_C_ANDIauto_C_LWSP51
auto_C_ANDIauto_C_JR31
auto_C_ANDIauto_C_MV911
auto_C_ANDIauto_C_JALR31
auto_C_ANDIauto_C_ADD991
auto_C_ANDIauto_C_SWSP61
auto_C_ANDIauto_SH1ADD831
auto_C_ANDIauto_SH2ADD871
auto_C_ANDIauto_SH3ADD841
auto_C_ANDIauto_CLZ921
auto_C_ANDIauto_CTZ851
auto_C_ANDIauto_CPOP941
auto_C_ANDIauto_MIN1001
auto_C_ANDIauto_MAX971
auto_C_ANDIauto_MINU891
auto_C_ANDIauto_MAXU681
auto_C_ANDIauto_SEXT_B1181
auto_C_ANDIauto_SEXT_H871
auto_C_ANDIauto_ZEXT_H861
auto_C_ANDIauto_ANDN1071
auto_C_ANDIauto_ORN821
auto_C_ANDIauto_XNOR881
auto_C_ANDIauto_ROR871
auto_C_ANDIauto_RORI1121
auto_C_ANDIauto_ROL1031
auto_C_ANDIauto_REV8871
auto_C_ANDIauto_ORC_B811
auto_C_ANDIauto_CLMUL751
auto_C_ANDIauto_CLMULH871
auto_C_ANDIauto_CLMULR661
auto_C_ANDIauto_BSET1011
auto_C_ANDIauto_BSETI931
auto_C_ANDIauto_BCLR911
auto_C_ANDIauto_BCLRI1051
auto_C_ANDIauto_BINV841
auto_C_ANDIauto_BINVI1071
auto_C_ANDIauto_BEXT931
auto_C_ANDIauto_BEXTI761
auto_C_ANDIauto_CSRRW391
auto_C_ANDIauto_CSRRS641
auto_C_ANDIauto_CSRRC571
auto_C_ANDIauto_CSRRWI531
auto_C_ANDIauto_CSRRSI551
auto_C_ANDIauto_CSRRCI531
auto_C_ANDIauto_C_LBU51
auto_C_ANDIauto_C_LHU21
auto_C_ANDIauto_C_SB41
auto_C_ANDIauto_C_SH11
auto_C_ANDIauto_C_ZEXT_B841
auto_C_ANDIauto_C_SEXT_B1031
auto_C_ANDIauto_C_ZEXT_H761
auto_C_ANDIauto_C_SEXT_H901
auto_C_ANDIauto_C_NOT881
auto_C_ANDIauto_C_MUL821
auto_C_SUBauto_LUI1021
auto_C_SUBauto_AUIPC931
auto_C_SUBauto_JAL301
auto_C_SUBauto_BEQ461
auto_C_SUBauto_BNE451
auto_C_SUBauto_BLT451
auto_C_SUBauto_BGE351
auto_C_SUBauto_BLTU411
auto_C_SUBauto_BGEU471
auto_C_SUBauto_LB2751
auto_C_SUBauto_LH841
auto_C_SUBauto_LW441
auto_C_SUBauto_LBU2611
auto_C_SUBauto_LHU691
auto_C_SUBauto_SB2691
auto_C_SUBauto_SH791
auto_C_SUBauto_SW621
auto_C_SUBauto_ADDI2331
auto_C_SUBauto_SLTI981
auto_C_SUBauto_SLTIU881
auto_C_SUBauto_XORI911
auto_C_SUBauto_ORI921
auto_C_SUBauto_ANDI991
auto_C_SUBauto_SLLI661
auto_C_SUBauto_SRLI921
auto_C_SUBauto_SRAI1001
auto_C_SUBauto_ADD761
auto_C_SUBauto_SUB961
auto_C_SUBauto_SLL921
auto_C_SUBauto_SLT911
auto_C_SUBauto_SLTU901
auto_C_SUBauto_XOR861
auto_C_SUBauto_SRL911
auto_C_SUBauto_SRA1021
auto_C_SUBauto_OR1001
auto_C_SUBauto_AND1021
auto_C_SUBauto_FENCE731
auto_C_SUBauto_MRET3921
auto_C_SUBauto_MUL1081
auto_C_SUBauto_MULH951
auto_C_SUBauto_MULHSU1181
auto_C_SUBauto_MULHU991
auto_C_SUBauto_DIV911
auto_C_SUBauto_DIVU1041
auto_C_SUBauto_REM961
auto_C_SUBauto_REMU931
auto_C_SUBauto_C_ADDI4SPN831
auto_C_SUBauto_C_NOP3481
auto_C_SUBauto_C_ADDI981
auto_C_SUBauto_C_JAL271
auto_C_SUBauto_C_LI1031
auto_C_SUBauto_C_ADDI16SP741
auto_C_SUBauto_C_LUI1051
auto_C_SUBauto_C_SRLI1041
auto_C_SUBauto_C_SRAI801
auto_C_SUBauto_C_ANDI1041
auto_C_SUBauto_C_SUB991
auto_C_SUBauto_C_XOR831
auto_C_SUBauto_C_OR911
auto_C_SUBauto_C_AND1231
auto_C_SUBauto_C_J301
auto_C_SUBauto_C_BEQZ491
auto_C_SUBauto_C_BNEZ441
auto_C_SUBauto_C_SLLI1051
auto_C_SUBauto_C_LWSP31
auto_C_SUBauto_C_MV991
auto_C_SUBauto_C_JALR61
auto_C_SUBauto_C_ADD1321
auto_C_SUBauto_C_SWSP11
auto_C_SUBauto_SH1ADD1131
auto_C_SUBauto_SH2ADD1021
auto_C_SUBauto_SH3ADD941
auto_C_SUBauto_CLZ1111
auto_C_SUBauto_CTZ1071
auto_C_SUBauto_CPOP791
auto_C_SUBauto_MIN881
auto_C_SUBauto_MAX1161
auto_C_SUBauto_MINU1121
auto_C_SUBauto_MAXU1071
auto_C_SUBauto_SEXT_B1041
auto_C_SUBauto_SEXT_H841
auto_C_SUBauto_ZEXT_H891
auto_C_SUBauto_ANDN881
auto_C_SUBauto_ORN1031
auto_C_SUBauto_XNOR1031
auto_C_SUBauto_ROR991
auto_C_SUBauto_RORI1081
auto_C_SUBauto_ROL871
auto_C_SUBauto_REV8971
auto_C_SUBauto_ORC_B791
auto_C_SUBauto_CLMUL851
auto_C_SUBauto_CLMULH1091
auto_C_SUBauto_CLMULR1091
auto_C_SUBauto_BSET1061
auto_C_SUBauto_BSETI1131
auto_C_SUBauto_BCLR991
auto_C_SUBauto_BCLRI1101
auto_C_SUBauto_BINV1071
auto_C_SUBauto_BINVI871
auto_C_SUBauto_BEXT1081
auto_C_SUBauto_BEXTI771
auto_C_SUBauto_CSRRW661
auto_C_SUBauto_CSRRS671
auto_C_SUBauto_CSRRC641
auto_C_SUBauto_CSRRWI641
auto_C_SUBauto_CSRRSI431
auto_C_SUBauto_CSRRCI591
auto_C_SUBauto_C_LBU31
auto_C_SUBauto_C_SB21
auto_C_SUBauto_C_ZEXT_B971
auto_C_SUBauto_C_SEXT_B821
auto_C_SUBauto_C_ZEXT_H901
auto_C_SUBauto_C_SEXT_H861
auto_C_SUBauto_C_NOT871
auto_C_SUBauto_C_MUL811
auto_C_XORauto_LUI951
auto_C_XORauto_AUIPC971
auto_C_XORauto_JAL521
auto_C_XORauto_JALR31
auto_C_XORauto_BEQ441
auto_C_XORauto_BNE621
auto_C_XORauto_BLT431
auto_C_XORauto_BGE421
auto_C_XORauto_BLTU501
auto_C_XORauto_BGEU461
auto_C_XORauto_LB2851
auto_C_XORauto_LH711
auto_C_XORauto_LW441
auto_C_XORauto_LBU2651
auto_C_XORauto_LHU821
auto_C_XORauto_SB2591
auto_C_XORauto_SH731
auto_C_XORauto_SW491
auto_C_XORauto_ADDI1851
auto_C_XORauto_SLTI931
auto_C_XORauto_SLTIU891
auto_C_XORauto_XORI1181
auto_C_XORauto_ORI1011
auto_C_XORauto_ANDI1351
auto_C_XORauto_SLLI1121
auto_C_XORauto_SRLI801
auto_C_XORauto_SRAI1021
auto_C_XORauto_ADD701
auto_C_XORauto_SUB721
auto_C_XORauto_SLL941
auto_C_XORauto_SLT1051
auto_C_XORauto_SLTU1141
auto_C_XORauto_XOR1001
auto_C_XORauto_SRL871
auto_C_XORauto_SRA911
auto_C_XORauto_OR931
auto_C_XORauto_AND1081
auto_C_XORauto_FENCE831
auto_C_XORauto_MRET3281
auto_C_XORauto_WFI11
auto_C_XORauto_MUL961
auto_C_XORauto_MULH1231
auto_C_XORauto_MULHSU1091
auto_C_XORauto_MULHU991
auto_C_XORauto_DIV1011
auto_C_XORauto_DIVU981
auto_C_XORauto_REM881
auto_C_XORauto_REMU1141
auto_C_XORauto_C_ADDI4SPN691
auto_C_XORauto_C_NOP3551
auto_C_XORauto_C_ADDI1101
auto_C_XORauto_C_JAL191
auto_C_XORauto_C_LI961
auto_C_XORauto_C_ADDI16SP721
auto_C_XORauto_C_LUI1001
auto_C_XORauto_C_SRLI801
auto_C_XORauto_C_SRAI991
auto_C_XORauto_C_ANDI861
auto_C_XORauto_C_SUB881
auto_C_XORauto_C_XOR951
auto_C_XORauto_C_OR781
auto_C_XORauto_C_AND981
auto_C_XORauto_C_J321
auto_C_XORauto_C_BEQZ441
auto_C_XORauto_C_BNEZ471
auto_C_XORauto_C_SLLI1071
auto_C_XORauto_C_LWSP61
auto_C_XORauto_C_JR21
auto_C_XORauto_C_MV1221
auto_C_XORauto_C_JALR11
auto_C_XORauto_C_ADD1341
auto_C_XORauto_C_SWSP51
auto_C_XORauto_SH1ADD981
auto_C_XORauto_SH2ADD1071
auto_C_XORauto_SH3ADD1061
auto_C_XORauto_CLZ1121
auto_C_XORauto_CTZ971
auto_C_XORauto_CPOP1131
auto_C_XORauto_MIN1031
auto_C_XORauto_MAX821
auto_C_XORauto_MINU821
auto_C_XORauto_MAXU981
auto_C_XORauto_SEXT_B831
auto_C_XORauto_SEXT_H831
auto_C_XORauto_ZEXT_H1091
auto_C_XORauto_ANDN1141
auto_C_XORauto_ORN1021
auto_C_XORauto_XNOR891
auto_C_XORauto_ROR1011
auto_C_XORauto_RORI1031
auto_C_XORauto_ROL1081
auto_C_XORauto_REV8901
auto_C_XORauto_ORC_B951
auto_C_XORauto_CLMUL1061
auto_C_XORauto_CLMULH1011
auto_C_XORauto_CLMULR1011
auto_C_XORauto_BSET841
auto_C_XORauto_BSETI931
auto_C_XORauto_BCLR1081
auto_C_XORauto_BCLRI1041
auto_C_XORauto_BINV881
auto_C_XORauto_BINVI1061
auto_C_XORauto_BEXT921
auto_C_XORauto_BEXTI851
auto_C_XORauto_CSRRW531
auto_C_XORauto_CSRRS531
auto_C_XORauto_CSRRC641
auto_C_XORauto_CSRRWI611
auto_C_XORauto_CSRRSI521
auto_C_XORauto_CSRRCI591
auto_C_XORauto_C_LBU21
auto_C_XORauto_C_ZEXT_B771
auto_C_XORauto_C_SEXT_B801
auto_C_XORauto_C_ZEXT_H1031
auto_C_XORauto_C_SEXT_H991
auto_C_XORauto_C_NOT1041
auto_C_XORauto_C_MUL881
auto_C_ORauto_LUI981
auto_C_ORauto_AUIPC861
auto_C_ORauto_JAL351
auto_C_ORauto_JALR11
auto_C_ORauto_BEQ511
auto_C_ORauto_BNE591
auto_C_ORauto_BLT511
auto_C_ORauto_BGE371
auto_C_ORauto_BLTU531
auto_C_ORauto_BGEU461
auto_C_ORauto_LB2871
auto_C_ORauto_LH831
auto_C_ORauto_LW991
auto_C_ORauto_LBU2551
auto_C_ORauto_LHU931
auto_C_ORauto_SB2461
auto_C_ORauto_SH791
auto_C_ORauto_SW441
auto_C_ORauto_ADDI1701
auto_C_ORauto_SLTI1121
auto_C_ORauto_SLTIU1011
auto_C_ORauto_XORI921
auto_C_ORauto_ORI1121
auto_C_ORauto_ANDI861
auto_C_ORauto_SLLI1271
auto_C_ORauto_SRLI1281
auto_C_ORauto_SRAI841
auto_C_ORauto_ADD781
auto_C_ORauto_SUB951
auto_C_ORauto_SLL961
auto_C_ORauto_SLT1051
auto_C_ORauto_SLTU1081
auto_C_ORauto_XOR1031
auto_C_ORauto_SRL831
auto_C_ORauto_SRA931
auto_C_ORauto_OR811
auto_C_ORauto_AND891
auto_C_ORauto_FENCE601
auto_C_ORauto_MRET3661
auto_C_ORauto_MUL971
auto_C_ORauto_MULH1061
auto_C_ORauto_MULHSU951
auto_C_ORauto_MULHU971
auto_C_ORauto_DIV1051
auto_C_ORauto_DIVU891
auto_C_ORauto_REM881
auto_C_ORauto_REMU911
auto_C_ORauto_C_ADDI4SPN721
auto_C_ORauto_C_SW11
auto_C_ORauto_C_NOP3471
auto_C_ORauto_C_ADDI1441
auto_C_ORauto_C_JAL291
auto_C_ORauto_C_LI851
auto_C_ORauto_C_ADDI16SP1081
auto_C_ORauto_C_LUI931
auto_C_ORauto_C_SRLI1141
auto_C_ORauto_C_SRAI911
auto_C_ORauto_C_ANDI921
auto_C_ORauto_C_SUB901
auto_C_ORauto_C_XOR1221
auto_C_ORauto_C_OR1021
auto_C_ORauto_C_AND1031
auto_C_ORauto_C_J331
auto_C_ORauto_C_BEQZ411
auto_C_ORauto_C_BNEZ491
auto_C_ORauto_C_SLLI1161
auto_C_ORauto_C_LWSP21
auto_C_ORauto_C_MV1321
auto_C_ORauto_C_JALR21
auto_C_ORauto_C_ADD1261
auto_C_ORauto_C_SWSP81
auto_C_ORauto_SH1ADD881
auto_C_ORauto_SH2ADD1061
auto_C_ORauto_SH3ADD1101
auto_C_ORauto_CLZ951
auto_C_ORauto_CTZ871
auto_C_ORauto_CPOP961
auto_C_ORauto_MIN1061
auto_C_ORauto_MAX861
auto_C_ORauto_MINU911
auto_C_ORauto_MAXU961
auto_C_ORauto_SEXT_B1171
auto_C_ORauto_SEXT_H1021
auto_C_ORauto_ZEXT_H1061
auto_C_ORauto_ANDN991
auto_C_ORauto_ORN941
auto_C_ORauto_XNOR831
auto_C_ORauto_ROR1141
auto_C_ORauto_RORI1141
auto_C_ORauto_ROL941
auto_C_ORauto_REV8901
auto_C_ORauto_ORC_B1031
auto_C_ORauto_CLMUL991
auto_C_ORauto_CLMULH1041
auto_C_ORauto_CLMULR841
auto_C_ORauto_BSET861
auto_C_ORauto_BSETI901
auto_C_ORauto_BCLR931
auto_C_ORauto_BCLRI981
auto_C_ORauto_BINV1101
auto_C_ORauto_BINVI1261
auto_C_ORauto_BEXT941
auto_C_ORauto_BEXTI781
auto_C_ORauto_CSRRW551
auto_C_ORauto_CSRRS531
auto_C_ORauto_CSRRC581
auto_C_ORauto_CSRRWI591
auto_C_ORauto_CSRRSI421
auto_C_ORauto_CSRRCI611
auto_C_ORauto_C_LBU21
auto_C_ORauto_C_LHU11
auto_C_ORauto_C_LH21
auto_C_ORauto_C_SB31
auto_C_ORauto_C_SH11
auto_C_ORauto_C_ZEXT_B911
auto_C_ORauto_C_SEXT_B921
auto_C_ORauto_C_ZEXT_H921
auto_C_ORauto_C_SEXT_H1031
auto_C_ORauto_C_NOT871
auto_C_ORauto_C_MUL831
auto_C_ANDauto_LUI1091
auto_C_ANDauto_AUIPC1081
auto_C_ANDauto_JAL291
auto_C_ANDauto_JALR141
auto_C_ANDauto_BEQ531
auto_C_ANDauto_BNE531
auto_C_ANDauto_BLT421
auto_C_ANDauto_BGE521
auto_C_ANDauto_BLTU471
auto_C_ANDauto_BGEU461
auto_C_ANDauto_LB2701
auto_C_ANDauto_LH991
auto_C_ANDauto_LW371
auto_C_ANDauto_LBU2721
auto_C_ANDauto_LHU911
auto_C_ANDauto_SB2881
auto_C_ANDauto_SH921
auto_C_ANDauto_SW391
auto_C_ANDauto_ADDI1741
auto_C_ANDauto_SLTI1151
auto_C_ANDauto_SLTIU971
auto_C_ANDauto_XORI1061
auto_C_ANDauto_ORI941
auto_C_ANDauto_ANDI971
auto_C_ANDauto_SLLI811
auto_C_ANDauto_SRLI961
auto_C_ANDauto_SRAI791
auto_C_ANDauto_ADD711
auto_C_ANDauto_SUB901
auto_C_ANDauto_SLL1071
auto_C_ANDauto_SLT1101
auto_C_ANDauto_SLTU1111
auto_C_ANDauto_XOR921
auto_C_ANDauto_SRL841
auto_C_ANDauto_SRA1111
auto_C_ANDauto_OR1101
auto_C_ANDauto_AND891
auto_C_ANDauto_FENCE741
auto_C_ANDauto_MRET2931
auto_C_ANDauto_WFI11
auto_C_ANDauto_MUL831
auto_C_ANDauto_MULH1111
auto_C_ANDauto_MULHSU901
auto_C_ANDauto_MULHU861
auto_C_ANDauto_DIV871
auto_C_ANDauto_DIVU841
auto_C_ANDauto_REM851
auto_C_ANDauto_REMU1011
auto_C_ANDauto_C_ADDI4SPN781
auto_C_ANDauto_C_SW11
auto_C_ANDauto_C_NOP3331
auto_C_ANDauto_C_ADDI1341
auto_C_ANDauto_C_JAL291
auto_C_ANDauto_C_LI39961
auto_C_ANDauto_C_ADDI16SP741
auto_C_ANDauto_C_LUI831
auto_C_ANDauto_C_SRLI941
auto_C_ANDauto_C_SRAI1031
auto_C_ANDauto_C_ANDI1001
auto_C_ANDauto_C_SUB921
auto_C_ANDauto_C_XOR1111
auto_C_ANDauto_C_OR1091
auto_C_ANDauto_C_AND941
auto_C_ANDauto_C_J181
auto_C_ANDauto_C_BEQZ471
auto_C_ANDauto_C_BNEZ481
auto_C_ANDauto_C_SLLI1281
auto_C_ANDauto_C_LWSP51
auto_C_ANDauto_C_MV1021
auto_C_ANDauto_C_JALR31
auto_C_ANDauto_C_ADD1191
auto_C_ANDauto_C_SWSP31
auto_C_ANDauto_SH1ADD961
auto_C_ANDauto_SH2ADD961
auto_C_ANDauto_SH3ADD941
auto_C_ANDauto_CLZ861
auto_C_ANDauto_CTZ1061
auto_C_ANDauto_CPOP1141
auto_C_ANDauto_MIN1051
auto_C_ANDauto_MAX941
auto_C_ANDauto_MINU1131
auto_C_ANDauto_MAXU1021
auto_C_ANDauto_SEXT_B891
auto_C_ANDauto_SEXT_H1001
auto_C_ANDauto_ZEXT_H1071
auto_C_ANDauto_ANDN971
auto_C_ANDauto_ORN941
auto_C_ANDauto_XNOR921
auto_C_ANDauto_ROR951
auto_C_ANDauto_RORI1141
auto_C_ANDauto_ROL721
auto_C_ANDauto_REV8861
auto_C_ANDauto_ORC_B1131
auto_C_ANDauto_CLMUL1121
auto_C_ANDauto_CLMULH881
auto_C_ANDauto_CLMULR1081
auto_C_ANDauto_BSET871
auto_C_ANDauto_BSETI1121
auto_C_ANDauto_BCLR1111
auto_C_ANDauto_BCLRI1141
auto_C_ANDauto_BINV1001
auto_C_ANDauto_BINVI1041
auto_C_ANDauto_BEXT961
auto_C_ANDauto_BEXTI831
auto_C_ANDauto_CSRRW531
auto_C_ANDauto_CSRRS591
auto_C_ANDauto_CSRRC481
auto_C_ANDauto_CSRRWI511
auto_C_ANDauto_CSRRSI511
auto_C_ANDauto_CSRRCI641
auto_C_ANDauto_C_LBU21
auto_C_ANDauto_C_LHU21
auto_C_ANDauto_C_SH11
auto_C_ANDauto_C_ZEXT_B961
auto_C_ANDauto_C_SEXT_B941
auto_C_ANDauto_C_ZEXT_H1061
auto_C_ANDauto_C_SEXT_H971
auto_C_ANDauto_C_NOT1051
auto_C_ANDauto_C_MUL851
auto_C_Jauto_LUI401
auto_C_Jauto_AUIPC231
auto_C_Jauto_JAL218231
auto_C_Jauto_BEQ23401
auto_C_Jauto_BNE41
auto_C_Jauto_BLT11
auto_C_Jauto_BGE11
auto_C_Jauto_BGEU5281
auto_C_Jauto_SW23831
auto_C_Jauto_ADDI321
auto_C_Jauto_SLTI291
auto_C_Jauto_SLTIU291
auto_C_Jauto_XORI281
auto_C_Jauto_ORI281
auto_C_Jauto_ANDI261
auto_C_Jauto_SLLI221
auto_C_Jauto_SRLI311
auto_C_Jauto_SRAI171
auto_C_Jauto_ADD281
auto_C_Jauto_SUB301
auto_C_Jauto_SLL221
auto_C_Jauto_SLT331
auto_C_Jauto_SLTU271
auto_C_Jauto_XOR261
auto_C_Jauto_SRL261
auto_C_Jauto_SRA221
auto_C_Jauto_OR341
auto_C_Jauto_AND231
auto_C_Jauto_FENCE191
auto_C_Jauto_ECALL11
auto_C_Jauto_MRET801
auto_C_Jauto_WFI51
auto_C_Jauto_MUL281
auto_C_Jauto_MULH371
auto_C_Jauto_MULHSU311
auto_C_Jauto_MULHU311
auto_C_Jauto_DIV211
auto_C_Jauto_DIVU351
auto_C_Jauto_REM271
auto_C_Jauto_REMU261
auto_C_Jauto_C_ADDI4SPN171
auto_C_Jauto_C_SW4941
auto_C_Jauto_C_NOP1871
auto_C_Jauto_C_ADDI171
auto_C_Jauto_C_JAL187331
auto_C_Jauto_C_LI221
auto_C_Jauto_C_ADDI16SP171
auto_C_Jauto_C_LUI261
auto_C_Jauto_C_SRLI181
auto_C_Jauto_C_SRAI161
auto_C_Jauto_C_ANDI101
auto_C_Jauto_C_SUB241
auto_C_Jauto_C_XOR231
auto_C_Jauto_C_OR181
auto_C_Jauto_C_AND161
auto_C_Jauto_C_J190551
auto_C_Jauto_C_BNEZ351
auto_C_Jauto_C_SLLI231
auto_C_Jauto_C_LWSP11
auto_C_Jauto_C_JR21
auto_C_Jauto_C_MV121
auto_C_Jauto_C_ADD231
auto_C_Jauto_SH1ADD271
auto_C_Jauto_SH2ADD271
auto_C_Jauto_SH3ADD291
auto_C_Jauto_CLZ301
auto_C_Jauto_CTZ281
auto_C_Jauto_CPOP231
auto_C_Jauto_MIN211
auto_C_Jauto_MAX171
auto_C_Jauto_MINU241
auto_C_Jauto_MAXU241
auto_C_Jauto_SEXT_B251
auto_C_Jauto_SEXT_H201
auto_C_Jauto_ZEXT_H231
auto_C_Jauto_ANDN191
auto_C_Jauto_ORN291
auto_C_Jauto_XNOR221
auto_C_Jauto_ROR331
auto_C_Jauto_RORI261
auto_C_Jauto_ROL211
auto_C_Jauto_REV8321
auto_C_Jauto_ORC_B151
auto_C_Jauto_CLMUL251
auto_C_Jauto_CLMULH251
auto_C_Jauto_CLMULR351
auto_C_Jauto_BSET201
auto_C_Jauto_BSETI241
auto_C_Jauto_BCLR231
auto_C_Jauto_BCLRI181
auto_C_Jauto_BINV281
auto_C_Jauto_BINVI241
auto_C_Jauto_BEXT231
auto_C_Jauto_BEXTI261
auto_C_Jauto_CSRRW131
auto_C_Jauto_CSRRS101
auto_C_Jauto_CSRRC61
auto_C_Jauto_CSRRWI151
auto_C_Jauto_CSRRSI111
auto_C_Jauto_CSRRCI161
auto_C_Jauto_C_ZEXT_B161
auto_C_Jauto_C_SEXT_B151
auto_C_Jauto_C_ZEXT_H201
auto_C_Jauto_C_SEXT_H201
auto_C_Jauto_C_NOT141
auto_C_Jauto_C_MUL121
auto_C_BEQZauto_LUI511
auto_C_BEQZauto_AUIPC381
auto_C_BEQZauto_BEQ531
auto_C_BEQZauto_BNE581
auto_C_BEQZauto_BLT371
auto_C_BEQZauto_BGE491
auto_C_BEQZauto_BLTU531
auto_C_BEQZauto_BGEU511
auto_C_BEQZauto_LB91
auto_C_BEQZauto_LH11
auto_C_BEQZauto_LW171
auto_C_BEQZauto_LBU21
auto_C_BEQZauto_SB91
auto_C_BEQZauto_SH11
auto_C_BEQZauto_ADDI501
auto_C_BEQZauto_SLTI361
auto_C_BEQZauto_SLTIU551
auto_C_BEQZauto_XORI451
auto_C_BEQZauto_ORI451
auto_C_BEQZauto_ANDI581
auto_C_BEQZauto_SLLI531
auto_C_BEQZauto_SRLI451
auto_C_BEQZauto_SRAI361
auto_C_BEQZauto_ADD521
auto_C_BEQZauto_SUB431
auto_C_BEQZauto_SLL351
auto_C_BEQZauto_SLT431
auto_C_BEQZauto_SLTU621
auto_C_BEQZauto_XOR501
auto_C_BEQZauto_SRL501
auto_C_BEQZauto_SRA401
auto_C_BEQZauto_OR401
auto_C_BEQZauto_AND551
auto_C_BEQZauto_FENCE361
auto_C_BEQZauto_MRET961
auto_C_BEQZauto_MUL551
auto_C_BEQZauto_MULH411
auto_C_BEQZauto_MULHSU451
auto_C_BEQZauto_MULHU531
auto_C_BEQZauto_DIV481
auto_C_BEQZauto_DIVU531
auto_C_BEQZauto_REM411
auto_C_BEQZauto_REMU481
auto_C_BEQZauto_C_ADDI4SPN511
auto_C_BEQZauto_C_NOP1421
auto_C_BEQZauto_C_ADDI421
auto_C_BEQZauto_C_LI491
auto_C_BEQZauto_C_ADDI16SP361
auto_C_BEQZauto_C_LUI601
auto_C_BEQZauto_C_SRLI491
auto_C_BEQZauto_C_SRAI431
auto_C_BEQZauto_C_ANDI441
auto_C_BEQZauto_C_SUB481
auto_C_BEQZauto_C_XOR411
auto_C_BEQZauto_C_OR361
auto_C_BEQZauto_C_AND451
auto_C_BEQZauto_C_J11
auto_C_BEQZauto_C_BEQZ481
auto_C_BEQZauto_C_BNEZ451
auto_C_BEQZauto_C_SLLI531
auto_C_BEQZauto_C_MV441
auto_C_BEQZauto_C_ADD591
auto_C_BEQZauto_SH1ADD411
auto_C_BEQZauto_SH2ADD511
auto_C_BEQZauto_SH3ADD491
auto_C_BEQZauto_CLZ561
auto_C_BEQZauto_CTZ501
auto_C_BEQZauto_CPOP421
auto_C_BEQZauto_MIN451
auto_C_BEQZauto_MAX471
auto_C_BEQZauto_MINU471
auto_C_BEQZauto_MAXU441
auto_C_BEQZauto_SEXT_B391
auto_C_BEQZauto_SEXT_H471
auto_C_BEQZauto_ZEXT_H471
auto_C_BEQZauto_ANDN421
auto_C_BEQZauto_ORN321
auto_C_BEQZauto_XNOR451
auto_C_BEQZauto_ROR471
auto_C_BEQZauto_RORI511
auto_C_BEQZauto_ROL461
auto_C_BEQZauto_REV8501
auto_C_BEQZauto_ORC_B461
auto_C_BEQZauto_CLMUL521
auto_C_BEQZauto_CLMULH401
auto_C_BEQZauto_CLMULR501
auto_C_BEQZauto_BSET441
auto_C_BEQZauto_BSETI421
auto_C_BEQZauto_BCLR421
auto_C_BEQZauto_BCLRI621
auto_C_BEQZauto_BINV571
auto_C_BEQZauto_BINVI441
auto_C_BEQZauto_BEXT431
auto_C_BEQZauto_BEXTI441
auto_C_BEQZauto_CSRRW401
auto_C_BEQZauto_CSRRS231
auto_C_BEQZauto_CSRRC501
auto_C_BEQZauto_CSRRWI451
auto_C_BEQZauto_CSRRSI531
auto_C_BEQZauto_CSRRCI511
auto_C_BEQZauto_C_ZEXT_B551
auto_C_BEQZauto_C_SEXT_B501
auto_C_BEQZauto_C_ZEXT_H421
auto_C_BEQZauto_C_SEXT_H691
auto_C_BEQZauto_C_NOT581
auto_C_BEQZauto_C_MUL441
auto_C_BNEZauto_LUI461
auto_C_BNEZauto_AUIPC261
auto_C_BNEZauto_BEQ491
auto_C_BNEZauto_BNE401
auto_C_BNEZauto_BLT471
auto_C_BNEZauto_BGE551
auto_C_BNEZauto_BLTU431
auto_C_BNEZauto_BGEU321
auto_C_BNEZauto_LB51
auto_C_BNEZauto_LH21
auto_C_BNEZauto_LW11
auto_C_BNEZauto_LBU41
auto_C_BNEZauto_SB31
auto_C_BNEZauto_ADDI361
auto_C_BNEZauto_SLTI471
auto_C_BNEZauto_SLTIU351
auto_C_BNEZauto_XORI561
auto_C_BNEZauto_ORI551
auto_C_BNEZauto_ANDI391
auto_C_BNEZauto_SLLI351
auto_C_BNEZauto_SRLI381
auto_C_BNEZauto_SRAI451
auto_C_BNEZauto_ADD471
auto_C_BNEZauto_SUB411
auto_C_BNEZauto_SLL611
auto_C_BNEZauto_SLT441
auto_C_BNEZauto_SLTU471
auto_C_BNEZauto_XOR431
auto_C_BNEZauto_SRL381
auto_C_BNEZauto_SRA441
auto_C_BNEZauto_OR491
auto_C_BNEZauto_AND321
auto_C_BNEZauto_FENCE411
auto_C_BNEZauto_MRET921
auto_C_BNEZauto_MUL481
auto_C_BNEZauto_MULH381
auto_C_BNEZauto_MULHSU421
auto_C_BNEZauto_MULHU461
auto_C_BNEZauto_DIV371
auto_C_BNEZauto_DIVU461
auto_C_BNEZauto_REM381
auto_C_BNEZauto_REMU501
auto_C_BNEZauto_C_ADDI4SPN521
auto_C_BNEZauto_C_NOP1491
auto_C_BNEZauto_C_ADDI541
auto_C_BNEZauto_C_LI541
auto_C_BNEZauto_C_ADDI16SP371
auto_C_BNEZauto_C_LUI551
auto_C_BNEZauto_C_SRLI651361
auto_C_BNEZauto_C_SRAI371
auto_C_BNEZauto_C_ANDI741
auto_C_BNEZauto_C_SUB421
auto_C_BNEZauto_C_XOR421
auto_C_BNEZauto_C_OR471
auto_C_BNEZauto_C_AND461
auto_C_BNEZauto_C_BEQZ471
auto_C_BNEZauto_C_BNEZ381
auto_C_BNEZauto_C_SLLI531
auto_C_BNEZauto_C_LWSP11
auto_C_BNEZauto_C_MV621
auto_C_BNEZauto_C_ADD591
auto_C_BNEZauto_SH1ADD551
auto_C_BNEZauto_SH2ADD541
auto_C_BNEZauto_SH3ADD401
auto_C_BNEZauto_CLZ491
auto_C_BNEZauto_CTZ581
auto_C_BNEZauto_CPOP381
auto_C_BNEZauto_MIN421
auto_C_BNEZauto_MAX511
auto_C_BNEZauto_MINU461
auto_C_BNEZauto_MAXU561
auto_C_BNEZauto_SEXT_B441
auto_C_BNEZauto_SEXT_H571
auto_C_BNEZauto_ZEXT_H1011
auto_C_BNEZauto_ANDN491
auto_C_BNEZauto_ORN421
auto_C_BNEZauto_XNOR431
auto_C_BNEZauto_ROR611
auto_C_BNEZauto_RORI461
auto_C_BNEZauto_ROL441
auto_C_BNEZauto_REV8511
auto_C_BNEZauto_ORC_B361
auto_C_BNEZauto_CLMUL471
auto_C_BNEZauto_CLMULH551
auto_C_BNEZauto_CLMULR461
auto_C_BNEZauto_BSET411
auto_C_BNEZauto_BSETI391
auto_C_BNEZauto_BCLR431
auto_C_BNEZauto_BCLRI491
auto_C_BNEZauto_BINV511
auto_C_BNEZauto_BINVI491
auto_C_BNEZauto_BEXT441
auto_C_BNEZauto_BEXTI561
auto_C_BNEZauto_CSRRW2291
auto_C_BNEZauto_CSRRS401
auto_C_BNEZauto_CSRRC401
auto_C_BNEZauto_CSRRWI361
auto_C_BNEZauto_CSRRSI401
auto_C_BNEZauto_CSRRCI421
auto_C_BNEZauto_C_ZEXT_B381
auto_C_BNEZauto_C_SEXT_B401
auto_C_BNEZauto_C_ZEXT_H391
auto_C_BNEZauto_C_SEXT_H581
auto_C_BNEZauto_C_NOT411
auto_C_BNEZauto_C_MUL411
auto_C_SLLIauto_LUI981
auto_C_SLLIauto_AUIPC1241
auto_C_SLLIauto_JAL281
auto_C_SLLIauto_JALR21
auto_C_SLLIauto_BEQ711
auto_C_SLLIauto_BNE431
auto_C_SLLIauto_BLT481
auto_C_SLLIauto_BGE351
auto_C_SLLIauto_BLTU471
auto_C_SLLIauto_BGEU471
auto_C_SLLIauto_LB2801
auto_C_SLLIauto_LH851
auto_C_SLLIauto_LW311
auto_C_SLLIauto_LBU2741
auto_C_SLLIauto_LHU891
auto_C_SLLIauto_SB2491
auto_C_SLLIauto_SH961
auto_C_SLLIauto_SW471
auto_C_SLLIauto_ADDI2271
auto_C_SLLIauto_SLTI1041
auto_C_SLLIauto_SLTIU1111
auto_C_SLLIauto_XORI1251
auto_C_SLLIauto_ORI1381
auto_C_SLLIauto_ANDI1191
auto_C_SLLIauto_SLLI901
auto_C_SLLIauto_SRLI1271
auto_C_SLLIauto_SRAI1011
auto_C_SLLIauto_ADD1201
auto_C_SLLIauto_SUB1221
auto_C_SLLIauto_SLL1081
auto_C_SLLIauto_SLT1181
auto_C_SLLIauto_SLTU1151
auto_C_SLLIauto_XOR1231
auto_C_SLLIauto_SRL1341
auto_C_SLLIauto_SRA1241
auto_C_SLLIauto_OR1431
auto_C_SLLIauto_AND1281
auto_C_SLLIauto_FENCE701
auto_C_SLLIauto_MRET6021
auto_C_SLLIauto_WFI11
auto_C_SLLIauto_MUL1271
auto_C_SLLIauto_MULH1281
auto_C_SLLIauto_MULHSU1051
auto_C_SLLIauto_MULHU1231
auto_C_SLLIauto_DIV1401
auto_C_SLLIauto_DIVU1341
auto_C_SLLIauto_REM1201
auto_C_SLLIauto_REMU1151
auto_C_SLLIauto_C_ADDI4SPN1061
auto_C_SLLIauto_C_SW11
auto_C_SLLIauto_C_NOP4371
auto_C_SLLIauto_C_ADDI1651
auto_C_SLLIauto_C_JAL351
auto_C_SLLIauto_C_LI2271
auto_C_SLLIauto_C_ADDI16SP1081
auto_C_SLLIauto_C_LUI1821
auto_C_SLLIauto_C_SRLI1801
auto_C_SLLIauto_C_SRAI1331
auto_C_SLLIauto_C_ANDI1111
auto_C_SLLIauto_C_SUB1241
auto_C_SLLIauto_C_XOR1241
auto_C_SLLIauto_C_OR1231
auto_C_SLLIauto_C_AND1341
auto_C_SLLIauto_C_J321
auto_C_SLLIauto_C_BEQZ611
auto_C_SLLIauto_C_BNEZ661
auto_C_SLLIauto_C_SLLI2611
auto_C_SLLIauto_C_LWSP31
auto_C_SLLIauto_C_JR51
auto_C_SLLIauto_C_MV1811
auto_C_SLLIauto_C_ADD1761
auto_C_SLLIauto_C_SWSP31
auto_C_SLLIauto_SH1ADD1191
auto_C_SLLIauto_SH2ADD1061
auto_C_SLLIauto_SH3ADD1251
auto_C_SLLIauto_CLZ1231
auto_C_SLLIauto_CTZ1201
auto_C_SLLIauto_CPOP1161
auto_C_SLLIauto_MIN1091
auto_C_SLLIauto_MAX1431
auto_C_SLLIauto_MINU1311
auto_C_SLLIauto_MAXU1281
auto_C_SLLIauto_SEXT_B1281
auto_C_SLLIauto_SEXT_H1351
auto_C_SLLIauto_ZEXT_H1371
auto_C_SLLIauto_ANDN1261
auto_C_SLLIauto_ORN1281
auto_C_SLLIauto_XNOR1151
auto_C_SLLIauto_ROR1301
auto_C_SLLIauto_RORI1261
auto_C_SLLIauto_ROL1391
auto_C_SLLIauto_REV81251
auto_C_SLLIauto_ORC_B1301
auto_C_SLLIauto_CLMUL1361
auto_C_SLLIauto_CLMULH1311
auto_C_SLLIauto_CLMULR1351
auto_C_SLLIauto_BSET1101
auto_C_SLLIauto_BSETI1171
auto_C_SLLIauto_BCLR1301
auto_C_SLLIauto_BCLRI1261
auto_C_SLLIauto_BINV1271
auto_C_SLLIauto_BINVI1091
auto_C_SLLIauto_BEXT1241
auto_C_SLLIauto_BEXTI1231
auto_C_SLLIauto_CSRRW681
auto_C_SLLIauto_CSRRS601
auto_C_SLLIauto_CSRRC641
auto_C_SLLIauto_CSRRWI811
auto_C_SLLIauto_CSRRSI581
auto_C_SLLIauto_CSRRCI711
auto_C_SLLIauto_C_LBU11
auto_C_SLLIauto_C_SB31
auto_C_SLLIauto_C_ZEXT_B1301
auto_C_SLLIauto_C_SEXT_B1241
auto_C_SLLIauto_C_ZEXT_H1371
auto_C_SLLIauto_C_SEXT_H1391
auto_C_SLLIauto_C_NOT1221
auto_C_SLLIauto_C_MUL1231
auto_C_LWSPauto_LUI21
auto_C_LWSPauto_AUIPC51
auto_C_LWSPauto_LB1321
auto_C_LWSPauto_LH271
auto_C_LWSPauto_LW401
auto_C_LWSPauto_LBU1391
auto_C_LWSPauto_LHU391
auto_C_LWSPauto_SB1271
auto_C_LWSPauto_SH351
auto_C_LWSPauto_SW71
auto_C_LWSPauto_ADDI591
auto_C_LWSPauto_SLTI21
auto_C_LWSPauto_SLTIU31
auto_C_LWSPauto_XORI61
auto_C_LWSPauto_ORI41
auto_C_LWSPauto_ANDI51
auto_C_LWSPauto_SLLI31
auto_C_LWSPauto_SRLI61
auto_C_LWSPauto_SRAI71
auto_C_LWSPauto_ADD77911
auto_C_LWSPauto_SUB41
auto_C_LWSPauto_SLL31
auto_C_LWSPauto_SLT41
auto_C_LWSPauto_SLTU91
auto_C_LWSPauto_XOR61
auto_C_LWSPauto_SRL61
auto_C_LWSPauto_SRA31
auto_C_LWSPauto_OR51
auto_C_LWSPauto_AND71
auto_C_LWSPauto_FENCE61
auto_C_LWSPauto_MRET291
auto_C_LWSPauto_MUL21
auto_C_LWSPauto_MULH51
auto_C_LWSPauto_MULHSU31
auto_C_LWSPauto_MULHU61
auto_C_LWSPauto_DIV71
auto_C_LWSPauto_DIVU51
auto_C_LWSPauto_REM31
auto_C_LWSPauto_REMU51
auto_C_LWSPauto_C_NOP231
auto_C_LWSPauto_C_ADDI61
auto_C_LWSPauto_C_LI31
auto_C_LWSPauto_C_LUI61
auto_C_LWSPauto_C_SRLI41
auto_C_LWSPauto_C_SRAI11
auto_C_LWSPauto_C_ANDI51
auto_C_LWSPauto_C_SUB71
auto_C_LWSPauto_C_XOR61
auto_C_LWSPauto_C_OR71
auto_C_LWSPauto_C_AND41
auto_C_LWSPauto_C_BEQZ171
auto_C_LWSPauto_C_SLLI21
auto_C_LWSPauto_C_LWSP61091
auto_C_LWSPauto_C_JR341
auto_C_LWSPauto_C_MV41
auto_C_LWSPauto_C_ADD11
auto_C_LWSPauto_C_SWSP1501
auto_C_LWSPauto_SH1ADD41
auto_C_LWSPauto_SH2ADD81
auto_C_LWSPauto_SH3ADD51
auto_C_LWSPauto_CLZ31
auto_C_LWSPauto_CTZ71
auto_C_LWSPauto_CPOP51
auto_C_LWSPauto_MIN51
auto_C_LWSPauto_MAX11
auto_C_LWSPauto_MINU41
auto_C_LWSPauto_MAXU31
auto_C_LWSPauto_SEXT_B91
auto_C_LWSPauto_SEXT_H71
auto_C_LWSPauto_ZEXT_H41
auto_C_LWSPauto_ANDN31
auto_C_LWSPauto_ORN51
auto_C_LWSPauto_XNOR41
auto_C_LWSPauto_ROR31
auto_C_LWSPauto_RORI11
auto_C_LWSPauto_ROL41
auto_C_LWSPauto_REV891
auto_C_LWSPauto_ORC_B71
auto_C_LWSPauto_CLMUL81
auto_C_LWSPauto_CLMULH51
auto_C_LWSPauto_CLMULR11
auto_C_LWSPauto_BSET71
auto_C_LWSPauto_BSETI41
auto_C_LWSPauto_BCLR61
auto_C_LWSPauto_BCLRI61
auto_C_LWSPauto_BINV41
auto_C_LWSPauto_BINVI41
auto_C_LWSPauto_BEXT51
auto_C_LWSPauto_BEXTI91
auto_C_LWSPauto_CSRRW11
auto_C_LWSPauto_CSRRS61
auto_C_LWSPauto_CSRRC21
auto_C_LWSPauto_CSRRWI81
auto_C_LWSPauto_CSRRSI61
auto_C_LWSPauto_CSRRCI51
auto_C_LWSPauto_C_ZEXT_B11
auto_C_LWSPauto_C_SEXT_B91
auto_C_LWSPauto_C_ZEXT_H51
auto_C_LWSPauto_C_SEXT_H41
auto_C_LWSPauto_C_NOT71
auto_C_LWSPauto_C_MUL61
auto_C_JRauto_ADDI1591
auto_C_JRauto_C_SW11
auto_C_JRauto_C_ADDI221
auto_C_JRauto_C_ADDI16SP511
auto_C_JRauto_C_MV1201
auto_C_JRauto_CSRRS61
auto_C_JRauto_CSRRWI11
auto_C_JRauto_CSRRCI11
auto_C_MVauto_LUI1171
auto_C_MVauto_AUIPC1381
auto_C_MVauto_JAL331
auto_C_MVauto_JALR21
auto_C_MVauto_BEQ631
auto_C_MVauto_BNE511
auto_C_MVauto_BLT561
auto_C_MVauto_BGE481
auto_C_MVauto_BLTU461
auto_C_MVauto_BGEU441
auto_C_MVauto_LB2691
auto_C_MVauto_LH881
auto_C_MVauto_LW271
auto_C_MVauto_LBU2871
auto_C_MVauto_LHU891
auto_C_MVauto_SB2781
auto_C_MVauto_SH901
auto_C_MVauto_SW481
auto_C_MVauto_ADDI2371
auto_C_MVauto_SLTI1141
auto_C_MVauto_SLTIU1171
auto_C_MVauto_XORI1331
auto_C_MVauto_ORI1051
auto_C_MVauto_ANDI1171
auto_C_MVauto_SLLI1031
auto_C_MVauto_SRLI1481
auto_C_MVauto_SRAI1241
auto_C_MVauto_ADD991
auto_C_MVauto_SUB1351
auto_C_MVauto_SLL1291
auto_C_MVauto_SLT1211
auto_C_MVauto_SLTU1031
auto_C_MVauto_XOR1171
auto_C_MVauto_SRL1281
auto_C_MVauto_SRA1261
auto_C_MVauto_OR1481
auto_C_MVauto_AND1101
auto_C_MVauto_FENCE751
auto_C_MVauto_MRET5131
auto_C_MVauto_WFI331
auto_C_MVauto_MUL1191
auto_C_MVauto_MULH1261
auto_C_MVauto_MULHSU1021
auto_C_MVauto_MULHU1231
auto_C_MVauto_DIV1291
auto_C_MVauto_DIVU1171
auto_C_MVauto_REM1021
auto_C_MVauto_REMU1361
auto_C_MVauto_C_ADDI4SPN981
auto_C_MVauto_C_LW21
auto_C_MVauto_C_NOP3731
auto_C_MVauto_C_ADDI1671
auto_C_MVauto_C_JAL231
auto_C_MVauto_C_LI1531
auto_C_MVauto_C_ADDI16SP881
auto_C_MVauto_C_LUI1311
auto_C_MVauto_C_SRLI1341
auto_C_MVauto_C_SRAI1321
auto_C_MVauto_C_ANDI1181
auto_C_MVauto_C_SUB1201
auto_C_MVauto_C_XOR1201
auto_C_MVauto_C_OR1171
auto_C_MVauto_C_AND971
auto_C_MVauto_C_J201
auto_C_MVauto_C_BEQZ681
auto_C_MVauto_C_BNEZ401
auto_C_MVauto_C_SLLI1891
auto_C_MVauto_C_LWSP61
auto_C_MVauto_C_MV1341
auto_C_MVauto_C_JALR81
auto_C_MVauto_C_ADD1581
auto_C_MVauto_C_SWSP201
auto_C_MVauto_SH1ADD1021
auto_C_MVauto_SH2ADD1101
auto_C_MVauto_SH3ADD1091
auto_C_MVauto_CLZ1121
auto_C_MVauto_CTZ971
auto_C_MVauto_CPOP951
auto_C_MVauto_MIN1261
auto_C_MVauto_MAX1051
auto_C_MVauto_MINU991
auto_C_MVauto_MAXU931
auto_C_MVauto_SEXT_B1151
auto_C_MVauto_SEXT_H1011
auto_C_MVauto_ZEXT_H1231
auto_C_MVauto_ANDN1241
auto_C_MVauto_ORN1171
auto_C_MVauto_XNOR1041
auto_C_MVauto_ROR1081
auto_C_MVauto_RORI1091
auto_C_MVauto_ROL1391
auto_C_MVauto_REV81081
auto_C_MVauto_ORC_B1131
auto_C_MVauto_CLMUL1471
auto_C_MVauto_CLMULH1161
auto_C_MVauto_CLMULR1221
auto_C_MVauto_BSET1121
auto_C_MVauto_BSETI1151
auto_C_MVauto_BCLR1341
auto_C_MVauto_BCLRI1221
auto_C_MVauto_BINV1371
auto_C_MVauto_BINVI1131
auto_C_MVauto_BEXT1191
auto_C_MVauto_BEXTI1331
auto_C_MVauto_CSRRW671
auto_C_MVauto_CSRRS571
auto_C_MVauto_CSRRC641
auto_C_MVauto_CSRRWI581
auto_C_MVauto_CSRRSI501
auto_C_MVauto_CSRRCI581
auto_C_MVauto_C_LBU21
auto_C_MVauto_C_LHU11
auto_C_MVauto_C_LH11
auto_C_MVauto_C_SB21
auto_C_MVauto_C_ZEXT_B1291
auto_C_MVauto_C_SEXT_B1061
auto_C_MVauto_C_ZEXT_H1001
auto_C_MVauto_C_SEXT_H1051
auto_C_MVauto_C_NOT1131
auto_C_MVauto_C_MUL1091
auto_C_EBREAKauto_LUI361
auto_C_EBREAKauto_AUIPC341
auto_C_EBREAKauto_JAL111
auto_C_EBREAKauto_BEQ231
auto_C_EBREAKauto_BNE431
auto_C_EBREAKauto_BLT341
auto_C_EBREAKauto_BGE271
auto_C_EBREAKauto_BLTU341
auto_C_EBREAKauto_BGEU351
auto_C_EBREAKauto_LB1211
auto_C_EBREAKauto_LH611
auto_C_EBREAKauto_LW171
auto_C_EBREAKauto_LBU1371
auto_C_EBREAKauto_LHU391
auto_C_EBREAKauto_SB1521
auto_C_EBREAKauto_SH361
auto_C_EBREAKauto_SW171
auto_C_EBREAKauto_ADDI801
auto_C_EBREAKauto_SLTI431
auto_C_EBREAKauto_SLTIU361
auto_C_EBREAKauto_XORI441
auto_C_EBREAKauto_ORI421
auto_C_EBREAKauto_ANDI461
auto_C_EBREAKauto_SLLI441
auto_C_EBREAKauto_SRLI361
auto_C_EBREAKauto_SRAI351
auto_C_EBREAKauto_ADD361
auto_C_EBREAKauto_SUB331
auto_C_EBREAKauto_SLL401
auto_C_EBREAKauto_SLT451
auto_C_EBREAKauto_SLTU381
auto_C_EBREAKauto_XOR271
auto_C_EBREAKauto_SRL331
auto_C_EBREAKauto_SRA451
auto_C_EBREAKauto_OR381
auto_C_EBREAKauto_AND341
auto_C_EBREAKauto_FENCE361
auto_C_EBREAKauto_MRET991
auto_C_EBREAKauto_MUL411
auto_C_EBREAKauto_MULH421
auto_C_EBREAKauto_MULHSU301
auto_C_EBREAKauto_MULHU381
auto_C_EBREAKauto_DIV331
auto_C_EBREAKauto_DIVU341
auto_C_EBREAKauto_REM361
auto_C_EBREAKauto_REMU351
auto_C_EBREAKauto_C_ADDI4SPN421
auto_C_EBREAKauto_C_NOP1581
auto_C_EBREAKauto_C_ADDI411
auto_C_EBREAKauto_C_JAL131
auto_C_EBREAKauto_C_LI311
auto_C_EBREAKauto_C_ADDI16SP341
auto_C_EBREAKauto_C_LUI401
auto_C_EBREAKauto_C_SRLI361
auto_C_EBREAKauto_C_SRAI461
auto_C_EBREAKauto_C_ANDI331
auto_C_EBREAKauto_C_SUB411
auto_C_EBREAKauto_C_XOR451
auto_C_EBREAKauto_C_OR441
auto_C_EBREAKauto_C_AND421
auto_C_EBREAKauto_C_J211
auto_C_EBREAKauto_C_BEQZ381
auto_C_EBREAKauto_C_BNEZ231
auto_C_EBREAKauto_C_SLLI491
auto_C_EBREAKauto_C_LWSP21
auto_C_EBREAKauto_C_MV291
auto_C_EBREAKauto_C_ADD431
auto_C_EBREAKauto_C_SWSP21
auto_C_EBREAKauto_SH1ADD361
auto_C_EBREAKauto_SH2ADD411
auto_C_EBREAKauto_SH3ADD421
auto_C_EBREAKauto_CLZ381
auto_C_EBREAKauto_CTZ401
auto_C_EBREAKauto_CPOP221
auto_C_EBREAKauto_MIN401
auto_C_EBREAKauto_MAX511
auto_C_EBREAKauto_MINU321
auto_C_EBREAKauto_MAXU461
auto_C_EBREAKauto_SEXT_B311
auto_C_EBREAKauto_SEXT_H311
auto_C_EBREAKauto_ZEXT_H601
auto_C_EBREAKauto_ANDN441
auto_C_EBREAKauto_ORN431
auto_C_EBREAKauto_XNOR361
auto_C_EBREAKauto_ROR441
auto_C_EBREAKauto_RORI421
auto_C_EBREAKauto_ROL301
auto_C_EBREAKauto_REV8421
auto_C_EBREAKauto_ORC_B401
auto_C_EBREAKauto_CLMUL411
auto_C_EBREAKauto_CLMULH451
auto_C_EBREAKauto_CLMULR461
auto_C_EBREAKauto_BSET451
auto_C_EBREAKauto_BSETI461
auto_C_EBREAKauto_BCLR361
auto_C_EBREAKauto_BCLRI431
auto_C_EBREAKauto_BINV411
auto_C_EBREAKauto_BINVI371
auto_C_EBREAKauto_BEXT371
auto_C_EBREAKauto_BEXTI461
auto_C_EBREAKauto_CSRRW521
auto_C_EBREAKauto_CSRRS381
auto_C_EBREAKauto_CSRRC401
auto_C_EBREAKauto_CSRRWI341
auto_C_EBREAKauto_CSRRSI361
auto_C_EBREAKauto_CSRRCI451
auto_C_EBREAKauto_C_LBU11
auto_C_EBREAKauto_C_ZEXT_B351
auto_C_EBREAKauto_C_SEXT_B411
auto_C_EBREAKauto_C_ZEXT_H361
auto_C_EBREAKauto_C_SEXT_H341
auto_C_EBREAKauto_C_NOT431
auto_C_EBREAKauto_C_MUL361
auto_C_JALRauto_BLT11
auto_C_JALRauto_BGE81
auto_C_JALRauto_BLTU11
auto_C_JALRauto_BGEU91
auto_C_JALRauto_ADDI1331
auto_C_JALRauto_SLTI31
auto_C_JALRauto_ORI21
auto_C_JALRauto_ANDI11
auto_C_JALRauto_SLLI11
auto_C_JALRauto_SUB81
auto_C_JALRauto_XOR21
auto_C_JALRauto_SRA11
auto_C_JALRauto_OR21
auto_C_JALRauto_AND31
auto_C_JALRauto_MULH11
auto_C_JALRauto_DIV21
auto_C_JALRauto_REMU11
auto_C_JALRauto_C_ADDI11
auto_C_JALRauto_C_LI11
auto_C_JALRauto_C_MV1031
auto_C_JALRauto_SH2ADD71
auto_C_JALRauto_CTZ11
auto_C_JALRauto_MINU21
auto_C_JALRauto_ORC_B21
auto_C_JALRauto_BINVI21
auto_C_JALRauto_C_NOT41
auto_C_ADDauto_LUI1351
auto_C_ADDauto_AUIPC1261
auto_C_ADDauto_JAL461
auto_C_ADDauto_JALR121
auto_C_ADDauto_BEQ411
auto_C_ADDauto_BNE521
auto_C_ADDauto_BLT481
auto_C_ADDauto_BGE461
auto_C_ADDauto_BLTU551
auto_C_ADDauto_BGEU451
auto_C_ADDauto_LB3051
auto_C_ADDauto_LH921
auto_C_ADDauto_LW6041
auto_C_ADDauto_LBU2761
auto_C_ADDauto_LHU841
auto_C_ADDauto_SB2861
auto_C_ADDauto_SH831
auto_C_ADDauto_SW291
auto_C_ADDauto_ADDI2571
auto_C_ADDauto_SLTI1521
auto_C_ADDauto_SLTIU1581
auto_C_ADDauto_XORI1581
auto_C_ADDauto_ORI1431
auto_C_ADDauto_ANDI1651
auto_C_ADDauto_SLLI1711
auto_C_ADDauto_SRLI1351
auto_C_ADDauto_SRAI1561
auto_C_ADDauto_ADD1111
auto_C_ADDauto_SUB1281
auto_C_ADDauto_SLL1411
auto_C_ADDauto_SLT1251
auto_C_ADDauto_SLTU1691
auto_C_ADDauto_XOR1291
auto_C_ADDauto_SRL1611
auto_C_ADDauto_SRA1411
auto_C_ADDauto_OR1111
auto_C_ADDauto_AND1401
auto_C_ADDauto_FENCE741
auto_C_ADDauto_MRET4741
auto_C_ADDauto_WFI11
auto_C_ADDauto_MUL1371
auto_C_ADDauto_MULH1321
auto_C_ADDauto_MULHSU1451
auto_C_ADDauto_MULHU1491
auto_C_ADDauto_DIV1411
auto_C_ADDauto_DIVU1341
auto_C_ADDauto_REM1461
auto_C_ADDauto_REMU1451
auto_C_ADDauto_C_ADDI4SPN1041
auto_C_ADDauto_C_SW11
auto_C_ADDauto_C_NOP4191
auto_C_ADDauto_C_ADDI1581
auto_C_ADDauto_C_JAL291
auto_C_ADDauto_C_LI1501
auto_C_ADDauto_C_ADDI16SP821
auto_C_ADDauto_C_LUI1371
auto_C_ADDauto_C_SRLI1111
auto_C_ADDauto_C_SRAI1231
auto_C_ADDauto_C_ANDI971
auto_C_ADDauto_C_SUB1201
auto_C_ADDauto_C_XOR1291
auto_C_ADDauto_C_OR1171
auto_C_ADDauto_C_AND1241
auto_C_ADDauto_C_J431
auto_C_ADDauto_C_BEQZ561
auto_C_ADDauto_C_BNEZ531
auto_C_ADDauto_C_SLLI2101
auto_C_ADDauto_C_LWSP91
auto_C_ADDauto_C_MV1571
auto_C_ADDauto_C_JALR71
auto_C_ADDauto_C_ADD1901
auto_C_ADDauto_C_SWSP31
auto_C_ADDauto_SH1ADD1361
auto_C_ADDauto_SH2ADD1181
auto_C_ADDauto_SH3ADD1231
auto_C_ADDauto_CLZ1441
auto_C_ADDauto_CTZ1431
auto_C_ADDauto_CPOP1181
auto_C_ADDauto_MIN1371
auto_C_ADDauto_MAX1451
auto_C_ADDauto_MINU1291
auto_C_ADDauto_MAXU1631
auto_C_ADDauto_SEXT_B1241
auto_C_ADDauto_SEXT_H1361
auto_C_ADDauto_ZEXT_H1831
auto_C_ADDauto_ANDN1481
auto_C_ADDauto_ORN1371
auto_C_ADDauto_XNOR1191
auto_C_ADDauto_ROR1561
auto_C_ADDauto_RORI1481
auto_C_ADDauto_ROL1321
auto_C_ADDauto_REV81491
auto_C_ADDauto_ORC_B1281
auto_C_ADDauto_CLMUL1341
auto_C_ADDauto_CLMULH1621
auto_C_ADDauto_CLMULR1401
auto_C_ADDauto_BSET1461
auto_C_ADDauto_BSETI1441
auto_C_ADDauto_BCLR1401
auto_C_ADDauto_BCLRI1311
auto_C_ADDauto_BINV1481
auto_C_ADDauto_BINVI1231
auto_C_ADDauto_BEXT1491
auto_C_ADDauto_BEXTI1341
auto_C_ADDauto_CSRRW561
auto_C_ADDauto_CSRRS691
auto_C_ADDauto_CSRRC861
auto_C_ADDauto_CSRRWI741
auto_C_ADDauto_CSRRSI601
auto_C_ADDauto_CSRRCI621
auto_C_ADDauto_C_LBU21
auto_C_ADDauto_C_SB11
auto_C_ADDauto_C_ZEXT_B1061
auto_C_ADDauto_C_SEXT_B1091
auto_C_ADDauto_C_ZEXT_H1071
auto_C_ADDauto_C_SEXT_H1151
auto_C_ADDauto_C_NOT1041
auto_C_ADDauto_C_MUL1231
auto_C_SWSPauto_LUI31
auto_C_SWSPauto_AUIPC61
auto_C_SWSPauto_LB1071
auto_C_SWSPauto_LH401
auto_C_SWSPauto_LW71
auto_C_SWSPauto_LBU1171
auto_C_SWSPauto_LHU311
auto_C_SWSPauto_SB1201
auto_C_SWSPauto_SH291
auto_C_SWSPauto_SW91
auto_C_SWSPauto_ADDI551
auto_C_SWSPauto_SLTI51
auto_C_SWSPauto_SLTIU51
auto_C_SWSPauto_XORI61
auto_C_SWSPauto_ORI21
auto_C_SWSPauto_ANDI261
auto_C_SWSPauto_SLLI71
auto_C_SWSPauto_SRLI71
auto_C_SWSPauto_SRAI71
auto_C_SWSPauto_ADD31
auto_C_SWSPauto_SUB91
auto_C_SWSPauto_SLL21
auto_C_SWSPauto_SLT41
auto_C_SWSPauto_SLTU51
auto_C_SWSPauto_XOR91
auto_C_SWSPauto_SRL21
auto_C_SWSPauto_SRA61
auto_C_SWSPauto_OR91
auto_C_SWSPauto_AND41
auto_C_SWSPauto_FENCE51
auto_C_SWSPauto_MRET281
auto_C_SWSPauto_MUL91
auto_C_SWSPauto_MULH91
auto_C_SWSPauto_MULHSU101
auto_C_SWSPauto_MULHU21
auto_C_SWSPauto_DIV71
auto_C_SWSPauto_DIVU51
auto_C_SWSPauto_REM61
auto_C_SWSPauto_REMU21
auto_C_SWSPauto_C_NOP111
auto_C_SWSPauto_C_ADDI49051
auto_C_SWSPauto_C_LI201
auto_C_SWSPauto_C_ADDI16SP29751
auto_C_SWSPauto_C_LUI31
auto_C_SWSPauto_C_SRLI61
auto_C_SWSPauto_C_SRAI81
auto_C_SWSPauto_C_ANDI41
auto_C_SWSPauto_C_SUB21
auto_C_SWSPauto_C_XOR21
auto_C_SWSPauto_C_OR81
auto_C_SWSPauto_C_AND31
auto_C_SWSPauto_C_SLLI41
auto_C_SWSPauto_C_LWSP1261
auto_C_SWSPauto_C_MV51
auto_C_SWSPauto_C_ADD41
auto_C_SWSPauto_C_SWSP61251
auto_C_SWSPauto_SH1ADD51
auto_C_SWSPauto_SH2ADD91
auto_C_SWSPauto_SH3ADD81
auto_C_SWSPauto_CLZ61
auto_C_SWSPauto_CTZ21
auto_C_SWSPauto_CPOP51
auto_C_SWSPauto_MIN71
auto_C_SWSPauto_MAX71
auto_C_SWSPauto_MINU51
auto_C_SWSPauto_MAXU51
auto_C_SWSPauto_SEXT_B21
auto_C_SWSPauto_SEXT_H31
auto_C_SWSPauto_ZEXT_H81
auto_C_SWSPauto_ANDN31
auto_C_SWSPauto_ORN41
auto_C_SWSPauto_XNOR31
auto_C_SWSPauto_ROR51
auto_C_SWSPauto_RORI21
auto_C_SWSPauto_ROL61
auto_C_SWSPauto_REV881
auto_C_SWSPauto_ORC_B41
auto_C_SWSPauto_CLMUL41
auto_C_SWSPauto_CLMULH21
auto_C_SWSPauto_CLMULR51
auto_C_SWSPauto_BSET121
auto_C_SWSPauto_BSETI41
auto_C_SWSPauto_BCLR61
auto_C_SWSPauto_BCLRI61
auto_C_SWSPauto_BINV61
auto_C_SWSPauto_BINVI61
auto_C_SWSPauto_BEXT71
auto_C_SWSPauto_BEXTI111
auto_C_SWSPauto_CSRRW41
auto_C_SWSPauto_CSRRS21
auto_C_SWSPauto_CSRRC61
auto_C_SWSPauto_CSRRWI41
auto_C_SWSPauto_CSRRSI31
auto_C_SWSPauto_CSRRCI41
auto_C_SWSPauto_C_ZEXT_B31
auto_C_SWSPauto_C_SEXT_B21
auto_C_SWSPauto_C_ZEXT_H41
auto_C_SWSPauto_C_SEXT_H41
auto_C_SWSPauto_C_NOT41
auto_C_SWSPauto_C_MUL71
auto_SH1ADDauto_LUI2001
auto_SH1ADDauto_AUIPC2041
auto_SH1ADDauto_JAL921
auto_SH1ADDauto_JALR41
auto_SH1ADDauto_BEQ551
auto_SH1ADDauto_BNE601
auto_SH1ADDauto_BLT611
auto_SH1ADDauto_BGE851
auto_SH1ADDauto_BLTU621
auto_SH1ADDauto_BGEU631
auto_SH1ADDauto_LB3181
auto_SH1ADDauto_LH1071
auto_SH1ADDauto_LW501
auto_SH1ADDauto_LBU3191
auto_SH1ADDauto_LHU1091
auto_SH1ADDauto_SB3331
auto_SH1ADDauto_SH951
auto_SH1ADDauto_SW471
auto_SH1ADDauto_ADDI2711
auto_SH1ADDauto_SLTI1811
auto_SH1ADDauto_SLTIU2041
auto_SH1ADDauto_XORI1961
auto_SH1ADDauto_ORI1961
auto_SH1ADDauto_ANDI2071
auto_SH1ADDauto_SLLI1801
auto_SH1ADDauto_SRLI1971
auto_SH1ADDauto_SRAI1821
auto_SH1ADDauto_ADD1441
auto_SH1ADDauto_SUB1891
auto_SH1ADDauto_SLL1951
auto_SH1ADDauto_SLT1951
auto_SH1ADDauto_SLTU2201
auto_SH1ADDauto_XOR1891
auto_SH1ADDauto_SRL1911
auto_SH1ADDauto_SRA1861
auto_SH1ADDauto_OR1861
auto_SH1ADDauto_AND2001
auto_SH1ADDauto_FENCE891
auto_SH1ADDauto_MRET3731
auto_SH1ADDauto_MUL1691
auto_SH1ADDauto_MULH1831
auto_SH1ADDauto_MULHSU1731
auto_SH1ADDauto_MULHU1871
auto_SH1ADDauto_DIV1931
auto_SH1ADDauto_DIVU1981
auto_SH1ADDauto_REM2221
auto_SH1ADDauto_REMU1971
auto_SH1ADDauto_C_ADDI4SPN651
auto_SH1ADDauto_C_LW21
auto_SH1ADDauto_C_NOP5051
auto_SH1ADDauto_C_ADDI951
auto_SH1ADDauto_C_JAL261
auto_SH1ADDauto_C_LI1001
auto_SH1ADDauto_C_ADDI16SP721
auto_SH1ADDauto_C_LUI951
auto_SH1ADDauto_C_SRLI801
auto_SH1ADDauto_C_SRAI931
auto_SH1ADDauto_C_ANDI871
auto_SH1ADDauto_C_SUB941
auto_SH1ADDauto_C_XOR1291
auto_SH1ADDauto_C_OR961
auto_SH1ADDauto_C_AND841
auto_SH1ADDauto_C_J401
auto_SH1ADDauto_C_BEQZ591
auto_SH1ADDauto_C_BNEZ491
auto_SH1ADDauto_C_SLLI1091
auto_SH1ADDauto_C_LWSP21
auto_SH1ADDauto_C_JR21
auto_SH1ADDauto_C_MV1171
auto_SH1ADDauto_C_ADD1271
auto_SH1ADDauto_C_SWSP31
auto_SH1ADDauto_SH1ADD2061
auto_SH1ADDauto_SH2ADD2071
auto_SH1ADDauto_SH3ADD1751
auto_SH1ADDauto_CLZ1931
auto_SH1ADDauto_CTZ2121
auto_SH1ADDauto_CPOP1831
auto_SH1ADDauto_MIN2151
auto_SH1ADDauto_MAX1871
auto_SH1ADDauto_MINU1751
auto_SH1ADDauto_MAXU1991
auto_SH1ADDauto_SEXT_B1991
auto_SH1ADDauto_SEXT_H2141
auto_SH1ADDauto_ZEXT_H2191
auto_SH1ADDauto_ANDN1961
auto_SH1ADDauto_ORN1981
auto_SH1ADDauto_XNOR2051
auto_SH1ADDauto_ROR1961
auto_SH1ADDauto_RORI1861
auto_SH1ADDauto_ROL2251
auto_SH1ADDauto_REV82331
auto_SH1ADDauto_ORC_B2121
auto_SH1ADDauto_CLMUL2241
auto_SH1ADDauto_CLMULH1711
auto_SH1ADDauto_CLMULR2211
auto_SH1ADDauto_BSET2141
auto_SH1ADDauto_BSETI1841
auto_SH1ADDauto_BCLR1811
auto_SH1ADDauto_BCLRI1831
auto_SH1ADDauto_BINV1921
auto_SH1ADDauto_BINVI1991
auto_SH1ADDauto_BEXT2111
auto_SH1ADDauto_BEXTI2301
auto_SH1ADDauto_CSRRW581
auto_SH1ADDauto_CSRRS681
auto_SH1ADDauto_CSRRC591
auto_SH1ADDauto_CSRRWI741
auto_SH1ADDauto_CSRRSI581
auto_SH1ADDauto_CSRRCI621
auto_SH1ADDauto_C_LBU41
auto_SH1ADDauto_C_LHU21
auto_SH1ADDauto_C_SB31
auto_SH1ADDauto_C_SH11
auto_SH1ADDauto_C_ZEXT_B761
auto_SH1ADDauto_C_SEXT_B931
auto_SH1ADDauto_C_ZEXT_H801
auto_SH1ADDauto_C_SEXT_H901
auto_SH1ADDauto_C_NOT931
auto_SH1ADDauto_C_MUL1261
auto_SH2ADDauto_LUI2201
auto_SH2ADDauto_AUIPC2251
auto_SH2ADDauto_JAL671
auto_SH2ADDauto_JALR31
auto_SH2ADDauto_BEQ471
auto_SH2ADDauto_BNE591
auto_SH2ADDauto_BLT571
auto_SH2ADDauto_BGE551
auto_SH2ADDauto_BLTU581
auto_SH2ADDauto_BGEU671
auto_SH2ADDauto_LB3341
auto_SH2ADDauto_LH991
auto_SH2ADDauto_LW431
auto_SH2ADDauto_LBU3251
auto_SH2ADDauto_LHU961
auto_SH2ADDauto_SB3131
auto_SH2ADDauto_SH1151
auto_SH2ADDauto_SW481
auto_SH2ADDauto_ADDI3031
auto_SH2ADDauto_SLTI1751
auto_SH2ADDauto_SLTIU2071
auto_SH2ADDauto_XORI2131
auto_SH2ADDauto_ORI1691
auto_SH2ADDauto_ANDI1961
auto_SH2ADDauto_SLLI2011
auto_SH2ADDauto_SRLI2051
auto_SH2ADDauto_SRAI2061
auto_SH2ADDauto_ADD1591
auto_SH2ADDauto_SUB1721
auto_SH2ADDauto_SLL2161
auto_SH2ADDauto_SLT2071
auto_SH2ADDauto_SLTU2341
auto_SH2ADDauto_XOR1851
auto_SH2ADDauto_SRL2141
auto_SH2ADDauto_SRA1981
auto_SH2ADDauto_OR1991
auto_SH2ADDauto_AND1841
auto_SH2ADDauto_FENCE691
auto_SH2ADDauto_MRET3701
auto_SH2ADDauto_MUL1871
auto_SH2ADDauto_MULH2041
auto_SH2ADDauto_MULHSU1751
auto_SH2ADDauto_MULHU2031
auto_SH2ADDauto_DIV2051
auto_SH2ADDauto_DIVU1791
auto_SH2ADDauto_REM1821
auto_SH2ADDauto_REMU1941
auto_SH2ADDauto_C_ADDI4SPN811
auto_SH2ADDauto_C_LW31
auto_SH2ADDauto_C_SW31
auto_SH2ADDauto_C_NOP5161
auto_SH2ADDauto_C_ADDI1011
auto_SH2ADDauto_C_JAL301
auto_SH2ADDauto_C_LI1181
auto_SH2ADDauto_C_ADDI16SP971
auto_SH2ADDauto_C_LUI1101
auto_SH2ADDauto_C_SRLI781
auto_SH2ADDauto_C_SRAI951
auto_SH2ADDauto_C_ANDI841
auto_SH2ADDauto_C_SUB1021
auto_SH2ADDauto_C_XOR931
auto_SH2ADDauto_C_OR911
auto_SH2ADDauto_C_AND921
auto_SH2ADDauto_C_J291
auto_SH2ADDauto_C_BEQZ451
auto_SH2ADDauto_C_BNEZ471
auto_SH2ADDauto_C_SLLI941
auto_SH2ADDauto_C_LWSP91
auto_SH2ADDauto_C_JR41
auto_SH2ADDauto_C_MV1091
auto_SH2ADDauto_C_JALR21
auto_SH2ADDauto_C_ADD1281
auto_SH2ADDauto_C_SWSP61
auto_SH2ADDauto_SH1ADD1841
auto_SH2ADDauto_SH2ADD1821
auto_SH2ADDauto_SH3ADD2021
auto_SH2ADDauto_CLZ1721
auto_SH2ADDauto_CTZ1781
auto_SH2ADDauto_CPOP1931
auto_SH2ADDauto_MIN1971
auto_SH2ADDauto_MAX2011
auto_SH2ADDauto_MINU1771
auto_SH2ADDauto_MAXU2061
auto_SH2ADDauto_SEXT_B2091
auto_SH2ADDauto_SEXT_H2051
auto_SH2ADDauto_ZEXT_H1981
auto_SH2ADDauto_ANDN1951
auto_SH2ADDauto_ORN2061
auto_SH2ADDauto_XNOR2081
auto_SH2ADDauto_ROR2081
auto_SH2ADDauto_RORI2341
auto_SH2ADDauto_ROL2021
auto_SH2ADDauto_REV81821
auto_SH2ADDauto_ORC_B2081
auto_SH2ADDauto_CLMUL1901
auto_SH2ADDauto_CLMULH2311
auto_SH2ADDauto_CLMULR2151
auto_SH2ADDauto_BSET2131
auto_SH2ADDauto_BSETI1931
auto_SH2ADDauto_BCLR2021
auto_SH2ADDauto_BCLRI2071
auto_SH2ADDauto_BINV2061
auto_SH2ADDauto_BINVI2191
auto_SH2ADDauto_BEXT1901
auto_SH2ADDauto_BEXTI2041
auto_SH2ADDauto_CSRRW741
auto_SH2ADDauto_CSRRS851
auto_SH2ADDauto_CSRRC741
auto_SH2ADDauto_CSRRWI671
auto_SH2ADDauto_CSRRSI621
auto_SH2ADDauto_CSRRCI631
auto_SH2ADDauto_C_LBU21
auto_SH2ADDauto_C_SB11
auto_SH2ADDauto_C_ZEXT_B891
auto_SH2ADDauto_C_SEXT_B1031
auto_SH2ADDauto_C_ZEXT_H821
auto_SH2ADDauto_C_SEXT_H1001
auto_SH2ADDauto_C_NOT1041
auto_SH2ADDauto_C_MUL941
auto_SH3ADDauto_LUI1941
auto_SH3ADDauto_AUIPC2011
auto_SH3ADDauto_JAL771
auto_SH3ADDauto_JALR21
auto_SH3ADDauto_BEQ741
auto_SH3ADDauto_BNE561
auto_SH3ADDauto_BLT511
auto_SH3ADDauto_BGE621
auto_SH3ADDauto_BLTU581
auto_SH3ADDauto_BGEU501
auto_SH3ADDauto_LB3381
auto_SH3ADDauto_LH1241
auto_SH3ADDauto_LW501
auto_SH3ADDauto_LBU3341
auto_SH3ADDauto_LHU1201
auto_SH3ADDauto_SB3291
auto_SH3ADDauto_SH941
auto_SH3ADDauto_SW411
auto_SH3ADDauto_ADDI2621
auto_SH3ADDauto_SLTI2191
auto_SH3ADDauto_SLTIU1901
auto_SH3ADDauto_XORI2031
auto_SH3ADDauto_ORI1901
auto_SH3ADDauto_ANDI2131
auto_SH3ADDauto_SLLI1891
auto_SH3ADDauto_SRLI1931
auto_SH3ADDauto_SRAI1941
auto_SH3ADDauto_ADD1651
auto_SH3ADDauto_SUB1761
auto_SH3ADDauto_SLL1721
auto_SH3ADDauto_SLT2071
auto_SH3ADDauto_SLTU2111
auto_SH3ADDauto_XOR1831
auto_SH3ADDauto_SRL1971
auto_SH3ADDauto_SRA2011
auto_SH3ADDauto_OR1871
auto_SH3ADDauto_AND1721
auto_SH3ADDauto_FENCE691
auto_SH3ADDauto_MRET3221
auto_SH3ADDauto_MUL1921
auto_SH3ADDauto_MULH1771
auto_SH3ADDauto_MULHSU1861
auto_SH3ADDauto_MULHU2051
auto_SH3ADDauto_DIV1801
auto_SH3ADDauto_DIVU1831
auto_SH3ADDauto_REM1971
auto_SH3ADDauto_REMU1811
auto_SH3ADDauto_C_ADDI4SPN751
auto_SH3ADDauto_C_SW21
auto_SH3ADDauto_C_NOP5261
auto_SH3ADDauto_C_ADDI1071
auto_SH3ADDauto_C_JAL451
auto_SH3ADDauto_C_LI941
auto_SH3ADDauto_C_ADDI16SP661
auto_SH3ADDauto_C_LUI1131
auto_SH3ADDauto_C_SRLI1071
auto_SH3ADDauto_C_SRAI921
auto_SH3ADDauto_C_ANDI931
auto_SH3ADDauto_C_SUB1001
auto_SH3ADDauto_C_XOR891
auto_SH3ADDauto_C_OR1001
auto_SH3ADDauto_C_AND881
auto_SH3ADDauto_C_J301
auto_SH3ADDauto_C_BEQZ361
auto_SH3ADDauto_C_BNEZ451
auto_SH3ADDauto_C_SLLI1191
auto_SH3ADDauto_C_LWSP51
auto_SH3ADDauto_C_MV1471
auto_SH3ADDauto_C_JALR31
auto_SH3ADDauto_C_ADD1431
auto_SH3ADDauto_C_SWSP31
auto_SH3ADDauto_SH1ADD1821
auto_SH3ADDauto_SH2ADD2001
auto_SH3ADDauto_SH3ADD2061
auto_SH3ADDauto_CLZ2191
auto_SH3ADDauto_CTZ2131
auto_SH3ADDauto_CPOP1931
auto_SH3ADDauto_MIN2081
auto_SH3ADDauto_MAX2041
auto_SH3ADDauto_MINU1911
auto_SH3ADDauto_MAXU1871
auto_SH3ADDauto_SEXT_B1711
auto_SH3ADDauto_SEXT_H2001
auto_SH3ADDauto_ZEXT_H1831
auto_SH3ADDauto_ANDN1931
auto_SH3ADDauto_ORN2101
auto_SH3ADDauto_XNOR2071
auto_SH3ADDauto_ROR1961
auto_SH3ADDauto_RORI1811
auto_SH3ADDauto_ROL2151
auto_SH3ADDauto_REV82031
auto_SH3ADDauto_ORC_B1881
auto_SH3ADDauto_CLMUL1921
auto_SH3ADDauto_CLMULH1921
auto_SH3ADDauto_CLMULR2151
auto_SH3ADDauto_BSET2091
auto_SH3ADDauto_BSETI2101
auto_SH3ADDauto_BCLR1761
auto_SH3ADDauto_BCLRI2071
auto_SH3ADDauto_BINV2001
auto_SH3ADDauto_BINVI2061
auto_SH3ADDauto_BEXT2041
auto_SH3ADDauto_BEXTI2211
auto_SH3ADDauto_CSRRW671
auto_SH3ADDauto_CSRRS641
auto_SH3ADDauto_CSRRC761
auto_SH3ADDauto_CSRRWI721
auto_SH3ADDauto_CSRRSI601
auto_SH3ADDauto_CSRRCI691
auto_SH3ADDauto_C_LBU41
auto_SH3ADDauto_C_SB11
auto_SH3ADDauto_C_SH21
auto_SH3ADDauto_C_ZEXT_B971
auto_SH3ADDauto_C_SEXT_B1021
auto_SH3ADDauto_C_ZEXT_H921
auto_SH3ADDauto_C_SEXT_H961
auto_SH3ADDauto_C_NOT761
auto_SH3ADDauto_C_MUL1011
auto_CLZauto_LUI2091
auto_CLZauto_AUIPC2181
auto_CLZauto_JAL691
auto_CLZauto_JALR11
auto_CLZauto_BEQ711
auto_CLZauto_BNE601
auto_CLZauto_BLT721
auto_CLZauto_BGE721
auto_CLZauto_BLTU461
auto_CLZauto_BGEU701
auto_CLZauto_LB3071
auto_CLZauto_LH1101
auto_CLZauto_LW561
auto_CLZauto_LBU3221
auto_CLZauto_LHU1141
auto_CLZauto_SB3251
auto_CLZauto_SH1061
auto_CLZauto_SW431
auto_CLZauto_ADDI3091
auto_CLZauto_SLTI2091
auto_CLZauto_SLTIU1801
auto_CLZauto_XORI1651
auto_CLZauto_ORI2031
auto_CLZauto_ANDI1971
auto_CLZauto_SLLI2071
auto_CLZauto_SRLI1861
auto_CLZauto_SRAI1761
auto_CLZauto_ADD1641
auto_CLZauto_SUB1661
auto_CLZauto_SLL1871
auto_CLZauto_SLT1991
auto_CLZauto_SLTU1901
auto_CLZauto_XOR2001
auto_CLZauto_SRL2231
auto_CLZauto_SRA2051
auto_CLZauto_OR2001
auto_CLZauto_AND2111
auto_CLZauto_FENCE681
auto_CLZauto_MRET3671
auto_CLZauto_MUL2191
auto_CLZauto_MULH2201
auto_CLZauto_MULHSU2111
auto_CLZauto_MULHU1841
auto_CLZauto_DIV1971
auto_CLZauto_DIVU1761
auto_CLZauto_REM1751
auto_CLZauto_REMU1991
auto_CLZauto_C_ADDI4SPN891
auto_CLZauto_C_SW11
auto_CLZauto_C_NOP5651
auto_CLZauto_C_ADDI891
auto_CLZauto_C_JAL341
auto_CLZauto_C_LI971
auto_CLZauto_C_ADDI16SP831
auto_CLZauto_C_LUI1051
auto_CLZauto_C_SRLI1021
auto_CLZauto_C_SRAI991
auto_CLZauto_C_ANDI871
auto_CLZauto_C_SUB931
auto_CLZauto_C_XOR1011
auto_CLZauto_C_OR1061
auto_CLZauto_C_AND1001
auto_CLZauto_C_J231
auto_CLZauto_C_BEQZ381
auto_CLZauto_C_BNEZ491
auto_CLZauto_C_SLLI1081
auto_CLZauto_C_LWSP41
auto_CLZauto_C_JR61
auto_CLZauto_C_MV1041
auto_CLZauto_C_JALR41
auto_CLZauto_C_ADD1391
auto_CLZauto_C_SWSP41
auto_CLZauto_SH1ADD2001
auto_CLZauto_SH2ADD2041
auto_CLZauto_SH3ADD2001
auto_CLZauto_CLZ1731
auto_CLZauto_CTZ1831
auto_CLZauto_CPOP2111
auto_CLZauto_MIN1891
auto_CLZauto_MAX1921
auto_CLZauto_MINU1841
auto_CLZauto_MAXU2151
auto_CLZauto_SEXT_B2061
auto_CLZauto_SEXT_H2201
auto_CLZauto_ZEXT_H2141
auto_CLZauto_ANDN2301
auto_CLZauto_ORN2141
auto_CLZauto_XNOR2211
auto_CLZauto_ROR2121
auto_CLZauto_RORI1751
auto_CLZauto_ROL2001
auto_CLZauto_REV81761
auto_CLZauto_ORC_B1951
auto_CLZauto_CLMUL1851
auto_CLZauto_CLMULH1791
auto_CLZauto_CLMULR2071
auto_CLZauto_BSET2001
auto_CLZauto_BSETI1961
auto_CLZauto_BCLR2001
auto_CLZauto_BCLRI2071
auto_CLZauto_BINV1941
auto_CLZauto_BINVI2051
auto_CLZauto_BEXT1751
auto_CLZauto_BEXTI2221
auto_CLZauto_CSRRW801
auto_CLZauto_CSRRS661
auto_CLZauto_CSRRC651
auto_CLZauto_CSRRWI671
auto_CLZauto_CSRRSI591
auto_CLZauto_CSRRCI621
auto_CLZauto_C_LBU31
auto_CLZauto_C_SB21
auto_CLZauto_C_SH21
auto_CLZauto_C_ZEXT_B891
auto_CLZauto_C_SEXT_B1041
auto_CLZauto_C_ZEXT_H1011
auto_CLZauto_C_SEXT_H841
auto_CLZauto_C_NOT911
auto_CLZauto_C_MUL751
auto_CTZauto_LUI2031
auto_CTZauto_AUIPC2061
auto_CTZauto_JAL601
auto_CTZauto_JALR91
auto_CTZauto_BEQ491
auto_CTZauto_BNE521
auto_CTZauto_BLT541
auto_CTZauto_BGE581
auto_CTZauto_BLTU621
auto_CTZauto_BGEU431
auto_CTZauto_LB3421
auto_CTZauto_LH1091
auto_CTZauto_LW521
auto_CTZauto_LBU2911
auto_CTZauto_LHU1031
auto_CTZauto_SB2881
auto_CTZauto_SH991
auto_CTZauto_SW491
auto_CTZauto_ADDI3031
auto_CTZauto_SLTI1951
auto_CTZauto_SLTIU1901
auto_CTZauto_XORI1961
auto_CTZauto_ORI1741
auto_CTZauto_ANDI2081
auto_CTZauto_SLLI1831
auto_CTZauto_SRLI1941
auto_CTZauto_SRAI1741
auto_CTZauto_ADD1611
auto_CTZauto_SUB1911
auto_CTZauto_SLL2161
auto_CTZauto_SLT1981
auto_CTZauto_SLTU1821
auto_CTZauto_XOR1801
auto_CTZauto_SRL1951
auto_CTZauto_SRA2021
auto_CTZauto_OR1861
auto_CTZauto_AND1931
auto_CTZauto_FENCE791
auto_CTZauto_MRET3711
auto_CTZauto_MUL2051
auto_CTZauto_MULH2371
auto_CTZauto_MULHSU2021
auto_CTZauto_MULHU1781
auto_CTZauto_DIV2201
auto_CTZauto_DIVU2141
auto_CTZauto_REM1921
auto_CTZauto_REMU1831
auto_CTZauto_C_ADDI4SPN781
auto_CTZauto_C_SW11
auto_CTZauto_C_NOP5251
auto_CTZauto_C_ADDI1241
auto_CTZauto_C_JAL331
auto_CTZauto_C_LI1081
auto_CTZauto_C_ADDI16SP741
auto_CTZauto_C_LUI901
auto_CTZauto_C_SRLI1031
auto_CTZauto_C_SRAI991
auto_CTZauto_C_ANDI891
auto_CTZauto_C_SUB951
auto_CTZauto_C_XOR741
auto_CTZauto_C_OR1031
auto_CTZauto_C_AND921
auto_CTZauto_C_J441
auto_CTZauto_C_BEQZ371
auto_CTZauto_C_BNEZ521
auto_CTZauto_C_SLLI1081
auto_CTZauto_C_LWSP101
auto_CTZauto_C_MV1051
auto_CTZauto_C_JALR21
auto_CTZauto_C_ADD1581
auto_CTZauto_C_SWSP41
auto_CTZauto_SH1ADD1951
auto_CTZauto_SH2ADD1971
auto_CTZauto_SH3ADD1811
auto_CTZauto_CLZ2111
auto_CTZauto_CTZ1931
auto_CTZauto_CPOP2241
auto_CTZauto_MIN1891
auto_CTZauto_MAX1971
auto_CTZauto_MINU2011
auto_CTZauto_MAXU1971
auto_CTZauto_SEXT_B1941
auto_CTZauto_SEXT_H2041
auto_CTZauto_ZEXT_H2231
auto_CTZauto_ANDN1991
auto_CTZauto_ORN2001
auto_CTZauto_XNOR1811
auto_CTZauto_ROR1811
auto_CTZauto_RORI1901
auto_CTZauto_ROL1861
auto_CTZauto_REV81931
auto_CTZauto_ORC_B1841
auto_CTZauto_CLMUL1921
auto_CTZauto_CLMULH1851
auto_CTZauto_CLMULR1851
auto_CTZauto_BSET2041
auto_CTZauto_BSETI1851
auto_CTZauto_BCLR2251
auto_CTZauto_BCLRI2411
auto_CTZauto_BINV1911
auto_CTZauto_BINVI1911
auto_CTZauto_BEXT2111
auto_CTZauto_BEXTI2051
auto_CTZauto_CSRRW801
auto_CTZauto_CSRRS851
auto_CTZauto_CSRRC671
auto_CTZauto_CSRRWI751
auto_CTZauto_CSRRSI681
auto_CTZauto_CSRRCI721
auto_CTZauto_C_LBU21
auto_CTZauto_C_LH11
auto_CTZauto_C_SB11
auto_CTZauto_C_SH11
auto_CTZauto_C_ZEXT_B911
auto_CTZauto_C_SEXT_B851
auto_CTZauto_C_ZEXT_H1031
auto_CTZauto_C_SEXT_H921
auto_CTZauto_C_NOT1061
auto_CTZauto_C_MUL911
auto_CPOPauto_LUI2111
auto_CPOPauto_AUIPC2341
auto_CPOPauto_JAL801
auto_CPOPauto_BEQ571
auto_CPOPauto_BNE771
auto_CPOPauto_BLT471
auto_CPOPauto_BGE601
auto_CPOPauto_BLTU551
auto_CPOPauto_BGEU581
auto_CPOPauto_LB3041
auto_CPOPauto_LH991
auto_CPOPauto_LW431
auto_CPOPauto_LBU3321
auto_CPOPauto_LHU951
auto_CPOPauto_SB3241
auto_CPOPauto_SH1081
auto_CPOPauto_SW531
auto_CPOPauto_ADDI2971
auto_CPOPauto_SLTI2091
auto_CPOPauto_SLTIU2001
auto_CPOPauto_XORI1841
auto_CPOPauto_ORI2201
auto_CPOPauto_ANDI1921
auto_CPOPauto_SLLI2041
auto_CPOPauto_SRLI1901
auto_CPOPauto_SRAI2101
auto_CPOPauto_ADD2131
auto_CPOPauto_SUB1941
auto_CPOPauto_SLL1981
auto_CPOPauto_SLT1881
auto_CPOPauto_SLTU1961
auto_CPOPauto_XOR2041
auto_CPOPauto_SRL2091
auto_CPOPauto_SRA2091
auto_CPOPauto_OR1911
auto_CPOPauto_AND2141
auto_CPOPauto_FENCE851
auto_CPOPauto_MRET3191
auto_CPOPauto_MUL2061
auto_CPOPauto_MULH1841
auto_CPOPauto_MULHSU1971
auto_CPOPauto_MULHU1951
auto_CPOPauto_DIV1921
auto_CPOPauto_DIVU1951
auto_CPOPauto_REM2001
auto_CPOPauto_REMU1861
auto_CPOPauto_C_ADDI4SPN731
auto_CPOPauto_C_LW11
auto_CPOPauto_C_SW11
auto_CPOPauto_C_NOP5321
auto_CPOPauto_C_ADDI1101
auto_CPOPauto_C_JAL241
auto_CPOPauto_C_LI901
auto_CPOPauto_C_ADDI16SP871
auto_CPOPauto_C_LUI981
auto_CPOPauto_C_SRLI991
auto_CPOPauto_C_SRAI901
auto_CPOPauto_C_ANDI951
auto_CPOPauto_C_SUB1071
auto_CPOPauto_C_XOR1011
auto_CPOPauto_C_OR921
auto_CPOPauto_C_AND931
auto_CPOPauto_C_J321
auto_CPOPauto_C_BEQZ321
auto_CPOPauto_C_BNEZ521
auto_CPOPauto_C_SLLI1321
auto_CPOPauto_C_LWSP51
auto_CPOPauto_C_MV1031
auto_CPOPauto_C_JALR11
auto_CPOPauto_C_ADD1391
auto_CPOPauto_C_SWSP51
auto_CPOPauto_SH1ADD2051
auto_CPOPauto_SH2ADD1941
auto_CPOPauto_SH3ADD2121
auto_CPOPauto_CLZ1781
auto_CPOPauto_CTZ2001
auto_CPOPauto_CPOP2061
auto_CPOPauto_MIN2081
auto_CPOPauto_MAX1971
auto_CPOPauto_MINU1991
auto_CPOPauto_MAXU2401
auto_CPOPauto_SEXT_B2081
auto_CPOPauto_SEXT_H2151
auto_CPOPauto_ZEXT_H2011
auto_CPOPauto_ANDN2201
auto_CPOPauto_ORN1881
auto_CPOPauto_XNOR1761
auto_CPOPauto_ROR1981
auto_CPOPauto_RORI1901
auto_CPOPauto_ROL1991
auto_CPOPauto_REV81871
auto_CPOPauto_ORC_B2291
auto_CPOPauto_CLMUL1971
auto_CPOPauto_CLMULH1881
auto_CPOPauto_CLMULR2421
auto_CPOPauto_BSET1811
auto_CPOPauto_BSETI1971
auto_CPOPauto_BCLR2001
auto_CPOPauto_BCLRI2191
auto_CPOPauto_BINV1821
auto_CPOPauto_BINVI2251
auto_CPOPauto_BEXT1871
auto_CPOPauto_BEXTI1891
auto_CPOPauto_CSRRW701
auto_CPOPauto_CSRRS701
auto_CPOPauto_CSRRC721
auto_CPOPauto_CSRRWI621
auto_CPOPauto_CSRRSI711
auto_CPOPauto_CSRRCI791
auto_CPOPauto_C_LBU11
auto_CPOPauto_C_SH11
auto_CPOPauto_C_ZEXT_B961
auto_CPOPauto_C_SEXT_B1001
auto_CPOPauto_C_ZEXT_H861
auto_CPOPauto_C_SEXT_H991
auto_CPOPauto_C_NOT901
auto_CPOPauto_C_MUL1011
auto_MINauto_LUI2111
auto_MINauto_AUIPC1801
auto_MINauto_JAL661
auto_MINauto_JALR21
auto_MINauto_BEQ621
auto_MINauto_BNE381
auto_MINauto_BLT641
auto_MINauto_BGE521
auto_MINauto_BLTU461
auto_MINauto_BGEU631
auto_MINauto_LB3291
auto_MINauto_LH1001
auto_MINauto_LW511
auto_MINauto_LBU3331
auto_MINauto_LHU1181
auto_MINauto_SB3191
auto_MINauto_SH951
auto_MINauto_SW441
auto_MINauto_ADDI2981
auto_MINauto_SLTI1891
auto_MINauto_SLTIU1961
auto_MINauto_XORI2001
auto_MINauto_ORI1891
auto_MINauto_ANDI1911
auto_MINauto_SLLI1861
auto_MINauto_SRLI1911
auto_MINauto_SRAI1681
auto_MINauto_ADD1671
auto_MINauto_SUB1841
auto_MINauto_SLL2011
auto_MINauto_SLT2181
auto_MINauto_SLTU2041
auto_MINauto_XOR1941
auto_MINauto_SRL1721
auto_MINauto_SRA2061
auto_MINauto_OR1691
auto_MINauto_AND1871
auto_MINauto_FENCE801
auto_MINauto_MRET3631
auto_MINauto_MUL1871
auto_MINauto_MULH1991
auto_MINauto_MULHSU1811
auto_MINauto_MULHU2121
auto_MINauto_DIV1701
auto_MINauto_DIVU2081
auto_MINauto_REM2141
auto_MINauto_REMU2051
auto_MINauto_C_ADDI4SPN671
auto_MINauto_C_LW21
auto_MINauto_C_SW11
auto_MINauto_C_NOP5341
auto_MINauto_C_ADDI1231
auto_MINauto_C_JAL321
auto_MINauto_C_LI1161
auto_MINauto_C_ADDI16SP891
auto_MINauto_C_LUI911
auto_MINauto_C_SRLI861
auto_MINauto_C_SRAI1091
auto_MINauto_C_ANDI921
auto_MINauto_C_SUB951
auto_MINauto_C_XOR1061
auto_MINauto_C_OR1051
auto_MINauto_C_AND851
auto_MINauto_C_J261
auto_MINauto_C_BEQZ561
auto_MINauto_C_BNEZ481
auto_MINauto_C_SLLI1281
auto_MINauto_C_LWSP51
auto_MINauto_C_JR31
auto_MINauto_C_MV1061
auto_MINauto_C_ADD1091
auto_MINauto_C_SWSP41
auto_MINauto_SH1ADD1911
auto_MINauto_SH2ADD2081
auto_MINauto_SH3ADD1921
auto_MINauto_CLZ1981
auto_MINauto_CTZ1911
auto_MINauto_CPOP1931
auto_MINauto_MIN1971
auto_MINauto_MAX2121
auto_MINauto_MINU2051
auto_MINauto_MAXU2061
auto_MINauto_SEXT_B1931
auto_MINauto_SEXT_H1851
auto_MINauto_ZEXT_H2121
auto_MINauto_ANDN1991
auto_MINauto_ORN2031
auto_MINauto_XNOR2121
auto_MINauto_ROR1881
auto_MINauto_RORI1961
auto_MINauto_ROL1761
auto_MINauto_REV82241
auto_MINauto_ORC_B2041
auto_MINauto_CLMUL2321
auto_MINauto_CLMULH2041
auto_MINauto_CLMULR1951
auto_MINauto_BSET1991
auto_MINauto_BSETI2031
auto_MINauto_BCLR1891
auto_MINauto_BCLRI1931
auto_MINauto_BINV2421
auto_MINauto_BINVI2081
auto_MINauto_BEXT2081
auto_MINauto_BEXTI2001
auto_MINauto_CSRRW761
auto_MINauto_CSRRS851
auto_MINauto_CSRRC661
auto_MINauto_CSRRWI881
auto_MINauto_CSRRSI691
auto_MINauto_CSRRCI621
auto_MINauto_C_LBU41
auto_MINauto_C_LHU21
auto_MINauto_C_SH11
auto_MINauto_C_ZEXT_B951
auto_MINauto_C_SEXT_B911
auto_MINauto_C_ZEXT_H1111
auto_MINauto_C_SEXT_H871
auto_MINauto_C_NOT981
auto_MINauto_C_MUL961
auto_MAXauto_LUI1621
auto_MAXauto_AUIPC1941
auto_MAXauto_JAL451
auto_MAXauto_JALR61
auto_MAXauto_BEQ551
auto_MAXauto_BNE551
auto_MAXauto_BLT531
auto_MAXauto_BGE521
auto_MAXauto_BLTU731
auto_MAXauto_BGEU561
auto_MAXauto_LB3371
auto_MAXauto_LH1081
auto_MAXauto_LW441
auto_MAXauto_LBU3561
auto_MAXauto_LHU951
auto_MAXauto_SB3191
auto_MAXauto_SH941
auto_MAXauto_SW531
auto_MAXauto_ADDI3171
auto_MAXauto_SLTI2081
auto_MAXauto_SLTIU1871
auto_MAXauto_XORI2121
auto_MAXauto_ORI2211
auto_MAXauto_ANDI2311
auto_MAXauto_SLLI1961
auto_MAXauto_SRLI1761
auto_MAXauto_SRAI2031
auto_MAXauto_ADD1511
auto_MAXauto_SUB2001
auto_MAXauto_SLL2001
auto_MAXauto_SLT2021
auto_MAXauto_SLTU1771
auto_MAXauto_XOR1951
auto_MAXauto_SRL2261
auto_MAXauto_SRA1961
auto_MAXauto_OR1931
auto_MAXauto_AND1921
auto_MAXauto_FENCE741
auto_MAXauto_MRET3601
auto_MAXauto_MUL2191
auto_MAXauto_MULH1921
auto_MAXauto_MULHSU1991
auto_MAXauto_MULHU1861
auto_MAXauto_DIV2011
auto_MAXauto_DIVU1991
auto_MAXauto_REM2111
auto_MAXauto_REMU2011
auto_MAXauto_C_ADDI4SPN731
auto_MAXauto_C_SW11
auto_MAXauto_C_NOP5411
auto_MAXauto_C_ADDI911
auto_MAXauto_C_JAL311
auto_MAXauto_C_LI1001
auto_MAXauto_C_ADDI16SP851
auto_MAXauto_C_LUI1021
auto_MAXauto_C_SRLI931
auto_MAXauto_C_SRAI961
auto_MAXauto_C_ANDI941
auto_MAXauto_C_SUB1041
auto_MAXauto_C_XOR961
auto_MAXauto_C_OR1061
auto_MAXauto_C_AND871
auto_MAXauto_C_J281
auto_MAXauto_C_BEQZ381
auto_MAXauto_C_BNEZ551
auto_MAXauto_C_SLLI1231
auto_MAXauto_C_LWSP121
auto_MAXauto_C_JR21
auto_MAXauto_C_MV841
auto_MAXauto_C_JALR61
auto_MAXauto_C_ADD1601
auto_MAXauto_C_SWSP71
auto_MAXauto_SH1ADD1881
auto_MAXauto_SH2ADD2111
auto_MAXauto_SH3ADD2021
auto_MAXauto_CLZ1861
auto_MAXauto_CTZ2041
auto_MAXauto_CPOP1901
auto_MAXauto_MIN2421
auto_MAXauto_MAX2171
auto_MAXauto_MINU1991
auto_MAXauto_MAXU1871
auto_MAXauto_SEXT_B2231
auto_MAXauto_SEXT_H1861
auto_MAXauto_ZEXT_H1831
auto_MAXauto_ANDN1911
auto_MAXauto_ORN2031
auto_MAXauto_XNOR2021
auto_MAXauto_ROR1951
auto_MAXauto_RORI1901
auto_MAXauto_ROL1981
auto_MAXauto_REV82051
auto_MAXauto_ORC_B1881
auto_MAXauto_CLMUL2431
auto_MAXauto_CLMULH2031
auto_MAXauto_CLMULR2181
auto_MAXauto_BSET1781
auto_MAXauto_BSETI1811
auto_MAXauto_BCLR1831
auto_MAXauto_BCLRI1901
auto_MAXauto_BINV1691
auto_MAXauto_BINVI1921
auto_MAXauto_BEXT1791
auto_MAXauto_BEXTI2301
auto_MAXauto_CSRRW691
auto_MAXauto_CSRRS671
auto_MAXauto_CSRRC821
auto_MAXauto_CSRRWI701
auto_MAXauto_CSRRSI781
auto_MAXauto_CSRRCI691
auto_MAXauto_C_LBU41
auto_MAXauto_C_LH11
auto_MAXauto_C_SB11
auto_MAXauto_C_ZEXT_B871
auto_MAXauto_C_SEXT_B921
auto_MAXauto_C_ZEXT_H861
auto_MAXauto_C_SEXT_H751
auto_MAXauto_C_NOT941
auto_MAXauto_C_MUL791
auto_MINUauto_LUI2021
auto_MINUauto_AUIPC1961
auto_MINUauto_JAL701
auto_MINUauto_JALR11
auto_MINUauto_BEQ751
auto_MINUauto_BNE551
auto_MINUauto_BLT631
auto_MINUauto_BGE551
auto_MINUauto_BLTU581
auto_MINUauto_BGEU761
auto_MINUauto_LB2971
auto_MINUauto_LH941
auto_MINUauto_LW531
auto_MINUauto_LBU3041
auto_MINUauto_LHU831
auto_MINUauto_SB3611
auto_MINUauto_SH971
auto_MINUauto_SW541
auto_MINUauto_ADDI3381
auto_MINUauto_SLTI2091
auto_MINUauto_SLTIU1891
auto_MINUauto_XORI1991
auto_MINUauto_ORI1831
auto_MINUauto_ANDI1941
auto_MINUauto_SLLI1991
auto_MINUauto_SRLI1841
auto_MINUauto_SRAI1921
auto_MINUauto_ADD1561
auto_MINUauto_SUB1841
auto_MINUauto_SLL1791
auto_MINUauto_SLT2111
auto_MINUauto_SLTU2151
auto_MINUauto_XOR1861
auto_MINUauto_SRL1871
auto_MINUauto_SRA2031
auto_MINUauto_OR2071
auto_MINUauto_AND1691
auto_MINUauto_FENCE681
auto_MINUauto_MRET3451
auto_MINUauto_MUL2191
auto_MINUauto_MULH1931
auto_MINUauto_MULHSU1791
auto_MINUauto_MULHU2161
auto_MINUauto_DIV2271
auto_MINUauto_DIVU2291
auto_MINUauto_REM2051
auto_MINUauto_REMU1631
auto_MINUauto_C_ADDI4SPN731
auto_MINUauto_C_NOP4881
auto_MINUauto_C_ADDI1031
auto_MINUauto_C_JAL231
auto_MINUauto_C_LI1301
auto_MINUauto_C_ADDI16SP781
auto_MINUauto_C_LUI891
auto_MINUauto_C_SRLI951
auto_MINUauto_C_SRAI881
auto_MINUauto_C_ANDI1031
auto_MINUauto_C_SUB911
auto_MINUauto_C_XOR971
auto_MINUauto_C_OR811
auto_MINUauto_C_AND991
auto_MINUauto_C_J321
auto_MINUauto_C_BEQZ501
auto_MINUauto_C_BNEZ441
auto_MINUauto_C_SLLI1231
auto_MINUauto_C_LWSP101
auto_MINUauto_C_JR91
auto_MINUauto_C_MV911
auto_MINUauto_C_JALR21
auto_MINUauto_C_ADD1421
auto_MINUauto_C_SWSP41
auto_MINUauto_SH1ADD1771
auto_MINUauto_SH2ADD1971
auto_MINUauto_SH3ADD1851
auto_MINUauto_CLZ1941
auto_MINUauto_CTZ1871
auto_MINUauto_CPOP2001
auto_MINUauto_MIN1991
auto_MINUauto_MAX2111
auto_MINUauto_MINU1921
auto_MINUauto_MAXU1911
auto_MINUauto_SEXT_B1751
auto_MINUauto_SEXT_H2141
auto_MINUauto_ZEXT_H2111
auto_MINUauto_ANDN1841
auto_MINUauto_ORN2041
auto_MINUauto_XNOR2011
auto_MINUauto_ROR2061
auto_MINUauto_RORI1841
auto_MINUauto_ROL1811
auto_MINUauto_REV82251
auto_MINUauto_ORC_B2111
auto_MINUauto_CLMUL1751
auto_MINUauto_CLMULH1911
auto_MINUauto_CLMULR1881
auto_MINUauto_BSET2251
auto_MINUauto_BSETI1791
auto_MINUauto_BCLR1981
auto_MINUauto_BCLRI1861
auto_MINUauto_BINV1781
auto_MINUauto_BINVI1871
auto_MINUauto_BEXT1911
auto_MINUauto_BEXTI2111
auto_MINUauto_CSRRW641
auto_MINUauto_CSRRS561
auto_MINUauto_CSRRC611
auto_MINUauto_CSRRWI631
auto_MINUauto_CSRRSI721
auto_MINUauto_CSRRCI611
auto_MINUauto_C_LBU11
auto_MINUauto_C_SB31
auto_MINUauto_C_ZEXT_B841
auto_MINUauto_C_SEXT_B851
auto_MINUauto_C_ZEXT_H1061
auto_MINUauto_C_SEXT_H981
auto_MINUauto_C_NOT951
auto_MINUauto_C_MUL941
auto_MAXUauto_LUI2001
auto_MAXUauto_AUIPC1851
auto_MAXUauto_JAL701
auto_MAXUauto_JALR41
auto_MAXUauto_BEQ621
auto_MAXUauto_BNE521
auto_MAXUauto_BLT581
auto_MAXUauto_BGE661
auto_MAXUauto_BLTU691
auto_MAXUauto_BGEU421
auto_MAXUauto_LB3151
auto_MAXUauto_LH971
auto_MAXUauto_LW631
auto_MAXUauto_LBU3281
auto_MAXUauto_LHU1191
auto_MAXUauto_SB3001
auto_MAXUauto_SH1051
auto_MAXUauto_SW501
auto_MAXUauto_ADDI3131
auto_MAXUauto_SLTI1921
auto_MAXUauto_SLTIU2231
auto_MAXUauto_XORI2271
auto_MAXUauto_ORI1941
auto_MAXUauto_ANDI1841
auto_MAXUauto_SLLI1951
auto_MAXUauto_SRLI2021
auto_MAXUauto_SRAI2111
auto_MAXUauto_ADD1491
auto_MAXUauto_SUB1801
auto_MAXUauto_SLL1881
auto_MAXUauto_SLT2041
auto_MAXUauto_SLTU1851
auto_MAXUauto_XOR1811
auto_MAXUauto_SRL1971
auto_MAXUauto_SRA1941
auto_MAXUauto_OR2281
auto_MAXUauto_AND1891
auto_MAXUauto_FENCE911
auto_MAXUauto_MRET3491
auto_MAXUauto_MUL1961
auto_MAXUauto_MULH1741
auto_MAXUauto_MULHSU2011
auto_MAXUauto_MULHU1941
auto_MAXUauto_DIV1911
auto_MAXUauto_DIVU2311
auto_MAXUauto_REM1881
auto_MAXUauto_REMU1981
auto_MAXUauto_C_ADDI4SPN771
auto_MAXUauto_C_LW11
auto_MAXUauto_C_NOP5081
auto_MAXUauto_C_ADDI931
auto_MAXUauto_C_JAL301
auto_MAXUauto_C_LI1101
auto_MAXUauto_C_ADDI16SP881
auto_MAXUauto_C_LUI1061
auto_MAXUauto_C_SRLI1101
auto_MAXUauto_C_SRAI861
auto_MAXUauto_C_ANDI641
auto_MAXUauto_C_SUB951
auto_MAXUauto_C_XOR1081
auto_MAXUauto_C_OR851
auto_MAXUauto_C_AND931
auto_MAXUauto_C_J321
auto_MAXUauto_C_BEQZ581
auto_MAXUauto_C_BNEZ391
auto_MAXUauto_C_SLLI1041
auto_MAXUauto_C_LWSP41
auto_MAXUauto_C_MV1061
auto_MAXUauto_C_JALR21
auto_MAXUauto_C_ADD1361
auto_MAXUauto_C_SWSP31
auto_MAXUauto_SH1ADD1961
auto_MAXUauto_SH2ADD1671
auto_MAXUauto_SH3ADD1961
auto_MAXUauto_CLZ1921
auto_MAXUauto_CTZ2121
auto_MAXUauto_CPOP2001
auto_MAXUauto_MIN1921
auto_MAXUauto_MAX1901
auto_MAXUauto_MINU1861
auto_MAXUauto_MAXU1821
auto_MAXUauto_SEXT_B2261
auto_MAXUauto_SEXT_H1941
auto_MAXUauto_ZEXT_H2081
auto_MAXUauto_ANDN2681
auto_MAXUauto_ORN1911
auto_MAXUauto_XNOR2051
auto_MAXUauto_ROR2461
auto_MAXUauto_RORI2091
auto_MAXUauto_ROL1931
auto_MAXUauto_REV82251
auto_MAXUauto_ORC_B1851
auto_MAXUauto_CLMUL2021
auto_MAXUauto_CLMULH2081
auto_MAXUauto_CLMULR1871
auto_MAXUauto_BSET2231
auto_MAXUauto_BSETI2121
auto_MAXUauto_BCLR2091
auto_MAXUauto_BCLRI2081
auto_MAXUauto_BINV2231
auto_MAXUauto_BINVI1901
auto_MAXUauto_BEXT1991
auto_MAXUauto_BEXTI1981
auto_MAXUauto_CSRRW901
auto_MAXUauto_CSRRS681
auto_MAXUauto_CSRRC821
auto_MAXUauto_CSRRWI651
auto_MAXUauto_CSRRSI681
auto_MAXUauto_CSRRCI761
auto_MAXUauto_C_LBU11
auto_MAXUauto_C_LH11
auto_MAXUauto_C_SB11
auto_MAXUauto_C_SH11
auto_MAXUauto_C_ZEXT_B881
auto_MAXUauto_C_SEXT_B911
auto_MAXUauto_C_ZEXT_H781
auto_MAXUauto_C_SEXT_H1001
auto_MAXUauto_C_NOT821
auto_MAXUauto_C_MUL1041
auto_SEXT_Bauto_LUI1961
auto_SEXT_Bauto_AUIPC1691
auto_SEXT_Bauto_JAL841
auto_SEXT_Bauto_BEQ571
auto_SEXT_Bauto_BNE651
auto_SEXT_Bauto_BLT591
auto_SEXT_Bauto_BGE621
auto_SEXT_Bauto_BLTU591
auto_SEXT_Bauto_BGEU501
auto_SEXT_Bauto_LB3101
auto_SEXT_Bauto_LH1041
auto_SEXT_Bauto_LW421
auto_SEXT_Bauto_LBU3461
auto_SEXT_Bauto_LHU1121
auto_SEXT_Bauto_SB3341
auto_SEXT_Bauto_SH1061
auto_SEXT_Bauto_SW451
auto_SEXT_Bauto_ADDI2931
auto_SEXT_Bauto_SLTI1941
auto_SEXT_Bauto_SLTIU2011
auto_SEXT_Bauto_XORI2151
auto_SEXT_Bauto_ORI1771
auto_SEXT_Bauto_ANDI2201
auto_SEXT_Bauto_SLLI1771
auto_SEXT_Bauto_SRLI1771
auto_SEXT_Bauto_SRAI2001
auto_SEXT_Bauto_ADD1751
auto_SEXT_Bauto_SUB2011
auto_SEXT_Bauto_SLL1781
auto_SEXT_Bauto_SLT2161
auto_SEXT_Bauto_SLTU1901
auto_SEXT_Bauto_XOR1941
auto_SEXT_Bauto_SRL2031
auto_SEXT_Bauto_SRA2091
auto_SEXT_Bauto_OR2021
auto_SEXT_Bauto_AND1921
auto_SEXT_Bauto_FENCE1011
auto_SEXT_Bauto_MRET3661
auto_SEXT_Bauto_MUL1861
auto_SEXT_Bauto_MULH2151
auto_SEXT_Bauto_MULHSU1871
auto_SEXT_Bauto_MULHU1911
auto_SEXT_Bauto_DIV2181
auto_SEXT_Bauto_DIVU1761
auto_SEXT_Bauto_REM1881
auto_SEXT_Bauto_REMU1841
auto_SEXT_Bauto_C_ADDI4SPN681
auto_SEXT_Bauto_C_NOP5191
auto_SEXT_Bauto_C_ADDI1181
auto_SEXT_Bauto_C_JAL331
auto_SEXT_Bauto_C_LI1041
auto_SEXT_Bauto_C_ADDI16SP711
auto_SEXT_Bauto_C_LUI1191
auto_SEXT_Bauto_C_SRLI1011
auto_SEXT_Bauto_C_SRAI911
auto_SEXT_Bauto_C_ANDI841
auto_SEXT_Bauto_C_SUB931
auto_SEXT_Bauto_C_XOR981
auto_SEXT_Bauto_C_OR951
auto_SEXT_Bauto_C_AND921
auto_SEXT_Bauto_C_J291
auto_SEXT_Bauto_C_BEQZ351
auto_SEXT_Bauto_C_BNEZ431
auto_SEXT_Bauto_C_SLLI1011
auto_SEXT_Bauto_C_LWSP91
auto_SEXT_Bauto_C_MV1111
auto_SEXT_Bauto_C_JALR41
auto_SEXT_Bauto_C_ADD1121
auto_SEXT_Bauto_C_SWSP51
auto_SEXT_Bauto_SH1ADD1881
auto_SEXT_Bauto_SH2ADD2101
auto_SEXT_Bauto_SH3ADD1991
auto_SEXT_Bauto_CLZ1841
auto_SEXT_Bauto_CTZ2001
auto_SEXT_Bauto_CPOP1991
auto_SEXT_Bauto_MIN2021
auto_SEXT_Bauto_MAX1891
auto_SEXT_Bauto_MINU1921
auto_SEXT_Bauto_MAXU2051
auto_SEXT_Bauto_SEXT_B1831
auto_SEXT_Bauto_SEXT_H2171
auto_SEXT_Bauto_ZEXT_H1941
auto_SEXT_Bauto_ANDN2131
auto_SEXT_Bauto_ORN2021
auto_SEXT_Bauto_XNOR2081
auto_SEXT_Bauto_ROR2031
auto_SEXT_Bauto_RORI1721
auto_SEXT_Bauto_ROL2091
auto_SEXT_Bauto_REV82171
auto_SEXT_Bauto_ORC_B1951
auto_SEXT_Bauto_CLMUL1971
auto_SEXT_Bauto_CLMULH2061
auto_SEXT_Bauto_CLMULR1971
auto_SEXT_Bauto_BSET1841
auto_SEXT_Bauto_BSETI1721
auto_SEXT_Bauto_BCLR1961
auto_SEXT_Bauto_BCLRI2101
auto_SEXT_Bauto_BINV2071
auto_SEXT_Bauto_BINVI1811
auto_SEXT_Bauto_BEXT1941
auto_SEXT_Bauto_BEXTI1921
auto_SEXT_Bauto_CSRRW751
auto_SEXT_Bauto_CSRRS681
auto_SEXT_Bauto_CSRRC691
auto_SEXT_Bauto_CSRRWI741
auto_SEXT_Bauto_CSRRSI741
auto_SEXT_Bauto_CSRRCI691
auto_SEXT_Bauto_C_LBU11
auto_SEXT_Bauto_C_LHU11
auto_SEXT_Bauto_C_SB31
auto_SEXT_Bauto_C_SH11
auto_SEXT_Bauto_C_ZEXT_B1031
auto_SEXT_Bauto_C_SEXT_B941
auto_SEXT_Bauto_C_ZEXT_H791
auto_SEXT_Bauto_C_SEXT_H1011
auto_SEXT_Bauto_C_NOT881
auto_SEXT_Bauto_C_MUL1231
auto_SEXT_Hauto_LUI2001
auto_SEXT_Hauto_AUIPC2171
auto_SEXT_Hauto_JAL621
auto_SEXT_Hauto_JALR41
auto_SEXT_Hauto_BEQ611
auto_SEXT_Hauto_BNE511
auto_SEXT_Hauto_BLT501
auto_SEXT_Hauto_BGE481
auto_SEXT_Hauto_BLTU751
auto_SEXT_Hauto_BGEU511
auto_SEXT_Hauto_LB3491
auto_SEXT_Hauto_LH961
auto_SEXT_Hauto_LW471
auto_SEXT_Hauto_LBU2981
auto_SEXT_Hauto_LHU821
auto_SEXT_Hauto_SB3291
auto_SEXT_Hauto_SH911
auto_SEXT_Hauto_SW441
auto_SEXT_Hauto_ADDI2921
auto_SEXT_Hauto_SLTI2111
auto_SEXT_Hauto_SLTIU1921
auto_SEXT_Hauto_XORI2081
auto_SEXT_Hauto_ORI1861
auto_SEXT_Hauto_ANDI1811
auto_SEXT_Hauto_SLLI1901
auto_SEXT_Hauto_SRLI1981
auto_SEXT_Hauto_SRAI1711
auto_SEXT_Hauto_ADD1731
auto_SEXT_Hauto_SUB1831
auto_SEXT_Hauto_SLL1911
auto_SEXT_Hauto_SLT1941
auto_SEXT_Hauto_SLTU1951
auto_SEXT_Hauto_XOR1761
auto_SEXT_Hauto_SRL1841
auto_SEXT_Hauto_SRA2291
auto_SEXT_Hauto_OR2031
auto_SEXT_Hauto_AND1981
auto_SEXT_Hauto_FENCE711
auto_SEXT_Hauto_MRET3201
auto_SEXT_Hauto_MUL1831
auto_SEXT_Hauto_MULH1921
auto_SEXT_Hauto_MULHSU2081
auto_SEXT_Hauto_MULHU2131
auto_SEXT_Hauto_DIV1891
auto_SEXT_Hauto_DIVU1791
auto_SEXT_Hauto_REM1881
auto_SEXT_Hauto_REMU2051
auto_SEXT_Hauto_C_ADDI4SPN1001
auto_SEXT_Hauto_C_LW21
auto_SEXT_Hauto_C_SW21
auto_SEXT_Hauto_C_NOP5501
auto_SEXT_Hauto_C_ADDI901
auto_SEXT_Hauto_C_JAL311
auto_SEXT_Hauto_C_LI841
auto_SEXT_Hauto_C_ADDI16SP801
auto_SEXT_Hauto_C_LUI951
auto_SEXT_Hauto_C_SRLI1081
auto_SEXT_Hauto_C_SRAI1011
auto_SEXT_Hauto_C_ANDI681
auto_SEXT_Hauto_C_SUB1071
auto_SEXT_Hauto_C_XOR1081
auto_SEXT_Hauto_C_OR961
auto_SEXT_Hauto_C_AND871
auto_SEXT_Hauto_C_J291
auto_SEXT_Hauto_C_BEQZ591
auto_SEXT_Hauto_C_BNEZ471
auto_SEXT_Hauto_C_SLLI1201
auto_SEXT_Hauto_C_LWSP31
auto_SEXT_Hauto_C_JR71
auto_SEXT_Hauto_C_MV851
auto_SEXT_Hauto_C_JALR21
auto_SEXT_Hauto_C_ADD1611
auto_SEXT_Hauto_C_SWSP31
auto_SEXT_Hauto_SH1ADD1751
auto_SEXT_Hauto_SH2ADD1951
auto_SEXT_Hauto_SH3ADD2091
auto_SEXT_Hauto_CLZ2071
auto_SEXT_Hauto_CTZ1941
auto_SEXT_Hauto_CPOP2161
auto_SEXT_Hauto_MIN1871
auto_SEXT_Hauto_MAX1841
auto_SEXT_Hauto_MINU1821
auto_SEXT_Hauto_MAXU1901
auto_SEXT_Hauto_SEXT_B1811
auto_SEXT_Hauto_SEXT_H1851
auto_SEXT_Hauto_ZEXT_H1971
auto_SEXT_Hauto_ANDN1791
auto_SEXT_Hauto_ORN1841
auto_SEXT_Hauto_XNOR1771
auto_SEXT_Hauto_ROR2031
auto_SEXT_Hauto_RORI2131
auto_SEXT_Hauto_ROL2151
auto_SEXT_Hauto_REV82071
auto_SEXT_Hauto_ORC_B2051
auto_SEXT_Hauto_CLMUL1801
auto_SEXT_Hauto_CLMULH2071
auto_SEXT_Hauto_CLMULR1981
auto_SEXT_Hauto_BSET2111
auto_SEXT_Hauto_BSETI1811
auto_SEXT_Hauto_BCLR1961
auto_SEXT_Hauto_BCLRI2261
auto_SEXT_Hauto_BINV1951
auto_SEXT_Hauto_BINVI1781
auto_SEXT_Hauto_BEXT1911
auto_SEXT_Hauto_BEXTI2101
auto_SEXT_Hauto_CSRRW741
auto_SEXT_Hauto_CSRRS751
auto_SEXT_Hauto_CSRRC811
auto_SEXT_Hauto_CSRRWI861
auto_SEXT_Hauto_CSRRSI621
auto_SEXT_Hauto_CSRRCI611
auto_SEXT_Hauto_C_LH21
auto_SEXT_Hauto_C_SB11
auto_SEXT_Hauto_C_SH11
auto_SEXT_Hauto_C_ZEXT_B771
auto_SEXT_Hauto_C_SEXT_B921
auto_SEXT_Hauto_C_ZEXT_H761
auto_SEXT_Hauto_C_SEXT_H891
auto_SEXT_Hauto_C_NOT1031
auto_SEXT_Hauto_C_MUL1141
auto_ZEXT_Hauto_LUI2101
auto_ZEXT_Hauto_AUIPC2111
auto_ZEXT_Hauto_JAL641
auto_ZEXT_Hauto_JALR101
auto_ZEXT_Hauto_BEQ621
auto_ZEXT_Hauto_BNE621
auto_ZEXT_Hauto_BLT621
auto_ZEXT_Hauto_BGE531
auto_ZEXT_Hauto_BLTU461
auto_ZEXT_Hauto_BGEU691
auto_ZEXT_Hauto_LB3491
auto_ZEXT_Hauto_LH971
auto_ZEXT_Hauto_LW521
auto_ZEXT_Hauto_LBU2881
auto_ZEXT_Hauto_LHU1091
auto_ZEXT_Hauto_SB3381
auto_ZEXT_Hauto_SH1191
auto_ZEXT_Hauto_SW421
auto_ZEXT_Hauto_ADDI2961
auto_ZEXT_Hauto_SLTI2141
auto_ZEXT_Hauto_SLTIU2021
auto_ZEXT_Hauto_XORI2061
auto_ZEXT_Hauto_ORI2181
auto_ZEXT_Hauto_ANDI2131
auto_ZEXT_Hauto_SLLI1801
auto_ZEXT_Hauto_SRLI1681
auto_ZEXT_Hauto_SRAI2141
auto_ZEXT_Hauto_ADD1591
auto_ZEXT_Hauto_SUB2051
auto_ZEXT_Hauto_SLL1901
auto_ZEXT_Hauto_SLT2011
auto_ZEXT_Hauto_SLTU1741
auto_ZEXT_Hauto_XOR1901
auto_ZEXT_Hauto_SRL2101
auto_ZEXT_Hauto_SRA1971
auto_ZEXT_Hauto_OR2221
auto_ZEXT_Hauto_AND2011
auto_ZEXT_Hauto_FENCE951
auto_ZEXT_Hauto_MRET3421
auto_ZEXT_Hauto_MUL1951
auto_ZEXT_Hauto_MULH2091
auto_ZEXT_Hauto_MULHSU2061
auto_ZEXT_Hauto_MULHU2221
auto_ZEXT_Hauto_DIV2241
auto_ZEXT_Hauto_DIVU1881
auto_ZEXT_Hauto_REM2201
auto_ZEXT_Hauto_REMU1891
auto_ZEXT_Hauto_C_ADDI4SPN801
auto_ZEXT_Hauto_C_LW11
auto_ZEXT_Hauto_C_SW11
auto_ZEXT_Hauto_C_NOP5261
auto_ZEXT_Hauto_C_ADDI1181
auto_ZEXT_Hauto_C_JAL421
auto_ZEXT_Hauto_C_LI1061
auto_ZEXT_Hauto_C_ADDI16SP721
auto_ZEXT_Hauto_C_LUI821
auto_ZEXT_Hauto_C_SRLI891
auto_ZEXT_Hauto_C_SRAI831
auto_ZEXT_Hauto_C_ANDI781
auto_ZEXT_Hauto_C_SUB1041
auto_ZEXT_Hauto_C_XOR1041
auto_ZEXT_Hauto_C_OR871
auto_ZEXT_Hauto_C_AND1071
auto_ZEXT_Hauto_C_J491
auto_ZEXT_Hauto_C_BEQZ531
auto_ZEXT_Hauto_C_BNEZ431
auto_ZEXT_Hauto_C_SLLI1201
auto_ZEXT_Hauto_C_LWSP31
auto_ZEXT_Hauto_C_MV1161
auto_ZEXT_Hauto_C_ADD1541
auto_ZEXT_Hauto_C_SWSP41
auto_ZEXT_Hauto_SH1ADD1951
auto_ZEXT_Hauto_SH2ADD1871
auto_ZEXT_Hauto_SH3ADD1971
auto_ZEXT_Hauto_CLZ2291
auto_ZEXT_Hauto_CTZ2121
auto_ZEXT_Hauto_CPOP1801
auto_ZEXT_Hauto_MIN2051
auto_ZEXT_Hauto_MAX2171
auto_ZEXT_Hauto_MINU2211
auto_ZEXT_Hauto_MAXU1911
auto_ZEXT_Hauto_SEXT_B2281
auto_ZEXT_Hauto_SEXT_H1911
auto_ZEXT_Hauto_ZEXT_H1981
auto_ZEXT_Hauto_ANDN1791
auto_ZEXT_Hauto_ORN2121
auto_ZEXT_Hauto_XNOR1791
auto_ZEXT_Hauto_ROR1981
auto_ZEXT_Hauto_RORI2091
auto_ZEXT_Hauto_ROL1631
auto_ZEXT_Hauto_REV81691
auto_ZEXT_Hauto_ORC_B2141
auto_ZEXT_Hauto_CLMUL2111
auto_ZEXT_Hauto_CLMULH2511
auto_ZEXT_Hauto_CLMULR1731
auto_ZEXT_Hauto_BSET2011
auto_ZEXT_Hauto_BSETI1931
auto_ZEXT_Hauto_BCLR2081
auto_ZEXT_Hauto_BCLRI1931
auto_ZEXT_Hauto_BINV1681
auto_ZEXT_Hauto_BINVI1911
auto_ZEXT_Hauto_BEXT1871
auto_ZEXT_Hauto_BEXTI1781
auto_ZEXT_Hauto_CSRRW661
auto_ZEXT_Hauto_CSRRS621
auto_ZEXT_Hauto_CSRRC771
auto_ZEXT_Hauto_CSRRWI571
auto_ZEXT_Hauto_CSRRSI581
auto_ZEXT_Hauto_CSRRCI831
auto_ZEXT_Hauto_C_LHU21
auto_ZEXT_Hauto_C_SB41
auto_ZEXT_Hauto_C_SH11
auto_ZEXT_Hauto_C_ZEXT_B861
auto_ZEXT_Hauto_C_SEXT_B921
auto_ZEXT_Hauto_C_ZEXT_H1231
auto_ZEXT_Hauto_C_SEXT_H931
auto_ZEXT_Hauto_C_NOT1121
auto_ZEXT_Hauto_C_MUL881
auto_ANDNauto_LUI1911
auto_ANDNauto_AUIPC1991
auto_ANDNauto_JAL581
auto_ANDNauto_JALR21
auto_ANDNauto_BEQ521
auto_ANDNauto_BNE711
auto_ANDNauto_BLT601
auto_ANDNauto_BGE591
auto_ANDNauto_BLTU451
auto_ANDNauto_BGEU601
auto_ANDNauto_LB3051
auto_ANDNauto_LH1061
auto_ANDNauto_LW871
auto_ANDNauto_LBU3221
auto_ANDNauto_LHU851
auto_ANDNauto_SB3331
auto_ANDNauto_SH1051
auto_ANDNauto_SW431
auto_ANDNauto_ADDI2601
auto_ANDNauto_SLTI1831
auto_ANDNauto_SLTIU2141
auto_ANDNauto_XORI2101
auto_ANDNauto_ORI1981
auto_ANDNauto_ANDI2131
auto_ANDNauto_SLLI2021
auto_ANDNauto_SRLI2141
auto_ANDNauto_SRAI1871
auto_ANDNauto_ADD1701
auto_ANDNauto_SUB2061
auto_ANDNauto_SLL1841
auto_ANDNauto_SLT1911
auto_ANDNauto_SLTU1711
auto_ANDNauto_XOR2141
auto_ANDNauto_SRL1831
auto_ANDNauto_SRA1831
auto_ANDNauto_OR1951
auto_ANDNauto_AND2091
auto_ANDNauto_FENCE931
auto_ANDNauto_MRET3271
auto_ANDNauto_MUL1701
auto_ANDNauto_MULH2281
auto_ANDNauto_MULHSU1781
auto_ANDNauto_MULHU1891
auto_ANDNauto_DIV2101
auto_ANDNauto_DIVU2071
auto_ANDNauto_REM1821
auto_ANDNauto_REMU2011
auto_ANDNauto_C_ADDI4SPN781
auto_ANDNauto_C_NOP5581
auto_ANDNauto_C_ADDI1111
auto_ANDNauto_C_JAL251
auto_ANDNauto_C_LI931
auto_ANDNauto_C_ADDI16SP641
auto_ANDNauto_C_LUI1021
auto_ANDNauto_C_SRLI911
auto_ANDNauto_C_SRAI951
auto_ANDNauto_C_ANDI791
auto_ANDNauto_C_SUB1111
auto_ANDNauto_C_XOR1011
auto_ANDNauto_C_OR831
auto_ANDNauto_C_AND1001
auto_ANDNauto_C_J241
auto_ANDNauto_C_BEQZ571
auto_ANDNauto_C_BNEZ521
auto_ANDNauto_C_SLLI1251
auto_ANDNauto_C_LWSP101
auto_ANDNauto_C_MV1281
auto_ANDNauto_C_ADD1561
auto_ANDNauto_C_SWSP11
auto_ANDNauto_SH1ADD2091
auto_ANDNauto_SH2ADD1891
auto_ANDNauto_SH3ADD1981
auto_ANDNauto_CLZ1911
auto_ANDNauto_CTZ2141
auto_ANDNauto_CPOP2041
auto_ANDNauto_MIN2071
auto_ANDNauto_MAX2101
auto_ANDNauto_MINU1921
auto_ANDNauto_MAXU1801
auto_ANDNauto_SEXT_B1871
auto_ANDNauto_SEXT_H1871
auto_ANDNauto_ZEXT_H2201
auto_ANDNauto_ANDN1771
auto_ANDNauto_ORN2541
auto_ANDNauto_XNOR2221
auto_ANDNauto_ROR1901
auto_ANDNauto_RORI1971
auto_ANDNauto_ROL2151
auto_ANDNauto_REV82041
auto_ANDNauto_ORC_B1911
auto_ANDNauto_CLMUL1851
auto_ANDNauto_CLMULH1871
auto_ANDNauto_CLMULR1891
auto_ANDNauto_BSET2081
auto_ANDNauto_BSETI2051
auto_ANDNauto_BCLR1931
auto_ANDNauto_BCLRI2031
auto_ANDNauto_BINV2001
auto_ANDNauto_BINVI1961
auto_ANDNauto_BEXT1861
auto_ANDNauto_BEXTI1971
auto_ANDNauto_CSRRW661
auto_ANDNauto_CSRRS621
auto_ANDNauto_CSRRC671
auto_ANDNauto_CSRRWI651
auto_ANDNauto_CSRRSI621
auto_ANDNauto_CSRRCI661
auto_ANDNauto_C_LBU21
auto_ANDNauto_C_LHU11
auto_ANDNauto_C_LH11
auto_ANDNauto_C_ZEXT_B951
auto_ANDNauto_C_SEXT_B961
auto_ANDNauto_C_ZEXT_H971
auto_ANDNauto_C_SEXT_H1021
auto_ANDNauto_C_NOT1041
auto_ANDNauto_C_MUL941
auto_ORNauto_LUI1961
auto_ORNauto_AUIPC2151
auto_ORNauto_JAL571
auto_ORNauto_JALR41
auto_ORNauto_BEQ531
auto_ORNauto_BNE571
auto_ORNauto_BLT651
auto_ORNauto_BGE631
auto_ORNauto_BLTU571
auto_ORNauto_BGEU631
auto_ORNauto_LB3051
auto_ORNauto_LH1081
auto_ORNauto_LW541
auto_ORNauto_LBU3371
auto_ORNauto_LHU1031
auto_ORNauto_SB3291
auto_ORNauto_SH831
auto_ORNauto_SW441
auto_ORNauto_ADDI3101
auto_ORNauto_SLTI1901
auto_ORNauto_SLTIU1821
auto_ORNauto_XORI2221
auto_ORNauto_ORI2121
auto_ORNauto_ANDI2071
auto_ORNauto_SLLI2231
auto_ORNauto_SRLI2121
auto_ORNauto_SRAI2251
auto_ORNauto_ADD1641

+
+Go back
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_3.html new file mode 100644 index 00000000..36b0058a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp22_bin1_3.html @@ -0,0 +1,23217 @@ + + + + + +Unified Coverage Report :: Covered bins + + + + + + + + + +
+ +
Covered bins for cross_seq_instr_x2
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+Go back
+ < 1 2 [3]
+Notice: sort works only in the current subset of bins.
+
+Automatically Generated Cross Bins for cross_seq_instr_x2 +
+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_instrcp_instr_prev_x2COUNTAT LEAST
auto_ORNauto_SUB2051
auto_ORNauto_SLL2051
auto_ORNauto_SLT1731
auto_ORNauto_SLTU2091
auto_ORNauto_XOR2201
auto_ORNauto_SRL1881
auto_ORNauto_SRA2041
auto_ORNauto_OR2031
auto_ORNauto_AND2091
auto_ORNauto_FENCE841
auto_ORNauto_MRET3311
auto_ORNauto_MUL1821
auto_ORNauto_MULH2031
auto_ORNauto_MULHSU1851
auto_ORNauto_MULHU2071
auto_ORNauto_DIV2011
auto_ORNauto_DIVU1881
auto_ORNauto_REM1931
auto_ORNauto_REMU2061
auto_ORNauto_C_ADDI4SPN631
auto_ORNauto_C_SW11
auto_ORNauto_C_NOP5431
auto_ORNauto_C_ADDI1011
auto_ORNauto_C_JAL361
auto_ORNauto_C_LI1061
auto_ORNauto_C_ADDI16SP911
auto_ORNauto_C_LUI891
auto_ORNauto_C_SRLI981
auto_ORNauto_C_SRAI931
auto_ORNauto_C_ANDI1131
auto_ORNauto_C_SUB861
auto_ORNauto_C_XOR1331
auto_ORNauto_C_OR1091
auto_ORNauto_C_AND901
auto_ORNauto_C_J231
auto_ORNauto_C_BEQZ461
auto_ORNauto_C_BNEZ841
auto_ORNauto_C_SLLI1181
auto_ORNauto_C_LWSP41
auto_ORNauto_C_JR21
auto_ORNauto_C_MV921
auto_ORNauto_C_JALR91
auto_ORNauto_C_ADD1601
auto_ORNauto_C_SWSP41
auto_ORNauto_SH1ADD1941
auto_ORNauto_SH2ADD2111
auto_ORNauto_SH3ADD2271
auto_ORNauto_CLZ2001
auto_ORNauto_CTZ2141
auto_ORNauto_CPOP1911
auto_ORNauto_MIN1981
auto_ORNauto_MAX2171
auto_ORNauto_MINU2051
auto_ORNauto_MAXU2481
auto_ORNauto_SEXT_B1741
auto_ORNauto_SEXT_H1941
auto_ORNauto_ZEXT_H2201
auto_ORNauto_ANDN1891
auto_ORNauto_ORN2341
auto_ORNauto_XNOR1761
auto_ORNauto_ROR1751
auto_ORNauto_RORI1821
auto_ORNauto_ROL1991
auto_ORNauto_REV82001
auto_ORNauto_ORC_B2001
auto_ORNauto_CLMUL1981
auto_ORNauto_CLMULH2161
auto_ORNauto_CLMULR1821
auto_ORNauto_BSET2181
auto_ORNauto_BSETI1931
auto_ORNauto_BCLR1971
auto_ORNauto_BCLRI2101
auto_ORNauto_BINV2091
auto_ORNauto_BINVI1901
auto_ORNauto_BEXT1851
auto_ORNauto_BEXTI1941
auto_ORNauto_CSRRW771
auto_ORNauto_CSRRS681
auto_ORNauto_CSRRC671
auto_ORNauto_CSRRWI801
auto_ORNauto_CSRRSI851
auto_ORNauto_CSRRCI631
auto_ORNauto_C_LBU11
auto_ORNauto_C_ZEXT_B961
auto_ORNauto_C_SEXT_B931
auto_ORNauto_C_ZEXT_H851
auto_ORNauto_C_SEXT_H1031
auto_ORNauto_C_NOT941
auto_ORNauto_C_MUL1151
auto_XNORauto_LUI1921
auto_XNORauto_AUIPC2041
auto_XNORauto_JAL731
auto_XNORauto_JALR41
auto_XNORauto_BEQ731
auto_XNORauto_BNE711
auto_XNORauto_BLT611
auto_XNORauto_BGE541
auto_XNORauto_BLTU581
auto_XNORauto_BGEU671
auto_XNORauto_LB3231
auto_XNORauto_LH1041
auto_XNORauto_LW291
auto_XNORauto_LBU3371
auto_XNORauto_LHU1101
auto_XNORauto_SB2971
auto_XNORauto_SH1141
auto_XNORauto_SW501
auto_XNORauto_ADDI2911
auto_XNORauto_SLTI2231
auto_XNORauto_SLTIU1901
auto_XNORauto_XORI2031
auto_XNORauto_ORI1871
auto_XNORauto_ANDI1871
auto_XNORauto_SLLI1571
auto_XNORauto_SRLI2111
auto_XNORauto_SRAI1991
auto_XNORauto_ADD1591
auto_XNORauto_SUB2041
auto_XNORauto_SLL1911
auto_XNORauto_SLT2181
auto_XNORauto_SLTU1861
auto_XNORauto_XOR1841
auto_XNORauto_SRL1721
auto_XNORauto_SRA2001
auto_XNORauto_OR1791
auto_XNORauto_AND1941
auto_XNORauto_FENCE681
auto_XNORauto_MRET3551
auto_XNORauto_MUL2131
auto_XNORauto_MULH1971
auto_XNORauto_MULHSU2031
auto_XNORauto_MULHU2361
auto_XNORauto_DIV2001
auto_XNORauto_DIVU1801
auto_XNORauto_REM2131
auto_XNORauto_REMU1901
auto_XNORauto_C_ADDI4SPN661
auto_XNORauto_C_NOP5601
auto_XNORauto_C_ADDI1011
auto_XNORauto_C_JAL341
auto_XNORauto_C_LI1151
auto_XNORauto_C_ADDI16SP781
auto_XNORauto_C_LUI1001
auto_XNORauto_C_SRLI831
auto_XNORauto_C_SRAI1011
auto_XNORauto_C_ANDI881
auto_XNORauto_C_SUB1131
auto_XNORauto_C_XOR931
auto_XNORauto_C_OR831
auto_XNORauto_C_AND971
auto_XNORauto_C_J211
auto_XNORauto_C_BEQZ451
auto_XNORauto_C_BNEZ421
auto_XNORauto_C_SLLI1301
auto_XNORauto_C_LWSP81
auto_XNORauto_C_JR21
auto_XNORauto_C_MV1021
auto_XNORauto_C_JALR81
auto_XNORauto_C_ADD1391
auto_XNORauto_C_SWSP51
auto_XNORauto_SH1ADD2121
auto_XNORauto_SH2ADD2121
auto_XNORauto_SH3ADD1681
auto_XNORauto_CLZ1861
auto_XNORauto_CTZ2051
auto_XNORauto_CPOP2381
auto_XNORauto_MIN1851
auto_XNORauto_MAX1871
auto_XNORauto_MINU1831
auto_XNORauto_MAXU2301
auto_XNORauto_SEXT_B1731
auto_XNORauto_SEXT_H2151
auto_XNORauto_ZEXT_H2181
auto_XNORauto_ANDN2101
auto_XNORauto_ORN2131
auto_XNORauto_XNOR2131
auto_XNORauto_ROR1801
auto_XNORauto_RORI1881
auto_XNORauto_ROL2291
auto_XNORauto_REV81881
auto_XNORauto_ORC_B2291
auto_XNORauto_CLMUL1751
auto_XNORauto_CLMULH1951
auto_XNORauto_CLMULR2171
auto_XNORauto_BSET1831
auto_XNORauto_BSETI1911
auto_XNORauto_BCLR2041
auto_XNORauto_BCLRI2051
auto_XNORauto_BINV2111
auto_XNORauto_BINVI1801
auto_XNORauto_BEXT2011
auto_XNORauto_BEXTI1921
auto_XNORauto_CSRRW741
auto_XNORauto_CSRRS751
auto_XNORauto_CSRRC661
auto_XNORauto_CSRRWI721
auto_XNORauto_CSRRSI731
auto_XNORauto_CSRRCI761
auto_XNORauto_C_LHU11
auto_XNORauto_C_SB11
auto_XNORauto_C_ZEXT_B781
auto_XNORauto_C_SEXT_B801
auto_XNORauto_C_ZEXT_H771
auto_XNORauto_C_SEXT_H911
auto_XNORauto_C_NOT821
auto_XNORauto_C_MUL1131
auto_RORauto_LUI1991
auto_RORauto_AUIPC2081
auto_RORauto_JAL761
auto_RORauto_JALR71
auto_RORauto_BEQ511
auto_RORauto_BNE671
auto_RORauto_BLT481
auto_RORauto_BGE481
auto_RORauto_BLTU591
auto_RORauto_BGEU521
auto_RORauto_LB3411
auto_RORauto_LH981
auto_RORauto_LW701
auto_RORauto_LBU3301
auto_RORauto_LHU1041
auto_RORauto_SB3351
auto_RORauto_SH1051
auto_RORauto_SW511
auto_RORauto_ADDI3441
auto_RORauto_SLTI1891
auto_RORauto_SLTIU1881
auto_RORauto_XORI1941
auto_RORauto_ORI1861
auto_RORauto_ANDI2141
auto_RORauto_SLLI1851
auto_RORauto_SRLI1991
auto_RORauto_SRAI2021
auto_RORauto_ADD1701
auto_RORauto_SUB1881
auto_RORauto_SLL2341
auto_RORauto_SLT2001
auto_RORauto_SLTU2171
auto_RORauto_XOR1871
auto_RORauto_SRL2041
auto_RORauto_SRA1721
auto_RORauto_OR1981
auto_RORauto_AND2121
auto_RORauto_FENCE781
auto_RORauto_MRET3931
auto_RORauto_MUL2031
auto_RORauto_MULH1851
auto_RORauto_MULHSU1871
auto_RORauto_MULHU2101
auto_RORauto_DIV2141
auto_RORauto_DIVU1961
auto_RORauto_REM2191
auto_RORauto_REMU2181
auto_RORauto_C_ADDI4SPN651
auto_RORauto_C_NOP5631
auto_RORauto_C_ADDI911
auto_RORauto_C_JAL421
auto_RORauto_C_LI821
auto_RORauto_C_ADDI16SP801
auto_RORauto_C_LUI1201
auto_RORauto_C_SRLI1021
auto_RORauto_C_SRAI981
auto_RORauto_C_ANDI1001
auto_RORauto_C_SUB981
auto_RORauto_C_XOR1091
auto_RORauto_C_OR881
auto_RORauto_C_AND1141
auto_RORauto_C_J271
auto_RORauto_C_BEQZ441
auto_RORauto_C_BNEZ451
auto_RORauto_C_SLLI1171
auto_RORauto_C_LWSP11
auto_RORauto_C_JR11
auto_RORauto_C_MV1081
auto_RORauto_C_JALR41
auto_RORauto_C_ADD1291
auto_RORauto_C_SWSP61
auto_RORauto_SH1ADD1911
auto_RORauto_SH2ADD2091
auto_RORauto_SH3ADD1791
auto_RORauto_CLZ2091
auto_RORauto_CTZ2061
auto_RORauto_CPOP1931
auto_RORauto_MIN1971
auto_RORauto_MAX2101
auto_RORauto_MINU1881
auto_RORauto_MAXU1991
auto_RORauto_SEXT_B2201
auto_RORauto_SEXT_H1971
auto_RORauto_ZEXT_H1821
auto_RORauto_ANDN2021
auto_RORauto_ORN1911
auto_RORauto_XNOR1851
auto_RORauto_ROR2321
auto_RORauto_RORI1791
auto_RORauto_ROL1801
auto_RORauto_REV82081
auto_RORauto_ORC_B1881
auto_RORauto_CLMUL1971
auto_RORauto_CLMULH2031
auto_RORauto_CLMULR2121
auto_RORauto_BSET1801
auto_RORauto_BSETI1941
auto_RORauto_BCLR2191
auto_RORauto_BCLRI1991
auto_RORauto_BINV2111
auto_RORauto_BINVI1811
auto_RORauto_BEXT1771
auto_RORauto_BEXTI1791
auto_RORauto_CSRRW841
auto_RORauto_CSRRS671
auto_RORauto_CSRRC591
auto_RORauto_CSRRWI711
auto_RORauto_CSRRSI621
auto_RORauto_CSRRCI781
auto_RORauto_C_LBU21
auto_RORauto_C_LHU11
auto_RORauto_C_SB41
auto_RORauto_C_ZEXT_B991
auto_RORauto_C_SEXT_B881
auto_RORauto_C_ZEXT_H761
auto_RORauto_C_SEXT_H721
auto_RORauto_C_NOT711
auto_RORauto_C_MUL1171
auto_RORIauto_LUI1971
auto_RORIauto_AUIPC2101
auto_RORIauto_JAL551
auto_RORIauto_JALR31
auto_RORIauto_BEQ611
auto_RORIauto_BNE611
auto_RORIauto_BLT431
auto_RORIauto_BGE631
auto_RORIauto_BLTU501
auto_RORIauto_BGEU641
auto_RORIauto_LB3161
auto_RORIauto_LH801
auto_RORIauto_LW541
auto_RORIauto_LBU3311
auto_RORIauto_LHU931
auto_RORIauto_SB3411
auto_RORIauto_SH891
auto_RORIauto_SW661
auto_RORIauto_ADDI2931
auto_RORIauto_SLTI2121
auto_RORIauto_SLTIU1971
auto_RORIauto_XORI1701
auto_RORIauto_ORI1771
auto_RORIauto_ANDI1751
auto_RORIauto_SLLI2211
auto_RORIauto_SRLI1931
auto_RORIauto_SRAI2021
auto_RORIauto_ADD1701
auto_RORIauto_SUB1911
auto_RORIauto_SLL1911
auto_RORIauto_SLT2001
auto_RORIauto_SLTU1981
auto_RORIauto_XOR1831
auto_RORIauto_SRL1901
auto_RORIauto_SRA1941
auto_RORIauto_OR1901
auto_RORIauto_AND1791
auto_RORIauto_FENCE761
auto_RORIauto_MRET3331
auto_RORIauto_MUL1841
auto_RORIauto_MULH1821
auto_RORIauto_MULHSU1981
auto_RORIauto_MULHU1661
auto_RORIauto_DIV2091
auto_RORIauto_DIVU2051
auto_RORIauto_REM2131
auto_RORIauto_REMU1821
auto_RORIauto_C_ADDI4SPN891
auto_RORIauto_C_LW21
auto_RORIauto_C_SW11
auto_RORIauto_C_NOP5491
auto_RORIauto_C_ADDI1221
auto_RORIauto_C_JAL191
auto_RORIauto_C_LI1021
auto_RORIauto_C_ADDI16SP761
auto_RORIauto_C_LUI1001
auto_RORIauto_C_SRLI961
auto_RORIauto_C_SRAI821
auto_RORIauto_C_ANDI1031
auto_RORIauto_C_SUB861
auto_RORIauto_C_XOR1101
auto_RORIauto_C_OR1101
auto_RORIauto_C_AND901
auto_RORIauto_C_J311
auto_RORIauto_C_BEQZ391
auto_RORIauto_C_BNEZ331
auto_RORIauto_C_SLLI1341
auto_RORIauto_C_LWSP61
auto_RORIauto_C_JR41
auto_RORIauto_C_MV1011
auto_RORIauto_C_JALR11
auto_RORIauto_C_ADD1391
auto_RORIauto_C_SWSP31
auto_RORIauto_SH1ADD2101
auto_RORIauto_SH2ADD2071
auto_RORIauto_SH3ADD2251
auto_RORIauto_CLZ2291
auto_RORIauto_CTZ2121
auto_RORIauto_CPOP1991
auto_RORIauto_MIN1831
auto_RORIauto_MAX1981
auto_RORIauto_MINU1921
auto_RORIauto_MAXU2101
auto_RORIauto_SEXT_B1951
auto_RORIauto_SEXT_H1941
auto_RORIauto_ZEXT_H2061
auto_RORIauto_ANDN1801
auto_RORIauto_ORN1861
auto_RORIauto_XNOR1891
auto_RORIauto_ROR2081
auto_RORIauto_RORI1871
auto_RORIauto_ROL1961
auto_RORIauto_REV81921
auto_RORIauto_ORC_B1921
auto_RORIauto_CLMUL2051
auto_RORIauto_CLMULH2501
auto_RORIauto_CLMULR1961
auto_RORIauto_BSET2051
auto_RORIauto_BSETI2051
auto_RORIauto_BCLR1851
auto_RORIauto_BCLRI1871
auto_RORIauto_BINV1981
auto_RORIauto_BINVI2251
auto_RORIauto_BEXT1971
auto_RORIauto_BEXTI1811
auto_RORIauto_CSRRW761
auto_RORIauto_CSRRS711
auto_RORIauto_CSRRC671
auto_RORIauto_CSRRWI771
auto_RORIauto_CSRRSI641
auto_RORIauto_CSRRCI791
auto_RORIauto_C_LBU21
auto_RORIauto_C_LH11
auto_RORIauto_C_SB41
auto_RORIauto_C_ZEXT_B841
auto_RORIauto_C_SEXT_B1211
auto_RORIauto_C_ZEXT_H941
auto_RORIauto_C_SEXT_H1131
auto_RORIauto_C_NOT901
auto_RORIauto_C_MUL961
auto_ROLauto_LUI2041
auto_ROLauto_AUIPC1991
auto_ROLauto_JAL601
auto_ROLauto_JALR61
auto_ROLauto_BEQ611
auto_ROLauto_BNE671
auto_ROLauto_BLT581
auto_ROLauto_BGE731
auto_ROLauto_BLTU631
auto_ROLauto_BGEU611
auto_ROLauto_LB3231
auto_ROLauto_LH1111
auto_ROLauto_LW441
auto_ROLauto_LBU3391
auto_ROLauto_LHU1031
auto_ROLauto_SB3101
auto_ROLauto_SH1121
auto_ROLauto_SW531
auto_ROLauto_ADDI3051
auto_ROLauto_SLTI1841
auto_ROLauto_SLTIU1941
auto_ROLauto_XORI1831
auto_ROLauto_ORI1871
auto_ROLauto_ANDI2091
auto_ROLauto_SLLI1921
auto_ROLauto_SRLI2061
auto_ROLauto_SRAI1861
auto_ROLauto_ADD1781
auto_ROLauto_SUB2091
auto_ROLauto_SLL1941
auto_ROLauto_SLT1971
auto_ROLauto_SLTU2061
auto_ROLauto_XOR1941
auto_ROLauto_SRL2021
auto_ROLauto_SRA2031
auto_ROLauto_OR1891
auto_ROLauto_AND1831
auto_ROLauto_FENCE721
auto_ROLauto_MRET3541
auto_ROLauto_MUL1941
auto_ROLauto_MULH2101
auto_ROLauto_MULHSU1921
auto_ROLauto_MULHU2041
auto_ROLauto_DIV2021
auto_ROLauto_DIVU1941
auto_ROLauto_REM2061
auto_ROLauto_REMU1941
auto_ROLauto_C_ADDI4SPN581
auto_ROLauto_C_LW11
auto_ROLauto_C_NOP4941
auto_ROLauto_C_ADDI1201
auto_ROLauto_C_JAL261
auto_ROLauto_C_LI1111
auto_ROLauto_C_ADDI16SP761
auto_ROLauto_C_LUI1191
auto_ROLauto_C_SRLI1011
auto_ROLauto_C_SRAI1181
auto_ROLauto_C_ANDI851
auto_ROLauto_C_SUB821
auto_ROLauto_C_XOR791
auto_ROLauto_C_OR1131
auto_ROLauto_C_AND1011
auto_ROLauto_C_J291
auto_ROLauto_C_BEQZ451
auto_ROLauto_C_BNEZ351
auto_ROLauto_C_SLLI1171
auto_ROLauto_C_LWSP11
auto_ROLauto_C_MV1011
auto_ROLauto_C_ADD1591
auto_ROLauto_C_SWSP41
auto_ROLauto_SH1ADD2031
auto_ROLauto_SH2ADD1831
auto_ROLauto_SH3ADD1861
auto_ROLauto_CLZ2131
auto_ROLauto_CTZ1911
auto_ROLauto_CPOP2051
auto_ROLauto_MIN1871
auto_ROLauto_MAX1651
auto_ROLauto_MINU2121
auto_ROLauto_MAXU2121
auto_ROLauto_SEXT_B2031
auto_ROLauto_SEXT_H1901
auto_ROLauto_ZEXT_H1961
auto_ROLauto_ANDN2151
auto_ROLauto_ORN2031
auto_ROLauto_XNOR1991
auto_ROLauto_ROR1791
auto_ROLauto_RORI1951
auto_ROLauto_ROL2001
auto_ROLauto_REV81801
auto_ROLauto_ORC_B2111
auto_ROLauto_CLMUL2101
auto_ROLauto_CLMULH2181
auto_ROLauto_CLMULR1951
auto_ROLauto_BSET1881
auto_ROLauto_BSETI2151
auto_ROLauto_BCLR2091
auto_ROLauto_BCLRI2151
auto_ROLauto_BINV2031
auto_ROLauto_BINVI2111
auto_ROLauto_BEXT1891
auto_ROLauto_BEXTI2091
auto_ROLauto_CSRRW811
auto_ROLauto_CSRRS721
auto_ROLauto_CSRRC691
auto_ROLauto_CSRRWI701
auto_ROLauto_CSRRSI641
auto_ROLauto_CSRRCI771
auto_ROLauto_C_LBU31
auto_ROLauto_C_LHU21
auto_ROLauto_C_SB11
auto_ROLauto_C_ZEXT_B981
auto_ROLauto_C_SEXT_B861
auto_ROLauto_C_ZEXT_H881
auto_ROLauto_C_SEXT_H1181
auto_ROLauto_C_NOT901
auto_ROLauto_C_MUL851
auto_REV8auto_LUI1961
auto_REV8auto_AUIPC2171
auto_REV8auto_JAL701
auto_REV8auto_JALR121
auto_REV8auto_BEQ621
auto_REV8auto_BNE551
auto_REV8auto_BLT581
auto_REV8auto_BGE581
auto_REV8auto_BLTU521
auto_REV8auto_BGEU521
auto_REV8auto_LB3141
auto_REV8auto_LH1131
auto_REV8auto_LW501
auto_REV8auto_LBU2991
auto_REV8auto_LHU981
auto_REV8auto_SB3171
auto_REV8auto_SH1111
auto_REV8auto_SW691
auto_REV8auto_ADDI3011
auto_REV8auto_SLTI1881
auto_REV8auto_SLTIU1891
auto_REV8auto_XORI2091
auto_REV8auto_ORI1721
auto_REV8auto_ANDI2011
auto_REV8auto_SLLI2041
auto_REV8auto_SRLI2001
auto_REV8auto_SRAI1961
auto_REV8auto_ADD1511
auto_REV8auto_SUB1901
auto_REV8auto_SLL1971
auto_REV8auto_SLT1861
auto_REV8auto_SLTU1921
auto_REV8auto_XOR1791
auto_REV8auto_SRL1821
auto_REV8auto_SRA1711
auto_REV8auto_OR1791
auto_REV8auto_AND2011
auto_REV8auto_FENCE821
auto_REV8auto_MRET3221
auto_REV8auto_MUL1791
auto_REV8auto_MULH2221
auto_REV8auto_MULHSU1771
auto_REV8auto_MULHU1911
auto_REV8auto_DIV1931
auto_REV8auto_DIVU2041
auto_REV8auto_REM1831
auto_REV8auto_REMU1971
auto_REV8auto_C_ADDI4SPN751
auto_REV8auto_C_NOP5121
auto_REV8auto_C_ADDI1101
auto_REV8auto_C_JAL271
auto_REV8auto_C_LI1071
auto_REV8auto_C_ADDI16SP851
auto_REV8auto_C_LUI1041
auto_REV8auto_C_SRLI791
auto_REV8auto_C_SRAI991
auto_REV8auto_C_ANDI931
auto_REV8auto_C_SUB981
auto_REV8auto_C_XOR881
auto_REV8auto_C_OR1011
auto_REV8auto_C_AND921
auto_REV8auto_C_J331
auto_REV8auto_C_BEQZ471
auto_REV8auto_C_BNEZ411
auto_REV8auto_C_SLLI1221
auto_REV8auto_C_LWSP51
auto_REV8auto_C_JR11
auto_REV8auto_C_MV961
auto_REV8auto_C_JALR31
auto_REV8auto_C_ADD1451
auto_REV8auto_C_SWSP21
auto_REV8auto_SH1ADD2091
auto_REV8auto_SH2ADD2131
auto_REV8auto_SH3ADD1811
auto_REV8auto_CLZ1861
auto_REV8auto_CTZ1981
auto_REV8auto_CPOP2051
auto_REV8auto_MIN2001
auto_REV8auto_MAX2101
auto_REV8auto_MINU2121
auto_REV8auto_MAXU1811
auto_REV8auto_SEXT_B2021
auto_REV8auto_SEXT_H1771
auto_REV8auto_ZEXT_H2021
auto_REV8auto_ANDN1921
auto_REV8auto_ORN1981
auto_REV8auto_XNOR1931
auto_REV8auto_ROR2041
auto_REV8auto_RORI2061
auto_REV8auto_ROL1831
auto_REV8auto_REV81811
auto_REV8auto_ORC_B1701
auto_REV8auto_CLMUL1911
auto_REV8auto_CLMULH1911
auto_REV8auto_CLMULR1991
auto_REV8auto_BSET2121
auto_REV8auto_BSETI2201
auto_REV8auto_BCLR1951
auto_REV8auto_BCLRI2401
auto_REV8auto_BINV2011
auto_REV8auto_BINVI1981
auto_REV8auto_BEXT2161
auto_REV8auto_BEXTI1921
auto_REV8auto_CSRRW861
auto_REV8auto_CSRRS801
auto_REV8auto_CSRRC691
auto_REV8auto_CSRRWI671
auto_REV8auto_CSRRSI681
auto_REV8auto_CSRRCI761
auto_REV8auto_C_LBU41
auto_REV8auto_C_LHU11
auto_REV8auto_C_LH21
auto_REV8auto_C_SB11
auto_REV8auto_C_SH21
auto_REV8auto_C_ZEXT_B971
auto_REV8auto_C_SEXT_B831
auto_REV8auto_C_ZEXT_H941
auto_REV8auto_C_SEXT_H861
auto_REV8auto_C_NOT981
auto_REV8auto_C_MUL1091
auto_ORC_Bauto_LUI1931
auto_ORC_Bauto_AUIPC1901
auto_ORC_Bauto_JAL651
auto_ORC_Bauto_JALR71
auto_ORC_Bauto_BEQ651
auto_ORC_Bauto_BNE581
auto_ORC_Bauto_BLT591
auto_ORC_Bauto_BGE451
auto_ORC_Bauto_BLTU471
auto_ORC_Bauto_BGEU651
auto_ORC_Bauto_LB3211
auto_ORC_Bauto_LH1181
auto_ORC_Bauto_LW431
auto_ORC_Bauto_LBU3311
auto_ORC_Bauto_LHU971
auto_ORC_Bauto_SB3291
auto_ORC_Bauto_SH1101
auto_ORC_Bauto_SW701
auto_ORC_Bauto_ADDI3341
auto_ORC_Bauto_SLTI2001
auto_ORC_Bauto_SLTIU1981
auto_ORC_Bauto_XORI1971
auto_ORC_Bauto_ORI1901
auto_ORC_Bauto_ANDI1531
auto_ORC_Bauto_SLLI2041
auto_ORC_Bauto_SRLI2111
auto_ORC_Bauto_SRAI1901
auto_ORC_Bauto_ADD1541
auto_ORC_Bauto_SUB2061
auto_ORC_Bauto_SLL2141
auto_ORC_Bauto_SLT1901
auto_ORC_Bauto_SLTU2021
auto_ORC_Bauto_XOR2061
auto_ORC_Bauto_SRL2121
auto_ORC_Bauto_SRA2011
auto_ORC_Bauto_OR1991
auto_ORC_Bauto_AND2051
auto_ORC_Bauto_FENCE711
auto_ORC_Bauto_MRET3401
auto_ORC_Bauto_MUL1961
auto_ORC_Bauto_MULH1931
auto_ORC_Bauto_MULHSU2251
auto_ORC_Bauto_MULHU2021
auto_ORC_Bauto_DIV2101
auto_ORC_Bauto_DIVU1701
auto_ORC_Bauto_REM2181
auto_ORC_Bauto_REMU1981
auto_ORC_Bauto_C_ADDI4SPN791
auto_ORC_Bauto_C_LW11
auto_ORC_Bauto_C_NOP5601
auto_ORC_Bauto_C_ADDI1011
auto_ORC_Bauto_C_JAL251
auto_ORC_Bauto_C_LI1141
auto_ORC_Bauto_C_ADDI16SP781
auto_ORC_Bauto_C_LUI1021
auto_ORC_Bauto_C_SRLI851
auto_ORC_Bauto_C_SRAI1121
auto_ORC_Bauto_C_ANDI981
auto_ORC_Bauto_C_SUB1051
auto_ORC_Bauto_C_XOR1011
auto_ORC_Bauto_C_OR991
auto_ORC_Bauto_C_AND941
auto_ORC_Bauto_C_J311
auto_ORC_Bauto_C_BEQZ531
auto_ORC_Bauto_C_BNEZ501
auto_ORC_Bauto_C_SLLI1221
auto_ORC_Bauto_C_LWSP41
auto_ORC_Bauto_C_JR11
auto_ORC_Bauto_C_MV1041
auto_ORC_Bauto_C_JALR21
auto_ORC_Bauto_C_ADD1391
auto_ORC_Bauto_C_SWSP51
auto_ORC_Bauto_SH1ADD1981
auto_ORC_Bauto_SH2ADD1891
auto_ORC_Bauto_SH3ADD1751
auto_ORC_Bauto_CLZ2041
auto_ORC_Bauto_CTZ2071
auto_ORC_Bauto_CPOP1911
auto_ORC_Bauto_MIN2031
auto_ORC_Bauto_MAX2051
auto_ORC_Bauto_MINU1951
auto_ORC_Bauto_MAXU1771
auto_ORC_Bauto_SEXT_B2241
auto_ORC_Bauto_SEXT_H2051
auto_ORC_Bauto_ZEXT_H2041
auto_ORC_Bauto_ANDN2071
auto_ORC_Bauto_ORN2091
auto_ORC_Bauto_XNOR2041
auto_ORC_Bauto_ROR2211
auto_ORC_Bauto_RORI1851
auto_ORC_Bauto_ROL2061
auto_ORC_Bauto_REV82231
auto_ORC_Bauto_ORC_B1581
auto_ORC_Bauto_CLMUL2141
auto_ORC_Bauto_CLMULH2011
auto_ORC_Bauto_CLMULR1981
auto_ORC_Bauto_BSET2021
auto_ORC_Bauto_BSETI2051
auto_ORC_Bauto_BCLR2021
auto_ORC_Bauto_BCLRI2011
auto_ORC_Bauto_BINV1931
auto_ORC_Bauto_BINVI1911
auto_ORC_Bauto_BEXT2051
auto_ORC_Bauto_BEXTI1901
auto_ORC_Bauto_CSRRW701
auto_ORC_Bauto_CSRRS691
auto_ORC_Bauto_CSRRC571
auto_ORC_Bauto_CSRRWI771
auto_ORC_Bauto_CSRRSI641
auto_ORC_Bauto_CSRRCI581
auto_ORC_Bauto_C_LBU21
auto_ORC_Bauto_C_LHU11
auto_ORC_Bauto_C_SB11
auto_ORC_Bauto_C_ZEXT_B901
auto_ORC_Bauto_C_SEXT_B1151
auto_ORC_Bauto_C_ZEXT_H1071
auto_ORC_Bauto_C_SEXT_H1091
auto_ORC_Bauto_C_NOT761
auto_ORC_Bauto_C_MUL1101
auto_CLMULauto_LUI1861
auto_CLMULauto_AUIPC1981
auto_CLMULauto_JAL791
auto_CLMULauto_JALR41
auto_CLMULauto_BEQ531
auto_CLMULauto_BNE451
auto_CLMULauto_BLT461
auto_CLMULauto_BGE581
auto_CLMULauto_BLTU681
auto_CLMULauto_BGEU571
auto_CLMULauto_LB3361
auto_CLMULauto_LH1051
auto_CLMULauto_LW591
auto_CLMULauto_LBU3391
auto_CLMULauto_LHU1031
auto_CLMULauto_SB3031
auto_CLMULauto_SH1011
auto_CLMULauto_SW471
auto_CLMULauto_ADDI3051
auto_CLMULauto_SLTI1951
auto_CLMULauto_SLTIU2231
auto_CLMULauto_XORI2051
auto_CLMULauto_ORI2071
auto_CLMULauto_ANDI1961
auto_CLMULauto_SLLI1821
auto_CLMULauto_SRLI1981
auto_CLMULauto_SRAI1821
auto_CLMULauto_ADD1651
auto_CLMULauto_SUB1801
auto_CLMULauto_SLL2141
auto_CLMULauto_SLT1961
auto_CLMULauto_SLTU1951
auto_CLMULauto_XOR1771
auto_CLMULauto_SRL1921
auto_CLMULauto_SRA1861
auto_CLMULauto_OR1911
auto_CLMULauto_AND1691
auto_CLMULauto_FENCE821
auto_CLMULauto_MRET3201
auto_CLMULauto_MUL2131
auto_CLMULauto_MULH1941
auto_CLMULauto_MULHSU2171
auto_CLMULauto_MULHU1951
auto_CLMULauto_DIV2051
auto_CLMULauto_DIVU2031
auto_CLMULauto_REM2011
auto_CLMULauto_REMU1971
auto_CLMULauto_C_ADDI4SPN841
auto_CLMULauto_C_LW11
auto_CLMULauto_C_NOP5201
auto_CLMULauto_C_ADDI1121
auto_CLMULauto_C_JAL261
auto_CLMULauto_C_LI1191
auto_CLMULauto_C_ADDI16SP831
auto_CLMULauto_C_LUI1081
auto_CLMULauto_C_SRLI1011
auto_CLMULauto_C_SRAI921
auto_CLMULauto_C_ANDI931
auto_CLMULauto_C_SUB961
auto_CLMULauto_C_XOR841
auto_CLMULauto_C_OR1031
auto_CLMULauto_C_AND1001
auto_CLMULauto_C_J271
auto_CLMULauto_C_BEQZ461
auto_CLMULauto_C_BNEZ441
auto_CLMULauto_C_SLLI1091
auto_CLMULauto_C_LWSP41
auto_CLMULauto_C_JR31
auto_CLMULauto_C_MV1051
auto_CLMULauto_C_ADD1441
auto_CLMULauto_C_SWSP31
auto_CLMULauto_SH1ADD2181
auto_CLMULauto_SH2ADD2071
auto_CLMULauto_SH3ADD2021
auto_CLMULauto_CLZ1931
auto_CLMULauto_CTZ2061
auto_CLMULauto_CPOP2071
auto_CLMULauto_MIN2211
auto_CLMULauto_MAX2061
auto_CLMULauto_MINU1861
auto_CLMULauto_MAXU2221
auto_CLMULauto_SEXT_B1581
auto_CLMULauto_SEXT_H1701
auto_CLMULauto_ZEXT_H1981
auto_CLMULauto_ANDN2401
auto_CLMULauto_ORN2001
auto_CLMULauto_XNOR1851
auto_CLMULauto_ROR1901
auto_CLMULauto_RORI1921
auto_CLMULauto_ROL1901
auto_CLMULauto_REV81921
auto_CLMULauto_ORC_B2311
auto_CLMULauto_CLMUL1861
auto_CLMULauto_CLMULH1901
auto_CLMULauto_CLMULR1861
auto_CLMULauto_BSET2001
auto_CLMULauto_BSETI2181
auto_CLMULauto_BCLR1911
auto_CLMULauto_BCLRI1991
auto_CLMULauto_BINV2011
auto_CLMULauto_BINVI2021
auto_CLMULauto_BEXT1961
auto_CLMULauto_BEXTI1951
auto_CLMULauto_CSRRW741
auto_CLMULauto_CSRRS681
auto_CLMULauto_CSRRC741
auto_CLMULauto_CSRRWI721
auto_CLMULauto_CSRRSI601
auto_CLMULauto_CSRRCI701
auto_CLMULauto_C_LBU31
auto_CLMULauto_C_LHU11
auto_CLMULauto_C_SB31
auto_CLMULauto_C_ZEXT_B871
auto_CLMULauto_C_SEXT_B741
auto_CLMULauto_C_ZEXT_H951
auto_CLMULauto_C_SEXT_H931
auto_CLMULauto_C_NOT891
auto_CLMULauto_C_MUL971
auto_CLMULHauto_LUI2011
auto_CLMULHauto_AUIPC1731
auto_CLMULHauto_JAL681
auto_CLMULHauto_JALR41
auto_CLMULHauto_BEQ651
auto_CLMULHauto_BNE581
auto_CLMULHauto_BLT641
auto_CLMULHauto_BGE651
auto_CLMULHauto_BLTU541
auto_CLMULHauto_BGEU521
auto_CLMULHauto_LB2951
auto_CLMULHauto_LH1021
auto_CLMULHauto_LW591
auto_CLMULHauto_LBU3071
auto_CLMULHauto_LHU1061
auto_CLMULHauto_SB3401
auto_CLMULHauto_SH1071
auto_CLMULHauto_SW491
auto_CLMULHauto_ADDI3231
auto_CLMULHauto_SLTI1871
auto_CLMULHauto_SLTIU1911
auto_CLMULHauto_XORI1751
auto_CLMULHauto_ORI1961
auto_CLMULHauto_ANDI1791
auto_CLMULHauto_SLLI1691
auto_CLMULHauto_SRLI1951
auto_CLMULHauto_SRAI1991
auto_CLMULHauto_ADD1541
auto_CLMULHauto_SUB1771
auto_CLMULHauto_SLL2051
auto_CLMULHauto_SLT2041
auto_CLMULHauto_SLTU1731
auto_CLMULHauto_XOR2161
auto_CLMULHauto_SRL1801
auto_CLMULHauto_SRA2061
auto_CLMULHauto_OR2351
auto_CLMULHauto_AND1741
auto_CLMULHauto_FENCE851
auto_CLMULHauto_MRET3551
auto_CLMULHauto_MUL2191
auto_CLMULHauto_MULH1841
auto_CLMULHauto_MULHSU2211
auto_CLMULHauto_MULHU1631
auto_CLMULHauto_DIV1941
auto_CLMULHauto_DIVU2261
auto_CLMULHauto_REM2251
auto_CLMULHauto_REMU1901
auto_CLMULHauto_C_ADDI4SPN861
auto_CLMULHauto_C_SW11
auto_CLMULHauto_C_NOP5491
auto_CLMULHauto_C_ADDI1121
auto_CLMULHauto_C_JAL351
auto_CLMULHauto_C_LI1411
auto_CLMULHauto_C_ADDI16SP651
auto_CLMULHauto_C_LUI1041
auto_CLMULHauto_C_SRLI921
auto_CLMULHauto_C_SRAI901
auto_CLMULHauto_C_ANDI991
auto_CLMULHauto_C_SUB1061
auto_CLMULHauto_C_XOR1101
auto_CLMULHauto_C_OR1171
auto_CLMULHauto_C_AND1321
auto_CLMULHauto_C_J381
auto_CLMULHauto_C_BEQZ421
auto_CLMULHauto_C_BNEZ491
auto_CLMULHauto_C_SLLI1291
auto_CLMULHauto_C_LWSP61
auto_CLMULHauto_C_MV1141
auto_CLMULHauto_C_JALR111
auto_CLMULHauto_C_ADD1441
auto_CLMULHauto_C_SWSP41
auto_CLMULHauto_SH1ADD2051
auto_CLMULHauto_SH2ADD1931
auto_CLMULHauto_SH3ADD2141
auto_CLMULHauto_CLZ2281
auto_CLMULHauto_CTZ2091
auto_CLMULHauto_CPOP1971
auto_CLMULHauto_MIN1931
auto_CLMULHauto_MAX2031
auto_CLMULHauto_MINU2101
auto_CLMULHauto_MAXU2011
auto_CLMULHauto_SEXT_B1911
auto_CLMULHauto_SEXT_H1761
auto_CLMULHauto_ZEXT_H1971
auto_CLMULHauto_ANDN2061
auto_CLMULHauto_ORN1941
auto_CLMULHauto_XNOR1831
auto_CLMULHauto_ROR2111
auto_CLMULHauto_RORI2121
auto_CLMULHauto_ROL1931
auto_CLMULHauto_REV82121
auto_CLMULHauto_ORC_B1831
auto_CLMULHauto_CLMUL1821
auto_CLMULHauto_CLMULH2191
auto_CLMULHauto_CLMULR1731
auto_CLMULHauto_BSET2061
auto_CLMULHauto_BSETI1841
auto_CLMULHauto_BCLR1851
auto_CLMULHauto_BCLRI1781
auto_CLMULHauto_BINV1871
auto_CLMULHauto_BINVI1821
auto_CLMULHauto_BEXT2121
auto_CLMULHauto_BEXTI1541
auto_CLMULHauto_CSRRW671
auto_CLMULHauto_CSRRS761
auto_CLMULHauto_CSRRC651
auto_CLMULHauto_CSRRWI831
auto_CLMULHauto_CSRRSI691
auto_CLMULHauto_CSRRCI731
auto_CLMULHauto_C_LBU11
auto_CLMULHauto_C_LH11
auto_CLMULHauto_C_SB11
auto_CLMULHauto_C_ZEXT_B781
auto_CLMULHauto_C_SEXT_B991
auto_CLMULHauto_C_ZEXT_H981
auto_CLMULHauto_C_SEXT_H881
auto_CLMULHauto_C_NOT891
auto_CLMULHauto_C_MUL1041
auto_CLMULRauto_LUI1981
auto_CLMULRauto_AUIPC1951
auto_CLMULRauto_JAL591
auto_CLMULRauto_JALR21
auto_CLMULRauto_BEQ601
auto_CLMULRauto_BNE661
auto_CLMULRauto_BLT591
auto_CLMULRauto_BGE501
auto_CLMULRauto_BLTU521
auto_CLMULRauto_BGEU581
auto_CLMULRauto_LB3211
auto_CLMULRauto_LH971
auto_CLMULRauto_LW491
auto_CLMULRauto_LBU3171
auto_CLMULRauto_LHU1061
auto_CLMULRauto_SB3261
auto_CLMULRauto_SH1141
auto_CLMULRauto_SW451
auto_CLMULRauto_ADDI3171
auto_CLMULRauto_SLTI1811
auto_CLMULRauto_SLTIU2101
auto_CLMULRauto_XORI1941
auto_CLMULRauto_ORI2011
auto_CLMULRauto_ANDI2101
auto_CLMULRauto_SLLI1861
auto_CLMULRauto_SRLI1891
auto_CLMULRauto_SRAI2201
auto_CLMULRauto_ADD1571
auto_CLMULRauto_SUB2101
auto_CLMULRauto_SLL2071
auto_CLMULRauto_SLT1881
auto_CLMULRauto_SLTU1911
auto_CLMULRauto_XOR1721
auto_CLMULRauto_SRL2031
auto_CLMULRauto_SRA2061
auto_CLMULRauto_OR2051
auto_CLMULRauto_AND1961
auto_CLMULRauto_FENCE811
auto_CLMULRauto_MRET3321
auto_CLMULRauto_MUL1931
auto_CLMULRauto_MULH2041
auto_CLMULRauto_MULHSU1791
auto_CLMULRauto_MULHU1971
auto_CLMULRauto_DIV2251
auto_CLMULRauto_DIVU2151
auto_CLMULRauto_REM2101
auto_CLMULRauto_REMU1961
auto_CLMULRauto_C_ADDI4SPN941
auto_CLMULRauto_C_NOP5261
auto_CLMULRauto_C_ADDI1121
auto_CLMULRauto_C_JAL271
auto_CLMULRauto_C_LI1161
auto_CLMULRauto_C_ADDI16SP741
auto_CLMULRauto_C_LUI1151
auto_CLMULRauto_C_SRLI961
auto_CLMULRauto_C_SRAI931
auto_CLMULRauto_C_ANDI811
auto_CLMULRauto_C_SUB1141
auto_CLMULRauto_C_XOR821
auto_CLMULRauto_C_OR801
auto_CLMULRauto_C_AND1051
auto_CLMULRauto_C_J361
auto_CLMULRauto_C_BEQZ421
auto_CLMULRauto_C_BNEZ361
auto_CLMULRauto_C_SLLI1091
auto_CLMULRauto_C_LWSP61
auto_CLMULRauto_C_JR11
auto_CLMULRauto_C_MV1121
auto_CLMULRauto_C_JALR41
auto_CLMULRauto_C_ADD1481
auto_CLMULRauto_C_SWSP101
auto_CLMULRauto_SH1ADD2071
auto_CLMULRauto_SH2ADD2201
auto_CLMULRauto_SH3ADD1561
auto_CLMULRauto_CLZ1811
auto_CLMULRauto_CTZ1771
auto_CLMULRauto_CPOP2111
auto_CLMULRauto_MIN1961
auto_CLMULRauto_MAX1871
auto_CLMULRauto_MINU1931
auto_CLMULRauto_MAXU2191
auto_CLMULRauto_SEXT_B1991
auto_CLMULRauto_SEXT_H1781
auto_CLMULRauto_ZEXT_H1911
auto_CLMULRauto_ANDN1891
auto_CLMULRauto_ORN2161
auto_CLMULRauto_XNOR2101
auto_CLMULRauto_ROR1981
auto_CLMULRauto_RORI2191
auto_CLMULRauto_ROL2141
auto_CLMULRauto_REV81911
auto_CLMULRauto_ORC_B2231
auto_CLMULRauto_CLMUL1891
auto_CLMULRauto_CLMULH2031
auto_CLMULRauto_CLMULR2101
auto_CLMULRauto_BSET1831
auto_CLMULRauto_BSETI1741
auto_CLMULRauto_BCLR2021
auto_CLMULRauto_BCLRI1851
auto_CLMULRauto_BINV1791
auto_CLMULRauto_BINVI2031
auto_CLMULRauto_BEXT1921
auto_CLMULRauto_BEXTI1981
auto_CLMULRauto_CSRRW761
auto_CLMULRauto_CSRRS671
auto_CLMULRauto_CSRRC691
auto_CLMULRauto_CSRRWI641
auto_CLMULRauto_CSRRSI701
auto_CLMULRauto_CSRRCI951
auto_CLMULRauto_C_LBU31
auto_CLMULRauto_C_SB31
auto_CLMULRauto_C_ZEXT_B991
auto_CLMULRauto_C_SEXT_B951
auto_CLMULRauto_C_ZEXT_H901
auto_CLMULRauto_C_SEXT_H1101
auto_CLMULRauto_C_NOT991
auto_CLMULRauto_C_MUL931
auto_BSETauto_LUI1981
auto_BSETauto_AUIPC1981
auto_BSETauto_JAL771
auto_BSETauto_JALR111
auto_BSETauto_BEQ411
auto_BSETauto_BNE541
auto_BSETauto_BLT551
auto_BSETauto_BGE531
auto_BSETauto_BLTU681
auto_BSETauto_BGEU671
auto_BSETauto_LB3301
auto_BSETauto_LH1121
auto_BSETauto_LW481
auto_BSETauto_LBU3261
auto_BSETauto_LHU991
auto_BSETauto_SB3371
auto_BSETauto_SH961
auto_BSETauto_SW371
auto_BSETauto_ADDI2971
auto_BSETauto_SLTI1951
auto_BSETauto_SLTIU2191
auto_BSETauto_XORI1991
auto_BSETauto_ORI1861
auto_BSETauto_ANDI1971
auto_BSETauto_SLLI1951
auto_BSETauto_SRLI1941
auto_BSETauto_SRAI1941
auto_BSETauto_ADD1501
auto_BSETauto_SUB2051
auto_BSETauto_SLL2111
auto_BSETauto_SLT2201
auto_BSETauto_SLTU1951
auto_BSETauto_XOR1881
auto_BSETauto_SRL2091
auto_BSETauto_SRA2121
auto_BSETauto_OR1901
auto_BSETauto_AND1761
auto_BSETauto_FENCE761
auto_BSETauto_MRET3421
auto_BSETauto_MUL1971
auto_BSETauto_MULH1821
auto_BSETauto_MULHSU2091
auto_BSETauto_MULHU1971
auto_BSETauto_DIV2201
auto_BSETauto_DIVU1991
auto_BSETauto_REM2021
auto_BSETauto_REMU2011
auto_BSETauto_C_ADDI4SPN701
auto_BSETauto_C_LW11
auto_BSETauto_C_NOP5271
auto_BSETauto_C_ADDI861
auto_BSETauto_C_JAL301
auto_BSETauto_C_LI1021
auto_BSETauto_C_ADDI16SP881
auto_BSETauto_C_LUI1121
auto_BSETauto_C_SRLI951
auto_BSETauto_C_SRAI1011
auto_BSETauto_C_ANDI931
auto_BSETauto_C_SUB891
auto_BSETauto_C_XOR951
auto_BSETauto_C_OR1011
auto_BSETauto_C_AND1091
auto_BSETauto_C_J331
auto_BSETauto_C_BEQZ561
auto_BSETauto_C_BNEZ501
auto_BSETauto_C_SLLI1211
auto_BSETauto_C_LWSP41
auto_BSETauto_C_JR41
auto_BSETauto_C_MV1111
auto_BSETauto_C_JALR21
auto_BSETauto_C_ADD1411
auto_BSETauto_C_SWSP101
auto_BSETauto_SH1ADD1781
auto_BSETauto_SH2ADD1981
auto_BSETauto_SH3ADD1881
auto_BSETauto_CLZ2061
auto_BSETauto_CTZ2101
auto_BSETauto_CPOP2171
auto_BSETauto_MIN2181
auto_BSETauto_MAX1871
auto_BSETauto_MINU2011
auto_BSETauto_MAXU1981
auto_BSETauto_SEXT_B2101
auto_BSETauto_SEXT_H1911
auto_BSETauto_ZEXT_H1901
auto_BSETauto_ANDN2061
auto_BSETauto_ORN2231
auto_BSETauto_XNOR1981
auto_BSETauto_ROR2241
auto_BSETauto_RORI2231
auto_BSETauto_ROL1981
auto_BSETauto_REV81871
auto_BSETauto_ORC_B2381
auto_BSETauto_CLMUL1721
auto_BSETauto_CLMULH1861
auto_BSETauto_CLMULR2051
auto_BSETauto_BSET2131
auto_BSETauto_BSETI2021
auto_BSETauto_BCLR1921
auto_BSETauto_BCLRI2021
auto_BSETauto_BINV1951
auto_BSETauto_BINVI1941
auto_BSETauto_BEXT1911
auto_BSETauto_BEXTI2031
auto_BSETauto_CSRRW641
auto_BSETauto_CSRRS841
auto_BSETauto_CSRRC631
auto_BSETauto_CSRRWI721
auto_BSETauto_CSRRSI821
auto_BSETauto_CSRRCI641
auto_BSETauto_C_LBU21
auto_BSETauto_C_LHU11
auto_BSETauto_C_SB31
auto_BSETauto_C_ZEXT_B1021
auto_BSETauto_C_SEXT_B891
auto_BSETauto_C_ZEXT_H1081
auto_BSETauto_C_SEXT_H891
auto_BSETauto_C_NOT911
auto_BSETauto_C_MUL1251
auto_BSETIauto_LUI1901
auto_BSETIauto_AUIPC1801
auto_BSETIauto_JAL751
auto_BSETIauto_JALR91
auto_BSETIauto_BEQ481
auto_BSETIauto_BNE651
auto_BSETIauto_BLT621
auto_BSETIauto_BGE631
auto_BSETIauto_BLTU581
auto_BSETIauto_BGEU631
auto_BSETIauto_LB3511
auto_BSETIauto_LH951
auto_BSETIauto_LW561
auto_BSETIauto_LBU3091
auto_BSETIauto_LHU1051
auto_BSETIauto_SB2971
auto_BSETIauto_SH1041
auto_BSETIauto_SW541
auto_BSETIauto_ADDI3081
auto_BSETIauto_SLTI2051
auto_BSETIauto_SLTIU1801
auto_BSETIauto_XORI1911
auto_BSETIauto_ORI2061
auto_BSETIauto_ANDI2001
auto_BSETIauto_SLLI1711
auto_BSETIauto_SRLI2371
auto_BSETIauto_SRAI2091
auto_BSETIauto_ADD1571
auto_BSETIauto_SUB1931
auto_BSETIauto_SLL2041
auto_BSETIauto_SLT1931
auto_BSETIauto_SLTU1851
auto_BSETIauto_XOR2161
auto_BSETIauto_SRL1891
auto_BSETIauto_SRA1851
auto_BSETIauto_OR1901
auto_BSETIauto_AND1961
auto_BSETIauto_FENCE701
auto_BSETIauto_MRET3261
auto_BSETIauto_MUL1911
auto_BSETIauto_MULH1921
auto_BSETIauto_MULHSU1851
auto_BSETIauto_MULHU2011
auto_BSETIauto_DIV1811
auto_BSETIauto_DIVU1841
auto_BSETIauto_REM2071
auto_BSETIauto_REMU1971
auto_BSETIauto_C_ADDI4SPN851
auto_BSETIauto_C_LW11
auto_BSETIauto_C_SW11
auto_BSETIauto_C_NOP5131
auto_BSETIauto_C_ADDI891
auto_BSETIauto_C_JAL331
auto_BSETIauto_C_LI1221
auto_BSETIauto_C_ADDI16SP931
auto_BSETIauto_C_LUI1061
auto_BSETIauto_C_SRLI851
auto_BSETIauto_C_SRAI811
auto_BSETIauto_C_ANDI701
auto_BSETIauto_C_SUB1161
auto_BSETIauto_C_XOR1171
auto_BSETIauto_C_OR951
auto_BSETIauto_C_AND1191
auto_BSETIauto_C_J261
auto_BSETIauto_C_BEQZ521
auto_BSETIauto_C_BNEZ581
auto_BSETIauto_C_SLLI1341
auto_BSETIauto_C_LWSP71
auto_BSETIauto_C_JR21
auto_BSETIauto_C_MV1191
auto_BSETIauto_C_ADD1321
auto_BSETIauto_C_SWSP21
auto_BSETIauto_SH1ADD2171
auto_BSETIauto_SH2ADD2041
auto_BSETIauto_SH3ADD1961
auto_BSETIauto_CLZ1991
auto_BSETIauto_CTZ1861
auto_BSETIauto_CPOP2511
auto_BSETIauto_MIN2151
auto_BSETIauto_MAX1941
auto_BSETIauto_MINU1861
auto_BSETIauto_MAXU2011
auto_BSETIauto_SEXT_B2311
auto_BSETIauto_SEXT_H1981
auto_BSETIauto_ZEXT_H2351
auto_BSETIauto_ANDN2011
auto_BSETIauto_ORN1881
auto_BSETIauto_XNOR2051
auto_BSETIauto_ROR2191
auto_BSETIauto_RORI1931
auto_BSETIauto_ROL2011
auto_BSETIauto_REV82081
auto_BSETIauto_ORC_B1951
auto_BSETIauto_CLMUL1951
auto_BSETIauto_CLMULH1901
auto_BSETIauto_CLMULR1971
auto_BSETIauto_BSET2061
auto_BSETIauto_BSETI1951
auto_BSETIauto_BCLR1761
auto_BSETIauto_BCLRI2101
auto_BSETIauto_BINV1731
auto_BSETIauto_BINVI1941
auto_BSETIauto_BEXT1941
auto_BSETIauto_BEXTI1731
auto_BSETIauto_CSRRW681
auto_BSETIauto_CSRRS641
auto_BSETIauto_CSRRC761
auto_BSETIauto_CSRRWI791
auto_BSETIauto_CSRRSI761
auto_BSETIauto_CSRRCI651
auto_BSETIauto_C_LBU31
auto_BSETIauto_C_SB21
auto_BSETIauto_C_ZEXT_B881
auto_BSETIauto_C_SEXT_B941
auto_BSETIauto_C_ZEXT_H1021
auto_BSETIauto_C_SEXT_H791
auto_BSETIauto_C_NOT961
auto_BSETIauto_C_MUL961
auto_BCLRauto_LUI1791
auto_BCLRauto_AUIPC2331
auto_BCLRauto_JAL851
auto_BCLRauto_JALR11
auto_BCLRauto_BEQ671
auto_BCLRauto_BNE461
auto_BCLRauto_BLT611
auto_BCLRauto_BGE471
auto_BCLRauto_BLTU541
auto_BCLRauto_BGEU601
auto_BCLRauto_LB3171
auto_BCLRauto_LH1111
auto_BCLRauto_LW421
auto_BCLRauto_LBU2961
auto_BCLRauto_LHU951
auto_BCLRauto_SB3081
auto_BCLRauto_SH851
auto_BCLRauto_SW451
auto_BCLRauto_ADDI3211
auto_BCLRauto_SLTI1861
auto_BCLRauto_SLTIU2071
auto_BCLRauto_XORI1991
auto_BCLRauto_ORI1841
auto_BCLRauto_ANDI1951
auto_BCLRauto_SLLI1941
auto_BCLRauto_SRLI1731
auto_BCLRauto_SRAI2091
auto_BCLRauto_ADD1791
auto_BCLRauto_SUB2071
auto_BCLRauto_SLL1861
auto_BCLRauto_SLT1961
auto_BCLRauto_SLTU2041
auto_BCLRauto_XOR1841
auto_BCLRauto_SRL2031
auto_BCLRauto_SRA1991
auto_BCLRauto_OR1911
auto_BCLRauto_AND1711
auto_BCLRauto_FENCE821
auto_BCLRauto_MRET3391
auto_BCLRauto_MUL1701
auto_BCLRauto_MULH2181
auto_BCLRauto_MULHSU1811
auto_BCLRauto_MULHU2101
auto_BCLRauto_DIV1861
auto_BCLRauto_DIVU2071
auto_BCLRauto_REM2361
auto_BCLRauto_REMU1721
auto_BCLRauto_C_ADDI4SPN841
auto_BCLRauto_C_SW11
auto_BCLRauto_C_NOP5231
auto_BCLRauto_C_ADDI1251
auto_BCLRauto_C_JAL271
auto_BCLRauto_C_LI1031
auto_BCLRauto_C_ADDI16SP691
auto_BCLRauto_C_LUI961
auto_BCLRauto_C_SRLI941
auto_BCLRauto_C_SRAI1001
auto_BCLRauto_C_ANDI841
auto_BCLRauto_C_SUB801
auto_BCLRauto_C_XOR1031
auto_BCLRauto_C_OR851
auto_BCLRauto_C_AND871
auto_BCLRauto_C_J351
auto_BCLRauto_C_BEQZ531
auto_BCLRauto_C_BNEZ491
auto_BCLRauto_C_SLLI781
auto_BCLRauto_C_LWSP91
auto_BCLRauto_C_JR11
auto_BCLRauto_C_MV1181
auto_BCLRauto_C_ADD1511
auto_BCLRauto_C_SWSP31
auto_BCLRauto_SH1ADD2191
auto_BCLRauto_SH2ADD1991
auto_BCLRauto_SH3ADD1701
auto_BCLRauto_CLZ2301
auto_BCLRauto_CTZ1841
auto_BCLRauto_CPOP1851
auto_BCLRauto_MIN2111
auto_BCLRauto_MAX2151
auto_BCLRauto_MINU1691
auto_BCLRauto_MAXU1821
auto_BCLRauto_SEXT_B1871
auto_BCLRauto_SEXT_H1981
auto_BCLRauto_ZEXT_H1961
auto_BCLRauto_ANDN2041
auto_BCLRauto_ORN2191
auto_BCLRauto_XNOR1911
auto_BCLRauto_ROR1981
auto_BCLRauto_RORI1841
auto_BCLRauto_ROL1911
auto_BCLRauto_REV81971
auto_BCLRauto_ORC_B2161
auto_BCLRauto_CLMUL1991
auto_BCLRauto_CLMULH2251
auto_BCLRauto_CLMULR1871
auto_BCLRauto_BSET2191
auto_BCLRauto_BSETI2151
auto_BCLRauto_BCLR1971
auto_BCLRauto_BCLRI1861
auto_BCLRauto_BINV2051
auto_BCLRauto_BINVI1981
auto_BCLRauto_BEXT2331
auto_BCLRauto_BEXTI2051
auto_BCLRauto_CSRRW801
auto_BCLRauto_CSRRS731
auto_BCLRauto_CSRRC721
auto_BCLRauto_CSRRWI641
auto_BCLRauto_CSRRSI611
auto_BCLRauto_CSRRCI631
auto_BCLRauto_C_LBU41
auto_BCLRauto_C_SB11
auto_BCLRauto_C_SH11
auto_BCLRauto_C_ZEXT_B901
auto_BCLRauto_C_SEXT_B1231
auto_BCLRauto_C_ZEXT_H851
auto_BCLRauto_C_SEXT_H701
auto_BCLRauto_C_NOT1041
auto_BCLRauto_C_MUL1181
auto_BCLRIauto_LUI2021
auto_BCLRIauto_AUIPC2041
auto_BCLRIauto_JAL731
auto_BCLRIauto_BEQ611
auto_BCLRIauto_BNE431
auto_BCLRIauto_BLT531
auto_BCLRIauto_BGE571
auto_BCLRIauto_BLTU641
auto_BCLRIauto_BGEU651
auto_BCLRIauto_LB3191
auto_BCLRIauto_LH1111
auto_BCLRIauto_LW511
auto_BCLRIauto_LBU2981
auto_BCLRIauto_LHU1171
auto_BCLRIauto_SB3251
auto_BCLRIauto_SH991
auto_BCLRIauto_SW521
auto_BCLRIauto_ADDI3041
auto_BCLRIauto_SLTI2081
auto_BCLRIauto_SLTIU2081
auto_BCLRIauto_XORI1941
auto_BCLRIauto_ORI1891
auto_BCLRIauto_ANDI1671
auto_BCLRIauto_SLLI1791
auto_BCLRIauto_SRLI1821
auto_BCLRIauto_SRAI2071
auto_BCLRIauto_ADD1471
auto_BCLRIauto_SUB1771
auto_BCLRIauto_SLL2071
auto_BCLRIauto_SLT1921
auto_BCLRIauto_SLTU2091
auto_BCLRIauto_XOR2121
auto_BCLRIauto_SRL2071
auto_BCLRIauto_SRA2141
auto_BCLRIauto_OR1961
auto_BCLRIauto_AND1891
auto_BCLRIauto_FENCE621
auto_BCLRIauto_MRET3171
auto_BCLRIauto_MUL2091
auto_BCLRIauto_MULH1821
auto_BCLRIauto_MULHSU2131
auto_BCLRIauto_MULHU2021
auto_BCLRIauto_DIV2251
auto_BCLRIauto_DIVU2311
auto_BCLRIauto_REM2131
auto_BCLRIauto_REMU2031
auto_BCLRIauto_C_ADDI4SPN781
auto_BCLRIauto_C_SW31
auto_BCLRIauto_C_NOP5231
auto_BCLRIauto_C_ADDI1301
auto_BCLRIauto_C_JAL331
auto_BCLRIauto_C_LI1111
auto_BCLRIauto_C_ADDI16SP731
auto_BCLRIauto_C_LUI1021
auto_BCLRIauto_C_SRLI1061
auto_BCLRIauto_C_SRAI1091
auto_BCLRIauto_C_ANDI1101
auto_BCLRIauto_C_SUB1101
auto_BCLRIauto_C_XOR891
auto_BCLRIauto_C_OR1071
auto_BCLRIauto_C_AND891
auto_BCLRIauto_C_J301
auto_BCLRIauto_C_BEQZ561
auto_BCLRIauto_C_BNEZ391
auto_BCLRIauto_C_SLLI1231
auto_BCLRIauto_C_LWSP51
auto_BCLRIauto_C_MV791
auto_BCLRIauto_C_ADD1331
auto_BCLRIauto_C_SWSP51
auto_BCLRIauto_SH1ADD2061
auto_BCLRIauto_SH2ADD1801
auto_BCLRIauto_SH3ADD2131
auto_BCLRIauto_CLZ2021
auto_BCLRIauto_CTZ1991
auto_BCLRIauto_CPOP2111
auto_BCLRIauto_MIN1791
auto_BCLRIauto_MAX2081
auto_BCLRIauto_MINU2091
auto_BCLRIauto_MAXU2111
auto_BCLRIauto_SEXT_B1911
auto_BCLRIauto_SEXT_H2031
auto_BCLRIauto_ZEXT_H2021
auto_BCLRIauto_ANDN1901
auto_BCLRIauto_ORN2131
auto_BCLRIauto_XNOR1991
auto_BCLRIauto_ROR1871
auto_BCLRIauto_RORI1911
auto_BCLRIauto_ROL2231
auto_BCLRIauto_REV82051
auto_BCLRIauto_ORC_B2181
auto_BCLRIauto_CLMUL2001
auto_BCLRIauto_CLMULH2001
auto_BCLRIauto_CLMULR2071
auto_BCLRIauto_BSET2121
auto_BCLRIauto_BSETI1981
auto_BCLRIauto_BCLR1841
auto_BCLRIauto_BCLRI2191
auto_BCLRIauto_BINV1971
auto_BCLRIauto_BINVI1931
auto_BCLRIauto_BEXT1721
auto_BCLRIauto_BEXTI1971
auto_BCLRIauto_CSRRW691
auto_BCLRIauto_CSRRS691
auto_BCLRIauto_CSRRC681
auto_BCLRIauto_CSRRWI671
auto_BCLRIauto_CSRRSI851
auto_BCLRIauto_CSRRCI541
auto_BCLRIauto_C_LBU11
auto_BCLRIauto_C_LHU11
auto_BCLRIauto_C_SB41
auto_BCLRIauto_C_SH11
auto_BCLRIauto_C_ZEXT_B791
auto_BCLRIauto_C_SEXT_B771
auto_BCLRIauto_C_ZEXT_H911
auto_BCLRIauto_C_SEXT_H921
auto_BCLRIauto_C_NOT931
auto_BCLRIauto_C_MUL821
auto_BINVauto_LUI1991
auto_BINVauto_AUIPC1821
auto_BINVauto_JAL661
auto_BINVauto_JALR21
auto_BINVauto_BEQ641
auto_BINVauto_BNE681
auto_BINVauto_BLT551
auto_BINVauto_BGE581
auto_BINVauto_BLTU541
auto_BINVauto_BGEU621
auto_BINVauto_LB3291
auto_BINVauto_LH941
auto_BINVauto_LW371
auto_BINVauto_LBU3101
auto_BINVauto_LHU1041
auto_BINVauto_SB3171
auto_BINVauto_SH921
auto_BINVauto_SW301
auto_BINVauto_ADDI3271
auto_BINVauto_SLTI1991
auto_BINVauto_SLTIU2061
auto_BINVauto_XORI1841
auto_BINVauto_ORI2021
auto_BINVauto_ANDI1961
auto_BINVauto_SLLI2011
auto_BINVauto_SRLI2001
auto_BINVauto_SRAI1921
auto_BINVauto_ADD1491
auto_BINVauto_SUB1831
auto_BINVauto_SLL1861
auto_BINVauto_SLT1951
auto_BINVauto_SLTU2051
auto_BINVauto_XOR1921
auto_BINVauto_SRL1861
auto_BINVauto_SRA1681
auto_BINVauto_OR1941
auto_BINVauto_AND1711
auto_BINVauto_FENCE701
auto_BINVauto_MRET3431
auto_BINVauto_MUL2081
auto_BINVauto_MULH1881
auto_BINVauto_MULHSU2091
auto_BINVauto_MULHU2041
auto_BINVauto_DIV1871
auto_BINVauto_DIVU1911
auto_BINVauto_REM1741
auto_BINVauto_REMU2021
auto_BINVauto_C_ADDI4SPN861
auto_BINVauto_C_LW11
auto_BINVauto_C_NOP5351
auto_BINVauto_C_ADDI1201
auto_BINVauto_C_JAL351
auto_BINVauto_C_LI1071
auto_BINVauto_C_ADDI16SP791
auto_BINVauto_C_LUI971
auto_BINVauto_C_SRLI961
auto_BINVauto_C_SRAI1131
auto_BINVauto_C_ANDI901
auto_BINVauto_C_SUB951
auto_BINVauto_C_XOR851
auto_BINVauto_C_OR1161
auto_BINVauto_C_AND841
auto_BINVauto_C_J351
auto_BINVauto_C_BEQZ481
auto_BINVauto_C_BNEZ421
auto_BINVauto_C_SLLI1231
auto_BINVauto_C_LWSP111
auto_BINVauto_C_JR21
auto_BINVauto_C_MV981
auto_BINVauto_C_JALR41
auto_BINVauto_C_ADD1351
auto_BINVauto_C_SWSP51
auto_BINVauto_SH1ADD1931
auto_BINVauto_SH2ADD2021
auto_BINVauto_SH3ADD1781
auto_BINVauto_CLZ2161
auto_BINVauto_CTZ1951
auto_BINVauto_CPOP2201
auto_BINVauto_MIN1891
auto_BINVauto_MAX2191
auto_BINVauto_MINU2181
auto_BINVauto_MAXU2011
auto_BINVauto_SEXT_B2051
auto_BINVauto_SEXT_H1821
auto_BINVauto_ZEXT_H2171
auto_BINVauto_ANDN2021
auto_BINVauto_ORN2071
auto_BINVauto_XNOR1911
auto_BINVauto_ROR1871
auto_BINVauto_RORI1781
auto_BINVauto_ROL2111
auto_BINVauto_REV81791
auto_BINVauto_ORC_B2211
auto_BINVauto_CLMUL2131
auto_BINVauto_CLMULH1791
auto_BINVauto_CLMULR1821
auto_BINVauto_BSET1851
auto_BINVauto_BSETI2181
auto_BINVauto_BCLR2141
auto_BINVauto_BCLRI2221
auto_BINVauto_BINV1901
auto_BINVauto_BINVI1931
auto_BINVauto_BEXT1941
auto_BINVauto_BEXTI2211
auto_BINVauto_CSRRW651
auto_BINVauto_CSRRS521
auto_BINVauto_CSRRC501
auto_BINVauto_CSRRWI691
auto_BINVauto_CSRRSI701
auto_BINVauto_CSRRCI641
auto_BINVauto_C_LBU31
auto_BINVauto_C_LHU11
auto_BINVauto_C_SB31
auto_BINVauto_C_ZEXT_B921
auto_BINVauto_C_SEXT_B831
auto_BINVauto_C_ZEXT_H951
auto_BINVauto_C_SEXT_H1021
auto_BINVauto_C_NOT931
auto_BINVauto_C_MUL1191
auto_BINVIauto_LUI2151
auto_BINVIauto_AUIPC1791
auto_BINVIauto_JAL751
auto_BINVIauto_JALR31
auto_BINVIauto_BEQ511
auto_BINVIauto_BNE531
auto_BINVIauto_BLT621
auto_BINVIauto_BGE621
auto_BINVIauto_BLTU661
auto_BINVIauto_BGEU601
auto_BINVIauto_LB3311
auto_BINVIauto_LH901
auto_BINVIauto_LW411
auto_BINVIauto_LBU3221
auto_BINVIauto_LHU1221
auto_BINVIauto_SB3201
auto_BINVIauto_SH1121
auto_BINVIauto_SW501
auto_BINVIauto_ADDI3121
auto_BINVIauto_SLTI2171
auto_BINVIauto_SLTIU2091
auto_BINVIauto_XORI1721
auto_BINVIauto_ORI2171
auto_BINVIauto_ANDI1951
auto_BINVIauto_SLLI2241
auto_BINVIauto_SRLI1971
auto_BINVIauto_SRAI1881
auto_BINVIauto_ADD1451
auto_BINVIauto_SUB1521
auto_BINVIauto_SLL2001
auto_BINVIauto_SLT1531
auto_BINVIauto_SLTU2121
auto_BINVIauto_XOR1911
auto_BINVIauto_SRL2091
auto_BINVIauto_SRA2111
auto_BINVIauto_OR2021
auto_BINVIauto_AND2171
auto_BINVIauto_FENCE831
auto_BINVIauto_MRET3511
auto_BINVIauto_MUL1941
auto_BINVIauto_MULH2061
auto_BINVIauto_MULHSU1781
auto_BINVIauto_MULHU1941
auto_BINVIauto_DIV2261
auto_BINVIauto_DIVU1981
auto_BINVIauto_REM1861
auto_BINVIauto_REMU2131
auto_BINVIauto_C_ADDI4SPN831
auto_BINVIauto_C_LW11
auto_BINVIauto_C_SW11
auto_BINVIauto_C_NOP4751
auto_BINVIauto_C_ADDI1051
auto_BINVIauto_C_JAL361
auto_BINVIauto_C_LI1241
auto_BINVIauto_C_ADDI16SP801
auto_BINVIauto_C_LUI1131
auto_BINVIauto_C_SRLI801
auto_BINVIauto_C_SRAI931
auto_BINVIauto_C_ANDI891
auto_BINVIauto_C_SUB701
auto_BINVIauto_C_XOR911
auto_BINVIauto_C_OR1061
auto_BINVIauto_C_AND1061
auto_BINVIauto_C_J361
auto_BINVIauto_C_BEQZ501
auto_BINVIauto_C_BNEZ411
auto_BINVIauto_C_SLLI1291
auto_BINVIauto_C_LWSP11
auto_BINVIauto_C_MV971
auto_BINVIauto_C_JALR121
auto_BINVIauto_C_ADD1511
auto_BINVIauto_C_SWSP71
auto_BINVIauto_SH1ADD2131
auto_BINVIauto_SH2ADD2311
auto_BINVIauto_SH3ADD2021
auto_BINVIauto_CLZ2051
auto_BINVIauto_CTZ1971
auto_BINVIauto_CPOP1981
auto_BINVIauto_MIN2081
auto_BINVIauto_MAX1821
auto_BINVIauto_MINU1921
auto_BINVIauto_MAXU1851
auto_BINVIauto_SEXT_B1881
auto_BINVIauto_SEXT_H2041
auto_BINVIauto_ZEXT_H1571
auto_BINVIauto_ANDN1851
auto_BINVIauto_ORN2101
auto_BINVIauto_XNOR2261
auto_BINVIauto_ROR2181
auto_BINVIauto_RORI1851
auto_BINVIauto_ROL1771
auto_BINVIauto_REV82151
auto_BINVIauto_ORC_B2161
auto_BINVIauto_CLMUL1641
auto_BINVIauto_CLMULH1651
auto_BINVIauto_CLMULR1931
auto_BINVIauto_BSET2011
auto_BINVIauto_BSETI1881
auto_BINVIauto_BCLR2001
auto_BINVIauto_BCLRI1851
auto_BINVIauto_BINV1611
auto_BINVIauto_BINVI1951
auto_BINVIauto_BEXT1991
auto_BINVIauto_BEXTI2081
auto_BINVIauto_CSRRW801
auto_BINVIauto_CSRRS631
auto_BINVIauto_CSRRC681
auto_BINVIauto_CSRRWI701
auto_BINVIauto_CSRRSI651
auto_BINVIauto_CSRRCI741
auto_BINVIauto_C_LBU51
auto_BINVIauto_C_LH11
auto_BINVIauto_C_SB51
auto_BINVIauto_C_ZEXT_B821
auto_BINVIauto_C_SEXT_B921
auto_BINVIauto_C_ZEXT_H1041
auto_BINVIauto_C_SEXT_H1011
auto_BINVIauto_C_NOT921
auto_BINVIauto_C_MUL811
auto_BEXTauto_LUI1841
auto_BEXTauto_AUIPC1961
auto_BEXTauto_JAL591
auto_BEXTauto_JALR11
auto_BEXTauto_BEQ621
auto_BEXTauto_BNE671
auto_BEXTauto_BLT471
auto_BEXTauto_BGE591
auto_BEXTauto_BLTU551
auto_BEXTauto_BGEU591
auto_BEXTauto_LB3341
auto_BEXTauto_LH1071
auto_BEXTauto_LW471
auto_BEXTauto_LBU3371
auto_BEXTauto_LHU1091
auto_BEXTauto_SB3251
auto_BEXTauto_SH941
auto_BEXTauto_SW411
auto_BEXTauto_ADDI3371
auto_BEXTauto_SLTI1931
auto_BEXTauto_SLTIU2071
auto_BEXTauto_XORI1921
auto_BEXTauto_ORI1841
auto_BEXTauto_ANDI1951
auto_BEXTauto_SLLI2341
auto_BEXTauto_SRLI2071
auto_BEXTauto_SRAI2211
auto_BEXTauto_ADD1541
auto_BEXTauto_SUB1741
auto_BEXTauto_SLL1791
auto_BEXTauto_SLT1981
auto_BEXTauto_SLTU2171
auto_BEXTauto_XOR2031
auto_BEXTauto_SRL2161
auto_BEXTauto_SRA2231
auto_BEXTauto_OR1751
auto_BEXTauto_AND1931
auto_BEXTauto_FENCE891
auto_BEXTauto_MRET3381
auto_BEXTauto_MUL1891
auto_BEXTauto_MULH1691
auto_BEXTauto_MULHSU1851
auto_BEXTauto_MULHU1891
auto_BEXTauto_DIV1951
auto_BEXTauto_DIVU1911
auto_BEXTauto_REM1841
auto_BEXTauto_REMU2271
auto_BEXTauto_C_ADDI4SPN741
auto_BEXTauto_C_LW11
auto_BEXTauto_C_NOP5461
auto_BEXTauto_C_ADDI1021
auto_BEXTauto_C_JAL161
auto_BEXTauto_C_LI921
auto_BEXTauto_C_ADDI16SP791
auto_BEXTauto_C_LUI1031
auto_BEXTauto_C_SRLI1081
auto_BEXTauto_C_SRAI1041
auto_BEXTauto_C_ANDI791
auto_BEXTauto_C_SUB1001
auto_BEXTauto_C_XOR1001
auto_BEXTauto_C_OR881
auto_BEXTauto_C_AND1041
auto_BEXTauto_C_J311
auto_BEXTauto_C_BEQZ481
auto_BEXTauto_C_BNEZ531
auto_BEXTauto_C_SLLI1081
auto_BEXTauto_C_LWSP41
auto_BEXTauto_C_MV1031
auto_BEXTauto_C_ADD1271
auto_BEXTauto_C_SWSP41
auto_BEXTauto_SH1ADD2141
auto_BEXTauto_SH2ADD1751
auto_BEXTauto_SH3ADD1971
auto_BEXTauto_CLZ2271
auto_BEXTauto_CTZ1931
auto_BEXTauto_CPOP1901
auto_BEXTauto_MIN1901
auto_BEXTauto_MAX2041
auto_BEXTauto_MINU1941
auto_BEXTauto_MAXU1911
auto_BEXTauto_SEXT_B2341
auto_BEXTauto_SEXT_H1971
auto_BEXTauto_ZEXT_H2191
auto_BEXTauto_ANDN1791
auto_BEXTauto_ORN1791
auto_BEXTauto_XNOR2301
auto_BEXTauto_ROR2051
auto_BEXTauto_RORI1971
auto_BEXTauto_ROL2001
auto_BEXTauto_REV81891
auto_BEXTauto_ORC_B2061
auto_BEXTauto_CLMUL2111
auto_BEXTauto_CLMULH1741
auto_BEXTauto_CLMULR2161
auto_BEXTauto_BSET1871
auto_BEXTauto_BSETI1911
auto_BEXTauto_BCLR1931
auto_BEXTauto_BCLRI1971
auto_BEXTauto_BINV2011
auto_BEXTauto_BINVI2021
auto_BEXTauto_BEXT2051
auto_BEXTauto_BEXTI1781
auto_BEXTauto_CSRRW851
auto_BEXTauto_CSRRS851
auto_BEXTauto_CSRRC601
auto_BEXTauto_CSRRWI581
auto_BEXTauto_CSRRSI831
auto_BEXTauto_CSRRCI731
auto_BEXTauto_C_LH11
auto_BEXTauto_C_SB21
auto_BEXTauto_C_SH11
auto_BEXTauto_C_ZEXT_B801
auto_BEXTauto_C_SEXT_B801
auto_BEXTauto_C_ZEXT_H891
auto_BEXTauto_C_SEXT_H891
auto_BEXTauto_C_NOT821
auto_BEXTauto_C_MUL961
auto_BEXTIauto_LUI2091
auto_BEXTIauto_AUIPC2121
auto_BEXTIauto_JAL661
auto_BEXTIauto_JALR41
auto_BEXTIauto_BEQ711
auto_BEXTIauto_BNE601
auto_BEXTIauto_BLT481
auto_BEXTIauto_BGE571
auto_BEXTIauto_BLTU471
auto_BEXTIauto_BGEU691
auto_BEXTIauto_LB3221
auto_BEXTIauto_LH1191
auto_BEXTIauto_LW381
auto_BEXTIauto_LBU2941
auto_BEXTIauto_LHU871
auto_BEXTIauto_SB3321
auto_BEXTIauto_SH1001
auto_BEXTIauto_SW611
auto_BEXTIauto_ADDI3401
auto_BEXTIauto_SLTI1791
auto_BEXTIauto_SLTIU2151
auto_BEXTIauto_XORI1881
auto_BEXTIauto_ORI2021
auto_BEXTIauto_ANDI1921
auto_BEXTIauto_SLLI2081
auto_BEXTIauto_SRLI1961
auto_BEXTIauto_SRAI2221
auto_BEXTIauto_ADD1681
auto_BEXTIauto_SUB1941
auto_BEXTIauto_SLL2041
auto_BEXTIauto_SLT1981
auto_BEXTIauto_SLTU1771
auto_BEXTIauto_XOR2031
auto_BEXTIauto_SRL1701
auto_BEXTIauto_SRA1871
auto_BEXTIauto_OR1921
auto_BEXTIauto_AND1821
auto_BEXTIauto_FENCE641
auto_BEXTIauto_MRET3261
auto_BEXTIauto_MUL1911
auto_BEXTIauto_MULH2181
auto_BEXTIauto_MULHSU2031
auto_BEXTIauto_MULHU1921
auto_BEXTIauto_DIV1931
auto_BEXTIauto_DIVU2351
auto_BEXTIauto_REM2011
auto_BEXTIauto_REMU2151
auto_BEXTIauto_C_ADDI4SPN751
auto_BEXTIauto_C_SW11
auto_BEXTIauto_C_NOP5341
auto_BEXTIauto_C_ADDI1071
auto_BEXTIauto_C_JAL231
auto_BEXTIauto_C_LI991
auto_BEXTIauto_C_ADDI16SP851
auto_BEXTIauto_C_LUI1101
auto_BEXTIauto_C_SRLI1021
auto_BEXTIauto_C_SRAI961
auto_BEXTIauto_C_ANDI1061
auto_BEXTIauto_C_SUB891
auto_BEXTIauto_C_XOR891
auto_BEXTIauto_C_OR871
auto_BEXTIauto_C_AND1041
auto_BEXTIauto_C_J241
auto_BEXTIauto_C_BEQZ571
auto_BEXTIauto_C_BNEZ421
auto_BEXTIauto_C_SLLI991
auto_BEXTIauto_C_LWSP61
auto_BEXTIauto_C_MV1141
auto_BEXTIauto_C_JALR11
auto_BEXTIauto_C_ADD1291
auto_BEXTIauto_C_SWSP41
auto_BEXTIauto_SH1ADD2141
auto_BEXTIauto_SH2ADD1991
auto_BEXTIauto_SH3ADD2211
auto_BEXTIauto_CLZ1891
auto_BEXTIauto_CTZ1811
auto_BEXTIauto_CPOP2101
auto_BEXTIauto_MIN1911
auto_BEXTIauto_MAX1961
auto_BEXTIauto_MINU2061
auto_BEXTIauto_MAXU2031
auto_BEXTIauto_SEXT_B2171
auto_BEXTIauto_SEXT_H2101
auto_BEXTIauto_ZEXT_H1711
auto_BEXTIauto_ANDN2061
auto_BEXTIauto_ORN2031
auto_BEXTIauto_XNOR2051
auto_BEXTIauto_ROR2091
auto_BEXTIauto_RORI1851
auto_BEXTIauto_ROL1911
auto_BEXTIauto_REV82081
auto_BEXTIauto_ORC_B1991
auto_BEXTIauto_CLMUL2041
auto_BEXTIauto_CLMULH1901
auto_BEXTIauto_CLMULR2171
auto_BEXTIauto_BSET1851
auto_BEXTIauto_BSETI2101
auto_BEXTIauto_BCLR1781
auto_BEXTIauto_BCLRI2091
auto_BEXTIauto_BINV2141
auto_BEXTIauto_BINVI1931
auto_BEXTIauto_BEXT1961
auto_BEXTIauto_BEXTI1851
auto_BEXTIauto_CSRRW731
auto_BEXTIauto_CSRRS671
auto_BEXTIauto_CSRRC571
auto_BEXTIauto_CSRRWI801
auto_BEXTIauto_CSRRSI611
auto_BEXTIauto_CSRRCI681
auto_BEXTIauto_C_LBU21
auto_BEXTIauto_C_SB21
auto_BEXTIauto_C_ZEXT_B1271
auto_BEXTIauto_C_SEXT_B971
auto_BEXTIauto_C_ZEXT_H911
auto_BEXTIauto_C_SEXT_H911
auto_BEXTIauto_C_NOT991
auto_BEXTIauto_C_MUL1161
auto_CSRRWauto_LUI2241
auto_CSRRWauto_AUIPC711
auto_CSRRWauto_JAL2601
auto_CSRRWauto_BEQ401
auto_CSRRWauto_BNE571
auto_CSRRWauto_BLT691
auto_CSRRWauto_BGE561
auto_CSRRWauto_BLTU611
auto_CSRRWauto_BGEU681
auto_CSRRWauto_LB4971
auto_CSRRWauto_LH1131
auto_CSRRWauto_LW391
auto_CSRRWauto_LBU3371
auto_CSRRWauto_LHU1111
auto_CSRRWauto_SB3201
auto_CSRRWauto_SH751
auto_CSRRWauto_SW461
auto_CSRRWauto_ADDI84691
auto_CSRRWauto_SLTI711
auto_CSRRWauto_SLTIU711
auto_CSRRWauto_XORI491
auto_CSRRWauto_ORI24091
auto_CSRRWauto_ANDI691
auto_CSRRWauto_SLLI721
auto_CSRRWauto_SRLI721
auto_CSRRWauto_SRAI631
auto_CSRRWauto_ADD2571
auto_CSRRWauto_SUB771
auto_CSRRWauto_SLL581
auto_CSRRWauto_SLT841
auto_CSRRWauto_SLTU751
auto_CSRRWauto_XOR621
auto_CSRRWauto_SRL571
auto_CSRRWauto_SRA731
auto_CSRRWauto_OR571
auto_CSRRWauto_AND761
auto_CSRRWauto_FENCE2491
auto_CSRRWauto_ECALL1821
auto_CSRRWauto_MRET7061
auto_CSRRWauto_WFI1821
auto_CSRRWauto_MUL2631
auto_CSRRWauto_MULH2541
auto_CSRRWauto_MULHSU701
auto_CSRRWauto_MULHU651
auto_CSRRWauto_DIV2351
auto_CSRRWauto_DIVU651
auto_CSRRWauto_REM681
auto_CSRRWauto_REMU791
auto_CSRRWauto_C_ADDI4SPN481
auto_CSRRWauto_C_NOP2231
auto_CSRRWauto_C_ADDI721851
auto_CSRRWauto_C_JAL251
auto_CSRRWauto_C_LI31131
auto_CSRRWauto_C_ADDI16SP521
auto_CSRRWauto_C_LUI641
auto_CSRRWauto_C_SRLI581
auto_CSRRWauto_C_SRAI571
auto_CSRRWauto_C_ANDI771
auto_CSRRWauto_C_SUB651
auto_CSRRWauto_C_XOR661
auto_CSRRWauto_C_OR511
auto_CSRRWauto_C_AND561
auto_CSRRWauto_C_J361
auto_CSRRWauto_C_BEQZ581
auto_CSRRWauto_C_BNEZ2331
auto_CSRRWauto_C_SLLI711
auto_CSRRWauto_C_LWSP11
auto_CSRRWauto_C_MV691
auto_CSRRWauto_C_ADD661
auto_CSRRWauto_C_SWSP81
auto_CSRRWauto_SH1ADD721
auto_CSRRWauto_SH2ADD631
auto_CSRRWauto_SH3ADD671
auto_CSRRWauto_CLZ651
auto_CSRRWauto_CTZ841
auto_CSRRWauto_CPOP671
auto_CSRRWauto_MIN691
auto_CSRRWauto_MAX711
auto_CSRRWauto_MINU701
auto_CSRRWauto_MAXU751
auto_CSRRWauto_SEXT_B651
auto_CSRRWauto_SEXT_H781
auto_CSRRWauto_ZEXT_H681
auto_CSRRWauto_ANDN881
auto_CSRRWauto_ORN731
auto_CSRRWauto_XNOR621
auto_CSRRWauto_ROR751
auto_CSRRWauto_RORI631
auto_CSRRWauto_ROL711
auto_CSRRWauto_REV8721
auto_CSRRWauto_ORC_B721
auto_CSRRWauto_CLMUL611
auto_CSRRWauto_CLMULH611
auto_CSRRWauto_CLMULR691
auto_CSRRWauto_BSET761
auto_CSRRWauto_BSETI821
auto_CSRRWauto_BCLR581
auto_CSRRWauto_BCLRI641
auto_CSRRWauto_BINV601
auto_CSRRWauto_BINVI821
auto_CSRRWauto_BEXT691
auto_CSRRWauto_BEXTI871
auto_CSRRWauto_CSRRW4711
auto_CSRRWauto_CSRRS841
auto_CSRRWauto_CSRRC831
auto_CSRRWauto_CSRRWI781
auto_CSRRWauto_CSRRSI671
auto_CSRRWauto_CSRRCI691
auto_CSRRWauto_C_LBU31
auto_CSRRWauto_C_LHU11
auto_CSRRWauto_C_LH11
auto_CSRRWauto_C_SB1821
auto_CSRRWauto_C_ZEXT_B581
auto_CSRRWauto_C_SEXT_B461
auto_CSRRWauto_C_ZEXT_H471
auto_CSRRWauto_C_SEXT_H601
auto_CSRRWauto_C_NOT561
auto_CSRRWauto_C_MUL591
auto_CSRRSauto_LUI791
auto_CSRRSauto_AUIPC801
auto_CSRRSauto_JAL571
auto_CSRRSauto_JALR81
auto_CSRRSauto_BEQ2052881
auto_CSRRSauto_BNE2001921
auto_CSRRSauto_BLT551
auto_CSRRSauto_BGE491
auto_CSRRSauto_BLTU431
auto_CSRRSauto_BGEU451
auto_CSRRSauto_LB3351
auto_CSRRSauto_LH1021
auto_CSRRSauto_LW391
auto_CSRRSauto_LBU3251
auto_CSRRSauto_LHU1051
auto_CSRRSauto_SB2821
auto_CSRRSauto_SH901
auto_CSRRSauto_SW341
auto_CSRRSauto_ADDI40381
auto_CSRRSauto_SLTI621
auto_CSRRSauto_SLTIU571
auto_CSRRSauto_XORI671
auto_CSRRSauto_ORI571
auto_CSRRSauto_ANDI931
auto_CSRRSauto_SLLI641
auto_CSRRSauto_SRLI651
auto_CSRRSauto_SRAI741
auto_CSRRSauto_ADD2652721
auto_CSRRSauto_SUB751
auto_CSRRSauto_SLL551
auto_CSRRSauto_SLT671
auto_CSRRSauto_SLTU571
auto_CSRRSauto_XOR681
auto_CSRRSauto_SRL771
auto_CSRRSauto_SRA711
auto_CSRRSauto_OR821
auto_CSRRSauto_AND641
auto_CSRRSauto_FENCE631
auto_CSRRSauto_ECALL11
auto_CSRRSauto_MRET80241
auto_CSRRSauto_WFI11
auto_CSRRSauto_MUL681
auto_CSRRSauto_MULH681
auto_CSRRSauto_MULHSU641
auto_CSRRSauto_MULHU851
auto_CSRRSauto_DIV751
auto_CSRRSauto_DIVU721
auto_CSRRSauto_REM661
auto_CSRRSauto_REMU671
auto_CSRRSauto_C_ADDI4SPN491
auto_CSRRSauto_C_LW11
auto_CSRRSauto_C_NOP2431
auto_CSRRSauto_C_ADDI1481
auto_CSRRSauto_C_JAL401
auto_CSRRSauto_C_LI6961
auto_CSRRSauto_C_ADDI16SP571
auto_CSRRSauto_C_LUI741
auto_CSRRSauto_C_SRLI541
auto_CSRRSauto_C_SRAI651
auto_CSRRSauto_C_ANDI391
auto_CSRRSauto_C_SUB501
auto_CSRRSauto_C_XOR461
auto_CSRRSauto_C_OR461
auto_CSRRSauto_C_AND491
auto_CSRRSauto_C_J241
auto_CSRRSauto_C_BEQZ461
auto_CSRRSauto_C_BNEZ651221
auto_CSRRSauto_C_SLLI721
auto_CSRRSauto_C_LWSP31
auto_CSRRSauto_C_JR21
auto_CSRRSauto_C_MV771
auto_CSRRSauto_C_JALR21
auto_CSRRSauto_C_ADD711
auto_CSRRSauto_C_SWSP51
auto_CSRRSauto_SH1ADD651
auto_CSRRSauto_SH2ADD721
auto_CSRRSauto_SH3ADD711
auto_CSRRSauto_CLZ561
auto_CSRRSauto_CTZ691
auto_CSRRSauto_CPOP791
auto_CSRRSauto_MIN631
auto_CSRRSauto_MAX621
auto_CSRRSauto_MINU781
auto_CSRRSauto_MAXU661
auto_CSRRSauto_SEXT_B631
auto_CSRRSauto_SEXT_H611
auto_CSRRSauto_ZEXT_H651
auto_CSRRSauto_ANDN801
auto_CSRRSauto_ORN711
auto_CSRRSauto_XNOR681
auto_CSRRSauto_ROR691
auto_CSRRSauto_RORI581
auto_CSRRSauto_ROL821
auto_CSRRSauto_REV8681
auto_CSRRSauto_ORC_B751
auto_CSRRSauto_CLMUL811
auto_CSRRSauto_CLMULH831
auto_CSRRSauto_CLMULR651
auto_CSRRSauto_BSET631
auto_CSRRSauto_BSETI611
auto_CSRRSauto_BCLR651
auto_CSRRSauto_BCLRI811
auto_CSRRSauto_BINV691
auto_CSRRSauto_BINVI791
auto_CSRRSauto_BEXT751
auto_CSRRSauto_BEXTI701
auto_CSRRSauto_CSRRW25641
auto_CSRRSauto_CSRRS6766771
auto_CSRRSauto_CSRRC731
auto_CSRRSauto_CSRRWI7391
auto_CSRRSauto_CSRRSI6801
auto_CSRRSauto_CSRRCI1121
auto_CSRRSauto_C_LBU21
auto_CSRRSauto_C_LHU11
auto_CSRRSauto_C_LH11
auto_CSRRSauto_C_SB21
auto_CSRRSauto_C_ZEXT_B651
auto_CSRRSauto_C_SEXT_B481
auto_CSRRSauto_C_ZEXT_H551
auto_CSRRSauto_C_SEXT_H741
auto_CSRRSauto_C_NOT511
auto_CSRRSauto_C_MUL491
auto_CSRRCauto_LUI741
auto_CSRRCauto_AUIPC691
auto_CSRRCauto_JAL701
auto_CSRRCauto_BEQ531
auto_CSRRCauto_BNE431
auto_CSRRCauto_BLT511
auto_CSRRCauto_BGE441
auto_CSRRCauto_BLTU561
auto_CSRRCauto_BGEU451
auto_CSRRCauto_LB3011
auto_CSRRCauto_LH1031
auto_CSRRCauto_LW371
auto_CSRRCauto_LBU3041
auto_CSRRCauto_LHU1051
auto_CSRRCauto_SB3451
auto_CSRRCauto_SH1021
auto_CSRRCauto_SW471
auto_CSRRCauto_ADDI1481
auto_CSRRCauto_SLTI731
auto_CSRRCauto_SLTIU891
auto_CSRRCauto_XORI801
auto_CSRRCauto_ORI701
auto_CSRRCauto_ANDI751
auto_CSRRCauto_SLLI761
auto_CSRRCauto_SRLI831
auto_CSRRCauto_SRAI701
auto_CSRRCauto_ADD611
auto_CSRRCauto_SUB771
auto_CSRRCauto_SLL691
auto_CSRRCauto_SLT601
auto_CSRRCauto_SLTU771
auto_CSRRCauto_XOR741
auto_CSRRCauto_SRL631
auto_CSRRCauto_SRA611
auto_CSRRCauto_OR731
auto_CSRRCauto_AND741
auto_CSRRCauto_FENCE801
auto_CSRRCauto_MRET1431
auto_CSRRCauto_MUL941
auto_CSRRCauto_MULH641
auto_CSRRCauto_MULHSU541
auto_CSRRCauto_MULHU701
auto_CSRRCauto_DIV741
auto_CSRRCauto_DIVU811
auto_CSRRCauto_REM721
auto_CSRRCauto_REMU781
auto_CSRRCauto_C_ADDI4SPN391
auto_CSRRCauto_C_LW11
auto_CSRRCauto_C_SW21
auto_CSRRCauto_C_NOP1991
auto_CSRRCauto_C_ADDI521
auto_CSRRCauto_C_JAL301
auto_CSRRCauto_C_LI6661
auto_CSRRCauto_C_ADDI16SP451
auto_CSRRCauto_C_LUI501
auto_CSRRCauto_C_SRLI611
auto_CSRRCauto_C_SRAI651
auto_CSRRCauto_C_ANDI571
auto_CSRRCauto_C_SUB711
auto_CSRRCauto_C_XOR451
auto_CSRRCauto_C_OR561
auto_CSRRCauto_C_AND491
auto_CSRRCauto_C_J311
auto_CSRRCauto_C_BEQZ441
auto_CSRRCauto_C_BNEZ361
auto_CSRRCauto_C_SLLI621
auto_CSRRCauto_C_LWSP11
auto_CSRRCauto_C_MV541
auto_CSRRCauto_C_ADD601
auto_CSRRCauto_C_SWSP41
auto_CSRRCauto_SH1ADD651
auto_CSRRCauto_SH2ADD641
auto_CSRRCauto_SH3ADD781
auto_CSRRCauto_CLZ701
auto_CSRRCauto_CTZ601
auto_CSRRCauto_CPOP701
auto_CSRRCauto_MIN651
auto_CSRRCauto_MAX601
auto_CSRRCauto_MINU631
auto_CSRRCauto_MAXU511
auto_CSRRCauto_SEXT_B551
auto_CSRRCauto_SEXT_H661
auto_CSRRCauto_ZEXT_H671
auto_CSRRCauto_ANDN681
auto_CSRRCauto_ORN591
auto_CSRRCauto_XNOR851
auto_CSRRCauto_ROR651
auto_CSRRCauto_RORI921
auto_CSRRCauto_ROL671
auto_CSRRCauto_REV8681
auto_CSRRCauto_ORC_B741
auto_CSRRCauto_CLMUL691
auto_CSRRCauto_CLMULH661
auto_CSRRCauto_CLMULR791
auto_CSRRCauto_BSET671
auto_CSRRCauto_BSETI631
auto_CSRRCauto_BCLR721
auto_CSRRCauto_BCLRI681
auto_CSRRCauto_BINV661
auto_CSRRCauto_BINVI591
auto_CSRRCauto_BEXT591
auto_CSRRCauto_BEXTI771
auto_CSRRCauto_CSRRW731
auto_CSRRCauto_CSRRS671
auto_CSRRCauto_CSRRC1481
auto_CSRRCauto_CSRRWI541
auto_CSRRCauto_CSRRSI841
auto_CSRRCauto_CSRRCI651
auto_CSRRCauto_C_LBU11
auto_CSRRCauto_C_LH11
auto_CSRRCauto_C_SB11
auto_CSRRCauto_C_ZEXT_B671
auto_CSRRCauto_C_SEXT_B531
auto_CSRRCauto_C_ZEXT_H571
auto_CSRRCauto_C_SEXT_H541
auto_CSRRCauto_C_NOT461
auto_CSRRCauto_C_MUL551
auto_CSRRWIauto_LUI641
auto_CSRRWIauto_AUIPC711
auto_CSRRWIauto_JAL811
auto_CSRRWIauto_BEQ601
auto_CSRRWIauto_BNE461
auto_CSRRWIauto_BLT501
auto_CSRRWIauto_BGE541
auto_CSRRWIauto_BLTU491
auto_CSRRWIauto_BGEU561
auto_CSRRWIauto_LB3351
auto_CSRRWIauto_LH971
auto_CSRRWIauto_LW401
auto_CSRRWIauto_LBU3661
auto_CSRRWIauto_LHU851
auto_CSRRWIauto_SB3151
auto_CSRRWIauto_SH1031
auto_CSRRWIauto_SW311
auto_CSRRWIauto_ADDI1511
auto_CSRRWIauto_SLTI561
auto_CSRRWIauto_SLTIU811
auto_CSRRWIauto_XORI801
auto_CSRRWIauto_ORI851
auto_CSRRWIauto_ANDI841
auto_CSRRWIauto_SLLI751
auto_CSRRWIauto_SRLI881
auto_CSRRWIauto_SRAI631
auto_CSRRWIauto_ADD511
auto_CSRRWIauto_SUB791
auto_CSRRWIauto_SLL681
auto_CSRRWIauto_SLT681
auto_CSRRWIauto_SLTU711
auto_CSRRWIauto_XOR771
auto_CSRRWIauto_SRL591
auto_CSRRWIauto_SRA621
auto_CSRRWIauto_OR611
auto_CSRRWIauto_AND681
auto_CSRRWIauto_FENCE691
auto_CSRRWIauto_MRET1861
auto_CSRRWIauto_MUL751
auto_CSRRWIauto_MULH711
auto_CSRRWIauto_MULHSU601
auto_CSRRWIauto_MULHU601
auto_CSRRWIauto_DIV681
auto_CSRRWIauto_DIVU681
auto_CSRRWIauto_REM651
auto_CSRRWIauto_REMU801
auto_CSRRWIauto_C_ADDI4SPN451
auto_CSRRWIauto_C_NOP2531
auto_CSRRWIauto_C_ADDI661
auto_CSRRWIauto_C_JAL331
auto_CSRRWIauto_C_LI531
auto_CSRRWIauto_C_ADDI16SP441
auto_CSRRWIauto_C_LUI631
auto_CSRRWIauto_C_SRLI581
auto_CSRRWIauto_C_SRAI561
auto_CSRRWIauto_C_ANDI721
auto_CSRRWIauto_C_SUB691
auto_CSRRWIauto_C_XOR651
auto_CSRRWIauto_C_OR551
auto_CSRRWIauto_C_AND511
auto_CSRRWIauto_C_J381
auto_CSRRWIauto_C_BEQZ451
auto_CSRRWIauto_C_BNEZ391
auto_CSRRWIauto_C_SLLI711
auto_CSRRWIauto_C_LWSP61
auto_CSRRWIauto_C_MV831
auto_CSRRWIauto_C_ADD611
auto_CSRRWIauto_C_SWSP21
auto_CSRRWIauto_SH1ADD671
auto_CSRRWIauto_SH2ADD721
auto_CSRRWIauto_SH3ADD691
auto_CSRRWIauto_CLZ631
auto_CSRRWIauto_CTZ621
auto_CSRRWIauto_CPOP641
auto_CSRRWIauto_MIN561
auto_CSRRWIauto_MAX711
auto_CSRRWIauto_MINU641
auto_CSRRWIauto_MAXU791
auto_CSRRWIauto_SEXT_B631
auto_CSRRWIauto_SEXT_H731
auto_CSRRWIauto_ZEXT_H671
auto_CSRRWIauto_ANDN581
auto_CSRRWIauto_ORN801
auto_CSRRWIauto_XNOR811
auto_CSRRWIauto_ROR651
auto_CSRRWIauto_RORI731
auto_CSRRWIauto_ROL691
auto_CSRRWIauto_REV8791
auto_CSRRWIauto_ORC_B661
auto_CSRRWIauto_CLMUL591
auto_CSRRWIauto_CLMULH771
auto_CSRRWIauto_CLMULR711
auto_CSRRWIauto_BSET741
auto_CSRRWIauto_BSETI801
auto_CSRRWIauto_BCLR631
auto_CSRRWIauto_BCLRI671
auto_CSRRWIauto_BINV581
auto_CSRRWIauto_BINVI841
auto_CSRRWIauto_BEXT941
auto_CSRRWIauto_BEXTI601
auto_CSRRWIauto_CSRRW611
auto_CSRRWIauto_CSRRS7481
auto_CSRRWIauto_CSRRC661
auto_CSRRWIauto_CSRRWI1071
auto_CSRRWIauto_CSRRSI581
auto_CSRRWIauto_CSRRCI841
auto_CSRRWIauto_C_LBU11
auto_CSRRWIauto_C_LHU21
auto_CSRRWIauto_C_SB31
auto_CSRRWIauto_C_ZEXT_B551
auto_CSRRWIauto_C_SEXT_B471
auto_CSRRWIauto_C_ZEXT_H611
auto_CSRRWIauto_C_SEXT_H521
auto_CSRRWIauto_C_NOT661
auto_CSRRWIauto_C_MUL441
auto_CSRRSIauto_LUI651
auto_CSRRSIauto_AUIPC741
auto_CSRRSIauto_JAL741
auto_CSRRSIauto_BEQ571
auto_CSRRSIauto_BNE401
auto_CSRRSIauto_BLT601
auto_CSRRSIauto_BGE501
auto_CSRRSIauto_BLTU451
auto_CSRRSIauto_BGEU451
auto_CSRRSIauto_LB3431
auto_CSRRSIauto_LH1071
auto_CSRRSIauto_LW321
auto_CSRRSIauto_LBU3121
auto_CSRRSIauto_LHU981
auto_CSRRSIauto_SB3041
auto_CSRRSIauto_SH971
auto_CSRRSIauto_SW331
auto_CSRRSIauto_ADDI1551
auto_CSRRSIauto_SLTI691
auto_CSRRSIauto_SLTIU661
auto_CSRRSIauto_XORI611
auto_CSRRSIauto_ORI771
auto_CSRRSIauto_ANDI581
auto_CSRRSIauto_SLLI481
auto_CSRRSIauto_SRLI711
auto_CSRRSIauto_SRAI551
auto_CSRRSIauto_ADD711
auto_CSRRSIauto_SUB641
auto_CSRRSIauto_SLL751
auto_CSRRSIauto_SLT621
auto_CSRRSIauto_SLTU691
auto_CSRRSIauto_XOR781
auto_CSRRSIauto_SRL641
auto_CSRRSIauto_SRA641
auto_CSRRSIauto_OR701
auto_CSRRSIauto_AND691
auto_CSRRSIauto_FENCE811
auto_CSRRSIauto_MRET1561
auto_CSRRSIauto_MUL611
auto_CSRRSIauto_MULH751
auto_CSRRSIauto_MULHSU751
auto_CSRRSIauto_MULHU811
auto_CSRRSIauto_DIV501
auto_CSRRSIauto_DIVU681
auto_CSRRSIauto_REM721
auto_CSRRSIauto_REMU601
auto_CSRRSIauto_C_ADDI4SPN431
auto_CSRRSIauto_C_LW11
auto_CSRRSIauto_C_SW21
auto_CSRRSIauto_C_NOP2081
auto_CSRRSIauto_C_ADDI521
auto_CSRRSIauto_C_JAL301
auto_CSRRSIauto_C_LI581
auto_CSRRSIauto_C_ADDI16SP471
auto_CSRRSIauto_C_LUI591
auto_CSRRSIauto_C_SRLI601
auto_CSRRSIauto_C_SRAI541
auto_CSRRSIauto_C_ANDI531
auto_CSRRSIauto_C_SUB611
auto_CSRRSIauto_C_XOR481
auto_CSRRSIauto_C_OR541
auto_CSRRSIauto_C_AND501
auto_CSRRSIauto_C_J261
auto_CSRRSIauto_C_BEQZ361
auto_CSRRSIauto_C_BNEZ431
auto_CSRRSIauto_C_SLLI651
auto_CSRRSIauto_C_LWSP141
auto_CSRRSIauto_C_MV721
auto_CSRRSIauto_C_ADD641
auto_CSRRSIauto_C_SWSP21
auto_CSRRSIauto_SH1ADD871
auto_CSRRSIauto_SH2ADD641
auto_CSRRSIauto_SH3ADD881
auto_CSRRSIauto_CLZ651
auto_CSRRSIauto_CTZ571
auto_CSRRSIauto_CPOP761
auto_CSRRSIauto_MIN741
auto_CSRRSIauto_MAX771
auto_CSRRSIauto_MINU711
auto_CSRRSIauto_MAXU851
auto_CSRRSIauto_SEXT_B701
auto_CSRRSIauto_SEXT_H691
auto_CSRRSIauto_ZEXT_H671
auto_CSRRSIauto_ANDN811
auto_CSRRSIauto_ORN701
auto_CSRRSIauto_XNOR581
auto_CSRRSIauto_ROR711
auto_CSRRSIauto_RORI731
auto_CSRRSIauto_ROL631
auto_CSRRSIauto_REV8591
auto_CSRRSIauto_ORC_B691
auto_CSRRSIauto_CLMUL651
auto_CSRRSIauto_CLMULH581
auto_CSRRSIauto_CLMULR731
auto_CSRRSIauto_BSET721
auto_CSRRSIauto_BSETI741
auto_CSRRSIauto_BCLR731
auto_CSRRSIauto_BCLRI641
auto_CSRRSIauto_BINV741
auto_CSRRSIauto_BINVI711
auto_CSRRSIauto_BEXT881
auto_CSRRSIauto_BEXTI801
auto_CSRRSIauto_CSRRW731
auto_CSRRSIauto_CSRRS1341
auto_CSRRSIauto_CSRRC931
auto_CSRRSIauto_CSRRWI661
auto_CSRRSIauto_CSRRSI1221
auto_CSRRSIauto_CSRRCI6861
auto_CSRRSIauto_C_LBU11
auto_CSRRSIauto_C_SB21
auto_CSRRSIauto_C_ZEXT_B541
auto_CSRRSIauto_C_SEXT_B511
auto_CSRRSIauto_C_ZEXT_H621
auto_CSRRSIauto_C_SEXT_H481
auto_CSRRSIauto_C_NOT421
auto_CSRRSIauto_C_MUL581
auto_CSRRCIauto_LUI491
auto_CSRRCIauto_AUIPC671
auto_CSRRCIauto_JAL631
auto_CSRRCIauto_BEQ481
auto_CSRRCIauto_BNE461
auto_CSRRCIauto_BLT511
auto_CSRRCIauto_BGE501
auto_CSRRCIauto_BLTU741
auto_CSRRCIauto_BGEU581
auto_CSRRCIauto_LB3451
auto_CSRRCIauto_LH1111
auto_CSRRCIauto_LW381
auto_CSRRCIauto_LBU3341
auto_CSRRCIauto_LHU1011
auto_CSRRCIauto_SB3051
auto_CSRRCIauto_SH1011
auto_CSRRCIauto_SW401
auto_CSRRCIauto_ADDI1711
auto_CSRRCIauto_SLTI801
auto_CSRRCIauto_SLTIU831
auto_CSRRCIauto_XORI771
auto_CSRRCIauto_ORI821
auto_CSRRCIauto_ANDI761
auto_CSRRCIauto_SLLI681
auto_CSRRCIauto_SRLI691
auto_CSRRCIauto_SRAI661
auto_CSRRCIauto_ADD591
auto_CSRRCIauto_SUB561
auto_CSRRCIauto_SLL611
auto_CSRRCIauto_SLT821
auto_CSRRCIauto_SLTU651
auto_CSRRCIauto_XOR591
auto_CSRRCIauto_SRL651
auto_CSRRCIauto_SRA811
auto_CSRRCIauto_OR671
auto_CSRRCIauto_AND601
auto_CSRRCIauto_FENCE821
auto_CSRRCIauto_MRET1481
auto_CSRRCIauto_MUL811
auto_CSRRCIauto_MULH651
auto_CSRRCIauto_MULHSU801
auto_CSRRCIauto_MULHU621
auto_CSRRCIauto_DIV641
auto_CSRRCIauto_DIVU651
auto_CSRRCIauto_REM861
auto_CSRRCIauto_REMU691
auto_CSRRCIauto_C_ADDI4SPN461
auto_CSRRCIauto_C_NOP2461
auto_CSRRCIauto_C_ADDI581
auto_CSRRCIauto_C_JAL411
auto_CSRRCIauto_C_LI531
auto_CSRRCIauto_C_ADDI16SP471
auto_CSRRCIauto_C_LUI561
auto_CSRRCIauto_C_SRLI581
auto_CSRRCIauto_C_SRAI681
auto_CSRRCIauto_C_ANDI621
auto_CSRRCIauto_C_SUB551
auto_CSRRCIauto_C_XOR471
auto_CSRRCIauto_C_OR571
auto_CSRRCIauto_C_AND541
auto_CSRRCIauto_C_J321
auto_CSRRCIauto_C_BEQZ401
auto_CSRRCIauto_C_BNEZ541
auto_CSRRCIauto_C_SLLI681
auto_CSRRCIauto_C_LWSP51
auto_CSRRCIauto_C_MV731
auto_CSRRCIauto_C_ADD681
auto_CSRRCIauto_C_SWSP81
auto_CSRRCIauto_SH1ADD511
auto_CSRRCIauto_SH2ADD691
auto_CSRRCIauto_SH3ADD791
auto_CSRRCIauto_CLZ761
auto_CSRRCIauto_CTZ591
auto_CSRRCIauto_CPOP771
auto_CSRRCIauto_MIN861
auto_CSRRCIauto_MAX761
auto_CSRRCIauto_MINU661
auto_CSRRCIauto_MAXU681
auto_CSRRCIauto_SEXT_B661
auto_CSRRCIauto_SEXT_H761
auto_CSRRCIauto_ZEXT_H701
auto_CSRRCIauto_ANDN661
auto_CSRRCIauto_ORN711
auto_CSRRCIauto_XNOR541
auto_CSRRCIauto_ROR761
auto_CSRRCIauto_RORI811
auto_CSRRCIauto_ROL871
auto_CSRRCIauto_REV8741
auto_CSRRCIauto_ORC_B731
auto_CSRRCIauto_CLMUL701
auto_CSRRCIauto_CLMULH671
auto_CSRRCIauto_CLMULR721
auto_CSRRCIauto_BSET611
auto_CSRRCIauto_BSETI631
auto_CSRRCIauto_BCLR741
auto_CSRRCIauto_BCLRI491
auto_CSRRCIauto_BINV701
auto_CSRRCIauto_BINVI681
auto_CSRRCIauto_BEXT741
auto_CSRRCIauto_BEXTI771
auto_CSRRCIauto_CSRRW641
auto_CSRRCIauto_CSRRS6851
auto_CSRRCIauto_CSRRC841
auto_CSRRCIauto_CSRRWI571
auto_CSRRCIauto_CSRRSI1331
auto_CSRRCIauto_CSRRCI1241
auto_CSRRCIauto_C_LBU11
auto_CSRRCIauto_C_LHU11
auto_CSRRCIauto_C_LH11
auto_CSRRCIauto_C_SB31
auto_CSRRCIauto_C_SH11
auto_CSRRCIauto_C_ZEXT_B611
auto_CSRRCIauto_C_SEXT_B691
auto_CSRRCIauto_C_ZEXT_H621
auto_CSRRCIauto_C_SEXT_H511
auto_CSRRCIauto_C_NOT541
auto_CSRRCIauto_C_MUL521
auto_C_LBUauto_LUI11
auto_C_LBUauto_AUIPC11
auto_C_LBUauto_LB491
auto_C_LBUauto_LH191
auto_C_LBUauto_LW101
auto_C_LBUauto_LBU711
auto_C_LBUauto_LHU211
auto_C_LBUauto_SB561
auto_C_LBUauto_SH221
auto_C_LBUauto_SW101
auto_C_LBUauto_ADDI221
auto_C_LBUauto_SLTI21
auto_C_LBUauto_SLTIU41
auto_C_LBUauto_XORI11
auto_C_LBUauto_ORI11
auto_C_LBUauto_ANDI21
auto_C_LBUauto_SLLI21
auto_C_LBUauto_SRLI11
auto_C_LBUauto_ADD11
auto_C_LBUauto_SUB11
auto_C_LBUauto_SLL21
auto_C_LBUauto_SLT11
auto_C_LBUauto_SLTU11
auto_C_LBUauto_XOR21
auto_C_LBUauto_SRL31
auto_C_LBUauto_SRA11
auto_C_LBUauto_OR51
auto_C_LBUauto_AND11
auto_C_LBUauto_FENCE11
auto_C_LBUauto_MRET171
auto_C_LBUauto_MUL21
auto_C_LBUauto_MULH41
auto_C_LBUauto_MULHSU31
auto_C_LBUauto_MULHU11
auto_C_LBUauto_DIV11
auto_C_LBUauto_DIVU31
auto_C_LBUauto_REM31
auto_C_LBUauto_REMU11
auto_C_LBUauto_C_LW21
auto_C_LBUauto_C_SW31
auto_C_LBUauto_C_NOP81
auto_C_LBUauto_C_ADDI11
auto_C_LBUauto_C_LI21
auto_C_LBUauto_C_ADDI16SP31
auto_C_LBUauto_C_LUI31
auto_C_LBUauto_C_SRLI11
auto_C_LBUauto_C_SRAI21
auto_C_LBUauto_C_ANDI11
auto_C_LBUauto_C_SUB21
auto_C_LBUauto_C_XOR11
auto_C_LBUauto_C_OR31
auto_C_LBUauto_C_AND41
auto_C_LBUauto_C_SLLI41
auto_C_LBUauto_C_MV41
auto_C_LBUauto_C_ADD11
auto_C_LBUauto_C_SWSP11
auto_C_LBUauto_SH1ADD21
auto_C_LBUauto_SH2ADD21
auto_C_LBUauto_SH3ADD21
auto_C_LBUauto_CLZ11
auto_C_LBUauto_CPOP11
auto_C_LBUauto_MIN21
auto_C_LBUauto_MAX31
auto_C_LBUauto_MINU41
auto_C_LBUauto_MAXU11
auto_C_LBUauto_SEXT_B11
auto_C_LBUauto_SEXT_H11
auto_C_LBUauto_ZEXT_H21
auto_C_LBUauto_ANDN11
auto_C_LBUauto_ORN21
auto_C_LBUauto_XNOR21
auto_C_LBUauto_ROR31
auto_C_LBUauto_RORI11
auto_C_LBUauto_REV821
auto_C_LBUauto_ORC_B21
auto_C_LBUauto_CLMUL41
auto_C_LBUauto_CLMULH41
auto_C_LBUauto_CLMULR41
auto_C_LBUauto_BSET21
auto_C_LBUauto_BSETI31
auto_C_LBUauto_BCLRI31
auto_C_LBUauto_BINV31
auto_C_LBUauto_BINVI51
auto_C_LBUauto_BEXT61
auto_C_LBUauto_BEXTI11
auto_C_LBUauto_CSRRW21
auto_C_LBUauto_CSRRS42401
auto_C_LBUauto_CSRRC21
auto_C_LBUauto_CSRRWI41
auto_C_LBUauto_CSRRSI21
auto_C_LBUauto_CSRRCI11
auto_C_LBUauto_C_LBU511
auto_C_LBUauto_C_LH11
auto_C_LBUauto_C_SB361
auto_C_LBUauto_C_MUL51
auto_C_LHUauto_LUI11
auto_C_LHUauto_LB71
auto_C_LHUauto_LH11
auto_C_LHUauto_LW21
auto_C_LHUauto_LBU81
auto_C_LHUauto_LHU71
auto_C_LHUauto_SB151
auto_C_LHUauto_SH51
auto_C_LHUauto_SW31
auto_C_LHUauto_ADDI41
auto_C_LHUauto_SLTI21
auto_C_LHUauto_SLTIU11
auto_C_LHUauto_ANDI11
auto_C_LHUauto_SLLI11
auto_C_LHUauto_SUB11
auto_C_LHUauto_SLT11
auto_C_LHUauto_XOR11
auto_C_LHUauto_OR11
auto_C_LHUauto_FENCE11
auto_C_LHUauto_MRET21
auto_C_LHUauto_MULHU11
auto_C_LHUauto_DIVU61
auto_C_LHUauto_C_LW31
auto_C_LHUauto_C_SW11
auto_C_LHUauto_C_NOP31
auto_C_LHUauto_C_SRLI21
auto_C_LHUauto_C_AND11
auto_C_LHUauto_C_SLLI21
auto_C_LHUauto_C_MV21
auto_C_LHUauto_C_ADD31
auto_C_LHUauto_CTZ11
auto_C_LHUauto_MIN11
auto_C_LHUauto_MAX21
auto_C_LHUauto_MINU21
auto_C_LHUauto_MAXU21
auto_C_LHUauto_SEXT_H21
auto_C_LHUauto_ORN11
auto_C_LHUauto_XNOR11
auto_C_LHUauto_RORI21
auto_C_LHUauto_CLMULR11
auto_C_LHUauto_BSET11
auto_C_LHUauto_BSETI11
auto_C_LHUauto_BCLR21
auto_C_LHUauto_BCLRI21
auto_C_LHUauto_CSRRC11
auto_C_LHUauto_CSRRWI11
auto_C_LHUauto_CSRRSI11
auto_C_LHUauto_C_LBU31
auto_C_LHUauto_C_LHU151
auto_C_LHUauto_C_LH111
auto_C_LHUauto_C_SB11
auto_C_LHUauto_C_SH121
auto_C_LHUauto_C_SEXT_B21
auto_C_LHUauto_C_NOT11
auto_C_LHUauto_C_MUL21
auto_C_LHauto_LUI41
auto_C_LHauto_LB111
auto_C_LHauto_LH31
auto_C_LHauto_LW31
auto_C_LHauto_LBU121
auto_C_LHauto_LHU31
auto_C_LHauto_SB91
auto_C_LHauto_SH51
auto_C_LHauto_SW31
auto_C_LHauto_ADDI61
auto_C_LHauto_SLTIU11
auto_C_LHauto_XORI11
auto_C_LHauto_SRLI11
auto_C_LHauto_SLT11
auto_C_LHauto_SRA11
auto_C_LHauto_OR21
auto_C_LHauto_MRET11
auto_C_LHauto_MUL11
auto_C_LHauto_MULH11
auto_C_LHauto_MULHU11
auto_C_LHauto_DIVU11
auto_C_LHauto_C_ADDI4SPN11
auto_C_LHauto_C_LW11
auto_C_LHauto_C_NOP21
auto_C_LHauto_C_LI11
auto_C_LHauto_C_SRLI21
auto_C_LHauto_C_ANDI11
auto_C_LHauto_C_OR11
auto_C_LHauto_C_AND11
auto_C_LHauto_C_MV11
auto_C_LHauto_SH1ADD11
auto_C_LHauto_SH3ADD21
auto_C_LHauto_CTZ21
auto_C_LHauto_CPOP11
auto_C_LHauto_MAXU11
auto_C_LHauto_SEXT_B11
auto_C_LHauto_ROL11
auto_C_LHauto_REV821
auto_C_LHauto_BSETI21
auto_C_LHauto_BCLRI11
auto_C_LHauto_BINVI11
auto_C_LHauto_BEXT31
auto_C_LHauto_C_LBU11
auto_C_LHauto_C_LHU101
auto_C_LHauto_C_LH111
auto_C_LHauto_C_SH51
auto_C_LHauto_C_ZEXT_H11
auto_C_LHauto_C_SEXT_H11
auto_C_LHauto_C_NOT11
auto_C_LHauto_C_MUL11
auto_C_SBauto_LUI31
auto_C_SBauto_JALR11
auto_C_SBauto_LB541
auto_C_SBauto_LH231
auto_C_SBauto_LW141
auto_C_SBauto_LBU601
auto_C_SBauto_LHU261
auto_C_SBauto_SB411
auto_C_SBauto_SH201
auto_C_SBauto_SW71
auto_C_SBauto_ADDI171
auto_C_SBauto_SLTI21
auto_C_SBauto_SLTIU21
auto_C_SBauto_XORI21
auto_C_SBauto_ORI41
auto_C_SBauto_ANDI21
auto_C_SBauto_SLLI31
auto_C_SBauto_SRLI21
auto_C_SBauto_ADD21
auto_C_SBauto_SUB21
auto_C_SBauto_SLL21
auto_C_SBauto_SLT11
auto_C_SBauto_SLTU31
auto_C_SBauto_XOR21
auto_C_SBauto_SRL11
auto_C_SBauto_SRA11
auto_C_SBauto_OR41
auto_C_SBauto_AND31
auto_C_SBauto_MRET91
auto_C_SBauto_MUL21
auto_C_SBauto_MULH21
auto_C_SBauto_DIV41
auto_C_SBauto_DIVU31
auto_C_SBauto_REM31
auto_C_SBauto_REMU11
auto_C_SBauto_C_NOP61
auto_C_SBauto_C_ADDI11
auto_C_SBauto_C_LI11
auto_C_SBauto_C_ADDI16SP21
auto_C_SBauto_C_LUI31
auto_C_SBauto_C_SRLI31
auto_C_SBauto_C_SRAI11
auto_C_SBauto_C_ANDI41
auto_C_SBauto_C_SUB41
auto_C_SBauto_C_XOR41
auto_C_SBauto_C_OR11
auto_C_SBauto_C_SLLI31
auto_C_SBauto_C_MV21
auto_C_SBauto_SH1ADD41
auto_C_SBauto_SH2ADD41
auto_C_SBauto_SH3ADD21
auto_C_SBauto_CLZ11
auto_C_SBauto_CTZ51
auto_C_SBauto_MIN11
auto_C_SBauto_MAX21
auto_C_SBauto_MINU31
auto_C_SBauto_SEXT_B11
auto_C_SBauto_SEXT_H31
auto_C_SBauto_ZEXT_H11
auto_C_SBauto_ANDN31
auto_C_SBauto_ORN31
auto_C_SBauto_XNOR11
auto_C_SBauto_RORI11
auto_C_SBauto_ROL11
auto_C_SBauto_REV851
auto_C_SBauto_ORC_B11
auto_C_SBauto_CLMUL41
auto_C_SBauto_CLMULR31
auto_C_SBauto_BSET21
auto_C_SBauto_BSETI21
auto_C_SBauto_BCLR21
auto_C_SBauto_BCLRI11
auto_C_SBauto_BINV11
auto_C_SBauto_BINVI11
auto_C_SBauto_BEXT61
auto_C_SBauto_BEXTI11
auto_C_SBauto_CSRRW1841
auto_C_SBauto_CSRRS31
auto_C_SBauto_CSRRC21
auto_C_SBauto_CSRRWI21
auto_C_SBauto_CSRRSI21
auto_C_SBauto_CSRRCI11
auto_C_SBauto_C_LBU361
auto_C_SBauto_C_SB441
auto_C_SBauto_C_SH11
auto_C_SBauto_C_ZEXT_B31
auto_C_SBauto_C_SEXT_B21
auto_C_SBauto_C_ZEXT_H31
auto_C_SBauto_C_SEXT_H31
auto_C_SBauto_C_NOT11
auto_C_SBauto_C_MUL21
auto_C_SHauto_LB121
auto_C_SHauto_LH31
auto_C_SHauto_LW41
auto_C_SHauto_LBU91
auto_C_SHauto_LHU71
auto_C_SHauto_SB111
auto_C_SHauto_SH41
auto_C_SHauto_SW71
auto_C_SHauto_ADDI41
auto_C_SHauto_SLTIU31
auto_C_SHauto_ORI11
auto_C_SHauto_SLLI11
auto_C_SHauto_ADD11
auto_C_SHauto_SLTU11
auto_C_SHauto_XOR11
auto_C_SHauto_SRL11
auto_C_SHauto_SRA11
auto_C_SHauto_OR11
auto_C_SHauto_AND11
auto_C_SHauto_MULHSU11
auto_C_SHauto_DIV11
auto_C_SHauto_DIVU21
auto_C_SHauto_REMU11
auto_C_SHauto_C_NOP31
auto_C_SHauto_C_ADDI16SP11
auto_C_SHauto_C_SRLI31
auto_C_SHauto_C_ANDI11
auto_C_SHauto_C_OR21
auto_C_SHauto_C_AND11
auto_C_SHauto_C_SLLI11
auto_C_SHauto_SH1ADD21
auto_C_SHauto_SH2ADD21
auto_C_SHauto_CTZ11
auto_C_SHauto_MIN11
auto_C_SHauto_MINU11
auto_C_SHauto_MAXU11
auto_C_SHauto_ZEXT_H11
auto_C_SHauto_ANDN11
auto_C_SHauto_ROR11
auto_C_SHauto_CLMULH11
auto_C_SHauto_CLMULR11
auto_C_SHauto_BSET11
auto_C_SHauto_BINV11
auto_C_SHauto_CSRRS21
auto_C_SHauto_CSRRC11
auto_C_SHauto_CSRRWI11
auto_C_SHauto_CSRRSI11
auto_C_SHauto_C_LBU21
auto_C_SHauto_C_LHU101
auto_C_SHauto_C_LH71
auto_C_SHauto_C_SB41
auto_C_SHauto_C_SH71
auto_C_SHauto_C_SEXT_B11
auto_C_SHauto_C_ZEXT_H21
auto_C_SHauto_C_SEXT_H11
auto_C_SHauto_C_MUL11
auto_C_ZEXT_Bauto_LUI1061
auto_C_ZEXT_Bauto_AUIPC1201
auto_C_ZEXT_Bauto_JAL281
auto_C_ZEXT_Bauto_JALR21
auto_C_ZEXT_Bauto_BEQ531
auto_C_ZEXT_Bauto_BNE551
auto_C_ZEXT_Bauto_BLT571
auto_C_ZEXT_Bauto_BGE521
auto_C_ZEXT_Bauto_BLTU471
auto_C_ZEXT_Bauto_BGEU461
auto_C_ZEXT_Bauto_LB3421
auto_C_ZEXT_Bauto_LH821
auto_C_ZEXT_Bauto_LW331
auto_C_ZEXT_Bauto_LBU2481
auto_C_ZEXT_Bauto_LHU801
auto_C_ZEXT_Bauto_SB2501
auto_C_ZEXT_Bauto_SH951
auto_C_ZEXT_Bauto_SW261
auto_C_ZEXT_Bauto_ADDI1741
auto_C_ZEXT_Bauto_SLTI931
auto_C_ZEXT_Bauto_SLTIU741
auto_C_ZEXT_Bauto_XORI921
auto_C_ZEXT_Bauto_ORI871
auto_C_ZEXT_Bauto_ANDI791
auto_C_ZEXT_Bauto_SLLI821
auto_C_ZEXT_Bauto_SRLI961
auto_C_ZEXT_Bauto_SRAI761
auto_C_ZEXT_Bauto_ADD771
auto_C_ZEXT_Bauto_SUB1031
auto_C_ZEXT_Bauto_SLL861
auto_C_ZEXT_Bauto_SLT881
auto_C_ZEXT_Bauto_SLTU1061
auto_C_ZEXT_Bauto_XOR851
auto_C_ZEXT_Bauto_SRL961
auto_C_ZEXT_Bauto_SRA1011
auto_C_ZEXT_Bauto_OR851
auto_C_ZEXT_Bauto_AND1061
auto_C_ZEXT_Bauto_FENCE591
auto_C_ZEXT_Bauto_MRET3131
auto_C_ZEXT_Bauto_MUL741
auto_C_ZEXT_Bauto_MULH1051
auto_C_ZEXT_Bauto_MULHSU911
auto_C_ZEXT_Bauto_MULHU941
auto_C_ZEXT_Bauto_DIV791
auto_C_ZEXT_Bauto_DIVU881
auto_C_ZEXT_Bauto_REM1041
auto_C_ZEXT_Bauto_REMU891
auto_C_ZEXT_Bauto_C_ADDI4SPN771
auto_C_ZEXT_Bauto_C_NOP2791
auto_C_ZEXT_Bauto_C_ADDI1341
auto_C_ZEXT_Bauto_C_JAL231
auto_C_ZEXT_Bauto_C_LI911
auto_C_ZEXT_Bauto_C_ADDI16SP701
auto_C_ZEXT_Bauto_C_LUI981
auto_C_ZEXT_Bauto_C_SRLI791
auto_C_ZEXT_Bauto_C_SRAI1021
auto_C_ZEXT_Bauto_C_ANDI781
auto_C_ZEXT_Bauto_C_SUB881
auto_C_ZEXT_Bauto_C_XOR961
auto_C_ZEXT_Bauto_C_OR1261
auto_C_ZEXT_Bauto_C_AND1201
auto_C_ZEXT_Bauto_C_J261
auto_C_ZEXT_Bauto_C_BEQZ511
auto_C_ZEXT_Bauto_C_BNEZ551
auto_C_ZEXT_Bauto_C_SLLI1071
auto_C_ZEXT_Bauto_C_LWSP41
auto_C_ZEXT_Bauto_C_JR131
auto_C_ZEXT_Bauto_C_MV1371
auto_C_ZEXT_Bauto_C_ADD1171
auto_C_ZEXT_Bauto_C_SWSP71
auto_C_ZEXT_Bauto_SH1ADD991
auto_C_ZEXT_Bauto_SH2ADD981
auto_C_ZEXT_Bauto_SH3ADD911
auto_C_ZEXT_Bauto_CLZ851
auto_C_ZEXT_Bauto_CTZ981
auto_C_ZEXT_Bauto_CPOP951
auto_C_ZEXT_Bauto_MIN781
auto_C_ZEXT_Bauto_MAX861
auto_C_ZEXT_Bauto_MINU1081
auto_C_ZEXT_Bauto_MAXU951
auto_C_ZEXT_Bauto_SEXT_B911
auto_C_ZEXT_Bauto_SEXT_H1031
auto_C_ZEXT_Bauto_ZEXT_H811
auto_C_ZEXT_Bauto_ANDN791
auto_C_ZEXT_Bauto_ORN821
auto_C_ZEXT_Bauto_XNOR821
auto_C_ZEXT_Bauto_ROR961
auto_C_ZEXT_Bauto_RORI861
auto_C_ZEXT_Bauto_ROL1231
auto_C_ZEXT_Bauto_REV8791
auto_C_ZEXT_Bauto_ORC_B1111
auto_C_ZEXT_Bauto_CLMUL971
auto_C_ZEXT_Bauto_CLMULH971
auto_C_ZEXT_Bauto_CLMULR951
auto_C_ZEXT_Bauto_BSET901
auto_C_ZEXT_Bauto_BSETI961
auto_C_ZEXT_Bauto_BCLR1081
auto_C_ZEXT_Bauto_BCLRI841
auto_C_ZEXT_Bauto_BINV931
auto_C_ZEXT_Bauto_BINVI961
auto_C_ZEXT_Bauto_BEXT1161
auto_C_ZEXT_Bauto_BEXTI731
auto_C_ZEXT_Bauto_CSRRW521
auto_C_ZEXT_Bauto_CSRRS701
auto_C_ZEXT_Bauto_CSRRC421
auto_C_ZEXT_Bauto_CSRRWI561
auto_C_ZEXT_Bauto_CSRRSI651
auto_C_ZEXT_Bauto_CSRRCI521
auto_C_ZEXT_Bauto_C_LBU21
auto_C_ZEXT_Bauto_C_SB21
auto_C_ZEXT_Bauto_C_ZEXT_B791
auto_C_ZEXT_Bauto_C_SEXT_B961
auto_C_ZEXT_Bauto_C_ZEXT_H921
auto_C_ZEXT_Bauto_C_SEXT_H941
auto_C_ZEXT_Bauto_C_NOT1041
auto_C_ZEXT_Bauto_C_MUL991
auto_C_SEXT_Bauto_LUI1041
auto_C_SEXT_Bauto_AUIPC941
auto_C_SEXT_Bauto_JAL501
auto_C_SEXT_Bauto_JALR21
auto_C_SEXT_Bauto_BEQ451
auto_C_SEXT_Bauto_BNE451
auto_C_SEXT_Bauto_BLT381
auto_C_SEXT_Bauto_BGE531
auto_C_SEXT_Bauto_BLTU361
auto_C_SEXT_Bauto_BGEU441
auto_C_SEXT_Bauto_LB2951
auto_C_SEXT_Bauto_LH761
auto_C_SEXT_Bauto_LW351
auto_C_SEXT_Bauto_LBU2701
auto_C_SEXT_Bauto_LHU891
auto_C_SEXT_Bauto_SB2881
auto_C_SEXT_Bauto_SH851
auto_C_SEXT_Bauto_SW561
auto_C_SEXT_Bauto_ADDI2241
auto_C_SEXT_Bauto_SLTI981
auto_C_SEXT_Bauto_SLTIU851
auto_C_SEXT_Bauto_XORI961
auto_C_SEXT_Bauto_ORI851
auto_C_SEXT_Bauto_ANDI981
auto_C_SEXT_Bauto_SLLI1011
auto_C_SEXT_Bauto_SRLI911
auto_C_SEXT_Bauto_SRAI881
auto_C_SEXT_Bauto_ADD901
auto_C_SEXT_Bauto_SUB961
auto_C_SEXT_Bauto_SLL1041
auto_C_SEXT_Bauto_SLT931
auto_C_SEXT_Bauto_SLTU821
auto_C_SEXT_Bauto_XOR1111
auto_C_SEXT_Bauto_SRL1091
auto_C_SEXT_Bauto_SRA921
auto_C_SEXT_Bauto_OR1071
auto_C_SEXT_Bauto_AND911
auto_C_SEXT_Bauto_FENCE811
auto_C_SEXT_Bauto_MRET3471
auto_C_SEXT_Bauto_MUL991
auto_C_SEXT_Bauto_MULH921
auto_C_SEXT_Bauto_MULHSU1001
auto_C_SEXT_Bauto_MULHU1081
auto_C_SEXT_Bauto_DIV771
auto_C_SEXT_Bauto_DIVU941
auto_C_SEXT_Bauto_REM821
auto_C_SEXT_Bauto_REMU921
auto_C_SEXT_Bauto_C_ADDI4SPN701
auto_C_SEXT_Bauto_C_SW31
auto_C_SEXT_Bauto_C_NOP3291
auto_C_SEXT_Bauto_C_ADDI1071
auto_C_SEXT_Bauto_C_JAL221
auto_C_SEXT_Bauto_C_LI1131
auto_C_SEXT_Bauto_C_ADDI16SP711
auto_C_SEXT_Bauto_C_LUI901
auto_C_SEXT_Bauto_C_SRLI1091
auto_C_SEXT_Bauto_C_SRAI1091
auto_C_SEXT_Bauto_C_ANDI961
auto_C_SEXT_Bauto_C_SUB861
auto_C_SEXT_Bauto_C_XOR841
auto_C_SEXT_Bauto_C_OR941
auto_C_SEXT_Bauto_C_AND831
auto_C_SEXT_Bauto_C_J241
auto_C_SEXT_Bauto_C_BEQZ501
auto_C_SEXT_Bauto_C_BNEZ421
auto_C_SEXT_Bauto_C_SLLI1371
auto_C_SEXT_Bauto_C_LWSP41
auto_C_SEXT_Bauto_C_JR61
auto_C_SEXT_Bauto_C_MV961
auto_C_SEXT_Bauto_C_JALR11
auto_C_SEXT_Bauto_C_ADD1011
auto_C_SEXT_Bauto_C_SWSP61
auto_C_SEXT_Bauto_SH1ADD771
auto_C_SEXT_Bauto_SH2ADD931
auto_C_SEXT_Bauto_SH3ADD931
auto_C_SEXT_Bauto_CLZ841
auto_C_SEXT_Bauto_CTZ711
auto_C_SEXT_Bauto_CPOP841
auto_C_SEXT_Bauto_MIN871
auto_C_SEXT_Bauto_MAX1021
auto_C_SEXT_Bauto_MINU1001
auto_C_SEXT_Bauto_MAXU871
auto_C_SEXT_Bauto_SEXT_B1121
auto_C_SEXT_Bauto_SEXT_H831
auto_C_SEXT_Bauto_ZEXT_H701
auto_C_SEXT_Bauto_ANDN951
auto_C_SEXT_Bauto_ORN1111
auto_C_SEXT_Bauto_XNOR971
auto_C_SEXT_Bauto_ROR771
auto_C_SEXT_Bauto_RORI911
auto_C_SEXT_Bauto_ROL911
auto_C_SEXT_Bauto_REV8811
auto_C_SEXT_Bauto_ORC_B1061
auto_C_SEXT_Bauto_CLMUL891
auto_C_SEXT_Bauto_CLMULH951
auto_C_SEXT_Bauto_CLMULR851
auto_C_SEXT_Bauto_BSET1011
auto_C_SEXT_Bauto_BSETI791
auto_C_SEXT_Bauto_BCLR1051
auto_C_SEXT_Bauto_BCLRI981
auto_C_SEXT_Bauto_BINV791
auto_C_SEXT_Bauto_BINVI911
auto_C_SEXT_Bauto_BEXT931
auto_C_SEXT_Bauto_BEXTI961
auto_C_SEXT_Bauto_CSRRW591
auto_C_SEXT_Bauto_CSRRS671
auto_C_SEXT_Bauto_CSRRC591
auto_C_SEXT_Bauto_CSRRWI571
auto_C_SEXT_Bauto_CSRRSI611
auto_C_SEXT_Bauto_CSRRCI661
auto_C_SEXT_Bauto_C_LBU21
auto_C_SEXT_Bauto_C_LHU41
auto_C_SEXT_Bauto_C_LH11
auto_C_SEXT_Bauto_C_SB41
auto_C_SEXT_Bauto_C_SH11
auto_C_SEXT_Bauto_C_ZEXT_B1011
auto_C_SEXT_Bauto_C_SEXT_B971
auto_C_SEXT_Bauto_C_ZEXT_H1071
auto_C_SEXT_Bauto_C_SEXT_H1001
auto_C_SEXT_Bauto_C_NOT1121
auto_C_SEXT_Bauto_C_MUL851
auto_C_ZEXT_Hauto_LUI1031
auto_C_ZEXT_Hauto_AUIPC911
auto_C_ZEXT_Hauto_JAL421
auto_C_ZEXT_Hauto_JALR131
auto_C_ZEXT_Hauto_BEQ451
auto_C_ZEXT_Hauto_BNE511
auto_C_ZEXT_Hauto_BLT481
auto_C_ZEXT_Hauto_BGE321
auto_C_ZEXT_Hauto_BLTU521
auto_C_ZEXT_Hauto_BGEU441
auto_C_ZEXT_Hauto_LB2741
auto_C_ZEXT_Hauto_LH671
auto_C_ZEXT_Hauto_LW351
auto_C_ZEXT_Hauto_LBU2431
auto_C_ZEXT_Hauto_LHU881
auto_C_ZEXT_Hauto_SB2521
auto_C_ZEXT_Hauto_SH801
auto_C_ZEXT_Hauto_SW431
auto_C_ZEXT_Hauto_ADDI2061
auto_C_ZEXT_Hauto_SLTI1061
auto_C_ZEXT_Hauto_SLTIU831
auto_C_ZEXT_Hauto_XORI821
auto_C_ZEXT_Hauto_ORI911
auto_C_ZEXT_Hauto_ANDI921
auto_C_ZEXT_Hauto_SLLI911
auto_C_ZEXT_Hauto_SRLI831
auto_C_ZEXT_Hauto_SRAI881
auto_C_ZEXT_Hauto_ADD751
auto_C_ZEXT_Hauto_SUB1101
auto_C_ZEXT_Hauto_SLL1181
auto_C_ZEXT_Hauto_SLT991
auto_C_ZEXT_Hauto_SLTU961
auto_C_ZEXT_Hauto_XOR1291
auto_C_ZEXT_Hauto_SRL1051
auto_C_ZEXT_Hauto_SRA801
auto_C_ZEXT_Hauto_OR921
auto_C_ZEXT_Hauto_AND871
auto_C_ZEXT_Hauto_FENCE821
auto_C_ZEXT_Hauto_MRET3601
auto_C_ZEXT_Hauto_MUL1151
auto_C_ZEXT_Hauto_MULH991
auto_C_ZEXT_Hauto_MULHSU1011
auto_C_ZEXT_Hauto_MULHU1041
auto_C_ZEXT_Hauto_DIV861
auto_C_ZEXT_Hauto_DIVU1141
auto_C_ZEXT_Hauto_REM861
auto_C_ZEXT_Hauto_REMU991
auto_C_ZEXT_Hauto_C_ADDI4SPN791
auto_C_ZEXT_Hauto_C_NOP3121
auto_C_ZEXT_Hauto_C_ADDI931
auto_C_ZEXT_Hauto_C_JAL371
auto_C_ZEXT_Hauto_C_LI1141
auto_C_ZEXT_Hauto_C_ADDI16SP651
auto_C_ZEXT_Hauto_C_LUI1031
auto_C_ZEXT_Hauto_C_SRLI971
auto_C_ZEXT_Hauto_C_SRAI1091
auto_C_ZEXT_Hauto_C_ANDI761
auto_C_ZEXT_Hauto_C_SUB931
auto_C_ZEXT_Hauto_C_XOR871
auto_C_ZEXT_Hauto_C_OR1071
auto_C_ZEXT_Hauto_C_AND891
auto_C_ZEXT_Hauto_C_J311
auto_C_ZEXT_Hauto_C_BEQZ511
auto_C_ZEXT_Hauto_C_BNEZ471
auto_C_ZEXT_Hauto_C_SLLI1211
auto_C_ZEXT_Hauto_C_LWSP101
auto_C_ZEXT_Hauto_C_JR41
auto_C_ZEXT_Hauto_C_MV1121
auto_C_ZEXT_Hauto_C_JALR41
auto_C_ZEXT_Hauto_C_ADD1051
auto_C_ZEXT_Hauto_C_SWSP41
auto_C_ZEXT_Hauto_SH1ADD1011
auto_C_ZEXT_Hauto_SH2ADD911
auto_C_ZEXT_Hauto_SH3ADD931
auto_C_ZEXT_Hauto_CLZ841
auto_C_ZEXT_Hauto_CTZ1001
auto_C_ZEXT_Hauto_CPOP871
auto_C_ZEXT_Hauto_MIN881
auto_C_ZEXT_Hauto_MAX981
auto_C_ZEXT_Hauto_MINU841
auto_C_ZEXT_Hauto_MAXU951
auto_C_ZEXT_Hauto_SEXT_B751
auto_C_ZEXT_Hauto_SEXT_H1031
auto_C_ZEXT_Hauto_ZEXT_H1091
auto_C_ZEXT_Hauto_ANDN881
auto_C_ZEXT_Hauto_ORN941
auto_C_ZEXT_Hauto_XNOR991
auto_C_ZEXT_Hauto_ROR961
auto_C_ZEXT_Hauto_RORI981
auto_C_ZEXT_Hauto_ROL971
auto_C_ZEXT_Hauto_REV8861
auto_C_ZEXT_Hauto_ORC_B1101
auto_C_ZEXT_Hauto_CLMUL911
auto_C_ZEXT_Hauto_CLMULH941
auto_C_ZEXT_Hauto_CLMULR1081
auto_C_ZEXT_Hauto_BSET961
auto_C_ZEXT_Hauto_BSETI891
auto_C_ZEXT_Hauto_BCLR781
auto_C_ZEXT_Hauto_BCLRI911
auto_C_ZEXT_Hauto_BINV861
auto_C_ZEXT_Hauto_BINVI981
auto_C_ZEXT_Hauto_BEXT831
auto_C_ZEXT_Hauto_BEXTI921
auto_C_ZEXT_Hauto_CSRRW551
auto_C_ZEXT_Hauto_CSRRS531
auto_C_ZEXT_Hauto_CSRRC561
auto_C_ZEXT_Hauto_CSRRWI581
auto_C_ZEXT_Hauto_CSRRSI691
auto_C_ZEXT_Hauto_CSRRCI711
auto_C_ZEXT_Hauto_C_LBU11
auto_C_ZEXT_Hauto_C_SB11
auto_C_ZEXT_Hauto_C_ZEXT_B871
auto_C_ZEXT_Hauto_C_SEXT_B941
auto_C_ZEXT_Hauto_C_ZEXT_H911
auto_C_ZEXT_Hauto_C_SEXT_H801
auto_C_ZEXT_Hauto_C_NOT821
auto_C_ZEXT_Hauto_C_MUL731
auto_C_SEXT_Hauto_LUI1101
auto_C_SEXT_Hauto_AUIPC1121
auto_C_SEXT_Hauto_JAL321
auto_C_SEXT_Hauto_JALR121
auto_C_SEXT_Hauto_BEQ541
auto_C_SEXT_Hauto_BNE431
auto_C_SEXT_Hauto_BLT451
auto_C_SEXT_Hauto_BGE501
auto_C_SEXT_Hauto_BLTU581
auto_C_SEXT_Hauto_BGEU421
auto_C_SEXT_Hauto_LB2981
auto_C_SEXT_Hauto_LH851
auto_C_SEXT_Hauto_LW391
auto_C_SEXT_Hauto_LBU2651
auto_C_SEXT_Hauto_LHU961
auto_C_SEXT_Hauto_SB2901
auto_C_SEXT_Hauto_SH831
auto_C_SEXT_Hauto_SW351
auto_C_SEXT_Hauto_ADDI2031
auto_C_SEXT_Hauto_SLTI971
auto_C_SEXT_Hauto_SLTIU1091
auto_C_SEXT_Hauto_XORI981
auto_C_SEXT_Hauto_ORI831
auto_C_SEXT_Hauto_ANDI981
auto_C_SEXT_Hauto_SLLI961
auto_C_SEXT_Hauto_SRLI761
auto_C_SEXT_Hauto_SRAI761
auto_C_SEXT_Hauto_ADD741
auto_C_SEXT_Hauto_SUB971
auto_C_SEXT_Hauto_SLL1041
auto_C_SEXT_Hauto_SLT941
auto_C_SEXT_Hauto_SLTU941
auto_C_SEXT_Hauto_XOR1101
auto_C_SEXT_Hauto_SRL871
auto_C_SEXT_Hauto_SRA961
auto_C_SEXT_Hauto_OR831
auto_C_SEXT_Hauto_AND971
auto_C_SEXT_Hauto_FENCE761
auto_C_SEXT_Hauto_MRET3511
auto_C_SEXT_Hauto_MUL1001
auto_C_SEXT_Hauto_MULH701
auto_C_SEXT_Hauto_MULHSU961
auto_C_SEXT_Hauto_MULHU1011
auto_C_SEXT_Hauto_DIV931
auto_C_SEXT_Hauto_DIVU951
auto_C_SEXT_Hauto_REM821
auto_C_SEXT_Hauto_REMU1111
auto_C_SEXT_Hauto_C_ADDI4SPN791
auto_C_SEXT_Hauto_C_NOP2901
auto_C_SEXT_Hauto_C_ADDI1101
auto_C_SEXT_Hauto_C_JAL311
auto_C_SEXT_Hauto_C_LI1171
auto_C_SEXT_Hauto_C_ADDI16SP721
auto_C_SEXT_Hauto_C_LUI901
auto_C_SEXT_Hauto_C_SRLI861
auto_C_SEXT_Hauto_C_SRAI1171
auto_C_SEXT_Hauto_C_ANDI721
auto_C_SEXT_Hauto_C_SUB961
auto_C_SEXT_Hauto_C_XOR1081
auto_C_SEXT_Hauto_C_OR921
auto_C_SEXT_Hauto_C_AND781
auto_C_SEXT_Hauto_C_J291
auto_C_SEXT_Hauto_C_BEQZ471
auto_C_SEXT_Hauto_C_BNEZ561
auto_C_SEXT_Hauto_C_SLLI1201
auto_C_SEXT_Hauto_C_LWSP61
auto_C_SEXT_Hauto_C_JR111
auto_C_SEXT_Hauto_C_MV1451
auto_C_SEXT_Hauto_C_ADD1101
auto_C_SEXT_Hauto_C_SWSP41
auto_C_SEXT_Hauto_SH1ADD821
auto_C_SEXT_Hauto_SH2ADD881
auto_C_SEXT_Hauto_SH3ADD1081
auto_C_SEXT_Hauto_CLZ861
auto_C_SEXT_Hauto_CTZ911
auto_C_SEXT_Hauto_CPOP1141
auto_C_SEXT_Hauto_MIN691
auto_C_SEXT_Hauto_MAX921
auto_C_SEXT_Hauto_MINU751
auto_C_SEXT_Hauto_MAXU921
auto_C_SEXT_Hauto_SEXT_B981
auto_C_SEXT_Hauto_SEXT_H901
auto_C_SEXT_Hauto_ZEXT_H1081
auto_C_SEXT_Hauto_ANDN851
auto_C_SEXT_Hauto_ORN851
auto_C_SEXT_Hauto_XNOR1021
auto_C_SEXT_Hauto_ROR1011
auto_C_SEXT_Hauto_RORI911
auto_C_SEXT_Hauto_ROL871
auto_C_SEXT_Hauto_REV8901
auto_C_SEXT_Hauto_ORC_B1031
auto_C_SEXT_Hauto_CLMUL1091
auto_C_SEXT_Hauto_CLMULH781
auto_C_SEXT_Hauto_CLMULR841
auto_C_SEXT_Hauto_BSET981
auto_C_SEXT_Hauto_BSETI901
auto_C_SEXT_Hauto_BCLR1071
auto_C_SEXT_Hauto_BCLRI1071
auto_C_SEXT_Hauto_BINV901
auto_C_SEXT_Hauto_BINVI791
auto_C_SEXT_Hauto_BEXT851
auto_C_SEXT_Hauto_BEXTI971
auto_C_SEXT_Hauto_CSRRW561
auto_C_SEXT_Hauto_CSRRS511
auto_C_SEXT_Hauto_CSRRC491
auto_C_SEXT_Hauto_CSRRWI571
auto_C_SEXT_Hauto_CSRRSI641
auto_C_SEXT_Hauto_CSRRCI651
auto_C_SEXT_Hauto_C_LBU21
auto_C_SEXT_Hauto_C_SB51
auto_C_SEXT_Hauto_C_SH21
auto_C_SEXT_Hauto_C_ZEXT_B1021
auto_C_SEXT_Hauto_C_SEXT_B841
auto_C_SEXT_Hauto_C_ZEXT_H941
auto_C_SEXT_Hauto_C_SEXT_H901
auto_C_SEXT_Hauto_C_NOT1001
auto_C_SEXT_Hauto_C_MUL861
auto_C_NOTauto_LUI891
auto_C_NOTauto_AUIPC961
auto_C_NOTauto_JAL361
auto_C_NOTauto_JALR11
auto_C_NOTauto_BEQ591
auto_C_NOTauto_BNE431
auto_C_NOTauto_BLT571
auto_C_NOTauto_BGE541
auto_C_NOTauto_BLTU591
auto_C_NOTauto_BGEU431
auto_C_NOTauto_LB2701
auto_C_NOTauto_LH751
auto_C_NOTauto_LW541
auto_C_NOTauto_LBU2531
auto_C_NOTauto_LHU801
auto_C_NOTauto_SB2601
auto_C_NOTauto_SH801
auto_C_NOTauto_SW321
auto_C_NOTauto_ADDI1941
auto_C_NOTauto_SLTI861
auto_C_NOTauto_SLTIU871
auto_C_NOTauto_XORI1111
auto_C_NOTauto_ORI921
auto_C_NOTauto_ANDI991
auto_C_NOTauto_SLLI1021
auto_C_NOTauto_SRLI961
auto_C_NOTauto_SRAI871
auto_C_NOTauto_ADD781
auto_C_NOTauto_SUB1031
auto_C_NOTauto_SLL801
auto_C_NOTauto_SLT871
auto_C_NOTauto_SLTU1011
auto_C_NOTauto_XOR821
auto_C_NOTauto_SRL981
auto_C_NOTauto_SRA881
auto_C_NOTauto_OR951
auto_C_NOTauto_AND1071
auto_C_NOTauto_FENCE631
auto_C_NOTauto_MRET3651
auto_C_NOTauto_MUL921
auto_C_NOTauto_MULH881
auto_C_NOTauto_MULHSU901
auto_C_NOTauto_MULHU851
auto_C_NOTauto_DIV821
auto_C_NOTauto_DIVU991
auto_C_NOTauto_REM871
auto_C_NOTauto_REMU931
auto_C_NOTauto_C_ADDI4SPN711
auto_C_NOTauto_C_LW21
auto_C_NOTauto_C_NOP3481
auto_C_NOTauto_C_ADDI941
auto_C_NOTauto_C_JAL281
auto_C_NOTauto_C_LI1131
auto_C_NOTauto_C_ADDI16SP671
auto_C_NOTauto_C_LUI901
auto_C_NOTauto_C_SRLI891
auto_C_NOTauto_C_SRAI1001
auto_C_NOTauto_C_ANDI1171
auto_C_NOTauto_C_SUB1051
auto_C_NOTauto_C_XOR751
auto_C_NOTauto_C_OR901
auto_C_NOTauto_C_AND901
auto_C_NOTauto_C_J371
auto_C_NOTauto_C_BEQZ411
auto_C_NOTauto_C_BNEZ571
auto_C_NOTauto_C_SLLI1211
auto_C_NOTauto_C_LWSP61
auto_C_NOTauto_C_MV1171
auto_C_NOTauto_C_JALR11
auto_C_NOTauto_C_ADD1311
auto_C_NOTauto_C_SWSP51
auto_C_NOTauto_SH1ADD771
auto_C_NOTauto_SH2ADD961
auto_C_NOTauto_SH3ADD841
auto_C_NOTauto_CLZ921
auto_C_NOTauto_CTZ1021
auto_C_NOTauto_CPOP881
auto_C_NOTauto_MIN961
auto_C_NOTauto_MAX871
auto_C_NOTauto_MINU1081
auto_C_NOTauto_MAXU1051
auto_C_NOTauto_SEXT_B821
auto_C_NOTauto_SEXT_H961
auto_C_NOTauto_ZEXT_H881
auto_C_NOTauto_ANDN931
auto_C_NOTauto_ORN891
auto_C_NOTauto_XNOR831
auto_C_NOTauto_ROR831
auto_C_NOTauto_RORI901
auto_C_NOTauto_ROL871
auto_C_NOTauto_REV8791
auto_C_NOTauto_ORC_B951
auto_C_NOTauto_CLMUL821
auto_C_NOTauto_CLMULH901
auto_C_NOTauto_CLMULR901
auto_C_NOTauto_BSET821
auto_C_NOTauto_BSETI1001
auto_C_NOTauto_BCLR991
auto_C_NOTauto_BCLRI761
auto_C_NOTauto_BINV901
auto_C_NOTauto_BINVI891
auto_C_NOTauto_BEXT781
auto_C_NOTauto_BEXTI951
auto_C_NOTauto_CSRRW521
auto_C_NOTauto_CSRRS581
auto_C_NOTauto_CSRRC601
auto_C_NOTauto_CSRRWI601
auto_C_NOTauto_CSRRSI601
auto_C_NOTauto_CSRRCI611
auto_C_NOTauto_C_LBU11
auto_C_NOTauto_C_LH11
auto_C_NOTauto_C_SB31
auto_C_NOTauto_C_ZEXT_B1021
auto_C_NOTauto_C_SEXT_B991
auto_C_NOTauto_C_ZEXT_H951
auto_C_NOTauto_C_SEXT_H961
auto_C_NOTauto_C_NOT951
auto_C_NOTauto_C_MUL821
auto_C_MULauto_LUI821
auto_C_MULauto_AUIPC911
auto_C_MULauto_JAL371
auto_C_MULauto_JALR161
auto_C_MULauto_BEQ341
auto_C_MULauto_BNE401
auto_C_MULauto_BLT461
auto_C_MULauto_BGE521
auto_C_MULauto_BLTU431
auto_C_MULauto_BGEU511
auto_C_MULauto_LB2521
auto_C_MULauto_LH961
auto_C_MULauto_LW451
auto_C_MULauto_LBU2701
auto_C_MULauto_LHU891
auto_C_MULauto_SB2821
auto_C_MULauto_SH831
auto_C_MULauto_SW581
auto_C_MULauto_ADDI1871
auto_C_MULauto_SLTI801
auto_C_MULauto_SLTIU1011
auto_C_MULauto_XORI921
auto_C_MULauto_ORI961
auto_C_MULauto_ANDI1061
auto_C_MULauto_SLLI981
auto_C_MULauto_SRLI1051
auto_C_MULauto_SRAI1021
auto_C_MULauto_ADD701
auto_C_MULauto_SUB1081
auto_C_MULauto_SLL1031
auto_C_MULauto_SLT931
auto_C_MULauto_SLTU1071
auto_C_MULauto_XOR1091
auto_C_MULauto_SRL861
auto_C_MULauto_SRA1121
auto_C_MULauto_OR1021
auto_C_MULauto_AND1131
auto_C_MULauto_FENCE611
auto_C_MULauto_ECALL11
auto_C_MULauto_MRET3531
auto_C_MULauto_MUL941
auto_C_MULauto_MULH981
auto_C_MULauto_MULHSU1061
auto_C_MULauto_MULHU1161
auto_C_MULauto_DIV1011
auto_C_MULauto_DIVU971
auto_C_MULauto_REM1051
auto_C_MULauto_REMU1071
auto_C_MULauto_C_ADDI4SPN721
auto_C_MULauto_C_SW21
auto_C_MULauto_C_NOP3761
auto_C_MULauto_C_ADDI1071
auto_C_MULauto_C_JAL331
auto_C_MULauto_C_LI1041
auto_C_MULauto_C_ADDI16SP641
auto_C_MULauto_C_LUI1101
auto_C_MULauto_C_SRLI961
auto_C_MULauto_C_SRAI1241
auto_C_MULauto_C_ANDI871
auto_C_MULauto_C_SUB901
auto_C_MULauto_C_XOR921
auto_C_MULauto_C_OR811
auto_C_MULauto_C_AND1001
auto_C_MULauto_C_J311
auto_C_MULauto_C_BEQZ571
auto_C_MULauto_C_BNEZ491
auto_C_MULauto_C_SLLI901
auto_C_MULauto_C_LWSP41
auto_C_MULauto_C_MV1221
auto_C_MULauto_C_JALR51
auto_C_MULauto_C_ADD791
auto_C_MULauto_C_SWSP61
auto_C_MULauto_SH1ADD911
auto_C_MULauto_SH2ADD1071
auto_C_MULauto_SH3ADD871
auto_C_MULauto_CLZ931
auto_C_MULauto_CTZ1031
auto_C_MULauto_CPOP1031
auto_C_MULauto_MIN961
auto_C_MULauto_MAX841
auto_C_MULauto_MINU841
auto_C_MULauto_MAXU991
auto_C_MULauto_SEXT_B1191
auto_C_MULauto_SEXT_H1101
auto_C_MULauto_ZEXT_H801
auto_C_MULauto_ANDN1111
auto_C_MULauto_ORN1081
auto_C_MULauto_XNOR1111
auto_C_MULauto_ROR871
auto_C_MULauto_RORI881
auto_C_MULauto_ROL971
auto_C_MULauto_REV81001
auto_C_MULauto_ORC_B991
auto_C_MULauto_CLMUL961
auto_C_MULauto_CLMULH1011
auto_C_MULauto_CLMULR1021
auto_C_MULauto_BSET1061
auto_C_MULauto_BSETI981
auto_C_MULauto_BCLR1121
auto_C_MULauto_BCLRI961
auto_C_MULauto_BINV801
auto_C_MULauto_BINVI901
auto_C_MULauto_BEXT951
auto_C_MULauto_BEXTI911
auto_C_MULauto_CSRRW571
auto_C_MULauto_CSRRS491
auto_C_MULauto_CSRRC521
auto_C_MULauto_CSRRWI631
auto_C_MULauto_CSRRSI581
auto_C_MULauto_CSRRCI371
auto_C_MULauto_C_LBU41
auto_C_MULauto_C_LH11
auto_C_MULauto_C_SB31
auto_C_MULauto_C_SH11
auto_C_MULauto_C_ZEXT_B1051
auto_C_MULauto_C_SEXT_B901
auto_C_MULauto_C_ZEXT_H901
auto_C_MULauto_C_SEXT_H1041
auto_C_MULauto_C_NOT811
auto_C_MULauto_C_MUL841

+
+Go back
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp23.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp23.html new file mode 100644 index 00000000..2ece427f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp23.html @@ -0,0 +1,1273 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zb_itype_ext + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zb_itype_ext
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zb_itype_ext +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbs_bexti_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_bexti_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1960196100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_shift32032100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bexti_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+
+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20831
auto[1]4971
auto[2]5241
auto[3]4971
auto[4]4631
auto[5]4981
auto[6]4961
auto[7]4851
auto[8]5051
auto[9]4851
auto[10]5241
auto[11]4701
auto[12]5051
auto[13]4881
auto[14]5041
auto[15]5311
auto[16]4801
auto[17]4871
auto[18]5281
auto[19]4871
auto[20]4991
auto[21]5111
auto[22]4541
auto[23]5051
auto[24]5401
auto[25]4711
auto[26]5081
auto[27]5371
auto[28]4891
auto[29]5381
auto[30]5631
auto[31]5081

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21111
auto[1]4801
auto[2]4421
auto[3]4741
auto[4]4891
auto[5]5131
auto[6]4931
auto[7]5021
auto[8]4761
auto[9]5201
auto[10]4751
auto[11]4951
auto[12]5021
auto[13]5331
auto[14]5131
auto[15]5411
auto[16]5161
auto[17]4791
auto[18]4401
auto[19]5271
auto[20]4731
auto[21]5381
auto[22]5331
auto[23]5121
auto[24]5391
auto[25]5231
auto[26]4761
auto[27]5011
auto[28]4931
auto[29]5181
auto[30]5161
auto[31]5171

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016011
RD_01141
RD_0281
RD_03131
RD_04121
RD_05151
RD_06101
RD_07191
RD_08161
RD_09161
RD_0a151
RD_0b141
RD_0c141
RD_0d121
RD_0e161
RD_0f231
RD_10161
RD_11201
RD_12161
RD_13151
RD_14141
RD_15241
RD_16211
RD_17111
RD_18141
RD_19151
RD_1a111
RD_1b151
RD_1c111
RD_1d161
RD_1e201
RD_1f151

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68811
auto_NON_ZERO107791

+
+
+Summary for Variable cp_shift +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shift +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHIFT_005661
SHIFT_015501
SHIFT_025751
SHIFT_035381
SHIFT_045841
SHIFT_055021
SHIFT_065531
SHIFT_075641
SHIFT_085611
SHIFT_095991
SHIFT_0a5551
SHIFT_0b5471
SHIFT_0c5831
SHIFT_0d5591
SHIFT_0e5681
SHIFT_0f5511
SHIFT_105531
SHIFT_115441
SHIFT_125331
SHIFT_135591
SHIFT_145491
SHIFT_155001
SHIFT_165581
SHIFT_174941
SHIFT_185341
SHIFT_195481
SHIFT_1a5001
SHIFT_1b5311
SHIFT_1c5731
SHIFT_1d6171
SHIFT_1e5751
SHIFT_1f5371

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_rd_value +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
ONE37451
ZERO139151

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149741
BIT30_131661
BIT29_131391
BIT28_131711
BIT27_130791
BIT26_130751
BIT25_130441
BIT24_130391
BIT23_130071
BIT22_130031
BIT21_130001
BIT20_130421
BIT19_130811
BIT18_130171
BIT17_130691
BIT16_132711
BIT15_139741
BIT14_139221
BIT13_141331
BIT12_139611
BIT11_144511
BIT10_143821
BIT9_139861
BIT8_133671
BIT7_143081
BIT6_136881
BIT5_138101
BIT4_150501
BIT3_151321
BIT2_149921
BIT1_139261
BIT0_145111
BIT31_0126861
BIT30_0144941
BIT29_0145211
BIT28_0144891
BIT27_0145811
BIT26_0145851
BIT25_0146161
BIT24_0146211
BIT23_0146531
BIT22_0146571
BIT21_0146601
BIT20_0146181
BIT19_0145791
BIT18_0146431
BIT17_0145911
BIT16_0143891
BIT15_0136861
BIT14_0137381
BIT13_0135271
BIT12_0136991
BIT11_0132091
BIT10_0132781
BIT9_0136741
BIT8_0142931
BIT7_0133521
BIT6_0139721
BIT5_0138501
BIT4_0126101
BIT3_0125281
BIT2_0126681
BIT1_0137341
BIT0_0131491

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp230.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp230.html new file mode 100644 index 00000000..9891034d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp230.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER14404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER14 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER14 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]121
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp231.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp231.html new file mode 100644 index 00000000..93c30b9d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp231.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr14::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr14.pmpaddr14__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr14.pmpaddr14__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR14101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR14 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR14 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01681

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp232.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp232.html new file mode 100644 index 00000000..2473773a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp232.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr56::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr56.pmpaddr56__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr56.pmpaddr56__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR56101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR56 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR56 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01151

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp233.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp233.html new file mode 100644 index 00000000..34ced90c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp233.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr1::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr1.pmpaddr1__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr1.pmpaddr1__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr1.pmpaddr1__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR1101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR1 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01551

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp234.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp234.html new file mode 100644 index 00000000..495bafca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp234.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr37::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr37.pmpaddr37__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr37.pmpaddr37__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR37101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR37 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR37 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01321

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp235.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp235.html new file mode 100644 index 00000000..1fd296d5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp235.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_minstreth::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_minstreth::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_minstreth::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.minstreth.minstreth__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.minstreth.minstreth__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.minstreth.minstreth__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.minstreth.minstreth__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MINSTRETH303100.00100110

+
+
+
+
+
+
+Summary for Variable MINSTRETH +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MINSTRETH +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]991
other_values[1431655766:2863311530]171
other_values[2863311531:ffffffff]211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp236.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp236.html new file mode 100644 index 00000000..43c31094 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp236.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER5H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER5H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER5H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]491
illegal_values[1431655766:2863311530]151
illegal_values[2863311531:ffffffff]161
legal_values291

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp237.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp237.html new file mode 100644 index 00000000..25559edb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp237.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER9404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER9 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER9 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]501
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]161
legal_values331

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp238.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp238.html new file mode 100644 index 00000000..de9e0ae0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp238.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr18::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr18.pmpaddr18__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr18.pmpaddr18__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR18101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR18 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR18 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp239.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp239.html new file mode 100644 index 00000000..a801a97b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp239.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr0::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr0.pmpaddr0__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr0.pmpaddr0__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr0.pmpaddr0__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR0404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR0 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR0 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]401
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]131
legal_values271

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp24.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp24.html new file mode 100644 index 00000000..f1193b78 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp24.html @@ -0,0 +1,832 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_css + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_css
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_css +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_swsp_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_swsp_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_swsp_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1120112100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_swsp_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs232032100.001001132
cp_rs2_value202100.00100110
cp_imm_value202100.00100110
cp_rs2_toggle64064100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]301
auto[1]1281
auto[2]391
auto[3]361
auto[4]3071
auto[5]1621
auto[6]6971
auto[7]1251
auto[8]5201
auto[9]6261
auto[10]2691
auto[11]2951
auto[12]7741
auto[13]2931
auto[14]13141
auto[15]14671
auto[16]1981
auto[17]4631
auto[18]7401
auto[19]3381
auto[20]10551
auto[21]1361
auto[22]6311
auto[23]2551
auto[24]341
auto[25]9351
auto[26]8191
auto[27]3331
auto[28]3661
auto[29]8991
auto[30]7331
auto[31]1421

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO27551
auto_NON_ZERO124041

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO49941
auto_NON_ZERO101651

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_181031
BIT30_121351
BIT29_121301
BIT28_122571
BIT27_120171
BIT26_119731
BIT25_117871
BIT24_120331
BIT23_119111
BIT22_119611
BIT21_122061
BIT20_118201
BIT19_121081
BIT18_119541
BIT17_120171
BIT16_120321
BIT15_130361
BIT14_173321
BIT13_148471
BIT12_161701
BIT11_175871
BIT10_175161
BIT9_167081
BIT8_133491
BIT7_141031
BIT6_134731
BIT5_135111
BIT4_176921
BIT3_184421
BIT2_175051
BIT1_132031
BIT0_135351
BIT31_070561
BIT30_0130241
BIT29_0130291
BIT28_0129021
BIT27_0131421
BIT26_0131861
BIT25_0133721
BIT24_0131261
BIT23_0132481
BIT22_0131981
BIT21_0129531
BIT20_0133391
BIT19_0130511
BIT18_0132051
BIT17_0131421
BIT16_0131271
BIT15_0121231
BIT14_078271
BIT13_0103121
BIT12_089891
BIT11_075721
BIT10_076431
BIT9_084511
BIT8_0118101
BIT7_0110561
BIT6_0116861
BIT5_0116481
BIT4_074671
BIT3_067171
BIT2_076541
BIT1_0119561
BIT0_0116241

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_11211
BIT4_11541
BIT3_14941
BIT2_16391
BIT1_165881
BIT0_165741
BIT5_0150381
BIT4_0150051
BIT3_0146651
BIT2_0145201
BIT1_085711
BIT0_085851

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp240.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp240.html new file mode 100644 index 00000000..e0e10d5a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp240.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER25101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER25 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER25 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02021

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp241.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp241.html new file mode 100644 index 00000000..02612247 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp241.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mcause::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mcause::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mcause::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mcause.mcause__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mcause.mcause__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mcause.mcause__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mcause.mcause__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCAUSE404100.00100110

+
+
+
+
+
+
+Summary for Variable MCAUSE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MCAUSE +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]601861
other_values[1431655766:2863311530]4105121
other_values[2863311531:ffffffff]41
reset_value281

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp242.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp242.html new file mode 100644 index 00000000..1dc8c888 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp242.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mimpid::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mimpid::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mimpid::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mimpid.mimpid__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mimpid.mimpid__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mimpid.mimpid__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mimpid.mimpid__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MIMPID101100.00100110

+
+
+
+
+
+
+Summary for Variable MIMPID +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MIMPID +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_0101

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp243.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp243.html new file mode 100644 index 00000000..5a8f469a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp243.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr31::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr31.pmpaddr31__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr31.pmpaddr31__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR31404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR31 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR31 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]261
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]31
legal_values141

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp244.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp244.html new file mode 100644 index 00000000..53ef0dcd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp244.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg2::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg2.pmpcfg2__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg2.pmpcfg2__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP11CFG404100.00100110
PMP10CFG404100.00100110
PMP9CFG404100.00100110
PMP8CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP11CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP11CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]131
illegal_values[ab:ff]171
legal_values1251

+
+
+Summary for Variable PMP10CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP10CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]81
illegal_values[56:aa]61
illegal_values[ab:ff]171
legal_values1301

+
+
+Summary for Variable PMP9CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP9CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]121
illegal_values[56:aa]111
illegal_values[ab:ff]191
legal_values1191

+
+
+Summary for Variable PMP8CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP8CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]671
illegal_values[56:aa]91
illegal_values[ab:ff]211
legal_values641

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp245.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp245.html new file mode 100644 index 00000000..398bfcdb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp245.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg12::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg12.pmpcfg12__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg12.pmpcfg12__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP51CFG101100.00100110
PMP50CFG101100.00100110
PMP49CFG101100.00100110
PMP48CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP51CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP51CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+Summary for Variable PMP50CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP50CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+Summary for Variable PMP49CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP49CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+Summary for Variable PMP48CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP48CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp246.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp246.html new file mode 100644 index 00000000..0dab7354 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp246.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_minstret::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_minstret::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_minstret::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.minstret.minstret__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.minstret.minstret__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.minstret.minstret__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.minstret.minstret__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MINSTRET303100.00100110

+
+
+
+
+
+
+Summary for Variable MINSTRET +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MINSTRET +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]1061
other_values[1431655766:2863311530]111
other_values[2863311531:ffffffff]191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp247.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp247.html new file mode 100644 index 00000000..865b1426 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp247.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr50::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr50.pmpaddr50__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr50.pmpaddr50__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR50404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR50 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR50 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]261
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]21
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp248.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp248.html new file mode 100644 index 00000000..f0461e3c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp248.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr12::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr12.pmpaddr12__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr12.pmpaddr12__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR12404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR12 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR12 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]481
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]151
legal_values231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp249.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp249.html new file mode 100644 index 00000000..1964b869 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp249.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER8101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER8 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER8 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02191

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp25.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp25.html new file mode 100644 index 00000000..6b312f7f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp25.html @@ -0,0 +1,758 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_executed_type + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_executed_type
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_executed_type +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+7 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_ebreak_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_nop_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_ebreak_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_ecall_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_fence_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_mret_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_wfi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_ebreak_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_ebreak_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_ebreak_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_nop_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_nop_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_nop_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_ebreak_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_ebreak_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_ebreak_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_ecall_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_ecall_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_ecall_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_fence_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_fence_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_fence_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_mret_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_mret_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_mret_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_wfi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_wfi_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_wfi_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_executed101100.00100110

+
+
+
+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED46741

+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED631201

+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED50571

+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED14831

+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED112091

+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED2798951

+
+
+
+Summary for Variable cp_executed +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_executed +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
EXECUTED32921

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp250.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp250.html new file mode 100644 index 00000000..0bcab181 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp250.html @@ -0,0 +1,240 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_misa::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_misa::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_misa::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.misa.misa__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.misa.misa__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.misa.misa__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables202100.00

+
+Variables for Group Instance csr_reg_cov.misa.misa__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MXL101100.00100110
EXTENSIONS101100.00100110

+
+
+
+
+
+
+Summary for Variable MXL +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MXL +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_12611

+
+
+Summary for Variable EXTENSIONS +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for EXTENSIONS +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_00011062611

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp251.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp251.html new file mode 100644 index 00000000..de1f312f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp251.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr35::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr35.pmpaddr35__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr35.pmpaddr35__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR35404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR35 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR35 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]291
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]21
legal_values171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp252.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp252.html new file mode 100644 index 00000000..ed221e1c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp252.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER16101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER16 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER16 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp253.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp253.html new file mode 100644 index 00000000..47dc4b90 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp253.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr29::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr29.pmpaddr29__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr29.pmpaddr29__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr29.pmpaddr29__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR29101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR29 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR29 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01101

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp254.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp254.html new file mode 100644 index 00000000..c93e48e8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp254.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr54::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr54.pmpaddr54__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr54.pmpaddr54__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR54404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR54 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR54 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]181
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]41
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp255.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp255.html new file mode 100644 index 00000000..784e5211 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp255.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr16::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr16.pmpaddr16__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr16.pmpaddr16__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR16404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR16 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR16 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]61
illegal_values[1431655766:2863311530]11
illegal_values[2863311531:ffffffff]21
legal_values131

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp256.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp256.html new file mode 100644 index 00000000..e0fec7c6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp256.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mepc::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mepc::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mepc::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mepc.mepc__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mepc.mepc__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mepc.mepc__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.mepc.mepc__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MEPC303100.00100110

+
+
+
+
+
+
+Summary for Variable MEPC +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MEPC +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]831
other_values[1431655766:2863311530]744781
other_values[2863311531:ffffffff]201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp257.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp257.html new file mode 100644 index 00000000..fb69b894 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp257.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER8H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER8H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER8H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]111
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp258.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp258.html new file mode 100644 index 00000000..1eb19d90 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp258.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER25H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER25H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER25H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]641
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]171
legal_values311

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp259.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp259.html new file mode 100644 index 00000000..a9b818d6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp259.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER23H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER23H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER23H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp26.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp26.html new file mode 100644 index 00000000..05d73cf2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp26.html @@ -0,0 +1,1679 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_load_lhu + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_load_lhu
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_load_lhu +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_lhu_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_lhu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2230223100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle32032100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_lhu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]31
auto[1]7501
auto[2]83861
auto[3]7091
auto[4]7551
auto[5]7261
auto[6]6831
auto[7]6351
auto[8]6861
auto[9]6411
auto[10]6101
auto[11]6491
auto[12]6341
auto[13]6821
auto[14]6611
auto[15]7411
auto[16]7621
auto[17]7591
auto[18]6791
auto[19]7121
auto[20]7021
auto[21]8051
auto[22]6881
auto[23]6691
auto[24]7531
auto[25]6111
auto[26]6921
auto[27]6871
auto[28]7241
auto[29]7331
auto[30]6561
auto[31]6621

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]10321
auto[1]10811
auto[2]6401
auto[3]10471
auto[4]8771
auto[5]8891
auto[6]9081
auto[7]9481
auto[8]9491
auto[9]8601
auto[10]8841
auto[11]9011
auto[12]9431
auto[13]9111
auto[14]8471
auto[15]8351
auto[16]8481
auto[17]9661
auto[18]9351
auto[19]8881
auto[20]8971
auto[21]9631
auto[22]8971
auto[23]9191
auto[24]8781
auto[25]9541
auto[26]9321
auto[27]9301
auto[28]9171
auto[29]9561
auto[30]9111
auto[31]9021

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0031
RD_0111
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1911
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO31
auto_NON_ZERO292421

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2311
auto_POSITIVE143641
auto_NEGATIVE146501

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO93711
auto_NON_ZERO198741

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1292421
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1111361
BIT15_1218211
BIT14_1107011
BIT13_1185231
BIT12_1164121
BIT11_1145061
BIT10_1147751
BIT9_1147021
BIT8_1144311
BIT7_1144941
BIT6_1146771
BIT5_1145621
BIT4_1145671
BIT3_1145701
BIT2_1146041
BIT1_1145341
BIT0_1146731
BIT31_031
BIT30_0292441
BIT29_0292441
BIT28_0292441
BIT27_0292441
BIT26_0292441
BIT25_0292441
BIT24_0292441
BIT23_0292441
BIT22_0292441
BIT21_0292441
BIT20_0292441
BIT19_0292441
BIT18_0292441
BIT17_0292441
BIT16_0181091
BIT15_074241
BIT14_0185441
BIT13_0107221
BIT12_0128331
BIT11_0147391
BIT10_0144701
BIT9_0145431
BIT8_0148141
BIT7_0147511
BIT6_0145681
BIT5_0146831
BIT4_0146781
BIT3_0146751
BIT2_0146411
BIT1_0147111
BIT0_0145721

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1146501
BIT10_1146851
BIT9_1146741
BIT8_1147061
BIT7_1148031
BIT6_1147571
BIT5_1146221
BIT4_1149391
BIT3_1144791
BIT2_1146051
BIT1_1146861
BIT0_1146731
BIT11_0145951
BIT10_0145601
BIT9_0145711
BIT8_0145391
BIT7_0144421
BIT6_0144881
BIT5_0146231
BIT4_0143061
BIT3_0147661
BIT2_0146401
BIT1_0145591
BIT0_0145721

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT15_195161
BIT14_193611
BIT13_196841
BIT12_195971
BIT11_195871
BIT10_195621
BIT9_187951
BIT8_151171
BIT7_195531
BIT6_194561
BIT5_196191
BIT4_197891
BIT3_199761
BIT2_198361
BIT1_188921
BIT0_1135801
BIT15_0197291
BIT14_0198841
BIT13_0195611
BIT12_0196481
BIT11_0196581
BIT10_0196831
BIT9_0204501
BIT8_0241281
BIT7_0196921
BIT6_0197891
BIT5_0196261
BIT4_0194561
BIT3_0192691
BIT2_0194091
BIT1_0203531
BIT0_0156651

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO11
auto_ZEROauto_POSITIVE11
auto_ZEROauto_NEGATIVE11
auto_NON_ZEROauto_ZERO2301
auto_NON_ZEROauto_POSITIVE143631
auto_NON_ZEROauto_NEGATIVE146491

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp260.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp260.html new file mode 100644 index 00000000..0dae777a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp260.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER13H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER13H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER13H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp261.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp261.html new file mode 100644 index 00000000..413e2ddc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp261.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent10::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent10.mhpmevent10__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent10.mhpmevent10__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent10.mhpmevent10__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT10404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT10 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT10 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]631
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]111
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp262.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp262.html new file mode 100644 index 00000000..24f6b15b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp262.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER15H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER15H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER15H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]501
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]141
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp263.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp263.html new file mode 100644 index 00000000..b767651b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp263.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr20::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr20.pmpaddr20__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr20.pmpaddr20__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr20.pmpaddr20__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR20101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR20 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR20 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01351

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp264.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp264.html new file mode 100644 index 00000000..b299e5c4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp264.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter7::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter7.mhpmcounter7__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER7404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER7 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]591
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]141
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp265.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp265.html new file mode 100644 index 00000000..65d5c9be --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp265.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent11::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent11.mhpmevent11__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent11.mhpmevent11__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent11.mhpmevent11__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT11404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT11 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT11 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]531
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]141
legal_values301

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp266.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp266.html new file mode 100644 index 00000000..85b6e4ea --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp266.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr62::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr62.pmpaddr62__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr62.pmpaddr62__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr62.pmpaddr62__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR62101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR62 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR62 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp267.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp267.html new file mode 100644 index 00000000..eb034616 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp267.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER24H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER24H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER24H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]461
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]161
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp268.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp268.html new file mode 100644 index 00000000..72ff4435 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp268.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER22H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER22H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER22H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp269.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp269.html new file mode 100644 index 00000000..51aea4a0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp269.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent12::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent12.mhpmevent12__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent12.mhpmevent12__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent12.mhpmevent12__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT12404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT12 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT12 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]501
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp27.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp27.html new file mode 100644 index 00000000..30df8350 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp27.html @@ -0,0 +1,1439 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cr_mv + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cr_mv
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cr_mv +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_mv_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_mv_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_mv_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2250225100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_mv_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_c_rdrs131031100.001001132
cp_rs231031100.001001132
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rd_rs2_hazard31031100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32c_mv_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rdrs1_rs200010

+
+
+
+
+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RDRS1_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]4131
auto[2]3461
auto[3]4491
auto[4]4081
auto[5]3771
auto[6]3881
auto[7]3871
auto[8]3791
auto[9]3941
auto[10]3991
auto[11]3891
auto[12]3721
auto[13]3871
auto[14]3791
auto[15]3461
auto[16]3391
auto[17]3971
auto[18]3981
auto[19]3701
auto[20]3651
auto[21]3481
auto[22]3371
auto[23]3811
auto[24]3881
auto[25]3491
auto[26]3371
auto[27]3781
auto[28]3421
auto[29]3571
auto[30]3561
auto[31]3841

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RS2_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]5661
auto[2]4531
auto[3]3981
auto[4]4331
auto[5]4351
auto[6]5341
auto[7]4571
auto[8]3841
auto[9]4371
auto[10]3981
auto[11]4471
auto[12]3861
auto[13]4191
auto[14]3771
auto[15]4481
auto[16]4001
auto[17]3881
auto[18]3831
auto[19]4101
auto[20]4091
auto[21]3911
auto[22]4581
auto[23]4641
auto[24]4021
auto[25]4021
auto[26]3411
auto[27]3851
auto[28]4081
auto[29]3901
auto[30]3691
auto[31]4081

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO34731
auto_NON_ZERO95071

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO34731
auto_NON_ZERO95071

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins31031100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_01301
RD_02131
RD_03241
RD_0481
RD_05221
RD_06221
RD_07221
RD_08131
RD_0981
RD_0a131
RD_0b151
RD_0c141
RD_0d221
RD_0e161
RD_0f121
RD_10141
RD_1191
RD_12141
RD_13191
RD_14151
RD_15161
RD_16141
RD_17131
RD_18141
RD_19131
RD_1a81
RD_1b191
RD_1c141
RD_1d91
RD_1e151
RD_1f91

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_148921
BIT30_124711
BIT29_124891
BIT28_125011
BIT27_123821
BIT26_123731
BIT25_123371
BIT24_123801
BIT23_123091
BIT22_123251
BIT21_123571
BIT20_123331
BIT19_123461
BIT18_123981
BIT17_123741
BIT16_125241
BIT15_132981
BIT14_131581
BIT13_134421
BIT12_132911
BIT11_139611
BIT10_140531
BIT9_135671
BIT8_131301
BIT7_138961
BIT6_134831
BIT5_135201
BIT4_146051
BIT3_146441
BIT2_145331
BIT1_135681
BIT0_134831
BIT31_080881
BIT30_0105091
BIT29_0104911
BIT28_0104791
BIT27_0105981
BIT26_0106071
BIT25_0106431
BIT24_0106001
BIT23_0106711
BIT22_0106551
BIT21_0106231
BIT20_0106471
BIT19_0106341
BIT18_0105821
BIT17_0106061
BIT16_0104561
BIT15_096821
BIT14_098221
BIT13_095381
BIT12_096891
BIT11_090191
BIT10_089271
BIT9_094131
BIT8_098501
BIT7_090841
BIT6_094971
BIT5_094601
BIT4_083751
BIT3_083361
BIT2_084471
BIT1_094121
BIT0_094971

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_148921
BIT30_124711
BIT29_124891
BIT28_125011
BIT27_123821
BIT26_123731
BIT25_123371
BIT24_123801
BIT23_123091
BIT22_123251
BIT21_123571
BIT20_123331
BIT19_123461
BIT18_123981
BIT17_123741
BIT16_125241
BIT15_132981
BIT14_131581
BIT13_134421
BIT12_132911
BIT11_139611
BIT10_140531
BIT9_135671
BIT8_131301
BIT7_138961
BIT6_134831
BIT5_135201
BIT4_146051
BIT3_146441
BIT2_145331
BIT1_135681
BIT0_134831
BIT31_080881
BIT30_0105091
BIT29_0104911
BIT28_0104791
BIT27_0105981
BIT26_0106071
BIT25_0106431
BIT24_0106001
BIT23_0106711
BIT22_0106551
BIT21_0106231
BIT20_0106471
BIT19_0106341
BIT18_0105821
BIT17_0106061
BIT16_0104561
BIT15_096821
BIT14_098221
BIT13_095381
BIT12_096891
BIT11_090191
BIT10_089271
BIT9_094131
BIT8_098501
BIT7_090841
BIT6_094971
BIT5_094601
BIT4_083751
BIT3_083361
BIT2_084471
BIT1_094121
BIT0_094971

+
+
+Summary for Cross cross_rdrs1_rs2 +
+
+Samples crossed: cp_c_rdrs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rdrs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp270.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp270.html new file mode 100644 index 00000000..56091a48 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp270.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER22101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER22 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER22 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01941

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp271.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp271.html new file mode 100644 index 00000000..f4cc3006 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp271.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER12H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER12H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER12H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp272.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp272.html new file mode 100644 index 00000000..34baf8f8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp272.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER14H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER14H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER14H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]501
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]111
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp273.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp273.html new file mode 100644 index 00000000..8fb5aa59 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp273.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent13::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent13.mhpmevent13__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent13.mhpmevent13__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent13.mhpmevent13__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT13404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT13 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT13 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]511
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]121
legal_values261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp274.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp274.html new file mode 100644 index 00000000..0b333d69 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp274.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr41::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr41.pmpaddr41__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr41.pmpaddr41__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr41.pmpaddr41__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR41101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR41 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR41 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01241

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp275.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp275.html new file mode 100644 index 00000000..07066452 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp275.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr6::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr6.pmpaddr6__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr6.pmpaddr6__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR6404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR6 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR6 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]401
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]111
legal_values291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp276.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp276.html new file mode 100644 index 00000000..fbd1ccc4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp276.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER27H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER27H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER27H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]581
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]161
legal_values261

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp277.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp277.html new file mode 100644 index 00000000..e92129b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp277.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr3::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr3.pmpaddr3__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr3.pmpaddr3__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR3101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR3 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01651

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp278.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp278.html new file mode 100644 index 00000000..12602bad --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp278.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr63::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr63.pmpaddr63__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr63.pmpaddr63__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr63.pmpaddr63__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR63101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR63 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR63 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01321

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp279.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp279.html new file mode 100644 index 00000000..bfa54ff8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp279.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER21H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER21H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER21H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp28.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp28.html new file mode 100644 index 00000000..994b1593 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp28.html @@ -0,0 +1,585 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cj + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cj
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cj +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_j_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_jal_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_j_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_j_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables25025100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_j_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_imm_value303100.00100110
cp_imm_toggle22022100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_jal_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_jal_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables25025100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_jal_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_imm_value303100.00100110
cp_imm_toggle22022100.00100110

+
+
+
+
+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO23321
auto_POSITIVE368011
auto_NEGATIVE286071

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins22022100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT10_1286071
BIT9_1286071
BIT8_1286071
BIT7_1286071
BIT6_1286081
BIT5_1288461
BIT4_1295121
BIT3_1305611
BIT2_1307011
BIT1_1301341
BIT0_1369391
BIT10_0391331
BIT9_0391331
BIT8_0391331
BIT7_0391331
BIT6_0391321
BIT5_0388941
BIT4_0382281
BIT3_0371791
BIT2_0370391
BIT1_0376061
BIT0_0308011

+
+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE308311
auto_NEGATIVE283281

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins22022100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT10_1283281
BIT9_1283261
BIT8_1282881
BIT7_1282871
BIT6_1283081
BIT5_1285171
BIT4_1297121
BIT3_1301341
BIT2_1300491
BIT1_1300001
BIT0_1309201
BIT10_0308321
BIT9_0308341
BIT8_0308721
BIT7_0308731
BIT6_0308521
BIT5_0306431
BIT4_0294481
BIT3_0290261
BIT2_0291111
BIT1_0291601
BIT0_0282401

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp280.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp280.html new file mode 100644 index 00000000..c6f4f612 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp280.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr23::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr23.pmpaddr23__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr23.pmpaddr23__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr23.pmpaddr23__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR23404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR23 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR23 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]251
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]51
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp281.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp281.html new file mode 100644 index 00000000..903955de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp281.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER31H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER31H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER31H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02131

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp282.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp282.html new file mode 100644 index 00000000..1e7ab966 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp282.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent15::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent15.mhpmevent15__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent15.mhpmevent15__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent15.mhpmevent15__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT15404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT15 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT15 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]621
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]131
legal_values271

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp283.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp283.html new file mode 100644 index 00000000..c479df86 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp283.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER11H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER11H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER11H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp284.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp284.html new file mode 100644 index 00000000..867c94db --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp284.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER17H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER17H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER17H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]581
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]181
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp285.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp285.html new file mode 100644 index 00000000..3bfdf75c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp285.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter6::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter6.mhpmcounter6__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER6101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER6 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER6 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01831

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp286.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp286.html new file mode 100644 index 00000000..2accf84f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp286.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER29101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER29 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER29 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02211

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp287.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp287.html new file mode 100644 index 00000000..4afc49d9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp287.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter7h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter7h.mhpmcounter7h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER7H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER7H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER7H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]701
illegal_values[1431655766:2863311530]151
illegal_values[2863311531:ffffffff]81
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp288.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp288.html new file mode 100644 index 00000000..e01a01f9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp288.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr61::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr61.pmpaddr61__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr61.pmpaddr61__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr61.pmpaddr61__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR61404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR61 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR61 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]311
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]31
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp289.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp289.html new file mode 100644 index 00000000..48b67839 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp289.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr24::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr24.pmpaddr24__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr24.pmpaddr24__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr24.pmpaddr24__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR24101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR24 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR24 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp29.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp29.html new file mode 100644 index 00000000..c69adb65 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp29.html @@ -0,0 +1,5371 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zb_rstype + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zb_rstype
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zb_rstype +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+4 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbb_orc_b_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_rev8_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_sext_b_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_sext_h_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_orc_b_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2280228100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_orc_b_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_rev8_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2280228100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rev8_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_sext_b_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2280228100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_sext_b_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_sext_h_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2280228100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_sext_h_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+
+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21361
auto[1]4971
auto[2]4891
auto[3]5431
auto[4]5111
auto[5]5181
auto[6]5051
auto[7]5241
auto[8]5061
auto[9]4961
auto[10]5311
auto[11]4591
auto[12]4941
auto[13]5221
auto[14]4771
auto[15]5491
auto[16]5001
auto[17]4951
auto[18]4991
auto[19]4541
auto[20]4761
auto[21]5441
auto[22]4761
auto[23]5161
auto[24]5061
auto[25]5111
auto[26]4831
auto[27]4731
auto[28]5481
auto[29]4981
auto[30]5351
auto[31]4941

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21681
auto[1]5531
auto[2]4621
auto[3]5281
auto[4]4761
auto[5]4821
auto[6]5031
auto[7]4851
auto[8]4701
auto[9]5061
auto[10]5131
auto[11]5711
auto[12]5201
auto[13]4681
auto[14]5101
auto[15]4741
auto[16]5281
auto[17]4781
auto[18]5051
auto[19]5071
auto[20]4881
auto[21]4551
auto[22]5391
auto[23]4741
auto[24]5251
auto[25]5341
auto[26]5221
auto[27]4941
auto[28]4531
auto[29]5021
auto[30]5321
auto[31]5401

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016331
RD_01141
RD_02131
RD_03221
RD_04131
RD_05161
RD_06191
RD_0771
RD_08161
RD_09131
RD_0a181
RD_0b131
RD_0c101
RD_0d121
RD_0e181
RD_0f161
RD_10171
RD_11141
RD_12211
RD_13181
RD_14191
RD_15161
RD_16141
RD_17221
RD_18181
RD_19201
RD_1a211
RD_1b231
RD_1c171
RD_1d141
RD_1e171
RD_1f171

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68631
auto_NON_ZERO109021

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68631
auto_NON_ZERO109021

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_150481
BIT30_131641
BIT29_131341
BIT28_131481
BIT27_130951
BIT26_130461
BIT25_130381
BIT24_130421
BIT23_130171
BIT22_130131
BIT21_130111
BIT20_130491
BIT19_130571
BIT18_130461
BIT17_130211
BIT16_132181
BIT15_140181
BIT14_139511
BIT13_141391
BIT12_139881
BIT11_144631
BIT10_144801
BIT9_139241
BIT8_133411
BIT7_143061
BIT6_137501
BIT5_139931
BIT4_150151
BIT3_151641
BIT2_150201
BIT1_139371
BIT0_145761
BIT31_0127171
BIT30_0146011
BIT29_0146311
BIT28_0146171
BIT27_0146701
BIT26_0147191
BIT25_0147271
BIT24_0147231
BIT23_0147481
BIT22_0147521
BIT21_0147541
BIT20_0147161
BIT19_0147081
BIT18_0147191
BIT17_0147441
BIT16_0145471
BIT15_0137471
BIT14_0138141
BIT13_0136261
BIT12_0137771
BIT11_0133021
BIT10_0132851
BIT9_0138411
BIT8_0144241
BIT7_0134591
BIT6_0140151
BIT5_0137721
BIT4_0127501
BIT3_0126011
BIT2_0127451
BIT1_0138281
BIT0_0131891

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163531
BIT30_163531
BIT29_163531
BIT28_163531
BIT27_163531
BIT26_163531
BIT25_163531
BIT24_163531
BIT23_145571
BIT22_145571
BIT21_145571
BIT20_145571
BIT19_145571
BIT18_145571
BIT17_145571
BIT16_145571
BIT15_170581
BIT14_170581
BIT13_170581
BIT12_170581
BIT11_170581
BIT10_170581
BIT9_170581
BIT8_170581
BIT7_196221
BIT6_196221
BIT5_196221
BIT4_196221
BIT3_196221
BIT2_196221
BIT1_196221
BIT0_196221
BIT31_0114121
BIT30_0114121
BIT29_0114121
BIT28_0114121
BIT27_0114121
BIT26_0114121
BIT25_0114121
BIT24_0114121
BIT23_0132081
BIT22_0132081
BIT21_0132081
BIT20_0132081
BIT19_0132081
BIT18_0132081
BIT17_0132081
BIT16_0132081
BIT15_0107071
BIT14_0107071
BIT13_0107071
BIT12_0107071
BIT11_0107071
BIT10_0107071
BIT9_0107071
BIT8_0107071
BIT7_081431
BIT6_081431
BIT5_081431
BIT4_081431
BIT3_081431
BIT2_081431
BIT1_081431
BIT0_081431

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20931
auto[1]4941
auto[2]5321
auto[3]5031
auto[4]5251
auto[5]4761
auto[6]4711
auto[7]5041
auto[8]5301
auto[9]4841
auto[10]4801
auto[11]5211
auto[12]5041
auto[13]4871
auto[14]5081
auto[15]4951
auto[16]4811
auto[17]4931
auto[18]5021
auto[19]4771
auto[20]4491
auto[21]4871
auto[22]5001
auto[23]4871
auto[24]4881
auto[25]4671
auto[26]4941
auto[27]4781
auto[28]5061
auto[29]5111
auto[30]4501
auto[31]5101

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21081
auto[1]5431
auto[2]4451
auto[3]5291
auto[4]4871
auto[5]5111
auto[6]4861
auto[7]4981
auto[8]4421
auto[9]4641
auto[10]5061
auto[11]4871
auto[12]4671
auto[13]4911
auto[14]4981
auto[15]4681
auto[16]4751
auto[17]4841
auto[18]5081
auto[19]4871
auto[20]4721
auto[21]5201
auto[22]4931
auto[23]5121
auto[24]5171
auto[25]5061
auto[26]5221
auto[27]5321
auto[28]4811
auto[29]4891
auto[30]4641
auto[31]4951

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016011
RD_0171
RD_02181
RD_03161
RD_04191
RD_05231
RD_06111
RD_07161
RD_08141
RD_09101
RD_0a191
RD_0b101
RD_0c221
RD_0d101
RD_0e191
RD_0f231
RD_10171
RD_11151
RD_12211
RD_13131
RD_14161
RD_15181
RD_16161
RD_17161
RD_18171
RD_19141
RD_1a211
RD_1b171
RD_1c141
RD_1d191
RD_1e151
RD_1f191

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO67091
auto_NON_ZERO106781

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO67091
auto_NON_ZERO106781

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_148361
BIT30_131061
BIT29_131011
BIT28_131101
BIT27_129691
BIT26_130461
BIT25_129671
BIT24_130021
BIT23_129441
BIT22_129571
BIT21_129631
BIT20_129171
BIT19_129671
BIT18_129671
BIT17_129361
BIT16_131611
BIT15_139511
BIT14_138271
BIT13_140831
BIT12_138571
BIT11_143061
BIT10_143441
BIT9_138381
BIT8_132941
BIT7_141701
BIT6_135931
BIT5_138331
BIT4_148131
BIT3_149811
BIT2_149431
BIT1_139091
BIT0_143081
BIT31_0125511
BIT30_0142811
BIT29_0142861
BIT28_0142771
BIT27_0144181
BIT26_0143411
BIT25_0144201
BIT24_0143851
BIT23_0144431
BIT22_0144301
BIT21_0144241
BIT20_0144701
BIT19_0144201
BIT18_0144201
BIT17_0144511
BIT16_0142261
BIT15_0134361
BIT14_0135601
BIT13_0133041
BIT12_0135301
BIT11_0130811
BIT10_0130431
BIT9_0135491
BIT8_0140931
BIT7_0132171
BIT6_0137941
BIT5_0135541
BIT4_0125741
BIT3_0124061
BIT2_0124441
BIT1_0134781
BIT0_0130791

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_141701
BIT30_135931
BIT29_138331
BIT28_148131
BIT27_149811
BIT26_149431
BIT25_139091
BIT24_143081
BIT23_139511
BIT22_138271
BIT21_140831
BIT20_138571
BIT19_143061
BIT18_143441
BIT17_138381
BIT16_132941
BIT15_129441
BIT14_129571
BIT13_129631
BIT12_129171
BIT11_129671
BIT10_129671
BIT9_129361
BIT8_131611
BIT7_148361
BIT6_131061
BIT5_131011
BIT4_131101
BIT3_129691
BIT2_130461
BIT1_129671
BIT0_130021
BIT31_0132171
BIT30_0137941
BIT29_0135541
BIT28_0125741
BIT27_0124061
BIT26_0124441
BIT25_0134781
BIT24_0130791
BIT23_0134361
BIT22_0135601
BIT21_0133041
BIT20_0135301
BIT19_0130811
BIT18_0130431
BIT17_0135491
BIT16_0140931
BIT15_0144431
BIT14_0144301
BIT13_0144241
BIT12_0144701
BIT11_0144201
BIT10_0144201
BIT9_0144511
BIT8_0142261
BIT7_0125511
BIT6_0142811
BIT5_0142861
BIT4_0142771
BIT3_0144181
BIT2_0143411
BIT1_0144201
BIT0_0143851

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20571
auto[1]4851
auto[2]5181
auto[3]5041
auto[4]5041
auto[5]5001
auto[6]5131
auto[7]5291
auto[8]5111
auto[9]5291
auto[10]4981
auto[11]4841
auto[12]4671
auto[13]5041
auto[14]4661
auto[15]4761
auto[16]4851
auto[17]5331
auto[18]4881
auto[19]5091
auto[20]4731
auto[21]5001
auto[22]5311
auto[23]4941
auto[24]4801
auto[25]4401
auto[26]5161
auto[27]5361
auto[28]4751
auto[29]5291
auto[30]4871
auto[31]4801

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20941
auto[1]5411
auto[2]4621
auto[3]5571
auto[4]4861
auto[5]5331
auto[6]5001
auto[7]5231
auto[8]4661
auto[9]4811
auto[10]4861
auto[11]4791
auto[12]4911
auto[13]4671
auto[14]4851
auto[15]5021
auto[16]4971
auto[17]4791
auto[18]4621
auto[19]4781
auto[20]5221
auto[21]5141
auto[22]5301
auto[23]5171
auto[24]4821
auto[25]4941
auto[26]5371
auto[27]4891
auto[28]5041
auto[29]4801
auto[30]4641
auto[31]4991

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015811
RD_01141
RD_0291
RD_03191
RD_04101
RD_05151
RD_06181
RD_07191
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_10101
RD_11151
RD_12111
RD_13131
RD_14131
RD_15181
RD_16161
RD_17181
RD_18161
RD_1981
RD_1a221
RD_1b241
RD_1c171
RD_1d221
RD_1e101
RD_1f151

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO67521
auto_NON_ZERO107491

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO80201
auto_NON_ZERO94811

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149891
BIT30_132181
BIT29_132601
BIT28_132321
BIT27_131181
BIT26_131621
BIT25_131131
BIT24_130931
BIT23_130851
BIT22_130631
BIT21_130211
BIT20_131031
BIT19_131111
BIT18_131121
BIT17_131011
BIT16_132741
BIT15_139831
BIT14_139531
BIT13_141731
BIT12_140241
BIT11_144551
BIT10_144801
BIT9_140301
BIT8_134911
BIT7_142071
BIT6_137541
BIT5_140141
BIT4_149951
BIT3_150951
BIT2_149951
BIT1_139901
BIT0_144551
BIT31_0125121
BIT30_0142831
BIT29_0142411
BIT28_0142691
BIT27_0143831
BIT26_0143391
BIT25_0143881
BIT24_0144081
BIT23_0144161
BIT22_0144381
BIT21_0144801
BIT20_0143981
BIT19_0143901
BIT18_0143891
BIT17_0144001
BIT16_0142271
BIT15_0135181
BIT14_0135481
BIT13_0133281
BIT12_0134771
BIT11_0130461
BIT10_0130211
BIT9_0134711
BIT8_0140101
BIT7_0132941
BIT6_0137471
BIT5_0134871
BIT4_0125061
BIT3_0124061
BIT2_0125061
BIT1_0135111
BIT0_0130461

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_142071
BIT30_142071
BIT29_142071
BIT28_142071
BIT27_142071
BIT26_142071
BIT25_142071
BIT24_142071
BIT23_142071
BIT22_142071
BIT21_142071
BIT20_142071
BIT19_142071
BIT18_142071
BIT17_142071
BIT16_142071
BIT15_142071
BIT14_142071
BIT13_142071
BIT12_142071
BIT11_142071
BIT10_142071
BIT9_142071
BIT8_142071
BIT7_142071
BIT6_137541
BIT5_140141
BIT4_149951
BIT3_150951
BIT2_149951
BIT1_139901
BIT0_144551
BIT31_0132941
BIT30_0132941
BIT29_0132941
BIT28_0132941
BIT27_0132941
BIT26_0132941
BIT25_0132941
BIT24_0132941
BIT23_0132941
BIT22_0132941
BIT21_0132941
BIT20_0132941
BIT19_0132941
BIT18_0132941
BIT17_0132941
BIT16_0132941
BIT15_0132941
BIT14_0132941
BIT13_0132941
BIT12_0132941
BIT11_0132941
BIT10_0132941
BIT9_0132941
BIT8_0132941
BIT7_0132941
BIT6_0137471
BIT5_0134871
BIT4_0125061
BIT3_0124061
BIT2_0125061
BIT1_0135111
BIT0_0130461

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20391
auto[1]5161
auto[2]4781
auto[3]5071
auto[4]4921
auto[5]5251
auto[6]5201
auto[7]4901
auto[8]4921
auto[9]4461
auto[10]4831
auto[11]4881
auto[12]4551
auto[13]4991
auto[14]4741
auto[15]4811
auto[16]4961
auto[17]5051
auto[18]4791
auto[19]5211
auto[20]4881
auto[21]4641
auto[22]5131
auto[23]5091
auto[24]4921
auto[25]5301
auto[26]5271
auto[27]4581
auto[28]4821
auto[29]4681
auto[30]5081
auto[31]5101

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20911
auto[1]5081
auto[2]4551
auto[3]5681
auto[4]4781
auto[5]4581
auto[6]5121
auto[7]5141
auto[8]4851
auto[9]4711
auto[10]4721
auto[11]4661
auto[12]4731
auto[13]4741
auto[14]4391
auto[15]4711
auto[16]4781
auto[17]5041
auto[18]4991
auto[19]4931
auto[20]5241
auto[21]4851
auto[22]5181
auto[23]4901
auto[24]4761
auto[25]4831
auto[26]5221
auto[27]5121
auto[28]5151
auto[29]5201
auto[30]5401
auto[31]4411

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015711
RD_01181
RD_0291
RD_03211
RD_04181
RD_05171
RD_06241
RD_07131
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_10211
RD_11171
RD_12141
RD_13121
RD_14211
RD_15151
RD_16151
RD_17211
RD_18111
RD_19141
RD_1a131
RD_1b151
RD_1c201
RD_1d181
RD_1e171
RD_1f111

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66771
auto_NON_ZERO106581

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO73161
auto_NON_ZERO100191

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149331
BIT30_131851
BIT29_131731
BIT28_131981
BIT27_131161
BIT26_131301
BIT25_130761
BIT24_130531
BIT23_130681
BIT22_130641
BIT21_130621
BIT20_130591
BIT19_130721
BIT18_130641
BIT17_130291
BIT16_132431
BIT15_140311
BIT14_139521
BIT13_141051
BIT12_140031
BIT11_143721
BIT10_144401
BIT9_139201
BIT8_133841
BIT7_142381
BIT6_136681
BIT5_138791
BIT4_149771
BIT3_150461
BIT2_150551
BIT1_138951
BIT0_143921
BIT31_0124021
BIT30_0141501
BIT29_0141621
BIT28_0141371
BIT27_0142191
BIT26_0142051
BIT25_0142591
BIT24_0142821
BIT23_0142671
BIT22_0142711
BIT21_0142731
BIT20_0142761
BIT19_0142631
BIT18_0142711
BIT17_0143061
BIT16_0140921
BIT15_0133041
BIT14_0133831
BIT13_0132301
BIT12_0133321
BIT11_0129631
BIT10_0128951
BIT9_0134151
BIT8_0139511
BIT7_0130971
BIT6_0136671
BIT5_0134561
BIT4_0123581
BIT3_0122891
BIT2_0122801
BIT1_0134401
BIT0_0129431

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_140311
BIT30_140311
BIT29_140311
BIT28_140311
BIT27_140311
BIT26_140311
BIT25_140311
BIT24_140311
BIT23_140311
BIT22_140311
BIT21_140311
BIT20_140311
BIT19_140311
BIT18_140311
BIT17_140311
BIT16_140311
BIT15_140311
BIT14_139521
BIT13_141051
BIT12_140031
BIT11_143721
BIT10_144401
BIT9_139201
BIT8_133841
BIT7_142381
BIT6_136681
BIT5_138791
BIT4_149771
BIT3_150461
BIT2_150551
BIT1_138951
BIT0_143921
BIT31_0133041
BIT30_0133041
BIT29_0133041
BIT28_0133041
BIT27_0133041
BIT26_0133041
BIT25_0133041
BIT24_0133041
BIT23_0133041
BIT22_0133041
BIT21_0133041
BIT20_0133041
BIT19_0133041
BIT18_0133041
BIT17_0133041
BIT16_0133041
BIT15_0133041
BIT14_0133831
BIT13_0132301
BIT12_0133321
BIT11_0129631
BIT10_0128951
BIT9_0134151
BIT8_0139511
BIT7_0130971
BIT6_0136671
BIT5_0134561
BIT4_0123581
BIT3_0122891
BIT2_0122801
BIT1_0134401
BIT0_0129431

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp290.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp290.html new file mode 100644 index 00000000..29061998 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp290.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent14::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent14.mhpmevent14__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent14.mhpmevent14__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent14.mhpmevent14__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT14404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT14 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT14 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]411
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]171
legal_values301

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp291.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp291.html new file mode 100644 index 00000000..a9d455f3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp291.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent30::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent30.mhpmevent30__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent30.mhpmevent30__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent30.mhpmevent30__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT30404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT30 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT30 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp292.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp292.html new file mode 100644 index 00000000..1e8b2933 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp292.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER26H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER26H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER26H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]111
legal_values221

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp293.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp293.html new file mode 100644 index 00000000..152db8ca --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp293.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent17::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent17.mhpmevent17__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent17.mhpmevent17__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent17.mhpmevent17__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT17404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT17 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT17 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]571
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]141
legal_values291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp294.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp294.html new file mode 100644 index 00000000..3a4ff766 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp294.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent7::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent7.mhpmevent7__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent7.mhpmevent7__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent7.mhpmevent7__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT7404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT7 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]171
legal_values321

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp295.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp295.html new file mode 100644 index 00000000..d7697744 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp295.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER11101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER11 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER11 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01991

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp296.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp296.html new file mode 100644 index 00000000..3764e6de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp296.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER20H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER20H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER20H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01921

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp297.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp297.html new file mode 100644 index 00000000..9d7f6763 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp297.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER30H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER30H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER30H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp298.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp298.html new file mode 100644 index 00000000..ce49fdef --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp298.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER10H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER10H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER10H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp299.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp299.html new file mode 100644 index 00000000..cff8d8c7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp299.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER16H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER16H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER16H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]141
illegal_values[2863311531:ffffffff]141
legal_values241

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp3.html new file mode 100644 index 00000000..f7d8ba4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp3.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvma_interrupt_pkg::cg_interrupt + + + + + + + + + + +
+ +
Group : uvma_interrupt_pkg::cg_interrupt
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_interrupt_pkg::cg_interrupt +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/uvma_interrupt/cov/uvma_interrupt_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_interrupt_pkg.interrupt_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_interrupt_pkg.interrupt_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_interrupt_pkg.interrupt_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance uvma_interrupt_pkg.interrupt_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_interrupt_req404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_interrupt_req +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for cp_interrupt_req +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
INTERRUPTS_0000436381
INTERRUPTS_0001828821
INTERRUPTS_00021301851
INTERRUPTS_00031693801

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp30.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp30.html new file mode 100644 index 00000000..2e4aab4c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp30.html @@ -0,0 +1,1004 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_mul + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_mul
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_mul +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_mul_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_mul_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_mul_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1500150100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_mul_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rsdc808100.00100118
cp_rs2808100.00100118
cp_rsdc_value303100.00100110
cp_rs2_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rsdc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rsdc +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13381
auto[1]13001
auto[2]13021
auto[3]12941
auto[4]13941
auto[5]13651
auto[6]14411
auto[7]14261

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13631
auto[1]13841
auto[2]13461
auto[3]13391
auto[4]14111
auto[5]13701
auto[6]12931
auto[7]13541

+
+
+Summary for Variable cp_rsdc_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rsdc_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO36861
auto_POSITIVE43481
auto_NEGATIVE28261

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33551
auto_POSITIVE40521
auto_NEGATIVE34531

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_128261
BIT30_122511
BIT29_122171
BIT28_122501
BIT27_122141
BIT26_122011
BIT25_121901
BIT24_121871
BIT23_122081
BIT22_121991
BIT21_121951
BIT20_121691
BIT19_121851
BIT18_122201
BIT17_121981
BIT16_123241
BIT15_126411
BIT14_126341
BIT13_127361
BIT12_126671
BIT11_126821
BIT10_126431
BIT9_127151
BIT8_126041
BIT7_130281
BIT6_128971
BIT5_129861
BIT4_133121
BIT3_133071
BIT2_132591
BIT1_129621
BIT0_133401
BIT31_080341
BIT30_086091
BIT29_086431
BIT28_086101
BIT27_086461
BIT26_086591
BIT25_086701
BIT24_086731
BIT23_086521
BIT22_086611
BIT21_086651
BIT20_086911
BIT19_086751
BIT18_086401
BIT17_086621
BIT16_085361
BIT15_082191
BIT14_082261
BIT13_081241
BIT12_081931
BIT11_081781
BIT10_082171
BIT9_081451
BIT8_082561
BIT7_078321
BIT6_079631
BIT5_078741
BIT4_075481
BIT3_075531
BIT2_076011
BIT1_078981
BIT0_075201

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_134531
BIT30_121321
BIT29_121431
BIT28_121431
BIT27_120661
BIT26_120831
BIT25_120591
BIT24_120491
BIT23_120921
BIT22_120801
BIT21_120551
BIT20_121001
BIT19_120871
BIT18_120761
BIT17_120811
BIT16_122411
BIT15_128241
BIT14_127891
BIT13_130301
BIT12_128031
BIT11_132061
BIT10_131641
BIT9_128501
BIT8_124121
BIT7_131761
BIT6_127941
BIT5_128061
BIT4_137311
BIT3_136991
BIT2_137471
BIT1_128091
BIT0_130921
BIT31_074071
BIT30_087281
BIT29_087171
BIT28_087171
BIT27_087941
BIT26_087771
BIT25_088011
BIT24_088111
BIT23_087681
BIT22_087801
BIT21_088051
BIT20_087601
BIT19_087731
BIT18_087841
BIT17_087791
BIT16_086191
BIT15_080361
BIT14_080711
BIT13_078301
BIT12_080571
BIT11_076541
BIT10_076961
BIT9_080101
BIT8_084481
BIT7_076841
BIT6_080661
BIT5_080541
BIT4_071291
BIT3_071611
BIT2_071131
BIT1_080511
BIT0_077681

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp300.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp300.html new file mode 100644 index 00000000..e083eac5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp300.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg4::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg4.pmpcfg4__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg4.pmpcfg4__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg4.pmpcfg4__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP19CFG101100.00100110
PMP18CFG101100.00100110
PMP17CFG101100.00100110
PMP16CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP19CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP19CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+Summary for Variable PMP18CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP18CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+Summary for Variable PMP17CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP17CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+Summary for Variable PMP16CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP16CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002071

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp301.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp301.html new file mode 100644 index 00000000..20ee3180 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp301.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent16::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent16.mhpmevent16__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent16.mhpmevent16__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent16.mhpmevent16__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT16404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT16 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT16 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]451
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]131
legal_values331

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp302.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp302.html new file mode 100644 index 00000000..dc151aa0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp302.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent31::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent31.mhpmevent31__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent31.mhpmevent31__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent31.mhpmevent31__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT31404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT31 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT31 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]621
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]181
legal_values291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp303.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp303.html new file mode 100644 index 00000000..28be00fb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp303.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr45::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr45.pmpaddr45__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr45.pmpaddr45__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr45.pmpaddr45__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR45101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR45 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR45 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp304.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp304.html new file mode 100644 index 00000000..359ef5a6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp304.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter27h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter27h.mhpmcounter27h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER27H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER27H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER27H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01891

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp305.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp305.html new file mode 100644 index 00000000..a872567e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp305.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter21h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter21h.mhpmcounter21h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER21H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER21H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER21H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]601
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]131
legal_values341

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp306.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp306.html new file mode 100644 index 00000000..c48cf879 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp306.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter31h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter31h.mhpmcounter31h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER31H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER31H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER31H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]621
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]141
legal_values261

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp307.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp307.html new file mode 100644 index 00000000..cbd290a9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp307.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr2::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr2.pmpaddr2__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr2.pmpaddr2__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR2404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]481
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]141
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp308.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp308.html new file mode 100644 index 00000000..047ed240 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp308.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr43::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr43.pmpaddr43__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr43.pmpaddr43__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR43404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR43 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR43 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]291
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]51
legal_values171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp309.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp309.html new file mode 100644 index 00000000..f3c3affe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp309.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent4::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent4.mhpmevent4__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent4.mhpmevent4__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent4.mhpmevent4__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT4404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT4 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]501
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]101
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp31.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp31.html new file mode 100644 index 00000000..58623aaa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp31.html @@ -0,0 +1,2035 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zb_rstype_ext + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zb_rstype_ext
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zb_rstype_ext +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbs_bext_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_bext_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3260326100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_index32032100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bext_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5531
auto[1]5381
auto[2]5101
auto[3]5331
auto[4]5661
auto[5]5281
auto[6]5401
auto[7]5271
auto[8]5471
auto[9]5541
auto[10]5451
auto[11]5501
auto[12]5741
auto[13]5411
auto[14]5751
auto[15]5231
auto[16]5601
auto[17]5401
auto[18]5051
auto[19]4981
auto[20]5661
auto[21]5501
auto[22]5381
auto[23]5641
auto[24]5581
auto[25]5611
auto[26]5811
auto[27]5851
auto[28]5861
auto[29]5551
auto[30]5541
auto[31]5391

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5771
auto[1]5791
auto[2]5521
auto[3]5601
auto[4]5861
auto[5]5211
auto[6]5001
auto[7]5351
auto[8]5171
auto[9]5451
auto[10]5401
auto[11]5621
auto[12]5391
auto[13]5021
auto[14]5381
auto[15]5331
auto[16]5401
auto[17]5481
auto[18]5881
auto[19]5151
auto[20]5621
auto[21]5251
auto[22]5511
auto[23]5321
auto[24]5501
auto[25]5511
auto[26]5701
auto[27]5861
auto[28]5641
auto[29]5601
auto[30]5381
auto[31]5781

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5761
auto[1]5821
auto[2]4831
auto[3]5821
auto[4]5691
auto[5]5521
auto[6]5361
auto[7]5411
auto[8]5101
auto[9]5201
auto[10]5461
auto[11]5401
auto[12]5811
auto[13]5591
auto[14]5651
auto[15]4961
auto[16]5231
auto[17]5531
auto[18]5821
auto[19]5171
auto[20]5261
auto[21]5371
auto[22]5641
auto[23]5291
auto[24]5661
auto[25]5201
auto[26]6061
auto[27]5841
auto[28]5691
auto[29]5391
auto[30]5281
auto[31]5631

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00741
RD_01591
RD_02561
RD_03651
RD_04671
RD_05691
RD_06541
RD_07551
RD_08541
RD_09711
RD_0a721
RD_0b731
RD_0c641
RD_0d631
RD_0e681
RD_0f571
RD_10741
RD_11691
RD_12651
RD_13521
RD_14691
RD_15591
RD_16771
RD_17631
RD_18681
RD_19581
RD_1a751
RD_1b721
RD_1c651
RD_1d711
RD_1e661
RD_1f751

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00731
RD_01601
RD_02541
RD_03651
RD_04821
RD_05631
RD_06611
RD_07671
RD_08521
RD_09631
RD_0a711
RD_0b721
RD_0c741
RD_0d641
RD_0e701
RD_0f641
RD_10651
RD_11631
RD_12581
RD_13561
RD_14651
RD_15541
RD_16751
RD_17621
RD_18731
RD_19561
RD_1a821
RD_1b751
RD_1c641
RD_1d601
RD_1e661
RD_1f781

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60851
auto_NON_ZERO114591

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61201
auto_NON_ZERO114241

+
+
+Summary for Variable cp_index +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_index +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
INDEX_005771
INDEX_015791
INDEX_025521
INDEX_035601
INDEX_045861
INDEX_055211
INDEX_065001
INDEX_075351
INDEX_085171
INDEX_095451
INDEX_0a5401
INDEX_0b5621
INDEX_0c5391
INDEX_0d5021
INDEX_0e5381
INDEX_0f5331
INDEX_105401
INDEX_115481
INDEX_125881
INDEX_135151
INDEX_145621
INDEX_155251
INDEX_165511
INDEX_175321
INDEX_185501
INDEX_195511
INDEX_1a5701
INDEX_1b5861
INDEX_1c5641
INDEX_1d5601
INDEX_1e5381
INDEX_1f5781

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_rd_value +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
ONE42971
ZERO132471

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153911
BIT30_135811
BIT29_135601
BIT28_135601
BIT27_134131
BIT26_134391
BIT25_133521
BIT24_133961
BIT23_133751
BIT22_133981
BIT21_134011
BIT20_133931
BIT19_133921
BIT18_134601
BIT17_133641
BIT16_136541
BIT15_143051
BIT14_142551
BIT13_144671
BIT12_142961
BIT11_147971
BIT10_148601
BIT9_142831
BIT8_137311
BIT7_146271
BIT6_141121
BIT5_143091
BIT4_154681
BIT3_154131
BIT2_154601
BIT1_144021
BIT0_149831
BIT31_0121531
BIT30_0139631
BIT29_0139841
BIT28_0139841
BIT27_0141311
BIT26_0141051
BIT25_0141921
BIT24_0141481
BIT23_0141691
BIT22_0141461
BIT21_0141431
BIT20_0141511
BIT19_0141521
BIT18_0140841
BIT17_0141801
BIT16_0138901
BIT15_0132391
BIT14_0132891
BIT13_0130771
BIT12_0132481
BIT11_0127471
BIT10_0126841
BIT9_0132611
BIT8_0138131
BIT7_0129171
BIT6_0134321
BIT5_0132351
BIT4_0120761
BIT3_0121311
BIT2_0120841
BIT1_0131421
BIT0_0125611

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152701
BIT30_134311
BIT29_134181
BIT28_134581
BIT27_133301
BIT26_133211
BIT25_132501
BIT24_132761
BIT23_133001
BIT22_133411
BIT21_132631
BIT20_132701
BIT19_132541
BIT18_133051
BIT17_132711
BIT16_135461
BIT15_142831
BIT14_141131
BIT13_143751
BIT12_142341
BIT11_146911
BIT10_147011
BIT9_141331
BIT8_136971
BIT7_145161
BIT6_140331
BIT5_141791
BIT4_152361
BIT3_153981
BIT2_153701
BIT1_142441
BIT0_149091
BIT31_0122741
BIT30_0141131
BIT29_0141261
BIT28_0140861
BIT27_0142141
BIT26_0142231
BIT25_0142941
BIT24_0142681
BIT23_0142441
BIT22_0142031
BIT21_0142811
BIT20_0142741
BIT19_0142901
BIT18_0142391
BIT17_0142731
BIT16_0139981
BIT15_0132611
BIT14_0134311
BIT13_0131691
BIT12_0133101
BIT11_0128531
BIT10_0128431
BIT9_0134111
BIT8_0138471
BIT7_0130281
BIT6_0135111
BIT5_0133651
BIT4_0123081
BIT3_0121461
BIT2_0121741
BIT1_0133001
BIT0_0126351

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29581
auto_ZEROauto_NON_ZERO31271
auto_NON_ZEROauto_ZERO31621
auto_NON_ZEROauto_NON_ZERO82971

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp310.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp310.html new file mode 100644 index 00000000..4b1884a9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp310.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter18::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter18.mhpmcounter18__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER18404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER18 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER18 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]601
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]101
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp311.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp311.html new file mode 100644 index 00000000..acf6ab93 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp311.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter11h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter11h.mhpmcounter11h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER11H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER11H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER11H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]641
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]121
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp312.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp312.html new file mode 100644 index 00000000..6d4720d1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp312.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter17h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter17h.mhpmcounter17h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER17H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER17H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER17H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp313.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp313.html new file mode 100644 index 00000000..efff3cb3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp313.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg8::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg8.pmpcfg8__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg8.pmpcfg8__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg8.pmpcfg8__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP35CFG101100.00100110
PMP34CFG101100.00100110
PMP33CFG101100.00100110
PMP32CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP35CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP35CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002231

+
+
+Summary for Variable PMP34CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP34CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002231

+
+
+Summary for Variable PMP33CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP33CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002231

+
+
+Summary for Variable PMP32CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP32CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002231

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp314.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp314.html new file mode 100644 index 00000000..b9ac0122 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp314.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr28::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr28.pmpaddr28__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr28.pmpaddr28__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR28101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR28 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR28 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01391

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp315.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp315.html new file mode 100644 index 00000000..4feb161d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp315.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter26h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter26h.mhpmcounter26h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER26H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER26H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER26H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01961

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp316.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp316.html new file mode 100644 index 00000000..48cfb6b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp316.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg11::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg11.pmpcfg11__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg11.pmpcfg11__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg11.pmpcfg11__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP47CFG101100.00100110
PMP46CFG101100.00100110
PMP45CFG101100.00100110
PMP44CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP47CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP47CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002221

+
+
+Summary for Variable PMP46CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP46CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002221

+
+
+Summary for Variable PMP45CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP45CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002221

+
+
+Summary for Variable PMP44CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP44CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002221

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp317.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp317.html new file mode 100644 index 00000000..2fd97e78 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp317.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mtval::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mtval::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mtval::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mtval.mtval__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mtval.mtval__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mtval.mtval__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mtval.mtval__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MTVAL404100.00100110

+
+
+
+
+
+
+Summary for Variable MTVAL +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MTVAL +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]1051
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]191
legal_values491

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp318.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp318.html new file mode 100644 index 00000000..dda4f1de --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp318.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter20h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter20h.mhpmcounter20h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER20H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER20H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER20H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]581
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]161
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp319.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp319.html new file mode 100644 index 00000000..4169daaf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp319.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter30h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter30h.mhpmcounter30h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER30H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER30H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER30H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]631
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]121
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp32.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp32.html new file mode 100644 index 00000000..a7607512 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp32.html @@ -0,0 +1,1129 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_sh + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_sh
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_sh +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.80 99.801 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_sh_cg 99.801 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_sh_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.801 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_sh_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables152115199.80

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_sh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rs2808100.00100118
cp_rs2_toggle64064100.00100110
cp_rs1_toggle6416398.44 100110
cp_imm_toggle202100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO1441

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO421
auto_NON_ZERO1031

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO521
auto_NON_ZERO931

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]141
auto[1]271
auto[2]121
auto[3]211
auto[4]201
auto[5]151
auto[6]171
auto[7]191

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]281
auto[1]221
auto[2]221
auto[3]191
auto[4]91
auto[5]151
auto[6]111
auto[7]191

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1581
BIT30_1181
BIT29_1221
BIT28_1201
BIT27_1211
BIT26_1241
BIT25_1201
BIT24_1181
BIT23_1211
BIT22_1181
BIT21_1211
BIT20_1201
BIT19_1201
BIT18_1211
BIT17_1201
BIT16_1271
BIT15_1401
BIT14_1351
BIT13_1391
BIT12_1331
BIT11_1381
BIT10_1421
BIT9_1361
BIT8_1361
BIT7_1481
BIT6_1371
BIT5_1471
BIT4_1431
BIT3_1541
BIT2_1511
BIT1_1531
BIT0_1351
BIT31_0871
BIT30_01271
BIT29_01231
BIT28_01251
BIT27_01241
BIT26_01211
BIT25_01251
BIT24_01271
BIT23_01241
BIT22_01271
BIT21_01241
BIT20_01251
BIT19_01251
BIT18_01241
BIT17_01251
BIT16_01181
BIT15_01051
BIT14_01101
BIT13_01061
BIT12_01121
BIT11_01071
BIT10_01031
BIT9_01091
BIT8_01091
BIT7_0971
BIT6_01081
BIT5_0981
BIT4_01021
BIT3_0911
BIT2_0941
BIT1_0921
BIT0_01101

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins6416398.44

+
+User Defined Bins for cp_rs1_toggle +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT0_1011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11441
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1571
BIT15_11161
BIT14_1681
BIT13_1821
BIT12_1681
BIT11_1721
BIT10_1831
BIT9_1631
BIT8_1771
BIT7_1881
BIT6_1621
BIT5_1641
BIT4_1641
BIT3_1791
BIT2_1681
BIT1_1651
BIT31_011
BIT30_01441
BIT29_01441
BIT28_01441
BIT27_01441
BIT26_01441
BIT25_01441
BIT24_01441
BIT23_01441
BIT22_01441
BIT21_01441
BIT20_01441
BIT19_01441
BIT18_01441
BIT17_01441
BIT16_0881
BIT15_0291
BIT14_0771
BIT13_0631
BIT12_0771
BIT11_0731
BIT10_0621
BIT9_0821
BIT8_0681
BIT7_0571
BIT6_0831
BIT5_0811
BIT4_0811
BIT3_0661
BIT2_0771
BIT1_0801
BIT0_01451

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
BIT0_1931
BIT0_0521

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp320.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp320.html new file mode 100644 index 00000000..fc734273 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp320.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr60::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr60.pmpaddr60__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr60.pmpaddr60__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR60404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR60 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR60 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]201
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]41
legal_values161

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp321.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp321.html new file mode 100644 index 00000000..62df1fda --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp321.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr22::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr22.pmpaddr22__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr22.pmpaddr22__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR22404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR22 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR22 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]321
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]71
legal_values181

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp322.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp322.html new file mode 100644 index 00000000..031e7afb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp322.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter3::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter3.mhpmcounter3__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER3101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER3 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01861

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp323.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp323.html new file mode 100644 index 00000000..9ed30e4e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp323.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter10h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter10h.mhpmcounter10h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER10H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER10H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER10H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]511
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]151
legal_values241

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp324.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp324.html new file mode 100644 index 00000000..bc4f6b07 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp324.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent18::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent18.mhpmevent18__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent18.mhpmevent18__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent18.mhpmevent18__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT18404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT18 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT18 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]531
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]151
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp325.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp325.html new file mode 100644 index 00000000..9d8c5fb6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp325.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter16h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter16h.mhpmcounter16h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER16H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER16H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER16H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02171

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp326.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp326.html new file mode 100644 index 00000000..fe23743f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp326.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr49::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr49.pmpaddr49__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr49.pmpaddr49__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR49101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR49 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR49 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01041

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp327.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp327.html new file mode 100644 index 00000000..b91f888b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp327.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent19::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent19.mhpmevent19__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent19.mhpmevent19__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent19.mhpmevent19__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT19404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT19 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT19 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]161
legal_values241

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp328.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp328.html new file mode 100644 index 00000000..35c8fab8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp328.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter20::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter20.mhpmcounter20__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER20404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER20 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER20 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]511
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]151
legal_values231

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp329.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp329.html new file mode 100644 index 00000000..65c3d717 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp329.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg2::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg2.pmpcfg2__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg2.pmpcfg2__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg2.pmpcfg2__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP11CFG101100.00100110
PMP10CFG101100.00100110
PMP9CFG101100.00100110
PMP8CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP11CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP11CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+Summary for Variable PMP10CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP10CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+Summary for Variable PMP9CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP9CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+Summary for Variable PMP8CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP8CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp33.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp33.html new file mode 100644 index 00000000..c1b13bf8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp33.html @@ -0,0 +1,347 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_div_special_results + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_div_special_results
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_div_special_results +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32m_divu_results_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_remu_results_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_divu_results_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_divu_results_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_divu_results_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_div_zero101100.00100110
cp_div_arithmetic_overflow00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_remu_results_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_remu_results_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_remu_results_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_div_zero101100.00100110
cp_div_arithmetic_overflow00010

+
+
+
+
+
+
+Summary for Variable cp_div_zero +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_div_zero +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ZERO74251

+
+
+Summary for Variable cp_div_arithmetic_overflow +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+
+Summary for Variable cp_div_zero +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_div_zero +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ZERO74221

+
+
+Summary for Variable cp_div_arithmetic_overflow +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp330.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp330.html new file mode 100644 index 00000000..d34ee160 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp330.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter25h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter25h.mhpmcounter25h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER25H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER25H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER25H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02031

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp331.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp331.html new file mode 100644 index 00000000..b6b93a53 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp331.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter23h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter23h.mhpmcounter23h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER23H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER23H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER23H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]651
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]151
legal_values291

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp332.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp332.html new file mode 100644 index 00000000..14b67257 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp332.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg10::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg10.pmpcfg10__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg10.pmpcfg10__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg10.pmpcfg10__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP43CFG101100.00100110
PMP42CFG101100.00100110
PMP41CFG101100.00100110
PMP40CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP43CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP43CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+Summary for Variable PMP42CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP42CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+Summary for Variable PMP41CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP41CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+Summary for Variable PMP40CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP40CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002081

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp333.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp333.html new file mode 100644 index 00000000..c972cd80 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp333.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter13h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter13h.mhpmcounter13h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER13H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER13H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER13H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]651
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]101
legal_values301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp334.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp334.html new file mode 100644 index 00000000..5a8d1a37 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp334.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter15h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter15h.mhpmcounter15h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER15H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER15H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER15H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01841

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp335.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp335.html new file mode 100644 index 00000000..9c122628 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp335.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr47::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr47.pmpaddr47__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr47.pmpaddr47__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR47404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR47 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR47 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]311
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]41
legal_values161

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp336.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp336.html new file mode 100644 index 00000000..19c32659 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp336.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg0::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg0.pmpcfg0__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg0.pmpcfg0__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg0.pmpcfg0__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP3CFG101100.00100110
PMP2CFG101100.00100110
PMP1CFG101100.00100110
PMP0CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP3CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP3CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002481

+
+
+Summary for Variable PMP2CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP2CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002481

+
+
+Summary for Variable PMP1CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP1CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002481

+
+
+Summary for Variable PMP0CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP0CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002481

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp337.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp337.html new file mode 100644 index 00000000..d4877711 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp337.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter24h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter24h.mhpmcounter24h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER24H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER24H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER24H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp338.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp338.html new file mode 100644 index 00000000..e33d569e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp338.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter22h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter22h.mhpmcounter22h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER22H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER22H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER22H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]621
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp339.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp339.html new file mode 100644 index 00000000..1896b747 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp339.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg9::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg9.pmpcfg9__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg9.pmpcfg9__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg9.pmpcfg9__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP39CFG404100.00100110
PMP38CFG404100.00100110
PMP37CFG404100.00100110
PMP36CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP39CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP39CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]71
illegal_values[ab:ff]91
legal_values1051

+
+
+Summary for Variable PMP38CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP38CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]51
illegal_values[56:aa]41
illegal_values[ab:ff]101
legal_values1081

+
+
+Summary for Variable PMP37CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP37CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]81
illegal_values[56:aa]71
illegal_values[ab:ff]81
legal_values1041

+
+
+Summary for Variable PMP36CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP36CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]701
illegal_values[56:aa]81
illegal_values[ab:ff]61
legal_values431

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp34.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp34.html new file mode 100644 index 00000000..3d0cd443 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp34.html @@ -0,0 +1,373 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_div_special_results + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_div_special_results
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_div_special_results +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32m_div_results_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_rem_results_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_div_results_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_div_results_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables202100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_div_results_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_div_zero101100.00100110
cp_div_arithmetic_overflow101100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_rem_results_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_rem_results_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables202100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_rem_results_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_div_zero101100.00100110
cp_div_arithmetic_overflow101100.00100110

+
+
+
+
+
+
+Summary for Variable cp_div_zero +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_div_zero +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ZERO73171

+
+
+Summary for Variable cp_div_arithmetic_overflow +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_div_arithmetic_overflow +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
OFLOW101

+
+
+
+Summary for Variable cp_div_zero +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_div_zero +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ZERO71151

+
+
+Summary for Variable cp_div_arithmetic_overflow +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_div_arithmetic_overflow +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
OFLOW51

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp340.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp340.html new file mode 100644 index 00000000..3a715bf3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp340.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter12h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter12h.mhpmcounter12h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER12H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER12H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER12H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]461
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]101
legal_values331

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp341.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp341.html new file mode 100644 index 00000000..c1bae6d6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp341.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr26::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr26.pmpaddr26__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr26.pmpaddr26__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR26404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR26 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR26 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]211
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]11
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp342.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp342.html new file mode 100644 index 00000000..bd6b4f07 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp342.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter14h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter14h.mhpmcounter14h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER14H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER14H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER14H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01821

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp343.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp343.html new file mode 100644 index 00000000..275821f6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp343.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter13::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter13.mhpmcounter13__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER13404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER13 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER13 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]611
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]181
legal_values291

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp344.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp344.html new file mode 100644 index 00000000..602344b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp344.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mscratch::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mscratch::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mscratch::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mscratch.mscratch__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mscratch.mscratch__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mscratch.mscratch__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mscratch.mscratch__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MSCRATCH404100.00100110

+
+
+
+
+
+
+Summary for Variable MSCRATCH +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MSCRATCH +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]135731
other_values[1431655766:2863311530]29271
other_values[2863311531:ffffffff]22731
reset_value48481

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp345.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp345.html new file mode 100644 index 00000000..a38bc3da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp345.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr3::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr3.pmpaddr3__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr3.pmpaddr3__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr3.pmpaddr3__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR3404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR3 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]381
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]111
legal_values271

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp346.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp346.html new file mode 100644 index 00000000..58a97dc1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp346.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr19::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr19.pmpaddr19__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr19.pmpaddr19__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr19.pmpaddr19__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR19404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR19 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR19 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]181
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]21
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp347.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp347.html new file mode 100644 index 00000000..dbf11d33 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp347.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter8::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter8.mhpmcounter8__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER8404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER8 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER8 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]161
legal_values291

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp348.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp348.html new file mode 100644 index 00000000..006879e1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp348.html @@ -0,0 +1,732 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mip::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mip::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mip::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mip.mip__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mip.mip__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mip.mip__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables26026100.00

+
+Variables for Group Instance csr_reg_cov.mip.mip__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
SGEIP202100.00100110
MEIP202100.00100110
VSEIP202100.00100110
SEIP202100.00100110
UEIP202100.00100110
MTIP202100.00100110
VSTIP202100.00100110
STIP202100.00100110
UTIP202100.00100110
MSIP202100.00100110
VSSIP202100.00100110
SSIP202100.00100110
USIP202100.00100110

+
+
+
+
+
+
+Summary for Variable SGEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SGEIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]291
legal_values1951

+
+
+Summary for Variable MEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MEIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
legal_values_01971
legal_values_1271

+
+
+Summary for Variable VSEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for VSEIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]271
legal_values1971

+
+
+Summary for Variable SEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SEIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]371
legal_values1871

+
+
+Summary for Variable UEIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UEIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]291
legal_values1951

+
+
+Summary for Variable MTIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MTIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
legal_values_01941
legal_values_1301

+
+
+Summary for Variable VSTIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for VSTIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]321
legal_values1921

+
+
+Summary for Variable STIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for STIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]331
legal_values1911

+
+
+Summary for Variable UTIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for UTIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]791
legal_values1451

+
+
+Summary for Variable MSIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MSIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]681
legal_values1561

+
+
+Summary for Variable VSSIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for VSSIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]751
legal_values1491

+
+
+Summary for Variable SSIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SSIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]711
legal_values1531

+
+
+Summary for Variable USIP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for USIP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]701
legal_values1541

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp349.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp349.html new file mode 100644 index 00000000..95084bbf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp349.html @@ -0,0 +1,380 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mstatush::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mstatush::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mstatush::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mstatush.mstatush__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mstatush.mstatush__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mstatush.mstatush__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables10010100.00

+
+Variables for Group Instance csr_reg_cov.mstatush.mstatush__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MPELP202100.00100110
MPV202100.00100110
GVA202100.00100110
MBE202100.00100110
SBE202100.00100110

+
+
+
+
+
+
+Summary for Variable MPELP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MPELP +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]201
legal_values1611

+
+
+Summary for Variable MPV +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MPV +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]301
legal_values1511

+
+
+Summary for Variable GVA +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for GVA +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]241
legal_values1571

+
+
+Summary for Variable MBE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for MBE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]251
legal_values1561

+
+
+Summary for Variable SBE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for SBE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]721
legal_values1091

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp35.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp35.html new file mode 100644 index 00000000..c7c3c48a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp35.html @@ -0,0 +1,1069 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_lhu + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_lhu
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_lhu +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.83 99.831 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_lhu_cg 99.831 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_lhu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.831 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_lhu_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables128112799.83

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_lhu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rd_value202100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rd808100.00100118
cp_c_rd_rs1_hazard808100.00100110
cp_rs1_toggle6416398.44 100110
cp_rd_toggle32032100.00100110
cp_imm_toggle202100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO1591

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO551
auto_NON_ZERO1051

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO771
auto_NON_ZERO831

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]181
auto[1]181
auto[2]201
auto[3]161
auto[4]221
auto[5]181
auto[6]271
auto[7]211

+
+
+Summary for Variable cp_c_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]201
auto[1]231
auto[2]211
auto[3]221
auto[4]161
auto[5]191
auto[6]201
auto[7]191

+
+
+Summary for Variable cp_c_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins808100.00

+
+User Defined Bins for cp_c_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_011
RD_111
RD_211
RD_321
RD_411
RD_511
RD_611
RD_711

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins6416398.44

+
+User Defined Bins for cp_rs1_toggle +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT0_1011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11591
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1611
BIT15_11201
BIT14_1561
BIT13_1941
BIT12_1711
BIT11_1781
BIT10_1851
BIT9_1761
BIT8_1751
BIT7_1741
BIT6_1801
BIT5_1831
BIT4_1761
BIT3_1731
BIT2_1621
BIT1_1711
BIT31_011
BIT30_01591
BIT29_01591
BIT28_01591
BIT27_01591
BIT26_01591
BIT25_01591
BIT24_01591
BIT23_01591
BIT22_01591
BIT21_01591
BIT20_01591
BIT19_01591
BIT18_01591
BIT17_01591
BIT16_0991
BIT15_0401
BIT14_01041
BIT13_0661
BIT12_0891
BIT11_0821
BIT10_0751
BIT9_0841
BIT8_0851
BIT7_0861
BIT6_0801
BIT5_0771
BIT4_0841
BIT3_0871
BIT2_0981
BIT1_0891
BIT0_01601

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT15_1471
BIT14_1511
BIT13_1461
BIT12_1411
BIT11_1471
BIT10_1511
BIT9_1531
BIT8_1281
BIT7_1501
BIT6_1331
BIT5_1491
BIT4_1451
BIT3_1511
BIT2_1471
BIT1_1351
BIT0_1691
BIT15_01131
BIT14_01091
BIT13_01141
BIT12_01191
BIT11_01131
BIT10_01091
BIT9_01071
BIT8_01321
BIT7_01101
BIT6_01271
BIT5_01111
BIT4_01151
BIT3_01091
BIT2_01131
BIT1_01251
BIT0_0911

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
BIT0_1831
BIT0_0771

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp350.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp350.html new file mode 100644 index 00000000..60f07771 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp350.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr30::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr30.pmpaddr30__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr30.pmpaddr30__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr30.pmpaddr30__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR30101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR30 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR30 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01281

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp351.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp351.html new file mode 100644 index 00000000..b53a9666 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp351.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter15::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter15.mhpmcounter15__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER15101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER15 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER15 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02161

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp352.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp352.html new file mode 100644 index 00000000..6f18ab9c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp352.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter30::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter30.mhpmcounter30__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER30404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER30 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER30 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]571
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]121
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp353.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp353.html new file mode 100644 index 00000000..92792cf3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp353.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr51::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr51.pmpaddr51__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr51.pmpaddr51__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr51.pmpaddr51__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR51101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR51 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR51 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01511

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp354.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp354.html new file mode 100644 index 00000000..978be412 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp354.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr13::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr13.pmpaddr13__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr13.pmpaddr13__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr13.pmpaddr13__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR13101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR13 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR13 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01721

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp355.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp355.html new file mode 100644 index 00000000..f5abd3b9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp355.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter12::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter12.mhpmcounter12__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER12404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER12 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER12 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]611
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]141
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp356.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp356.html new file mode 100644 index 00000000..dfc1f7c0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp356.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr48::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr48.pmpaddr48__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr48.pmpaddr48__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr48.pmpaddr48__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR48101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR48 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR48 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_071

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp357.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp357.html new file mode 100644 index 00000000..e2c34553 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp357.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER29H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER29H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER29H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01961

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp358.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp358.html new file mode 100644 index 00000000..10ead48a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp358.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER19H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER19H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER19H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01931

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp359.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp359.html new file mode 100644 index 00000000..b290c752 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp359.html @@ -0,0 +1,2915 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)} + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_exception::SHAPE{Guard_ON(cp_exception.IGN_ACCESS_FAULT_EXC,cp_exception.IGN_DEBUG_REQUEST,cp_exception.IGN_ENV_CALL_SMODE,cp_exception.IGN_ENV_CALL_UMODE,cp_exception.IGN_INSTR_ADDR_MISALIGNED_EXC,cp_exception.IGN_PAGE_FAULT_EXC),Guard_OFF(cp_exception.IGN_ADDR_MISALIGNED_EXC)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+ +
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.exception_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.exception_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1380138100.00
Crosses1280128100.00

+
+Variables for Group Instance uvme_cva6_pkg.exception_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_exception505100.00100110
cp_trap202100.00100110
cp_is_ebreak101100.00100110
cp_is_dret101100.00100110
cp_is_ecall101100.00100110
cp_is_fencei101100.00100110
cp_is_csr101100.00100110
cp_is_write_csr101100.00100110
cp_is_not_write_csr101100.00100110
cp_illegal_csr1130113100.00100110
cp_ro_csr505100.00100110
cp_misalign_load101100.00100110
cp_misalign_store101100.00100110
cp_add_mem404100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.exception_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_breakpoint101100.00100110
cross_ecall101100.00100110
cross_fencei101100.00100110
cross_dret101100.00100110
cross_illegal_csr1130113100.00100110
cross_illegal_write_csr505100.00100110
cross_misaligned_load303100.00100110
cross_misaligned_store303100.00100110

+
+
+
+
+
+
+Summary for Variable cp_exception +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins505100.00

+
+User Defined Bins for cp_exception +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
DEBUG_REQUEST0Excluded
STORE_PAGE_FAULT0Excluded
LOAD_PAGE_FAULT0Excluded
INSTR_PAGE_FAULT0Excluded
ENV_CALL_SMODE0Excluded
ENV_CALL_UMODE0Excluded
ST_ACCESS_FAULT0Excluded
LD_ACCESS_FAULT0Excluded
INSTR_ACCESS_FAULT0Excluded
INSTR_ADDR_MISALIGNED0Excluded
IGN_DEBUG_REQUEST0Excluded
IGN_PAGE_FAULT_EXC0Excluded
IGN_ENV_CALL_SMODE0Excluded
IGN_ENV_CALL_UMODE0Excluded
IGN_ACCESS_FAULT_EXC0Excluded
IGN_INSTR_ADDR_MISALIGNED_EXC0Excluded
IGN_ADDR_MISALIGNED_EXC0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ENV_CALL_MMODE14831
ST_ADDR_MISALIGNED76421
LD_ADDR_MISALIGNED105381
ILLEGAL_INSTR425531
BREAKPOINT97311

+
+
+Summary for Variable cp_trap +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_trap +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
no_trap113542951
is_trap719471

+
+
+Summary for Variable cp_is_ebreak +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_ebreak +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_ebreak97311

+
+
+Summary for Variable cp_is_dret +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_dret +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_dret50851

+
+
+Summary for Variable cp_is_ecall +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_ecall +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_ecall14831

+
+
+Summary for Variable cp_is_fencei +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_fencei +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_fencei11

+
+
+Summary for Variable cp_is_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_csr +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_csr_instr15848481

+
+
+Summary for Variable cp_is_write_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_write_csr +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_csr_write1346171

+
+
+Summary for Variable cp_is_not_write_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_not_write_csr +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
is_not_csr_write112916251

+
+
+Summary for Variable cp_illegal_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1130113100.00

+
+User Defined Bins for cp_illegal_csr +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
UNSUPPORTED_CSR_USTATUS98532541
UNSUPPORTED_CSR_FFLAGS31
UNSUPPORTED_CSR_FRM31
UNSUPPORTED_CSR_FCSR31
UNSUPPORTED_CSR_UIE31
UNSUPPORTED_CSR_UTVEC31
UNSUPPORTED_CSR_VSTART31
UNSUPPORTED_CSR_VXSTAT31
UNSUPPORTED_CSR_VXRM31
UNSUPPORTED_CSR_USCRATCH31
UNSUPPORTED_CSR_UEPC31
UNSUPPORTED_CSR_UCAUSE31
UNSUPPORTED_CSR_UTVAL31
UNSUPPORTED_CSR_UIP31
UNSUPPORTED_CSR_SSTATUS31
UNSUPPORTED_CSR_SEDELEG31
UNSUPPORTED_CSR_SIDELEG31
UNSUPPORTED_CSR_SIE31
UNSUPPORTED_CSR_STVEC31
UNSUPPORTED_CSR_SCOUNTEREN31
UNSUPPORTED_CSR_SSCRATCH31
UNSUPPORTED_CSR_SEPC31
UNSUPPORTED_CSR_SCAUSE31
UNSUPPORTED_CSR_STVAL31
UNSUPPORTED_CSR_SIP31
UNSUPPORTED_CSR_SATP31
UNSUPPORTED_CSR_MEDELEG31
UNSUPPORTED_CSR_MIDELEG31
UNSUPPORTED_CSR_MCOUNTEREN31
UNSUPPORTED_CSR_MENVCFG31
UNSUPPORTED_CSR_MENVCFGH31
UNSUPPORTED_CSR_MTINST31
UNSUPPORTED_CSR_MTVAL231
UNSUPPORTED_CSR_MSECCFG31
UNSUPPORTED_CSR_MSECCFGH31
UNSUPPORTED_CSR_TSELECT31
UNSUPPORTED_CSR_TDATA131
UNSUPPORTED_CSR_TDATA231
UNSUPPORTED_CSR_TDATA331
UNSUPPORTED_CSR_TINFO31
UNSUPPORTED_CSR_MCONTEXT31
UNSUPPORTED_CSR_SCONTEXT31
UNSUPPORTED_CSR_DCSR31
UNSUPPORTED_CSR_DPC31
UNSUPPORTED_CSR_DSCRATCH031
UNSUPPORTED_CSR_DSCRATCH131
UNSUPPORTED_CSR_CYCLE101
UNSUPPORTED_CSR_TIME31
UNSUPPORTED_CSR_INSTRET101
UNSUPPORTED_CSR_HPMCOUNTER331
UNSUPPORTED_CSR_HPMCOUNTER431
UNSUPPORTED_CSR_HPMCOUNTER531
UNSUPPORTED_CSR_HPMCOUNTER631
UNSUPPORTED_CSR_HPMCOUNTER731
UNSUPPORTED_CSR_HPMCOUNTER831
UNSUPPORTED_CSR_HPMCOUNTER931
UNSUPPORTED_CSR_HPMCOUNTER1031
UNSUPPORTED_CSR_HPMCOUNTER1131
UNSUPPORTED_CSR_HPMCOUNTER1231
UNSUPPORTED_CSR_HPMCOUNTER1331
UNSUPPORTED_CSR_HPMCOUNTER1431
UNSUPPORTED_CSR_HPMCOUNTER1531
UNSUPPORTED_CSR_HPMCOUNTER1631
UNSUPPORTED_CSR_HPMCOUNTER1731
UNSUPPORTED_CSR_HPMCOUNTER1831
UNSUPPORTED_CSR_HPMCOUNTER1931
UNSUPPORTED_CSR_HPMCOUNTER2031
UNSUPPORTED_CSR_HPMCOUNTER2131
UNSUPPORTED_CSR_HPMCOUNTER2231
UNSUPPORTED_CSR_HPMCOUNTER2331
UNSUPPORTED_CSR_HPMCOUNTER2431
UNSUPPORTED_CSR_HPMCOUNTER2531
UNSUPPORTED_CSR_HPMCOUNTER2631
UNSUPPORTED_CSR_HPMCOUNTER2731
UNSUPPORTED_CSR_HPMCOUNTER2831
UNSUPPORTED_CSR_HPMCOUNTER2931
UNSUPPORTED_CSR_HPMCOUNTER3031
UNSUPPORTED_CSR_HPMCOUNTER3131
UNSUPPORTED_CSR_VL31
UNSUPPORTED_CSR_VTYPE31
UNSUPPORTED_CSR_VLENB31
UNSUPPORTED_CSR_CYCLEH101
UNSUPPORTED_CSR_TIMEH31
UNSUPPORTED_CSR_INSTRETH101
UNSUPPORTED_CSR_HPMCOUNTER3H31
UNSUPPORTED_CSR_HPMCOUNTER4H31
UNSUPPORTED_CSR_HPMCOUNTER5H31
UNSUPPORTED_CSR_HPMCOUNTER6H31
UNSUPPORTED_CSR_HPMCOUNTER7H31
UNSUPPORTED_CSR_HPMCOUNTER8H31
UNSUPPORTED_CSR_HPMCOUNTER9H31
UNSUPPORTED_CSR_HPMCOUNTER10H31
UNSUPPORTED_CSR_HPMCOUNTER11H31
UNSUPPORTED_CSR_HPMCOUNTER12H31
UNSUPPORTED_CSR_HPMCOUNTER13H31
UNSUPPORTED_CSR_HPMCOUNTER14H31
UNSUPPORTED_CSR_HPMCOUNTER15H31
UNSUPPORTED_CSR_HPMCOUNTER16H31
UNSUPPORTED_CSR_HPMCOUNTER17H31
UNSUPPORTED_CSR_HPMCOUNTER18H31
UNSUPPORTED_CSR_HPMCOUNTER19H31
UNSUPPORTED_CSR_HPMCOUNTER20H31
UNSUPPORTED_CSR_HPMCOUNTER21H31
UNSUPPORTED_CSR_HPMCOUNTER22H31
UNSUPPORTED_CSR_HPMCOUNTER23H31
UNSUPPORTED_CSR_HPMCOUNTER24H31
UNSUPPORTED_CSR_HPMCOUNTER25H31
UNSUPPORTED_CSR_HPMCOUNTER26H31
UNSUPPORTED_CSR_HPMCOUNTER27H31
UNSUPPORTED_CSR_HPMCOUNTER28H31
UNSUPPORTED_CSR_HPMCOUNTER29H31
UNSUPPORTED_CSR_HPMCOUNTER30H31
UNSUPPORTED_CSR_HPMCOUNTER31H31

+
+
+Summary for Variable cp_ro_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins505100.00

+
+User Defined Bins for cp_ro_csr +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ONLY_READ_CSR_MVENDORID981
ONLY_READ_CSR_MARCHID151
ONLY_READ_CSR_MIMPID151
ONLY_READ_CSR_MHARTID23721
ONLY_READ_CSR_MCONFIGPTR71

+
+
+Summary for Variable cp_misalign_load +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_misalign_load +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
misalign_load77941

+
+
+Summary for Variable cp_misalign_store +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_misalign_store +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
misalign_store62381

+
+
+Summary for Variable cp_add_mem +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for cp_add_mem +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
add_mem_0110915541
add_mem_11031561
add_mem_21331391
add_mem_3983931

+
+
+Summary for Cross cross_breakpoint +
+
+Samples crossed: cp_exception cp_is_ebreak
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL101100.00
Automatically Generated Cross Bins101100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_breakpoint +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_is_ebreakCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][is_ebreak]--Excluded(4 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][is_ebreak]--Excluded(5 bins)
[INSTR_ADDR_MISALIGNED][is_ebreak]0Excluded

+
+Covered bins +
+ + + + + + + +
cp_exceptioncp_is_ebreakCOUNTAT LEAST
BREAKPOINTis_ebreak97311

+
+User Defined Cross Bins for cross_breakpoint +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN0Excluded

+
+
+Summary for Cross cross_ecall +
+
+Samples crossed: cp_exception cp_is_ecall
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL101100.00
Automatically Generated Cross Bins101100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_ecall +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_is_ecallCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][is_ecall]--Excluded(4 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][is_ecall]--Excluded(5 bins)
[INSTR_ADDR_MISALIGNED][is_ecall]0Excluded

+
+Covered bins +
+ + + + + + + +
cp_exceptioncp_is_ecallCOUNTAT LEAST
ENV_CALL_MMODEis_ecall14831

+
+User Defined Cross Bins for cross_ecall +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN0Excluded

+
+
+Summary for Cross cross_fencei +
+
+Samples crossed: cp_exception cp_is_fencei
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL101100.00
Automatically Generated Cross Bins101100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_fencei +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_is_fenceiCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][is_fencei]--Excluded(4 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][is_fencei]--Excluded(5 bins)
[INSTR_ADDR_MISALIGNED][is_fencei]0Excluded

+
+Covered bins +
+ + + + + + + +
cp_exceptioncp_is_fenceiCOUNTAT LEAST
ILLEGAL_INSTRis_fencei11

+
+User Defined Cross Bins for cross_fencei +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN0Excluded

+
+
+Summary for Cross cross_dret +
+
+Samples crossed: cp_exception cp_is_dret
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL101100.00
Automatically Generated Cross Bins101100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_dret +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_is_dretCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][is_dret]--Excluded(4 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][is_dret]--Excluded(5 bins)
[INSTR_ADDR_MISALIGNED][is_dret]0Excluded

+
+Covered bins +
+ + + + + + + +
cp_exceptioncp_is_dretCOUNTAT LEAST
ILLEGAL_INSTRis_dret50851

+
+User Defined Cross Bins for cross_dret +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN0Excluded

+
+
+Summary for Cross cross_illegal_csr +
+
+Samples crossed: cp_exception cp_illegal_csr cp_is_csr cp_is_not_write_csr
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL1130113100.00
Automatically Generated Cross Bins1130113100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_illegal_csr +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_illegal_csrcp_is_csrcp_is_not_write_csrCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H][is_csr_instr][is_not_csr_write]--Excluded(452 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H][is_csr_instr][is_not_csr_write]--Excluded(565 bins)
[INSTR_ADDR_MISALIGNED][UNSUPPORTED_CSR_USTATUS , UNSUPPORTED_CSR_FFLAGS , UNSUPPORTED_CSR_FRM , UNSUPPORTED_CSR_FCSR , UNSUPPORTED_CSR_UIE , UNSUPPORTED_CSR_UTVEC , UNSUPPORTED_CSR_VSTART , UNSUPPORTED_CSR_VXSTAT , UNSUPPORTED_CSR_VXRM , UNSUPPORTED_CSR_USCRATCH , UNSUPPORTED_CSR_UEPC , UNSUPPORTED_CSR_UCAUSE , UNSUPPORTED_CSR_UTVAL , UNSUPPORTED_CSR_UIP , UNSUPPORTED_CSR_SSTATUS , UNSUPPORTED_CSR_SEDELEG , UNSUPPORTED_CSR_SIDELEG , UNSUPPORTED_CSR_SIE , UNSUPPORTED_CSR_STVEC , UNSUPPORTED_CSR_SCOUNTEREN , UNSUPPORTED_CSR_SSCRATCH , UNSUPPORTED_CSR_SEPC , UNSUPPORTED_CSR_SCAUSE , UNSUPPORTED_CSR_STVAL , UNSUPPORTED_CSR_SIP , UNSUPPORTED_CSR_SATP , UNSUPPORTED_CSR_MEDELEG , UNSUPPORTED_CSR_MIDELEG , UNSUPPORTED_CSR_MCOUNTEREN , UNSUPPORTED_CSR_MENVCFG , UNSUPPORTED_CSR_MENVCFGH , UNSUPPORTED_CSR_MTINST , UNSUPPORTED_CSR_MTVAL2 , UNSUPPORTED_CSR_MSECCFG , UNSUPPORTED_CSR_MSECCFGH , UNSUPPORTED_CSR_TSELECT , UNSUPPORTED_CSR_TDATA1 , UNSUPPORTED_CSR_TDATA2 , UNSUPPORTED_CSR_TDATA3 , UNSUPPORTED_CSR_TINFO , UNSUPPORTED_CSR_MCONTEXT , UNSUPPORTED_CSR_SCONTEXT , UNSUPPORTED_CSR_DCSR , UNSUPPORTED_CSR_DPC , UNSUPPORTED_CSR_DSCRATCH0 , UNSUPPORTED_CSR_DSCRATCH1 , UNSUPPORTED_CSR_CYCLE , UNSUPPORTED_CSR_TIME , UNSUPPORTED_CSR_INSTRET , UNSUPPORTED_CSR_HPMCOUNTER3 , UNSUPPORTED_CSR_HPMCOUNTER4 , UNSUPPORTED_CSR_HPMCOUNTER5 , UNSUPPORTED_CSR_HPMCOUNTER6 , UNSUPPORTED_CSR_HPMCOUNTER7 , UNSUPPORTED_CSR_HPMCOUNTER8 , UNSUPPORTED_CSR_HPMCOUNTER9 , UNSUPPORTED_CSR_HPMCOUNTER10 , UNSUPPORTED_CSR_HPMCOUNTER11 , UNSUPPORTED_CSR_HPMCOUNTER12 , UNSUPPORTED_CSR_HPMCOUNTER13 , UNSUPPORTED_CSR_HPMCOUNTER14 , UNSUPPORTED_CSR_HPMCOUNTER15 , UNSUPPORTED_CSR_HPMCOUNTER16 , UNSUPPORTED_CSR_HPMCOUNTER17 , UNSUPPORTED_CSR_HPMCOUNTER18 , UNSUPPORTED_CSR_HPMCOUNTER19 , UNSUPPORTED_CSR_HPMCOUNTER20 , UNSUPPORTED_CSR_HPMCOUNTER21 , UNSUPPORTED_CSR_HPMCOUNTER22 , UNSUPPORTED_CSR_HPMCOUNTER23 , UNSUPPORTED_CSR_HPMCOUNTER24 , UNSUPPORTED_CSR_HPMCOUNTER25 , UNSUPPORTED_CSR_HPMCOUNTER26 , UNSUPPORTED_CSR_HPMCOUNTER27 , UNSUPPORTED_CSR_HPMCOUNTER28 , UNSUPPORTED_CSR_HPMCOUNTER29 , UNSUPPORTED_CSR_HPMCOUNTER30 , UNSUPPORTED_CSR_HPMCOUNTER31 , UNSUPPORTED_CSR_VL , UNSUPPORTED_CSR_VTYPE , UNSUPPORTED_CSR_VLENB , UNSUPPORTED_CSR_CYCLEH , UNSUPPORTED_CSR_TIMEH , UNSUPPORTED_CSR_INSTRETH , UNSUPPORTED_CSR_HPMCOUNTER3H , UNSUPPORTED_CSR_HPMCOUNTER4H , UNSUPPORTED_CSR_HPMCOUNTER5H , UNSUPPORTED_CSR_HPMCOUNTER6H , UNSUPPORTED_CSR_HPMCOUNTER7H , UNSUPPORTED_CSR_HPMCOUNTER8H , UNSUPPORTED_CSR_HPMCOUNTER9H , UNSUPPORTED_CSR_HPMCOUNTER10H , UNSUPPORTED_CSR_HPMCOUNTER11H , UNSUPPORTED_CSR_HPMCOUNTER12H , UNSUPPORTED_CSR_HPMCOUNTER13H , UNSUPPORTED_CSR_HPMCOUNTER14H , UNSUPPORTED_CSR_HPMCOUNTER15H , UNSUPPORTED_CSR_HPMCOUNTER16H , UNSUPPORTED_CSR_HPMCOUNTER17H , UNSUPPORTED_CSR_HPMCOUNTER18H , UNSUPPORTED_CSR_HPMCOUNTER19H , UNSUPPORTED_CSR_HPMCOUNTER20H , UNSUPPORTED_CSR_HPMCOUNTER21H , UNSUPPORTED_CSR_HPMCOUNTER22H , UNSUPPORTED_CSR_HPMCOUNTER23H , UNSUPPORTED_CSR_HPMCOUNTER24H , UNSUPPORTED_CSR_HPMCOUNTER25H , UNSUPPORTED_CSR_HPMCOUNTER26H , UNSUPPORTED_CSR_HPMCOUNTER27H , UNSUPPORTED_CSR_HPMCOUNTER28H , UNSUPPORTED_CSR_HPMCOUNTER29H , UNSUPPORTED_CSR_HPMCOUNTER30H , UNSUPPORTED_CSR_HPMCOUNTER31H][is_csr_instr][is_not_csr_write]--Excluded(113 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_illegal_csrcp_is_csrcp_is_not_write_csrCOUNTAT LEAST
ILLEGAL_INSTRUNSUPPORTED_CSR_USTATUSis_csr_instris_not_csr_write77561
ILLEGAL_INSTRUNSUPPORTED_CSR_FFLAGSis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_FRMis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_FCSRis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_UIEis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_UTVECis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_VSTARTis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_VXSTATis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_VXRMis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_USCRATCHis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_UEPCis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_UCAUSEis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_UTVALis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_UIPis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SSTATUSis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SEDELEGis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SIDELEGis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SIEis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_STVECis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SCOUNTERENis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SSCRATCHis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SEPCis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SCAUSEis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_STVALis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SIPis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SATPis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MEDELEGis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MIDELEGis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MCOUNTERENis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MENVCFGis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MENVCFGHis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MTINSTis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MTVAL2is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MSECCFGis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MSECCFGHis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_TSELECTis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_TDATA1is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_TDATA2is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_TDATA3is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_TINFOis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_MCONTEXTis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_SCONTEXTis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_DCSRis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_DPCis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_DSCRATCH0is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_DSCRATCH1is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_CYCLEis_csr_instris_not_csr_write51
ILLEGAL_INSTRUNSUPPORTED_CSR_TIMEis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_INSTRETis_csr_instris_not_csr_write51
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER3is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER4is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER5is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER6is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER7is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER8is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER9is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER10is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER11is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER12is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER13is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER14is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER15is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER16is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER17is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER18is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER19is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER20is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER21is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER22is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER23is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER24is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER25is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER26is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER27is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER28is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER29is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER30is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER31is_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_VLis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_VTYPEis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_VLENBis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_CYCLEHis_csr_instris_not_csr_write51
ILLEGAL_INSTRUNSUPPORTED_CSR_TIMEHis_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_INSTRETHis_csr_instris_not_csr_write51
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER3His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER4His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER5His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER6His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER7His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER8His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER9His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER10His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER11His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER12His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER13His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER14His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER15His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER16His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER17His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER18His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER19His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER20His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER21His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER22His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER23His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER24His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER25His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER26His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER27His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER28His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER29His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER30His_csr_instris_not_csr_write21
ILLEGAL_INSTRUNSUPPORTED_CSR_HPMCOUNTER31His_csr_instris_not_csr_write21

+
+User Defined Cross Bins for cross_illegal_csr +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN0Excluded

+
+
+Summary for Cross cross_illegal_write_csr +
+
+Samples crossed: cp_exception cp_ro_csr cp_is_write_csr
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL505100.00
Automatically Generated Cross Bins505100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_illegal_write_csr +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_ro_csrcp_is_write_csrCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR][is_csr_write]--Excluded(20 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR][is_csr_write]--Excluded(25 bins)
[INSTR_ADDR_MISALIGNED][ONLY_READ_CSR_MVENDORID , ONLY_READ_CSR_MARCHID , ONLY_READ_CSR_MIMPID , ONLY_READ_CSR_MHARTID , ONLY_READ_CSR_MCONFIGPTR][is_csr_write]--Excluded(5 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_ro_csrcp_is_write_csrCOUNTAT LEAST
ILLEGAL_INSTRONLY_READ_CSR_MVENDORIDis_csr_write51
ILLEGAL_INSTRONLY_READ_CSR_MARCHIDis_csr_write51
ILLEGAL_INSTRONLY_READ_CSR_MIMPIDis_csr_write51
ILLEGAL_INSTRONLY_READ_CSR_MHARTIDis_csr_write51
ILLEGAL_INSTRONLY_READ_CSR_MCONFIGPTRis_csr_write11

+
+User Defined Cross Bins for cross_illegal_write_csr +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN0Excluded

+
+
+Summary for Cross cross_misaligned_load +
+
+Samples crossed: cp_exception cp_misalign_load cp_add_mem
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL303100.00
Automatically Generated Cross Bins303100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_misaligned_load +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_misalign_loadcp_add_memCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][misalign_load][add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3]--Excluded(16 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][misalign_load][add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3]--Excluded(20 bins)
[INSTR_ADDR_MISALIGNED][misalign_load][add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3]--Excluded(4 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_misalign_loadcp_add_memCOUNTAT LEAST
LD_ADDR_MISALIGNEDmisalign_loadadd_mem_146121
LD_ADDR_MISALIGNEDmisalign_loadadd_mem_215681
LD_ADDR_MISALIGNEDmisalign_loadadd_mem_316141

+
+User Defined Cross Bins for cross_misaligned_load +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
IGN_EXC0Excluded
IGN_ADD0Excluded

+
+
+Summary for Cross cross_misaligned_store +
+
+Samples crossed: cp_exception cp_misalign_store cp_add_mem
+ + + + + + + + + + + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
TOTAL303100.00
Automatically Generated Cross Bins303100.00
User Defined Cross Bins000

+
+Automatically Generated Cross Bins for cross_misaligned_store +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_misalign_storecp_add_memCOUNTSTATUS
[DEBUG_REQUEST , STORE_PAGE_FAULT , LOAD_PAGE_FAULT , INSTR_PAGE_FAULT][misalign_store][add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3]--Excluded(16 bins)
[ENV_CALL_SMODE , ENV_CALL_UMODE , ST_ACCESS_FAULT , LD_ACCESS_FAULT , INSTR_ACCESS_FAULT][misalign_store][add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3]--Excluded(20 bins)
[INSTR_ADDR_MISALIGNED][misalign_store][add_mem_0 , add_mem_1 , add_mem_2 , add_mem_3]--Excluded(4 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_exceptioncp_misalign_storecp_add_memCOUNTAT LEAST
ST_ADDR_MISALIGNEDmisalign_storeadd_mem_131551
ST_ADDR_MISALIGNEDmisalign_storeadd_mem_215331
ST_ADDR_MISALIGNEDmisalign_storeadd_mem_315501

+
+User Defined Cross Bins for cross_misaligned_store +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
IGN_EXC0Excluded
IGN_ADD0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp36.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp36.html new file mode 100644 index 00000000..34c2ca5f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp36.html @@ -0,0 +1,1615 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_load_lbu + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_load_lbu
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_load_lbu +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_lbu_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_lbu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2070207100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle16016100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_lbu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]31
auto[1]21801
auto[2]294111
auto[3]22421
auto[4]43721
auto[5]38691
auto[6]47291
auto[7]40741
auto[8]40351
auto[9]40171
auto[10]39841
auto[11]39501
auto[12]33221
auto[13]29141
auto[14]36171
auto[15]30981
auto[16]44481
auto[17]38331
auto[18]40841
auto[19]41981
auto[20]57671
auto[21]45161
auto[22]36351
auto[23]38441
auto[24]37621
auto[25]42001
auto[26]39661
auto[27]46211
auto[28]43831
auto[29]40181
auto[30]45911
auto[31]36351

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]34071
auto[1]31161
auto[2]36901
auto[3]31861
auto[4]53791
auto[5]58331
auto[6]49961
auto[7]46581
auto[8]44091
auto[9]45041
auto[10]44691
auto[11]47791
auto[12]45761
auto[13]41291
auto[14]44091
auto[15]38711
auto[16]47201
auto[17]49451
auto[18]49111
auto[19]50281
auto[20]47061
auto[21]55101
auto[22]43841
auto[23]41731
auto[24]49401
auto[25]49501
auto[26]51041
auto[27]46651
auto[28]48511
auto[29]47251
auto[30]54491
auto[31]48461

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0031
RD_0111
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1911
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO31
auto_NON_ZERO1473151

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO565091
auto_POSITIVE450271
auto_NEGATIVE457821

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO324031
auto_NON_ZERO1149151

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11473151
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_1181
BIT16_1345831
BIT15_1687291
BIT14_1332031
BIT13_1584771
BIT12_1590021
BIT11_1606201
BIT10_1709721
BIT9_1729391
BIT8_1743131
BIT7_1731301
BIT6_1733481
BIT5_1737431
BIT4_1734421
BIT3_1741111
BIT2_1736561
BIT1_1735551
BIT0_1461511
BIT31_031
BIT30_01473171
BIT29_01473171
BIT28_01473171
BIT27_01473171
BIT26_01473171
BIT25_01473171
BIT24_01473171
BIT23_01473171
BIT22_01473171
BIT21_01473171
BIT20_01473171
BIT19_01473171
BIT18_01473171
BIT17_01473001
BIT16_01127351
BIT15_0785891
BIT14_01141151
BIT13_0888411
BIT12_0883161
BIT11_0866981
BIT10_0763461
BIT9_0743791
BIT8_0730051
BIT7_0741881
BIT6_0739701
BIT5_0735751
BIT4_0738761
BIT3_0732071
BIT2_0736621
BIT1_0737631
BIT0_01011671

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1457821
BIT10_1459671
BIT9_1459281
BIT8_1460291
BIT7_1458851
BIT6_1460321
BIT5_1457641
BIT4_1462531
BIT3_1454421
BIT2_1457271
BIT1_1456831
BIT0_1455571
BIT11_01015361
BIT10_01013511
BIT9_01013901
BIT8_01012891
BIT7_01014331
BIT6_01012861
BIT5_01015541
BIT4_01010651
BIT3_01018761
BIT2_01015911
BIT1_01016351
BIT0_01017611

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins16016100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT7_1491771
BIT6_1511871
BIT5_1629181
BIT4_1582441
BIT3_1399971
BIT2_1356481
BIT1_1800561
BIT0_1706491
BIT7_0981411
BIT6_0961311
BIT5_0844001
BIT4_0890741
BIT3_01073211
BIT2_01116701
BIT1_0672621
BIT0_0766691

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO11
auto_ZEROauto_POSITIVE11
auto_ZEROauto_NEGATIVE11
auto_NON_ZEROauto_ZERO565081
auto_NON_ZEROauto_POSITIVE450261
auto_NON_ZEROauto_NEGATIVE457811

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp360.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp360.html new file mode 100644 index 00000000..112c1b26 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp360.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent29::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent29.mhpmevent29__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent29.mhpmevent29__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent29.mhpmevent29__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT29101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT29 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT29 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01941

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp361.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp361.html new file mode 100644 index 00000000..ffeeae01 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp361.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr34::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr34.pmpaddr34__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr34.pmpaddr34__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr34.pmpaddr34__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR34101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR34 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR34 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp362.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp362.html new file mode 100644 index 00000000..7773b10f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp362.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent28::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent28.mhpmevent28__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent28.mhpmevent28__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent28.mhpmevent28__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT28101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT28 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT28 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01891

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp363.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp363.html new file mode 100644 index 00000000..88986227 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp363.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter9::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter9.mhpmcounter9__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER9101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER9 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER9 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02221

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp364.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp364.html new file mode 100644 index 00000000..5421d1f5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp364.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr21::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr21.pmpaddr21__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr21.pmpaddr21__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr21.pmpaddr21__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR21101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR21 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR21 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp365.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp365.html new file mode 100644 index 00000000..56d6e5da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp365.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER28H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER28H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER28H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02181

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp366.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp366.html new file mode 100644 index 00000000..82607fd2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp366.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER18H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER18H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER18H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02001

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp367.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp367.html new file mode 100644 index 00000000..9222bc65 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp367.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter26::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter26.mhpmcounter26__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER26101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER26 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER26 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02061

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp368.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp368.html new file mode 100644 index 00000000..52249d65 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp368.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_marchid::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_marchid::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_marchid::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.marchid.marchid__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.marchid.marchid__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.marchid.marchid__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.marchid.marchid__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MARCHID101100.00100110

+
+
+
+
+
+
+Summary for Variable MARCHID +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MARCHID +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_3101

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp369.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp369.html new file mode 100644 index 00000000..49004ff4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp369.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr55::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr55.pmpaddr55__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr55.pmpaddr55__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr55.pmpaddr55__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR55101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR55 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR55 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp37.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp37.html new file mode 100644 index 00000000..08668c16 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp37.html @@ -0,0 +1,1060 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ci_shift + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ci_shift
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ci_shift +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_slli_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_slli_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_slli_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1310131100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_slli_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rd_value202100.00100110
cp_shamt32032100.00100110
cp_rd31031100.001001132
cp_rd_toggle64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO50041
auto_NON_ZERO88981

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO57771
auto_NON_ZERO81251

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
SHAMT_320Illegal
SHAMT_330Illegal
SHAMT_340Illegal
SHAMT_350Illegal
SHAMT_360Illegal
SHAMT_370Illegal
SHAMT_380Illegal
SHAMT_390Illegal
SHAMT_400Illegal
SHAMT_410Illegal
SHAMT_420Illegal
SHAMT_430Illegal
SHAMT_440Illegal
SHAMT_450Illegal
SHAMT_460Illegal
SHAMT_470Illegal
SHAMT_480Illegal
SHAMT_490Illegal
SHAMT_500Illegal
SHAMT_510Illegal
SHAMT_520Illegal
SHAMT_530Illegal
SHAMT_540Illegal
SHAMT_550Illegal
SHAMT_560Illegal
SHAMT_570Illegal
SHAMT_580Illegal
SHAMT_590Illegal
SHAMT_600Illegal
SHAMT_610Illegal
SHAMT_620Illegal
SHAMT_630Illegal
ILLEGAL_SHAMT0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_018561
SHAMT_14681
SHAMT_24731
SHAMT_34551
SHAMT_43581
SHAMT_53951
SHAMT_63691
SHAMT_73831
SHAMT_83861
SHAMT_93881
SHAMT_103481
SHAMT_113561
SHAMT_123581
SHAMT_133741
SHAMT_144181
SHAMT_154131
SHAMT_164171
SHAMT_174151
SHAMT_183441
SHAMT_193611
SHAMT_203741
SHAMT_213881
SHAMT_224131
SHAMT_233321
SHAMT_243731
SHAMT_254191
SHAMT_263641
SHAMT_273911
SHAMT_283441
SHAMT_293921
SHAMT_303741
SHAMT_314031

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_rd +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RD_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]4171
auto[2]3961
auto[3]4781
auto[4]4141
auto[5]4171
auto[6]4321
auto[7]3891
auto[8]4211
auto[9]4271
auto[10]4121
auto[11]4041
auto[12]4051
auto[13]3981
auto[14]4041
auto[15]3961
auto[16]3611
auto[17]4191
auto[18]4421
auto[19]4061
auto[20]3821
auto[21]4151
auto[22]3951
auto[23]4081
auto[24]4201
auto[25]4131
auto[26]3971
auto[27]4241
auto[28]4051
auto[29]4091
auto[30]3901
auto[31]4501

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_133151
BIT30_129191
BIT29_128081
BIT28_127551
BIT27_127531
BIT26_125831
BIT25_125351
BIT24_124811
BIT23_123531
BIT22_122951
BIT21_122561
BIT20_121791
BIT19_120731
BIT18_120091
BIT17_119611
BIT16_119311
BIT15_119511
BIT14_118081
BIT13_117271
BIT12_116841
BIT11_116871
BIT10_115601
BIT9_114281
BIT8_113261
BIT7_113001
BIT6_111021
BIT5_110511
BIT4_111351
BIT3_19961
BIT2_18971
BIT1_16251
BIT0_15071
BIT31_0105871
BIT30_0109831
BIT29_0110941
BIT28_0111471
BIT27_0111491
BIT26_0113191
BIT25_0113671
BIT24_0114211
BIT23_0115491
BIT22_0116071
BIT21_0116461
BIT20_0117231
BIT19_0118291
BIT18_0118931
BIT17_0119411
BIT16_0119711
BIT15_0119511
BIT14_0120941
BIT13_0121751
BIT12_0122181
BIT11_0122151
BIT10_0123421
BIT9_0124741
BIT8_0125761
BIT7_0126021
BIT6_0128001
BIT5_0128511
BIT4_0127671
BIT3_0129061
BIT2_0130051
BIT1_0132771
BIT0_0133951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp370.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp370.html new file mode 100644 index 00000000..e1428db2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp370.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr17::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr17.pmpaddr17__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr17.pmpaddr17__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr17.pmpaddr17__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR17101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR17 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR17 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01321

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp371.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp371.html new file mode 100644 index 00000000..17b01e3a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp371.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent26::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent26.mhpmevent26__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent26.mhpmevent26__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT26101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT26 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT26 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02041

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp372.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp372.html new file mode 100644 index 00000000..db989e95 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp372.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr11::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr11.pmpaddr11__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr11.pmpaddr11__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr11.pmpaddr11__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR11404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR11 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR11 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]421
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp373.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp373.html new file mode 100644 index 00000000..8e951e1d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp373.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr53::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr53.pmpaddr53__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr53.pmpaddr53__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr53.pmpaddr53__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR53404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR53 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR53 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]21
illegal_values[2863311531:ffffffff]71
legal_values191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp374.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp374.html new file mode 100644 index 00000000..e52f04ab --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp374.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter29h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter29h.mhpmcounter29h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER29H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER29H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER29H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]81
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp375.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp375.html new file mode 100644 index 00000000..13bc0920 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp375.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter19h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter19h.mhpmcounter19h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER19H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER19H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER19H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]661
illegal_values[1431655766:2863311530]131
illegal_values[2863311531:ffffffff]111
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp376.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp376.html new file mode 100644 index 00000000..60458e7e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp376.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter9h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter9h.mhpmcounter9h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER9H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER9H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER9H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]481
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]131
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp377.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp377.html new file mode 100644 index 00000000..af79ba85 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp377.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent27::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent27.mhpmevent27__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent27.mhpmevent27__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT27101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT27 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT27 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02131

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp378.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp378.html new file mode 100644 index 00000000..74f08465 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp378.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr38::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr38.pmpaddr38__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr38.pmpaddr38__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr38.pmpaddr38__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR38101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR38 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR38 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp379.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp379.html new file mode 100644 index 00000000..ceeb289f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp379.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter28h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter28h.mhpmcounter28h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER28H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER28H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER28H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]741
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]111
legal_values311

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp38.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp38.html new file mode 100644 index 00000000..6b74c646 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp38.html @@ -0,0 +1,618 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ci_lui + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ci_lui
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ci_lui +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_lui_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_lui_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_lui_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables82082100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_lui_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd30030100.001001132
cp_rd_toggle40040100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins30030100.00

+
+Automatically Generated Bins for cp_rd +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RD_NOT_TWO0Excluded
RD_NOT_ZERO0Excluded
[auto[0]]0Excluded
[auto[2]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]3961
auto[3]4231
auto[4]4191
auto[5]4011
auto[6]4291
auto[7]4411
auto[8]4221
auto[9]4171
auto[10]4311
auto[11]3811
auto[12]4381
auto[13]4471
auto[14]4251
auto[15]4191
auto[16]4151
auto[17]4361
auto[18]4061
auto[19]4441
auto[20]3961
auto[21]4541
auto[22]4041
auto[23]4531
auto[24]4221
auto[25]4331
auto[26]4161
auto[27]4361
auto[28]4611
auto[29]3991
auto[30]4691
auto[31]3951

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins40040100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_16691
BIT30_16691
BIT29_16691
BIT28_16691
BIT27_16691
BIT26_16691
BIT25_16691
BIT24_16691
BIT23_16691
BIT22_16691
BIT21_16691
BIT20_16691
BIT19_16691
BIT18_16691
BIT17_16691
BIT16_159351
BIT15_157911
BIT14_159481
BIT13_182621
BIT12_162741
BIT31_0133361
BIT30_0133361
BIT29_0133361
BIT28_0133361
BIT27_0133361
BIT26_0133361
BIT25_0133361
BIT24_0133361
BIT23_0133361
BIT22_0133361
BIT21_0133361
BIT20_0133361
BIT19_0133361
BIT18_0133361
BIT17_0133361
BIT16_080701
BIT15_082141
BIT14_080571
BIT13_057431
BIT12_077311

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_16691
BIT4_159351
BIT3_157911
BIT2_159481
BIT1_182621
BIT0_162741
BIT5_0133361
BIT4_080701
BIT3_082141
BIT2_080571
BIT1_057431
BIT0_077311

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp380.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp380.html new file mode 100644 index 00000000..547955fa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp380.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr32::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr32.pmpaddr32__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr32.pmpaddr32__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr32.pmpaddr32__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR32404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR32 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR32 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]71
illegal_values[1431655766:2863311530]11
illegal_values[2863311531:ffffffff]11
legal_values131

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp381.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp381.html new file mode 100644 index 00000000..f9cc26d8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp381.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter18h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter18h.mhpmcounter18h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER18H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER18H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER18H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp382.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp382.html new file mode 100644 index 00000000..3d879f7c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp382.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent24::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent24.mhpmevent24__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent24.mhpmevent24__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT24101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT24 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT24 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01911

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp383.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp383.html new file mode 100644 index 00000000..58c9b404 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp383.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr46::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr46.pmpaddr46__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr46.pmpaddr46__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr46.pmpaddr46__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR46404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR46 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR46 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]301
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]41
legal_values151

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp384.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp384.html new file mode 100644 index 00000000..77c0721f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp384.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter17::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter17.mhpmcounter17__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER17404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER17 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER17 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]531
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]131
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp385.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp385.html new file mode 100644 index 00000000..f58678cb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp385.html @@ -0,0 +1,208 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mscratch::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mscratch::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mscratch::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mscratch.mscratch__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mscratch.mscratch__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mscratch.mscratch__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.mscratch.mscratch__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MSCRATCH303100.00100110

+
+
+
+
+
+
+Summary for Variable MSCRATCH +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MSCRATCH +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]106341
other_values[1431655766:2863311530]17591
other_values[2863311531:ffffffff]12311

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp386.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp386.html new file mode 100644 index 00000000..34c7b19b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp386.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter4h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter4h.mhpmcounter4h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER4H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER4H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER4H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02071

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp387.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp387.html new file mode 100644 index 00000000..2f92a2f4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp387.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr59::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr59.pmpaddr59__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr59.pmpaddr59__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr59.pmpaddr59__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR59101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR59 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR59 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01311

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp388.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp388.html new file mode 100644 index 00000000..62a2e4d0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp388.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent25::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent25.mhpmevent25__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent25.mhpmevent25__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT25101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT25 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT25 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01931

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp389.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp389.html new file mode 100644 index 00000000..98e722ce --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp389.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter19::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter19.mhpmcounter19__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER19404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER19 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER19 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]591
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]161
legal_values321

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp39.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp39.html new file mode 100644 index 00000000..9d375319 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp39.html @@ -0,0 +1,906 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ci(withChksum=332521270) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ci(withChksum=332521270)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ci(withChksum=332521270) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_lwsp_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_lwsp_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_lwsp_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1110111100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_lwsp_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value00010
cp_imm_value202100.00100110
cp_rd_value202100.00100110
cp_rdrs131031100.001001132
cp_rd_toggle64064100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins000

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_ZERO0Excluded
auto_NON_ZERO0Excluded
auto_POSITIVE0Illegal
auto_NEGATIVE0Illegal
NEG_OFF0Illegal
POS_OFF0Illegal
OFF0Excluded

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Illegal
auto_NEGATIVE0Illegal
NEG_OFF0Illegal
POS_OFF0Illegal

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO49881
auto_NON_ZERO101781

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Illegal
auto_NEGATIVE0Illegal
NEG_OFF0Illegal
POS_OFF0Illegal

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO27221
auto_NON_ZERO124441

+
+
+Summary for Variable cp_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RD_NOT_ZERO0Illegal
[auto[0]]0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]1141
auto[2]11
auto[3]511
auto[4]2951
auto[5]1561
auto[6]7121
auto[7]1211
auto[8]5101
auto[9]6271
auto[10]2791
auto[11]2801
auto[12]7831
auto[13]3081
auto[14]13101
auto[15]14761
auto[16]1891
auto[17]4691
auto[18]7411
auto[19]3341
auto[20]10601
auto[21]1541
auto[22]6371
auto[23]2521
auto[24]471
auto[25]9591
auto[26]8161
auto[27]3431
auto[28]3721
auto[29]9031
auto[30]7311
auto[31]1361

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_181171
BIT30_123151
BIT29_122761
BIT28_124591
BIT27_122071
BIT26_121581
BIT25_118091
BIT24_120531
BIT23_120861
BIT22_121571
BIT21_123721
BIT20_120131
BIT19_123091
BIT18_121521
BIT17_120471
BIT16_123661
BIT15_130971
BIT14_174181
BIT13_149191
BIT12_162921
BIT11_176491
BIT10_175671
BIT9_169511
BIT8_132901
BIT7_141271
BIT6_135471
BIT5_135791
BIT4_177171
BIT3_184581
BIT2_174931
BIT1_135031
BIT0_137761
BIT31_070491
BIT30_0128511
BIT29_0128901
BIT28_0127071
BIT27_0129591
BIT26_0130081
BIT25_0133571
BIT24_0131131
BIT23_0130801
BIT22_0130091
BIT21_0127941
BIT20_0131531
BIT19_0128571
BIT18_0130141
BIT17_0131191
BIT16_0128001
BIT15_0120691
BIT14_077481
BIT13_0102471
BIT12_088741
BIT11_075171
BIT10_075991
BIT9_082151
BIT8_0118761
BIT7_0110391
BIT6_0116191
BIT5_0115871
BIT4_074491
BIT3_067081
BIT2_076731
BIT1_0116631
BIT0_0113901

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_14671
BIT4_15901
BIT3_166041
BIT2_165931
BIT1_11121
BIT0_11941
BIT5_0146991
BIT4_0145761
BIT3_085621
BIT2_085731
BIT1_0150541
BIT0_0149721

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp390.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp390.html new file mode 100644 index 00000000..fa865d5e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp390.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr15::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr15.pmpaddr15__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr15.pmpaddr15__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr15.pmpaddr15__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR15404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR15 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR15 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]381
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]131
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp391.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp391.html new file mode 100644 index 00000000..76e58e0a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp391.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg12::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg12.pmpcfg12__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg12.pmpcfg12__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg12.pmpcfg12__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP51CFG404100.00100110
PMP50CFG404100.00100110
PMP49CFG404100.00100110
PMP48CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP51CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP51CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]31
illegal_values[56:aa]71
illegal_values[ab:ff]81
legal_values1091

+
+
+Summary for Variable PMP50CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP50CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]31
illegal_values[ab:ff]91
legal_values1111

+
+
+Summary for Variable PMP49CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP49CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]71
illegal_values[56:aa]41
illegal_values[ab:ff]91
legal_values1071

+
+
+Summary for Variable PMP48CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP48CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]801
illegal_values[56:aa]61
illegal_values[ab:ff]101
legal_values311

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp392.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp392.html new file mode 100644 index 00000000..3c7b7276 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp392.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr57::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr57.pmpaddr57__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr57.pmpaddr57__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr57.pmpaddr57__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR57404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR57 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR57 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]251
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]51
legal_values211

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp393.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp393.html new file mode 100644 index 00000000..3a772c23 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp393.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter6h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter6h.mhpmcounter6h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER6H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER6H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER6H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]121
illegal_values[2863311531:ffffffff]121
legal_values311

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp394.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp394.html new file mode 100644 index 00000000..581c139e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp394.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent23::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent23.mhpmevent23__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent23.mhpmevent23__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent23.mhpmevent23__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT23101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT23 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT23 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01901

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp395.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp395.html new file mode 100644 index 00000000..7f3a0b47 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp395.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr2::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr2.pmpaddr2__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr2.pmpaddr2__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr2.pmpaddr2__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR2101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR2 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01951

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp396.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp396.html new file mode 100644 index 00000000..30761fe0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp396.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent8::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent8.mhpmevent8__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent8.mhpmevent8__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent8.mhpmevent8__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT8101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT8 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT8 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01911

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp397.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp397.html new file mode 100644 index 00000000..e30b3fed --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp397.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent22::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent22.mhpmevent22__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent22.mhpmevent22__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT22101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT22 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT22 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02331

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp398.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp398.html new file mode 100644 index 00000000..468a5e4f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp398.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr36::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr36.pmpaddr36__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr36.pmpaddr36__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr36.pmpaddr36__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR36404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR36 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR36 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]251
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]51
legal_values191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp399.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp399.html new file mode 100644 index 00000000..8299280e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp399.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg3::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg3.pmpcfg3__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg3.pmpcfg3__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg3.pmpcfg3__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP15CFG101100.00100110
PMP14CFG101100.00100110
PMP13CFG101100.00100110
PMP12CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP15CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP15CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+Summary for Variable PMP14CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP14CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+Summary for Variable PMP13CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP13CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+Summary for Variable PMP12CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP12CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002521

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp4.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp4.html new file mode 100644 index 00000000..46ef3627 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp4.html @@ -0,0 +1,1295 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zb_rstype_zexth + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zb_rstype_zexth
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zb_rstype_zexth +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbb_zext_h_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_zext_h_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1960196100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle32032100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_zext_h_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+
+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21911
auto[1]5031
auto[2]5301
auto[3]5061
auto[4]4841
auto[5]4681
auto[6]4861
auto[7]5291
auto[8]5091
auto[9]5201
auto[10]5381
auto[11]4621
auto[12]4651
auto[13]4851
auto[14]4951
auto[15]5121
auto[16]4821
auto[17]5011
auto[18]4881
auto[19]5381
auto[20]4891
auto[21]4951
auto[22]4981
auto[23]5311
auto[24]5271
auto[25]4861
auto[26]4601
auto[27]5251
auto[28]5201
auto[29]4791
auto[30]5301
auto[31]5151

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22151
auto[1]5161
auto[2]4511
auto[3]5651
auto[4]4651
auto[5]5061
auto[6]5791
auto[7]4671
auto[8]4451
auto[9]4901
auto[10]4961
auto[11]4861
auto[12]4791
auto[13]4881
auto[14]5031
auto[15]5411
auto[16]5211
auto[17]4901
auto[18]4951
auto[19]5271
auto[20]4961
auto[21]4921
auto[22]5431
auto[23]5111
auto[24]5251
auto[25]4781
auto[26]5201
auto[27]5181
auto[28]4691
auto[29]4981
auto[30]4791
auto[31]4931

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016921
RD_01161
RD_02131
RD_03201
RD_04101
RD_05101
RD_06211
RD_07151
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1071
RD_11141
RD_12201
RD_13221
RD_14161
RD_15121
RD_16181
RD_17191
RD_18211
RD_19141
RD_1a121
RD_1b151
RD_1c151
RD_1d81
RD_1e181
RD_1f181

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO69061
auto_NON_ZERO108411

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO75671
auto_NON_ZERO101801

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149801
BIT30_131301
BIT29_131461
BIT28_131421
BIT27_130531
BIT26_130341
BIT25_129791
BIT24_130311
BIT23_130021
BIT22_129971
BIT21_129761
BIT20_129941
BIT19_130171
BIT18_130131
BIT17_130241
BIT16_131891
BIT15_139471
BIT14_139521
BIT13_141201
BIT12_139521
BIT11_144591
BIT10_144481
BIT9_139741
BIT8_134411
BIT7_142001
BIT6_137681
BIT5_139441
BIT4_150701
BIT3_152011
BIT2_150991
BIT1_140251
BIT0_144711
BIT31_0127671
BIT30_0146171
BIT29_0146011
BIT28_0146051
BIT27_0146941
BIT26_0147131
BIT25_0147681
BIT24_0147161
BIT23_0147451
BIT22_0147501
BIT21_0147711
BIT20_0147531
BIT19_0147301
BIT18_0147341
BIT17_0147231
BIT16_0145581
BIT15_0138001
BIT14_0137951
BIT13_0136271
BIT12_0137951
BIT11_0132881
BIT10_0132991
BIT9_0137731
BIT8_0143061
BIT7_0135471
BIT6_0139791
BIT5_0138031
BIT4_0126771
BIT3_0125461
BIT2_0126481
BIT1_0137221
BIT0_0132761

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT15_139471
BIT14_139521
BIT13_141201
BIT12_139521
BIT11_144591
BIT10_144481
BIT9_139741
BIT8_134411
BIT7_142001
BIT6_137681
BIT5_139441
BIT4_150701
BIT3_152011
BIT2_150991
BIT1_140251
BIT0_144711
BIT15_0138001
BIT14_0137951
BIT13_0136271
BIT12_0137951
BIT11_0132881
BIT10_0132991
BIT9_0137731
BIT8_0143061
BIT7_0135471
BIT6_0139791
BIT5_0138031
BIT4_0126771
BIT3_0125461
BIT2_0126481
BIT1_0137221
BIT0_0132761

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp40.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp40.html new file mode 100644 index 00000000..1bd75791 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp40.html @@ -0,0 +1,792 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ci(withChksum=3641590055) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ci(withChksum=3641590055)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ci(withChksum=3641590055) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_addi16sp_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_addi16sp_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_addi16sp_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables85085100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_addi16sp_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value303100.00100110
cp_imm_value202100.00100110
cp_rd_value303100.00100110
cp_rdrs1101100.001001132
cp_rd_toggle64064100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Illegal
NON_ZERO_OFF0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO18461
auto_POSITIVE34161
auto_NEGATIVE91341

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_ZERO0Excluded
auto_NON_ZERO0Illegal
NON_ZERO_OFF0Illegal
ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_POSITIVE71301
auto_NEGATIVE72661

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Illegal
NON_ZERO_OFF0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO231
auto_POSITIVE43891
auto_NEGATIVE99841

+
+
+Summary for Variable cp_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins101100.00

+
+Automatically Generated Bins for cp_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
NON_X20Illegal
RD_NOT_ZERO0Illegal
[auto[0] - auto[1]]--Illegal(2 bins)
[auto[3] - auto[31]]--Illegal(29 bins)

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[2]143961

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_199841
BIT30_129341
BIT29_129361
BIT28_129681
BIT27_129371
BIT26_128791
BIT25_128801
BIT24_128391
BIT23_129161
BIT22_129001
BIT21_129141
BIT20_129001
BIT19_129371
BIT18_129311
BIT17_130241
BIT16_132161
BIT15_195261
BIT14_134481
BIT13_163601
BIT12_167441
BIT11_195961
BIT10_196021
BIT9_139511
BIT8_151931
BIT7_181271
BIT6_151651
BIT5_151291
BIT4_1106521
BIT3_185881
BIT2_127031
BIT1_124161
BIT0_126341
BIT31_044121
BIT30_0114621
BIT29_0114601
BIT28_0114281
BIT27_0114591
BIT26_0115171
BIT25_0115161
BIT24_0115571
BIT23_0114801
BIT22_0114961
BIT21_0114821
BIT20_0114961
BIT19_0114591
BIT18_0114651
BIT17_0113721
BIT16_0111801
BIT15_048701
BIT14_0109481
BIT13_080361
BIT12_076521
BIT11_048001
BIT10_047941
BIT9_0104451
BIT8_092031
BIT7_062691
BIT6_092311
BIT5_092671
BIT4_037441
BIT3_058081
BIT2_0116931
BIT1_0119801
BIT0_0117621

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_172661
BIT4_193131
BIT3_1122111
BIT2_163191
BIT1_165001
BIT0_165371
BIT5_071301
BIT4_050831
BIT3_021851
BIT2_080771
BIT1_078961
BIT0_078591

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp400.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp400.html new file mode 100644 index 00000000..bb31c190 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp400.html @@ -0,0 +1,206 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cva6_clock_period_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cva6_clock_period_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cva6_clock_period_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
0.00 0.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.clock_period_cg 0.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.clock_period_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
0.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.clock_period_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2200.00

+
+Variables for Group Instance uvme_cva6_pkg.clock_period_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_clock_period_ps2200.00 100110

+
+
+
+
+
+
+Summary for Variable cp_clock_period_ps +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins2200.00

+
+User Defined Bins for cp_clock_period_ps +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
HIGH011
LOW011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp401.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp401.html new file mode 100644 index 00000000..13957912 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp401.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent21::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent21.mhpmevent21__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent21.mhpmevent21__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT21101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT21 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT21 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01831

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp402.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp402.html new file mode 100644 index 00000000..76f8a1e5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp402.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter24::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter24.mhpmcounter24__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER24404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER24 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER24 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]521
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]111
legal_values311

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403.html new file mode 100644 index 00000000..2916fd81 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403.html @@ -0,0 +1,796 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cvxif_rs3_instr + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cvxif_rs3_instr
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cvxif_rs3_instr +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.78 99.781 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv
+
+4 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.cus_add_rs3_msub_cg 99.731 100 1 64 64
uvme_cva6_pkg.cus_add_rs3_madd_cg 99.791 100 1 64 64
uvme_cva6_pkg.cus_add_rs3_nmadd_cg 99.791 100 1 64 64
uvme_cva6_pkg.cus_add_rs3_nmsub_cg 99.801 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_rs3_msub_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.731 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204819202999.07

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs300010
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs3_toggle00010

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_msub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1102411101398.93 100110
cross_rd_rs210248101699.22 100110
cross_rd_rs300010

+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_rs3_madd_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.791 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204815203399.27

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs300010
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs3_toggle00010

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_madd_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs110248101699.22 100110
cross_rd_rs210247101799.32 100110
cross_rd_rs300010

+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_rs3_nmadd_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.791 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204815203399.27

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs300010
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs3_toggle00010

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_nmadd_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1102410101499.02 100110
cross_rd_rs210245101999.51 100110
cross_rd_rs300010

+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_rs3_nmsub_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.801 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204814203499.32

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs300010
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs3_toggle00010

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_nmsub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs110248101699.22 100110
cross_rd_rs210246101899.41 100110
cross_rd_rs300010

+
+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_1.html new file mode 100644 index 00000000..dc4230e5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_1.html @@ -0,0 +1,11612 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_msub_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001791
RD_011611
RD_021551
RD_031861
RD_041771
RD_051661
RD_061861
RD_071551
RD_081801
RD_091711
RD_0a1491
RD_0b1871
RD_0c1511
RD_0d1541
RD_0e1411
RD_0f1561
RD_101571
RD_111631
RD_121551
RD_131721
RD_141591
RD_151891
RD_161591
RD_171781
RD_181501
RD_191481
RD_1a1661
RD_1b1461
RD_1c1401
RD_1d1491
RD_1e1601
RD_1f1791

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001681
RS1_011571
RS1_021811
RS1_031421
RS1_041881
RS1_051631
RS1_061411
RS1_071491
RS1_081691
RS1_091461
RS1_0a1651
RS1_0b1741
RS1_0c1581
RS1_0d1681
RS1_0e1641
RS1_0f1791
RS1_101571
RS1_111571
RS1_121321
RS1_131741
RS1_141791
RS1_151721
RS1_161561
RS1_171621
RS1_181681
RS1_191691
RS1_1a1821
RS1_1b1721
RS1_1c1431
RS1_1d1651
RS1_1e1661
RS1_1f1581

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001551
RS2_011621
RS2_021641
RS2_031711
RS2_041461
RS2_051941
RS2_061811
RS2_071661
RS2_081591
RS2_091781
RS2_0a1621
RS2_0b1591
RS2_0c1721
RS2_0d1701
RS2_0e1571
RS2_0f1761
RS2_101531
RS2_111871
RS2_121591
RS2_131571
RS2_141481
RS2_151661
RS2_161481
RS2_171551
RS2_181661
RS2_191731
RS2_1a1351
RS2_1b1501
RS2_1c1731
RS2_1d1431
RS2_1e1721
RS2_1f1671

+
+
+Summary for Variable cp_rs3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_rs3 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RS3_000Excluded
RS3_010Excluded
RS3_020Excluded
RS3_030Excluded
RS3_040Excluded
RS3_050Excluded
RS3_060Excluded
RS3_070Excluded
RS3_080Excluded
RS3_090Excluded
RS3_0a0Excluded
RS3_0b0Excluded
RS3_0c0Excluded
RS3_0d0Excluded
RS3_0e0Excluded
RS3_0f0Excluded
RS3_100Excluded
RS3_110Excluded
RS3_120Excluded
RS3_130Excluded
RS3_140Excluded
RS3_150Excluded
RS3_160Excluded
RS3_170Excluded
RS3_180Excluded
RS3_190Excluded
RS3_1a0Excluded
RS3_1b0Excluded
RS3_1c0Excluded
RS3_1d0Excluded
RS3_1e0Excluded
RS3_1f0Excluded
IGN_RS30Excluded

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125271
BIT30_119271
BIT29_119431
BIT28_119941
BIT27_119181
BIT26_119141
BIT25_119701
BIT24_119471
BIT23_119171
BIT22_118891
BIT21_118911
BIT20_118681
BIT19_119381
BIT18_118521
BIT17_118661
BIT16_119711
BIT15_121741
BIT14_120841
BIT13_119971
BIT12_123301
BIT11_122901
BIT10_123251
BIT9_120831
BIT8_120061
BIT7_122641
BIT6_119501
BIT5_120191
BIT4_124191
BIT3_124061
BIT2_123751
BIT1_120061
BIT0_116831
BIT31_026971
BIT30_032971
BIT29_032811
BIT28_032301
BIT27_033061
BIT26_033101
BIT25_032541
BIT24_032771
BIT23_033071
BIT22_033351
BIT21_033331
BIT20_033561
BIT19_032861
BIT18_033721
BIT17_033581
BIT16_032531
BIT15_030501
BIT14_031401
BIT13_032271
BIT12_028941
BIT11_029341
BIT10_028991
BIT9_031411
BIT8_032181
BIT7_029601
BIT6_032741
BIT5_032051
BIT4_028051
BIT3_028181
BIT2_028491
BIT1_032181
BIT0_035411

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125271
BIT30_120311
BIT29_119551
BIT28_119991
BIT27_119221
BIT26_119101
BIT25_119821
BIT24_119371
BIT23_119221
BIT22_119411
BIT21_119651
BIT20_119291
BIT19_119091
BIT18_118761
BIT17_119861
BIT16_119841
BIT15_121561
BIT14_120511
BIT13_120431
BIT12_123171
BIT11_123921
BIT10_122581
BIT9_121221
BIT8_120641
BIT7_122021
BIT6_119981
BIT5_120581
BIT4_124051
BIT3_125051
BIT2_123661
BIT1_120341
BIT0_117441
BIT31_026961
BIT30_031921
BIT29_032681
BIT28_032241
BIT27_033011
BIT26_033131
BIT25_032411
BIT24_032861
BIT23_033011
BIT22_032821
BIT21_032581
BIT20_032941
BIT19_033141
BIT18_033471
BIT17_032371
BIT16_032391
BIT15_030671
BIT14_031721
BIT13_031801
BIT12_029061
BIT11_028311
BIT10_029651
BIT9_031011
BIT8_031591
BIT7_030211
BIT6_032251
BIT5_031651
BIT4_028181
BIT3_027181
BIT2_028571
BIT1_031891
BIT0_034791

+
+
+Summary for Variable cp_rs3_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102411101398.93 11

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_01][RS1_1c]011
[RD_02][RS1_1e]011
[RD_05][RS1_01]011
[RD_05][RS1_1f]011
[RD_0e][RS1_10]011
[RD_0e][RS1_19]011
[RD_0f][RS1_01]011
[RD_12][RS1_1d]011
[RD_16][RS1_15]011
[RD_1c][RS1_0a]011
[RD_1e][RS1_07]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0031
RD_00RS1_0141
RD_00RS1_0261
RD_00RS1_0351
RD_00RS1_0451
RD_00RS1_0541
RD_00RS1_0681
RD_00RS1_0731
RD_00RS1_0891
RD_00RS1_0981
RD_00RS1_0a121
RD_00RS1_0b91
RD_00RS1_0c81
RD_00RS1_0d91
RD_00RS1_0e71
RD_00RS1_0f21
RD_00RS1_1041
RD_00RS1_1141
RD_00RS1_1251
RD_00RS1_1331
RD_00RS1_1491
RD_00RS1_1521
RD_00RS1_1681
RD_00RS1_1711
RD_00RS1_1831
RD_00RS1_1961
RD_00RS1_1a71
RD_00RS1_1b71
RD_00RS1_1c41
RD_00RS1_1d41
RD_00RS1_1e61
RD_00RS1_1f41
RD_01RS1_0061
RD_01RS1_0141
RD_01RS1_0281
RD_01RS1_0321
RD_01RS1_0461
RD_01RS1_0551
RD_01RS1_0641
RD_01RS1_0751
RD_01RS1_0831
RD_01RS1_0991
RD_01RS1_0a41
RD_01RS1_0b51
RD_01RS1_0c91
RD_01RS1_0d31
RD_01RS1_0e21
RD_01RS1_0f51
RD_01RS1_1071
RD_01RS1_1141
RD_01RS1_1291
RD_01RS1_1351
RD_01RS1_1441
RD_01RS1_1571
RD_01RS1_1651
RD_01RS1_1781
RD_01RS1_1841
RD_01RS1_1971
RD_01RS1_1a51
RD_01RS1_1b51
RD_01RS1_1d41
RD_01RS1_1e41
RD_01RS1_1f31
RD_02RS1_0071
RD_02RS1_0151
RD_02RS1_0211
RD_02RS1_0391
RD_02RS1_0481
RD_02RS1_0521
RD_02RS1_0651
RD_02RS1_0741
RD_02RS1_0821
RD_02RS1_0941
RD_02RS1_0a61
RD_02RS1_0b131
RD_02RS1_0c41
RD_02RS1_0d51
RD_02RS1_0e51
RD_02RS1_0f111
RD_02RS1_1021
RD_02RS1_1141
RD_02RS1_1241
RD_02RS1_1341
RD_02RS1_1441
RD_02RS1_1561
RD_02RS1_1651
RD_02RS1_1741
RD_02RS1_1851
RD_02RS1_1911
RD_02RS1_1a71
RD_02RS1_1b81
RD_02RS1_1c21
RD_02RS1_1d51
RD_02RS1_1f31
RD_03RS1_00111
RD_03RS1_0121
RD_03RS1_0271
RD_03RS1_0391
RD_03RS1_0431
RD_03RS1_0581
RD_03RS1_0661
RD_03RS1_0771
RD_03RS1_08111
RD_03RS1_0951
RD_03RS1_0a11
RD_03RS1_0b41
RD_03RS1_0c81
RD_03RS1_0d81
RD_03RS1_0e61
RD_03RS1_0f11
RD_03RS1_10101
RD_03RS1_1191
RD_03RS1_1241
RD_03RS1_1361
RD_03RS1_1471
RD_03RS1_1551
RD_03RS1_1641
RD_03RS1_1761
RD_03RS1_1851
RD_03RS1_1981
RD_03RS1_1a41
RD_03RS1_1b11
RD_03RS1_1c11
RD_03RS1_1d61
RD_03RS1_1e51
RD_03RS1_1f81
RD_04RS1_0061
RD_04RS1_0151
RD_04RS1_0271
RD_04RS1_03111
RD_04RS1_0441
RD_04RS1_0571
RD_04RS1_0621
RD_04RS1_0721
RD_04RS1_0851
RD_04RS1_0951
RD_04RS1_0a91
RD_04RS1_0b91
RD_04RS1_0c61
RD_04RS1_0d51
RD_04RS1_0e21
RD_04RS1_0f81
RD_04RS1_1081
RD_04RS1_1171
RD_04RS1_1221
RD_04RS1_1351
RD_04RS1_1411
RD_04RS1_1551
RD_04RS1_1621
RD_04RS1_1761
RD_04RS1_1881
RD_04RS1_1981
RD_04RS1_1a41
RD_04RS1_1b71
RD_04RS1_1c41
RD_04RS1_1d41
RD_04RS1_1e61
RD_04RS1_1f71
RD_05RS1_0081
RD_05RS1_0231
RD_05RS1_0351
RD_05RS1_04101
RD_05RS1_0541
RD_05RS1_0641
RD_05RS1_07111
RD_05RS1_0881
RD_05RS1_0911
RD_05RS1_0a61
RD_05RS1_0b31
RD_05RS1_0c41
RD_05RS1_0d81
RD_05RS1_0e61
RD_05RS1_0f31
RD_05RS1_1031
RD_05RS1_1121
RD_05RS1_1261
RD_05RS1_1361
RD_05RS1_1461
RD_05RS1_1561
RD_05RS1_1661
RD_05RS1_1761
RD_05RS1_1851
RD_05RS1_1931
RD_05RS1_1a111
RD_05RS1_1b61
RD_05RS1_1c31
RD_05RS1_1d71
RD_05RS1_1e61
RD_06RS1_0041
RD_06RS1_0131
RD_06RS1_0231
RD_06RS1_0371
RD_06RS1_0461
RD_06RS1_0521
RD_06RS1_0661
RD_06RS1_0741
RD_06RS1_0841
RD_06RS1_0931
RD_06RS1_0a141
RD_06RS1_0b101
RD_06RS1_0c51
RD_06RS1_0d41
RD_06RS1_0e51
RD_06RS1_0f71
RD_06RS1_1021
RD_06RS1_1141
RD_06RS1_1251
RD_06RS1_1381
RD_06RS1_1441
RD_06RS1_1561
RD_06RS1_1681
RD_06RS1_1741
RD_06RS1_1861
RD_06RS1_19111
RD_06RS1_1a61
RD_06RS1_1b111
RD_06RS1_1c61
RD_06RS1_1d111
RD_06RS1_1e51
RD_06RS1_1f21
RD_07RS1_0071
RD_07RS1_0111
RD_07RS1_0241
RD_07RS1_0311
RD_07RS1_0471
RD_07RS1_0581
RD_07RS1_0651
RD_07RS1_0761
RD_07RS1_0831
RD_07RS1_0961
RD_07RS1_0a51
RD_07RS1_0b41
RD_07RS1_0c31
RD_07RS1_0d81
RD_07RS1_0e51
RD_07RS1_0f61
RD_07RS1_1071
RD_07RS1_1121
RD_07RS1_1211
RD_07RS1_1381
RD_07RS1_1441
RD_07RS1_1551
RD_07RS1_1651
RD_07RS1_1721
RD_07RS1_1861
RD_07RS1_1911
RD_07RS1_1a41
RD_07RS1_1b41
RD_07RS1_1c91
RD_07RS1_1d81
RD_07RS1_1e81
RD_07RS1_1f21
RD_08RS1_0061
RD_08RS1_0131
RD_08RS1_0231
RD_08RS1_0341
RD_08RS1_0461
RD_08RS1_0551
RD_08RS1_0651
RD_08RS1_0741
RD_08RS1_0861
RD_08RS1_0951
RD_08RS1_0a31
RD_08RS1_0b31
RD_08RS1_0c51
RD_08RS1_0d31
RD_08RS1_0e21
RD_08RS1_0f81
RD_08RS1_1051
RD_08RS1_1181
RD_08RS1_1211
RD_08RS1_1361
RD_08RS1_1481
RD_08RS1_1581
RD_08RS1_1691
RD_08RS1_17101
RD_08RS1_1861
RD_08RS1_1941
RD_08RS1_1a81
RD_08RS1_1b81
RD_08RS1_1c41
RD_08RS1_1d91
RD_08RS1_1e31
RD_08RS1_1f121
RD_09RS1_0041
RD_09RS1_0171
RD_09RS1_02101
RD_09RS1_0311
RD_09RS1_0471
RD_09RS1_0561
RD_09RS1_0661
RD_09RS1_0761
RD_09RS1_0871
RD_09RS1_0951
RD_09RS1_0a61
RD_09RS1_0b61
RD_09RS1_0c91
RD_09RS1_0d81
RD_09RS1_0e51
RD_09RS1_0f11
RD_09RS1_1071
RD_09RS1_1171
RD_09RS1_1251
RD_09RS1_1361
RD_09RS1_1451
RD_09RS1_1591
RD_09RS1_1631
RD_09RS1_1711
RD_09RS1_1821
RD_09RS1_1941
RD_09RS1_1a71
RD_09RS1_1b31
RD_09RS1_1c71
RD_09RS1_1d11
RD_09RS1_1e61
RD_09RS1_1f41
RD_0aRS1_0071
RD_0aRS1_0171
RD_0aRS1_0281
RD_0aRS1_0331
RD_0aRS1_0431
RD_0aRS1_0531
RD_0aRS1_0641
RD_0aRS1_0731
RD_0aRS1_0841
RD_0aRS1_0931
RD_0aRS1_0a31
RD_0aRS1_0b71
RD_0aRS1_0c51
RD_0aRS1_0d31
RD_0aRS1_0e11
RD_0aRS1_0f41
RD_0aRS1_1011
RD_0aRS1_1161
RD_0aRS1_1231
RD_0aRS1_1381
RD_0aRS1_1461
RD_0aRS1_15111
RD_0aRS1_1631
RD_0aRS1_1741
RD_0aRS1_1851
RD_0aRS1_1941
RD_0aRS1_1a81
RD_0aRS1_1b31
RD_0aRS1_1c61
RD_0aRS1_1d31
RD_0aRS1_1e41
RD_0aRS1_1f61
RD_0bRS1_0071
RD_0bRS1_0171
RD_0bRS1_0281
RD_0bRS1_0351
RD_0bRS1_0461
RD_0bRS1_0591
RD_0bRS1_0611
RD_0bRS1_0721
RD_0bRS1_0881
RD_0bRS1_0951
RD_0bRS1_0a51
RD_0bRS1_0b41
RD_0bRS1_0c81
RD_0bRS1_0d51
RD_0bRS1_0e91
RD_0bRS1_0f91
RD_0bRS1_1041
RD_0bRS1_1131
RD_0bRS1_1251
RD_0bRS1_1321
RD_0bRS1_1471
RD_0bRS1_1551
RD_0bRS1_1671
RD_0bRS1_1771
RD_0bRS1_1881
RD_0bRS1_19121
RD_0bRS1_1a31
RD_0bRS1_1b81
RD_0bRS1_1c81
RD_0bRS1_1d21
RD_0bRS1_1e51
RD_0bRS1_1f31
RD_0cRS1_0021
RD_0cRS1_0131
RD_0cRS1_0261
RD_0cRS1_0321
RD_0cRS1_0441
RD_0cRS1_0551
RD_0cRS1_0671
RD_0cRS1_0771
RD_0cRS1_0821
RD_0cRS1_0921
RD_0cRS1_0a31
RD_0cRS1_0b71
RD_0cRS1_0c31
RD_0cRS1_0d11
RD_0cRS1_0e81
RD_0cRS1_0f91
RD_0cRS1_1041
RD_0cRS1_1121
RD_0cRS1_1231
RD_0cRS1_1371
RD_0cRS1_1441
RD_0cRS1_15111
RD_0cRS1_1651
RD_0cRS1_1731
RD_0cRS1_1831
RD_0cRS1_1981
RD_0cRS1_1a61
RD_0cRS1_1b21
RD_0cRS1_1c101
RD_0cRS1_1d41
RD_0cRS1_1e31
RD_0cRS1_1f51
RD_0dRS1_0051
RD_0dRS1_0141
RD_0dRS1_0271
RD_0dRS1_0351
RD_0dRS1_0431
RD_0dRS1_0511
RD_0dRS1_0621
RD_0dRS1_0751
RD_0dRS1_0821
RD_0dRS1_0931
RD_0dRS1_0a41
RD_0dRS1_0b71
RD_0dRS1_0c31
RD_0dRS1_0d41
RD_0dRS1_0e51
RD_0dRS1_0f11
RD_0dRS1_1061
RD_0dRS1_11131
RD_0dRS1_1221
RD_0dRS1_1381
RD_0dRS1_1451
RD_0dRS1_1571
RD_0dRS1_1651
RD_0dRS1_1771
RD_0dRS1_1871
RD_0dRS1_1971
RD_0dRS1_1a51
RD_0dRS1_1b61
RD_0dRS1_1c11
RD_0dRS1_1d51
RD_0dRS1_1e71
RD_0dRS1_1f21
RD_0eRS1_0051
RD_0eRS1_0161
RD_0eRS1_0221
RD_0eRS1_0331
RD_0eRS1_0451
RD_0eRS1_0581
RD_0eRS1_0611
RD_0eRS1_0761
RD_0eRS1_0831
RD_0eRS1_0961
RD_0eRS1_0a41
RD_0eRS1_0b61
RD_0eRS1_0c71
RD_0eRS1_0d51
RD_0eRS1_0e61
RD_0eRS1_0f51
RD_0eRS1_1151
RD_0eRS1_1221
RD_0eRS1_1331
RD_0eRS1_1451
RD_0eRS1_1541
RD_0eRS1_1641
RD_0eRS1_1761
RD_0eRS1_1881
RD_0eRS1_1a21
RD_0eRS1_1b61
RD_0eRS1_1c21
RD_0eRS1_1d51
RD_0eRS1_1e21
RD_0eRS1_1f91
RD_0fRS1_0081
RD_0fRS1_0261
RD_0fRS1_0341
RD_0fRS1_0421
RD_0fRS1_0571
RD_0fRS1_0651
RD_0fRS1_0741
RD_0fRS1_0841
RD_0fRS1_0971
RD_0fRS1_0a31
RD_0fRS1_0b91
RD_0fRS1_0c21
RD_0fRS1_0d31
RD_0fRS1_0e51
RD_0fRS1_0f61
RD_0fRS1_1051
RD_0fRS1_1181
RD_0fRS1_1221
RD_0fRS1_1381
RD_0fRS1_1431
RD_0fRS1_1561
RD_0fRS1_1631
RD_0fRS1_1751
RD_0fRS1_1871
RD_0fRS1_1951
RD_0fRS1_1a21
RD_0fRS1_1b51
RD_0fRS1_1c51
RD_0fRS1_1d61
RD_0fRS1_1e61
RD_0fRS1_1f51
RD_10RS1_0041
RD_10RS1_0141
RD_10RS1_0241
RD_10RS1_0361
RD_10RS1_0451
RD_10RS1_0551
RD_10RS1_0641
RD_10RS1_0761
RD_10RS1_0891
RD_10RS1_0941
RD_10RS1_0a11
RD_10RS1_0b31
RD_10RS1_0c41
RD_10RS1_0d61
RD_10RS1_0e141
RD_10RS1_0f61
RD_10RS1_10101
RD_10RS1_1151
RD_10RS1_1231
RD_10RS1_1341
RD_10RS1_1471
RD_10RS1_1521
RD_10RS1_1641
RD_10RS1_1781
RD_10RS1_1841
RD_10RS1_1961
RD_10RS1_1a41
RD_10RS1_1b31
RD_10RS1_1c21
RD_10RS1_1d31
RD_10RS1_1e41
RD_10RS1_1f31
RD_11RS1_0041
RD_11RS1_01101
RD_11RS1_0241
RD_11RS1_0331
RD_11RS1_0471
RD_11RS1_0531
RD_11RS1_0641
RD_11RS1_0731
RD_11RS1_0851
RD_11RS1_0921
RD_11RS1_0a41
RD_11RS1_0b31
RD_11RS1_0c51
RD_11RS1_0d71
RD_11RS1_0e61
RD_11RS1_0f51
RD_11RS1_1041
RD_11RS1_1121
RD_11RS1_1231
RD_11RS1_13101
RD_11RS1_1461
RD_11RS1_1531
RD_11RS1_1641
RD_11RS1_1751
RD_11RS1_18101
RD_11RS1_1931
RD_11RS1_1a81
RD_11RS1_1b61
RD_11RS1_1c51
RD_11RS1_1d81
RD_11RS1_1e91
RD_11RS1_1f21
RD_12RS1_0071
RD_12RS1_0161
RD_12RS1_0251
RD_12RS1_0331
RD_12RS1_04101
RD_12RS1_0561
RD_12RS1_0621
RD_12RS1_0741
RD_12RS1_0831
RD_12RS1_0931
RD_12RS1_0a41
RD_12RS1_0b71
RD_12RS1_0c41
RD_12RS1_0d31
RD_12RS1_0e41
RD_12RS1_0f51
RD_12RS1_1061
RD_12RS1_1151
RD_12RS1_1211
RD_12RS1_1351
RD_12RS1_1471
RD_12RS1_1561
RD_12RS1_1661
RD_12RS1_1751
RD_12RS1_1841
RD_12RS1_1931
RD_12RS1_1a81
RD_12RS1_1b41
RD_12RS1_1c51
RD_12RS1_1e81
RD_12RS1_1f61
RD_13RS1_0031
RD_13RS1_0161
RD_13RS1_0271
RD_13RS1_0331
RD_13RS1_0461
RD_13RS1_0571
RD_13RS1_0671
RD_13RS1_0771
RD_13RS1_0851
RD_13RS1_0931
RD_13RS1_0a31
RD_13RS1_0b41
RD_13RS1_0c41
RD_13RS1_0d101
RD_13RS1_0e21
RD_13RS1_0f41
RD_13RS1_1051
RD_13RS1_1151
RD_13RS1_1251
RD_13RS1_1341
RD_13RS1_14101
RD_13RS1_15111
RD_13RS1_1631
RD_13RS1_1711
RD_13RS1_1841
RD_13RS1_1961
RD_13RS1_1a101
RD_13RS1_1b51
RD_13RS1_1c41
RD_13RS1_1d61
RD_13RS1_1e61
RD_13RS1_1f61
RD_14RS1_0021
RD_14RS1_0161
RD_14RS1_0291
RD_14RS1_0341
RD_14RS1_0451
RD_14RS1_0551
RD_14RS1_0661
RD_14RS1_0751
RD_14RS1_0851
RD_14RS1_0941
RD_14RS1_0a31
RD_14RS1_0b41
RD_14RS1_0c51
RD_14RS1_0d51
RD_14RS1_0e81
RD_14RS1_0f101
RD_14RS1_1051
RD_14RS1_1131
RD_14RS1_1251
RD_14RS1_1341
RD_14RS1_1451
RD_14RS1_1541
RD_14RS1_1661
RD_14RS1_1761
RD_14RS1_1831
RD_14RS1_1931
RD_14RS1_1a81
RD_14RS1_1b61
RD_14RS1_1c21
RD_14RS1_1d51
RD_14RS1_1e61
RD_14RS1_1f21
RD_15RS1_0061
RD_15RS1_0151
RD_15RS1_0291
RD_15RS1_0321
RD_15RS1_0461
RD_15RS1_0581
RD_15RS1_0631
RD_15RS1_0761
RD_15RS1_0841
RD_15RS1_0941
RD_15RS1_0a71
RD_15RS1_0b51
RD_15RS1_0c101
RD_15RS1_0d51
RD_15RS1_0e51
RD_15RS1_0f51
RD_15RS1_1041
RD_15RS1_1171
RD_15RS1_12111
RD_15RS1_1361
RD_15RS1_14111
RD_15RS1_1571
RD_15RS1_1641
RD_15RS1_1731
RD_15RS1_1841
RD_15RS1_1951
RD_15RS1_1a41
RD_15RS1_1b81
RD_15RS1_1c51
RD_15RS1_1d81
RD_15RS1_1e81
RD_15RS1_1f41
RD_16RS1_0051
RD_16RS1_0131
RD_16RS1_0281
RD_16RS1_0321
RD_16RS1_0451
RD_16RS1_0521
RD_16RS1_0661
RD_16RS1_0761
RD_16RS1_0841
RD_16RS1_0931
RD_16RS1_0a61
RD_16RS1_0b31
RD_16RS1_0c41
RD_16RS1_0d61
RD_16RS1_0e41
RD_16RS1_0f61
RD_16RS1_1051
RD_16RS1_1161
RD_16RS1_1231
RD_16RS1_1371
RD_16RS1_1451
RD_16RS1_1651
RD_16RS1_1761
RD_16RS1_1841
RD_16RS1_19111
RD_16RS1_1a21
RD_16RS1_1b81
RD_16RS1_1c91
RD_16RS1_1d61
RD_16RS1_1e21
RD_16RS1_1f71
RD_17RS1_0061
RD_17RS1_01141
RD_17RS1_0291
RD_17RS1_0361
RD_17RS1_04111
RD_17RS1_0541
RD_17RS1_0641
RD_17RS1_0751
RD_17RS1_0851
RD_17RS1_0991
RD_17RS1_0a71
RD_17RS1_0b41
RD_17RS1_0c21
RD_17RS1_0d51
RD_17RS1_0e51
RD_17RS1_0f41
RD_17RS1_1021
RD_17RS1_1121
RD_17RS1_1271
RD_17RS1_1341
RD_17RS1_1461
RD_17RS1_1541
RD_17RS1_1621
RD_17RS1_1781
RD_17RS1_1891
RD_17RS1_1951
RD_17RS1_1a51
RD_17RS1_1b31
RD_17RS1_1c51
RD_17RS1_1d51
RD_17RS1_1e61
RD_17RS1_1f51
RD_18RS1_0021
RD_18RS1_0151
RD_18RS1_0221
RD_18RS1_0361
RD_18RS1_0481
RD_18RS1_0551
RD_18RS1_0641
RD_18RS1_0771
RD_18RS1_0861
RD_18RS1_0951
RD_18RS1_0a41
RD_18RS1_0b21
RD_18RS1_0c31
RD_18RS1_0d61
RD_18RS1_0e11
RD_18RS1_0f51
RD_18RS1_1041
RD_18RS1_1121
RD_18RS1_1231
RD_18RS1_1341
RD_18RS1_1441
RD_18RS1_1541
RD_18RS1_1661
RD_18RS1_1741
RD_18RS1_1891
RD_18RS1_1981
RD_18RS1_1a61
RD_18RS1_1b51
RD_18RS1_1c31
RD_18RS1_1d21
RD_18RS1_1e71
RD_18RS1_1f81
RD_19RS1_0051
RD_19RS1_0111
RD_19RS1_0271
RD_19RS1_0321
RD_19RS1_04111
RD_19RS1_0511
RD_19RS1_0621
RD_19RS1_0741
RD_19RS1_0871
RD_19RS1_0921
RD_19RS1_0a81
RD_19RS1_0b91
RD_19RS1_0c41
RD_19RS1_0d61
RD_19RS1_0e41
RD_19RS1_0f51
RD_19RS1_10101
RD_19RS1_1151
RD_19RS1_1231
RD_19RS1_1331
RD_19RS1_1451
RD_19RS1_1531
RD_19RS1_1621
RD_19RS1_1751
RD_19RS1_1851
RD_19RS1_1931
RD_19RS1_1a41
RD_19RS1_1b31
RD_19RS1_1c11
RD_19RS1_1d51
RD_19RS1_1e71
RD_19RS1_1f61
RD_1aRS1_0051
RD_1aRS1_0191
RD_1aRS1_0251
RD_1aRS1_0351
RD_1aRS1_0421
RD_1aRS1_0551
RD_1aRS1_06101
RD_1aRS1_0741
RD_1aRS1_0841
RD_1aRS1_0951
RD_1aRS1_0a41
RD_1aRS1_0b41
RD_1aRS1_0c71
RD_1aRS1_0d91
RD_1aRS1_0e21
RD_1aRS1_0f71
RD_1aRS1_1051
RD_1aRS1_1141
RD_1aRS1_1271
RD_1aRS1_1331
RD_1aRS1_1481
RD_1aRS1_1541
RD_1aRS1_1631
RD_1aRS1_1731
RD_1aRS1_1841
RD_1aRS1_1951
RD_1aRS1_1a81
RD_1aRS1_1b21
RD_1aRS1_1c61
RD_1aRS1_1d81
RD_1aRS1_1e21
RD_1aRS1_1f71
RD_1bRS1_0031
RD_1bRS1_0191
RD_1bRS1_0271
RD_1bRS1_0361
RD_1bRS1_0471
RD_1bRS1_0521
RD_1bRS1_0651
RD_1bRS1_0711
RD_1bRS1_0881
RD_1bRS1_0971
RD_1bRS1_0a51
RD_1bRS1_0b61
RD_1bRS1_0c11
RD_1bRS1_0d51
RD_1bRS1_0e31
RD_1bRS1_0f41
RD_1bRS1_1011
RD_1bRS1_1151
RD_1bRS1_1231
RD_1bRS1_1361
RD_1bRS1_1461
RD_1bRS1_1531
RD_1bRS1_1661
RD_1bRS1_1741
RD_1bRS1_1831
RD_1bRS1_1921
RD_1bRS1_1a61
RD_1bRS1_1b51
RD_1bRS1_1c41
RD_1bRS1_1d61
RD_1bRS1_1e21
RD_1bRS1_1f51
RD_1cRS1_0021
RD_1cRS1_0111
RD_1cRS1_0231
RD_1cRS1_0351
RD_1cRS1_0451
RD_1cRS1_0531
RD_1cRS1_0631
RD_1cRS1_0751
RD_1cRS1_0861
RD_1cRS1_0941
RD_1cRS1_0b41
RD_1cRS1_0c41
RD_1cRS1_0d11
RD_1cRS1_0e61
RD_1cRS1_0f91
RD_1cRS1_1041
RD_1cRS1_1141
RD_1cRS1_1251
RD_1cRS1_1341
RD_1cRS1_1441
RD_1cRS1_1521
RD_1cRS1_1651
RD_1cRS1_17101
RD_1cRS1_1831
RD_1cRS1_1971
RD_1cRS1_1a31
RD_1cRS1_1b51
RD_1cRS1_1c61
RD_1cRS1_1d81
RD_1cRS1_1e61
RD_1cRS1_1f31
RD_1dRS1_0041
RD_1dRS1_0151
RD_1dRS1_0291
RD_1dRS1_0341
RD_1dRS1_0431
RD_1dRS1_0581
RD_1dRS1_0651
RD_1dRS1_0721
RD_1dRS1_0831
RD_1dRS1_0951
RD_1dRS1_0a81
RD_1dRS1_0b11
RD_1dRS1_0c61
RD_1dRS1_0d21
RD_1dRS1_0e51
RD_1dRS1_0f31
RD_1dRS1_1051
RD_1dRS1_1121
RD_1dRS1_1241
RD_1dRS1_1361
RD_1dRS1_1441
RD_1dRS1_1541
RD_1dRS1_1671
RD_1dRS1_1771
RD_1dRS1_1831
RD_1dRS1_1961
RD_1dRS1_1a21
RD_1dRS1_1b71
RD_1dRS1_1c51
RD_1dRS1_1d21
RD_1dRS1_1e81
RD_1dRS1_1f41
RD_1eRS1_0051
RD_1eRS1_0171
RD_1eRS1_0221
RD_1eRS1_0361
RD_1eRS1_0421
RD_1eRS1_0581
RD_1eRS1_0611
RD_1eRS1_0841
RD_1eRS1_0931
RD_1eRS1_0a31
RD_1eRS1_0b61
RD_1eRS1_0c41
RD_1eRS1_0d41
RD_1eRS1_0e91
RD_1eRS1_0f71
RD_1eRS1_1061
RD_1eRS1_1191
RD_1eRS1_1261
RD_1eRS1_1361
RD_1eRS1_1421
RD_1eRS1_1541
RD_1eRS1_1621
RD_1eRS1_1741
RD_1eRS1_1861
RD_1eRS1_1931
RD_1eRS1_1a81
RD_1eRS1_1b101
RD_1eRS1_1c71
RD_1eRS1_1d31
RD_1eRS1_1e61
RD_1eRS1_1f71
RD_1fRS1_0091
RD_1fRS1_0151
RD_1fRS1_0221
RD_1fRS1_0331
RD_1fRS1_04101
RD_1fRS1_0571
RD_1fRS1_0641
RD_1fRS1_0751
RD_1fRS1_08101
RD_1fRS1_0961
RD_1fRS1_0a101
RD_1fRS1_0b31
RD_1fRS1_0c21
RD_1fRS1_0d61
RD_1fRS1_0e71
RD_1fRS1_0f81
RD_1fRS1_1061
RD_1fRS1_1131
RD_1fRS1_1241
RD_1fRS1_1351
RD_1fRS1_1471
RD_1fRS1_1581
RD_1fRS1_1691
RD_1fRS1_1731
RD_1fRS1_1851
RD_1fRS1_1941
RD_1fRS1_1a71
RD_1fRS1_1b21
RD_1fRS1_1c21
RD_1fRS1_1d61
RD_1fRS1_1e31
RD_1fRS1_1f81

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10248101699.22 8

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_07][RS2_0e]011
[RD_0f][RS2_10]011
[RD_10][RS2_03]011
[RD_14][RS2_01]011
[RD_16][RS2_1a]011
[RD_19][RS2_0c]011
[RD_1c][RS2_10]011
[RD_1c][RS2_1d]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0051
RD_00RS2_0171
RD_00RS2_0241
RD_00RS2_0341
RD_00RS2_04101
RD_00RS2_0581
RD_00RS2_0631
RD_00RS2_0741
RD_00RS2_0831
RD_00RS2_0921
RD_00RS2_0a51
RD_00RS2_0b81
RD_00RS2_0c31
RD_00RS2_0d91
RD_00RS2_0e61
RD_00RS2_0f101
RD_00RS2_1021
RD_00RS2_1191
RD_00RS2_1261
RD_00RS2_1331
RD_00RS2_1451
RD_00RS2_1581
RD_00RS2_1651
RD_00RS2_1731
RD_00RS2_18101
RD_00RS2_19101
RD_00RS2_1a41
RD_00RS2_1b71
RD_00RS2_1c61
RD_00RS2_1d11
RD_00RS2_1e31
RD_00RS2_1f61
RD_01RS2_0071
RD_01RS2_0111
RD_01RS2_0231
RD_01RS2_0351
RD_01RS2_0451
RD_01RS2_0571
RD_01RS2_0641
RD_01RS2_0761
RD_01RS2_0841
RD_01RS2_09101
RD_01RS2_0a61
RD_01RS2_0b41
RD_01RS2_0c91
RD_01RS2_0d51
RD_01RS2_0e41
RD_01RS2_0f41
RD_01RS2_1041
RD_01RS2_1151
RD_01RS2_1251
RD_01RS2_1321
RD_01RS2_1441
RD_01RS2_1521
RD_01RS2_1621
RD_01RS2_1771
RD_01RS2_1881
RD_01RS2_19101
RD_01RS2_1a41
RD_01RS2_1b51
RD_01RS2_1c31
RD_01RS2_1d31
RD_01RS2_1e91
RD_01RS2_1f41
RD_02RS2_0041
RD_02RS2_0121
RD_02RS2_02101
RD_02RS2_0371
RD_02RS2_0421
RD_02RS2_0551
RD_02RS2_0641
RD_02RS2_0761
RD_02RS2_0871
RD_02RS2_0941
RD_02RS2_0a71
RD_02RS2_0b51
RD_02RS2_0c91
RD_02RS2_0d41
RD_02RS2_0e41
RD_02RS2_0f71
RD_02RS2_1081
RD_02RS2_1131
RD_02RS2_1231
RD_02RS2_1351
RD_02RS2_1441
RD_02RS2_1561
RD_02RS2_1641
RD_02RS2_1731
RD_02RS2_1831
RD_02RS2_1971
RD_02RS2_1a41
RD_02RS2_1b31
RD_02RS2_1c41
RD_02RS2_1d41
RD_02RS2_1e21
RD_02RS2_1f51
RD_03RS2_0041
RD_03RS2_0151
RD_03RS2_0281
RD_03RS2_03151
RD_03RS2_0441
RD_03RS2_05121
RD_03RS2_0671
RD_03RS2_0761
RD_03RS2_0841
RD_03RS2_0961
RD_03RS2_0a51
RD_03RS2_0b101
RD_03RS2_0c31
RD_03RS2_0d71
RD_03RS2_0e71
RD_03RS2_0f61
RD_03RS2_1031
RD_03RS2_1131
RD_03RS2_1231
RD_03RS2_1391
RD_03RS2_1431
RD_03RS2_1551
RD_03RS2_1631
RD_03RS2_1751
RD_03RS2_1831
RD_03RS2_1991
RD_03RS2_1a71
RD_03RS2_1b71
RD_03RS2_1c61
RD_03RS2_1d21
RD_03RS2_1e31
RD_03RS2_1f61
RD_04RS2_0051
RD_04RS2_0191
RD_04RS2_0221
RD_04RS2_0361
RD_04RS2_0451
RD_04RS2_0541
RD_04RS2_06101
RD_04RS2_0741
RD_04RS2_0851
RD_04RS2_0981
RD_04RS2_0a121
RD_04RS2_0b31
RD_04RS2_0c51
RD_04RS2_0d61
RD_04RS2_0e111
RD_04RS2_0f21
RD_04RS2_10101
RD_04RS2_1161
RD_04RS2_1231
RD_04RS2_1361
RD_04RS2_1421
RD_04RS2_1551
RD_04RS2_1651
RD_04RS2_1721
RD_04RS2_1881
RD_04RS2_1931
RD_04RS2_1a61
RD_04RS2_1b41
RD_04RS2_1c41
RD_04RS2_1d41
RD_04RS2_1e51
RD_04RS2_1f71
RD_05RS2_0031
RD_05RS2_0131
RD_05RS2_0261
RD_05RS2_0351
RD_05RS2_0431
RD_05RS2_0521
RD_05RS2_0631
RD_05RS2_0741
RD_05RS2_0851
RD_05RS2_0971
RD_05RS2_0a11
RD_05RS2_0b61
RD_05RS2_0c71
RD_05RS2_0d21
RD_05RS2_0e101
RD_05RS2_0f51
RD_05RS2_1061
RD_05RS2_1181
RD_05RS2_1251
RD_05RS2_1361
RD_05RS2_14111
RD_05RS2_1541
RD_05RS2_1671
RD_05RS2_1751
RD_05RS2_1861
RD_05RS2_1941
RD_05RS2_1a71
RD_05RS2_1b51
RD_05RS2_1c21
RD_05RS2_1d41
RD_05RS2_1e71
RD_05RS2_1f71
RD_06RS2_0041
RD_06RS2_0141
RD_06RS2_0261
RD_06RS2_0351
RD_06RS2_0491
RD_06RS2_0581
RD_06RS2_0641
RD_06RS2_0771
RD_06RS2_0841
RD_06RS2_09101
RD_06RS2_0a91
RD_06RS2_0b21
RD_06RS2_0c51
RD_06RS2_0d91
RD_06RS2_0e61
RD_06RS2_0f51
RD_06RS2_1061
RD_06RS2_11101
RD_06RS2_1271
RD_06RS2_1371
RD_06RS2_1421
RD_06RS2_1561
RD_06RS2_1621
RD_06RS2_1721
RD_06RS2_1861
RD_06RS2_1951
RD_06RS2_1a41
RD_06RS2_1b41
RD_06RS2_1c91
RD_06RS2_1d41
RD_06RS2_1e51
RD_06RS2_1f101
RD_07RS2_0051
RD_07RS2_0141
RD_07RS2_0231
RD_07RS2_0361
RD_07RS2_0451
RD_07RS2_0581
RD_07RS2_0621
RD_07RS2_0761
RD_07RS2_0851
RD_07RS2_0961
RD_07RS2_0a51
RD_07RS2_0b61
RD_07RS2_0c41
RD_07RS2_0d51
RD_07RS2_0f81
RD_07RS2_1071
RD_07RS2_1111
RD_07RS2_1221
RD_07RS2_1321
RD_07RS2_1471
RD_07RS2_1581
RD_07RS2_1651
RD_07RS2_1751
RD_07RS2_1871
RD_07RS2_1931
RD_07RS2_1a11
RD_07RS2_1b61
RD_07RS2_1c51
RD_07RS2_1d51
RD_07RS2_1e51
RD_07RS2_1f81
RD_08RS2_0041
RD_08RS2_0131
RD_08RS2_0261
RD_08RS2_0381
RD_08RS2_0421
RD_08RS2_0521
RD_08RS2_0621
RD_08RS2_0791
RD_08RS2_0841
RD_08RS2_0941
RD_08RS2_0a91
RD_08RS2_0b61
RD_08RS2_0c41
RD_08RS2_0d101
RD_08RS2_0e51
RD_08RS2_0f41
RD_08RS2_1031
RD_08RS2_11111
RD_08RS2_1261
RD_08RS2_1351
RD_08RS2_1421
RD_08RS2_1581
RD_08RS2_1631
RD_08RS2_1741
RD_08RS2_1851
RD_08RS2_1971
RD_08RS2_1a51
RD_08RS2_1b91
RD_08RS2_1c61
RD_08RS2_1d31
RD_08RS2_1e51
RD_08RS2_1f161
RD_09RS2_0091
RD_09RS2_0161
RD_09RS2_0271
RD_09RS2_0321
RD_09RS2_0421
RD_09RS2_0571
RD_09RS2_0631
RD_09RS2_0761
RD_09RS2_0831
RD_09RS2_0971
RD_09RS2_0a31
RD_09RS2_0b61
RD_09RS2_0c51
RD_09RS2_0d41
RD_09RS2_0e41
RD_09RS2_0f51
RD_09RS2_1071
RD_09RS2_1151
RD_09RS2_1271
RD_09RS2_1361
RD_09RS2_1481
RD_09RS2_1531
RD_09RS2_1671
RD_09RS2_1761
RD_09RS2_1851
RD_09RS2_1961
RD_09RS2_1a21
RD_09RS2_1b61
RD_09RS2_1c41
RD_09RS2_1d61
RD_09RS2_1e81
RD_09RS2_1f61
RD_0aRS2_0041
RD_0aRS2_0121
RD_0aRS2_0211
RD_0aRS2_0341
RD_0aRS2_0411
RD_0aRS2_0571
RD_0aRS2_06101
RD_0aRS2_0721
RD_0aRS2_0861
RD_0aRS2_0971
RD_0aRS2_0a21
RD_0aRS2_0b91
RD_0aRS2_0c31
RD_0aRS2_0d41
RD_0aRS2_0e41
RD_0aRS2_0f71
RD_0aRS2_1041
RD_0aRS2_1191
RD_0aRS2_1241
RD_0aRS2_1351
RD_0aRS2_1441
RD_0aRS2_1531
RD_0aRS2_1661
RD_0aRS2_1731
RD_0aRS2_1841
RD_0aRS2_1921
RD_0aRS2_1a71
RD_0aRS2_1b11
RD_0aRS2_1c81
RD_0aRS2_1d71
RD_0aRS2_1e51
RD_0aRS2_1f41
RD_0bRS2_0061
RD_0bRS2_0141
RD_0bRS2_0261
RD_0bRS2_0391
RD_0bRS2_0441
RD_0bRS2_0591
RD_0bRS2_0661
RD_0bRS2_0741
RD_0bRS2_0851
RD_0bRS2_0931
RD_0bRS2_0a91
RD_0bRS2_0b71
RD_0bRS2_0c31
RD_0bRS2_0d91
RD_0bRS2_0e61
RD_0bRS2_0f31
RD_0bRS2_1081
RD_0bRS2_11121
RD_0bRS2_1211
RD_0bRS2_1351
RD_0bRS2_1491
RD_0bRS2_1581
RD_0bRS2_1671
RD_0bRS2_1761
RD_0bRS2_1851
RD_0bRS2_1931
RD_0bRS2_1a61
RD_0bRS2_1b31
RD_0bRS2_1c71
RD_0bRS2_1d41
RD_0bRS2_1e61
RD_0bRS2_1f41
RD_0cRS2_0081
RD_0cRS2_0121
RD_0cRS2_0241
RD_0cRS2_0331
RD_0cRS2_0471
RD_0cRS2_0561
RD_0cRS2_0671
RD_0cRS2_0721
RD_0cRS2_0851
RD_0cRS2_0941
RD_0cRS2_0a21
RD_0cRS2_0b41
RD_0cRS2_0c101
RD_0cRS2_0d31
RD_0cRS2_0e21
RD_0cRS2_0f91
RD_0cRS2_1071
RD_0cRS2_1161
RD_0cRS2_1241
RD_0cRS2_1341
RD_0cRS2_1461
RD_0cRS2_1531
RD_0cRS2_1641
RD_0cRS2_17101
RD_0cRS2_1821
RD_0cRS2_1941
RD_0cRS2_1a41
RD_0cRS2_1b61
RD_0cRS2_1c11
RD_0cRS2_1d51
RD_0cRS2_1e11
RD_0cRS2_1f61
RD_0dRS2_0031
RD_0dRS2_0151
RD_0dRS2_0291
RD_0dRS2_0351
RD_0dRS2_0451
RD_0dRS2_0541
RD_0dRS2_0681
RD_0dRS2_0751
RD_0dRS2_0831
RD_0dRS2_0951
RD_0dRS2_0a31
RD_0dRS2_0b61
RD_0dRS2_0c91
RD_0dRS2_0d71
RD_0dRS2_0e51
RD_0dRS2_0f51
RD_0dRS2_1011
RD_0dRS2_1131
RD_0dRS2_1241
RD_0dRS2_1341
RD_0dRS2_1441
RD_0dRS2_1531
RD_0dRS2_1661
RD_0dRS2_1731
RD_0dRS2_1831
RD_0dRS2_1961
RD_0dRS2_1a41
RD_0dRS2_1b21
RD_0dRS2_1c111
RD_0dRS2_1d61
RD_0dRS2_1e31
RD_0dRS2_1f41
RD_0eRS2_0041
RD_0eRS2_0151
RD_0eRS2_0291
RD_0eRS2_0331
RD_0eRS2_0471
RD_0eRS2_0551
RD_0eRS2_0621
RD_0eRS2_0731
RD_0eRS2_0851
RD_0eRS2_0941
RD_0eRS2_0a31
RD_0eRS2_0b41
RD_0eRS2_0c71
RD_0eRS2_0d31
RD_0eRS2_0e31
RD_0eRS2_0f51
RD_0eRS2_1021
RD_0eRS2_1121
RD_0eRS2_1231
RD_0eRS2_1331
RD_0eRS2_14101
RD_0eRS2_1541
RD_0eRS2_1661
RD_0eRS2_1771
RD_0eRS2_1821
RD_0eRS2_1921
RD_0eRS2_1a31
RD_0eRS2_1b31
RD_0eRS2_1c61
RD_0eRS2_1d111
RD_0eRS2_1e41
RD_0eRS2_1f11
RD_0fRS2_0021
RD_0fRS2_0121
RD_0fRS2_0241
RD_0fRS2_0341
RD_0fRS2_0431
RD_0fRS2_0581
RD_0fRS2_0651
RD_0fRS2_0721
RD_0fRS2_0851
RD_0fRS2_0941
RD_0fRS2_0a41
RD_0fRS2_0b51
RD_0fRS2_0c21
RD_0fRS2_0d71
RD_0fRS2_0e81
RD_0fRS2_0f41
RD_0fRS2_11101
RD_0fRS2_1251
RD_0fRS2_1341
RD_0fRS2_1461
RD_0fRS2_1561
RD_0fRS2_1631
RD_0fRS2_17101
RD_0fRS2_1821
RD_0fRS2_1971
RD_0fRS2_1a51
RD_0fRS2_1b81
RD_0fRS2_1c41
RD_0fRS2_1d51
RD_0fRS2_1e101
RD_0fRS2_1f21
RD_10RS2_0051
RD_10RS2_01121
RD_10RS2_0231
RD_10RS2_0451
RD_10RS2_0531
RD_10RS2_0611
RD_10RS2_0731
RD_10RS2_0831
RD_10RS2_0951
RD_10RS2_0a31
RD_10RS2_0b51
RD_10RS2_0c71
RD_10RS2_0d81
RD_10RS2_0e51
RD_10RS2_0f21
RD_10RS2_1061
RD_10RS2_11111
RD_10RS2_1291
RD_10RS2_1351
RD_10RS2_1461
RD_10RS2_1581
RD_10RS2_1621
RD_10RS2_1751
RD_10RS2_1861
RD_10RS2_1971
RD_10RS2_1a11
RD_10RS2_1b41
RD_10RS2_1c61
RD_10RS2_1d51
RD_10RS2_1e21
RD_10RS2_1f41
RD_11RS2_0081
RD_11RS2_01131
RD_11RS2_0221
RD_11RS2_0391
RD_11RS2_0431
RD_11RS2_0591
RD_11RS2_0681
RD_11RS2_0741
RD_11RS2_0851
RD_11RS2_0941
RD_11RS2_0a21
RD_11RS2_0b61
RD_11RS2_0c61
RD_11RS2_0d41
RD_11RS2_0e21
RD_11RS2_0f51
RD_11RS2_1021
RD_11RS2_1151
RD_11RS2_1221
RD_11RS2_1331
RD_11RS2_1461
RD_11RS2_1551
RD_11RS2_1621
RD_11RS2_1731
RD_11RS2_1871
RD_11RS2_1931
RD_11RS2_1a31
RD_11RS2_1b91
RD_11RS2_1c81
RD_11RS2_1d21
RD_11RS2_1e101
RD_11RS2_1f31
RD_12RS2_0031
RD_12RS2_0181
RD_12RS2_0251
RD_12RS2_0331
RD_12RS2_0421
RD_12RS2_0561
RD_12RS2_06101
RD_12RS2_07111
RD_12RS2_0861
RD_12RS2_0941
RD_12RS2_0a51
RD_12RS2_0b41
RD_12RS2_0c61
RD_12RS2_0d51
RD_12RS2_0e41
RD_12RS2_0f31
RD_12RS2_1071
RD_12RS2_1141
RD_12RS2_1261
RD_12RS2_1371
RD_12RS2_1441
RD_12RS2_1551
RD_12RS2_1631
RD_12RS2_1731
RD_12RS2_1821
RD_12RS2_1941
RD_12RS2_1a31
RD_12RS2_1b31
RD_12RS2_1c31
RD_12RS2_1d91
RD_12RS2_1e41
RD_12RS2_1f31
RD_13RS2_0051
RD_13RS2_0181
RD_13RS2_0251
RD_13RS2_0361
RD_13RS2_0431
RD_13RS2_0581
RD_13RS2_0641
RD_13RS2_0751
RD_13RS2_0871
RD_13RS2_0961
RD_13RS2_0a31
RD_13RS2_0b61
RD_13RS2_0c101
RD_13RS2_0d51
RD_13RS2_0e71
RD_13RS2_0f91
RD_13RS2_1071
RD_13RS2_1151
RD_13RS2_1261
RD_13RS2_1351
RD_13RS2_1441
RD_13RS2_1561
RD_13RS2_1691
RD_13RS2_1751
RD_13RS2_1821
RD_13RS2_1931
RD_13RS2_1a51
RD_13RS2_1b11
RD_13RS2_1c71
RD_13RS2_1d21
RD_13RS2_1e61
RD_13RS2_1f21
RD_14RS2_0031
RD_14RS2_0291
RD_14RS2_0371
RD_14RS2_0461
RD_14RS2_0591
RD_14RS2_0641
RD_14RS2_0731
RD_14RS2_0831
RD_14RS2_0961
RD_14RS2_0a61
RD_14RS2_0b71
RD_14RS2_0c71
RD_14RS2_0d11
RD_14RS2_0e31
RD_14RS2_0f31
RD_14RS2_1011
RD_14RS2_1141
RD_14RS2_1261
RD_14RS2_1361
RD_14RS2_1421
RD_14RS2_1541
RD_14RS2_1631
RD_14RS2_1751
RD_14RS2_18101
RD_14RS2_1931
RD_14RS2_1a61
RD_14RS2_1b71
RD_14RS2_1c61
RD_14RS2_1d61
RD_14RS2_1e41
RD_14RS2_1f91
RD_15RS2_0061
RD_15RS2_0151
RD_15RS2_0261
RD_15RS2_0351
RD_15RS2_0451
RD_15RS2_0571
RD_15RS2_0641
RD_15RS2_07101
RD_15RS2_0841
RD_15RS2_0991
RD_15RS2_0a41
RD_15RS2_0b11
RD_15RS2_0c121
RD_15RS2_0d31
RD_15RS2_0e61
RD_15RS2_0f81
RD_15RS2_1031
RD_15RS2_1181
RD_15RS2_1261
RD_15RS2_1351
RD_15RS2_1411
RD_15RS2_1591
RD_15RS2_1631
RD_15RS2_1761
RD_15RS2_1861
RD_15RS2_1981
RD_15RS2_1a51
RD_15RS2_1b51
RD_15RS2_1c41
RD_15RS2_1d71
RD_15RS2_1e91
RD_15RS2_1f91
RD_16RS2_0041
RD_16RS2_0181
RD_16RS2_0231
RD_16RS2_0351
RD_16RS2_0451
RD_16RS2_0581
RD_16RS2_06111
RD_16RS2_0761
RD_16RS2_0851
RD_16RS2_09101
RD_16RS2_0a51
RD_16RS2_0b41
RD_16RS2_0c31
RD_16RS2_0d21
RD_16RS2_0e61
RD_16RS2_0f31
RD_16RS2_1031
RD_16RS2_11101
RD_16RS2_1231
RD_16RS2_1351
RD_16RS2_1411
RD_16RS2_1591
RD_16RS2_1651
RD_16RS2_1721
RD_16RS2_1831
RD_16RS2_1971
RD_16RS2_1b31
RD_16RS2_1c111
RD_16RS2_1d11
RD_16RS2_1e31
RD_16RS2_1f51
RD_17RS2_0051
RD_17RS2_0171
RD_17RS2_0251
RD_17RS2_0341
RD_17RS2_0461
RD_17RS2_0541
RD_17RS2_06111
RD_17RS2_0741
RD_17RS2_0851
RD_17RS2_0951
RD_17RS2_0a61
RD_17RS2_0b41
RD_17RS2_0c21
RD_17RS2_0d41
RD_17RS2_0e41
RD_17RS2_0f91
RD_17RS2_1051
RD_17RS2_1181
RD_17RS2_12111
RD_17RS2_1381
RD_17RS2_1471
RD_17RS2_1521
RD_17RS2_1641
RD_17RS2_1781
RD_17RS2_1851
RD_17RS2_1961
RD_17RS2_1a21
RD_17RS2_1b51
RD_17RS2_1c81
RD_17RS2_1d21
RD_17RS2_1e81
RD_17RS2_1f41
RD_18RS2_0051
RD_18RS2_0131
RD_18RS2_0271
RD_18RS2_0341
RD_18RS2_0421
RD_18RS2_0531
RD_18RS2_06101
RD_18RS2_0731
RD_18RS2_0891
RD_18RS2_0961
RD_18RS2_0a51
RD_18RS2_0b21
RD_18RS2_0c71
RD_18RS2_0d81
RD_18RS2_0e31
RD_18RS2_0f21
RD_18RS2_1061
RD_18RS2_1121
RD_18RS2_1281
RD_18RS2_1331
RD_18RS2_1451
RD_18RS2_1551
RD_18RS2_1651
RD_18RS2_1741
RD_18RS2_1881
RD_18RS2_1961
RD_18RS2_1a11
RD_18RS2_1b41
RD_18RS2_1c71
RD_18RS2_1d41
RD_18RS2_1e21
RD_18RS2_1f11
RD_19RS2_0031
RD_19RS2_0121
RD_19RS2_0251
RD_19RS2_0361
RD_19RS2_0481
RD_19RS2_0571
RD_19RS2_0631
RD_19RS2_0761
RD_19RS2_0841
RD_19RS2_0931
RD_19RS2_0a101
RD_19RS2_0b31
RD_19RS2_0d41
RD_19RS2_0e61
RD_19RS2_0f51
RD_19RS2_1061
RD_19RS2_1111
RD_19RS2_1261
RD_19RS2_1361
RD_19RS2_1431
RD_19RS2_1551
RD_19RS2_1621
RD_19RS2_1791
RD_19RS2_1811
RD_19RS2_1951
RD_19RS2_1a101
RD_19RS2_1b31
RD_19RS2_1c11
RD_19RS2_1d41
RD_19RS2_1e51
RD_19RS2_1f61
RD_1aRS2_0011
RD_1aRS2_0151
RD_1aRS2_0251
RD_1aRS2_0371
RD_1aRS2_0451
RD_1aRS2_0561
RD_1aRS2_0681
RD_1aRS2_0751
RD_1aRS2_0831
RD_1aRS2_0961
RD_1aRS2_0a51
RD_1aRS2_0b81
RD_1aRS2_0c21
RD_1aRS2_0d61
RD_1aRS2_0e61
RD_1aRS2_0f91
RD_1aRS2_1051
RD_1aRS2_1161
RD_1aRS2_1251
RD_1aRS2_1341
RD_1aRS2_1441
RD_1aRS2_1591
RD_1aRS2_1651
RD_1aRS2_1761
RD_1aRS2_1861
RD_1aRS2_1941
RD_1aRS2_1a21
RD_1aRS2_1b51
RD_1aRS2_1c21
RD_1aRS2_1d51
RD_1aRS2_1e51
RD_1aRS2_1f61
RD_1bRS2_0081
RD_1bRS2_0161
RD_1bRS2_0231
RD_1bRS2_0321
RD_1bRS2_0481
RD_1bRS2_0551
RD_1bRS2_0651
RD_1bRS2_0751
RD_1bRS2_0881
RD_1bRS2_0911
RD_1bRS2_0a61
RD_1bRS2_0b31
RD_1bRS2_0c51
RD_1bRS2_0d11
RD_1bRS2_0e41
RD_1bRS2_0f31
RD_1bRS2_1091
RD_1bRS2_1131
RD_1bRS2_1231
RD_1bRS2_1351
RD_1bRS2_1431
RD_1bRS2_1531
RD_1bRS2_1661
RD_1bRS2_1721
RD_1bRS2_18111
RD_1bRS2_1971
RD_1bRS2_1a51
RD_1bRS2_1b11
RD_1bRS2_1c11
RD_1bRS2_1d71
RD_1bRS2_1e31
RD_1bRS2_1f41
RD_1cRS2_0061
RD_1cRS2_0161
RD_1cRS2_0241
RD_1cRS2_0371
RD_1cRS2_0411
RD_1cRS2_0531
RD_1cRS2_0641
RD_1cRS2_07111
RD_1cRS2_0881
RD_1cRS2_0951
RD_1cRS2_0a51
RD_1cRS2_0b21
RD_1cRS2_0c41
RD_1cRS2_0d71
RD_1cRS2_0e41
RD_1cRS2_0f71
RD_1cRS2_1131
RD_1cRS2_1241
RD_1cRS2_1331
RD_1cRS2_1441
RD_1cRS2_1521
RD_1cRS2_1661
RD_1cRS2_1741
RD_1cRS2_1821
RD_1cRS2_1921
RD_1cRS2_1a81
RD_1cRS2_1b51
RD_1cRS2_1c41
RD_1cRS2_1e31
RD_1cRS2_1f61
RD_1dRS2_0011
RD_1dRS2_0151
RD_1dRS2_0251
RD_1dRS2_0351
RD_1dRS2_0441
RD_1dRS2_0521
RD_1dRS2_0631
RD_1dRS2_0751
RD_1dRS2_0841
RD_1dRS2_0951
RD_1dRS2_0a11
RD_1dRS2_0b51
RD_1dRS2_0c51
RD_1dRS2_0d51
RD_1dRS2_0e41
RD_1dRS2_0f61
RD_1dRS2_1031
RD_1dRS2_1161
RD_1dRS2_1261
RD_1dRS2_1371
RD_1dRS2_1441
RD_1dRS2_1561
RD_1dRS2_1641
RD_1dRS2_1741
RD_1dRS2_1891
RD_1dRS2_1971
RD_1dRS2_1a51
RD_1dRS2_1b51
RD_1dRS2_1c61
RD_1dRS2_1d31
RD_1dRS2_1e81
RD_1dRS2_1f11
RD_1eRS2_0081
RD_1eRS2_0141
RD_1eRS2_0261
RD_1eRS2_0371
RD_1eRS2_0431
RD_1eRS2_0511
RD_1eRS2_0661
RD_1eRS2_0751
RD_1eRS2_0881
RD_1eRS2_0941
RD_1eRS2_0a41
RD_1eRS2_0b31
RD_1eRS2_0c41
RD_1eRS2_0d71
RD_1eRS2_0e51
RD_1eRS2_0f31
RD_1eRS2_1061
RD_1eRS2_1151
RD_1eRS2_1271
RD_1eRS2_1341
RD_1eRS2_1431
RD_1eRS2_1521
RD_1eRS2_1651
RD_1eRS2_1741
RD_1eRS2_1841
RD_1eRS2_1961
RD_1eRS2_1a41
RD_1eRS2_1b51
RD_1eRS2_1c91
RD_1eRS2_1d41
RD_1eRS2_1e91
RD_1eRS2_1f51
RD_1fRS2_0071
RD_1fRS2_0161
RD_1fRS2_0231
RD_1fRS2_0331
RD_1fRS2_0461
RD_1fRS2_05111
RD_1fRS2_0691
RD_1fRS2_0741
RD_1fRS2_0841
RD_1fRS2_0981
RD_1fRS2_0a71
RD_1fRS2_0b51
RD_1fRS2_0c41
RD_1fRS2_0d61
RD_1fRS2_0e31
RD_1fRS2_0f101
RD_1fRS2_1061
RD_1fRS2_1131
RD_1fRS2_1231
RD_1fRS2_1351
RD_1fRS2_1441
RD_1fRS2_1541
RD_1fRS2_1691
RD_1fRS2_1741
RD_1fRS2_1851
RD_1fRS2_1971
RD_1fRS2_1a21
RD_1fRS2_1b61
RD_1fRS2_1c41
RD_1fRS2_1d81
RD_1fRS2_1e101
RD_1fRS2_1f31

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_2.html new file mode 100644 index 00000000..883faf75 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_2.html @@ -0,0 +1,104 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_msub_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Cross cross_rd_rs3 +
+
+Samples crossed: cp_rd cp_rs3
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_3.html new file mode 100644 index 00000000..da177661 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_3.html @@ -0,0 +1,11607 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_madd_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_002001
RD_011541
RD_021671
RD_031781
RD_041631
RD_051451
RD_061761
RD_071651
RD_081481
RD_091701
RD_0a1541
RD_0b1841
RD_0c1611
RD_0d1691
RD_0e1441
RD_0f1911
RD_101561
RD_111831
RD_121791
RD_131651
RD_141791
RD_151631
RD_161811
RD_171581
RD_181561
RD_191571
RD_1a1681
RD_1b1941
RD_1c1771
RD_1d1541
RD_1e1691
RD_1f1681

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001731
RS1_011421
RS1_021681
RS1_031321
RS1_041731
RS1_051891
RS1_061731
RS1_071631
RS1_081511
RS1_091811
RS1_0a1631
RS1_0b1651
RS1_0c1491
RS1_0d1581
RS1_0e1761
RS1_0f1631
RS1_101641
RS1_111851
RS1_121741
RS1_131671
RS1_141831
RS1_151841
RS1_161581
RS1_171591
RS1_181681
RS1_192041
RS1_1a1581
RS1_1b1781
RS1_1c1611
RS1_1d1901
RS1_1e1481
RS1_1f1761

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001641
RS2_011691
RS2_021741
RS2_031911
RS2_041861
RS2_051571
RS2_061901
RS2_071641
RS2_081681
RS2_091731
RS2_0a1731
RS2_0b1661
RS2_0c1751
RS2_0d1501
RS2_0e1571
RS2_0f1691
RS2_101491
RS2_111531
RS2_121701
RS2_131731
RS2_141671
RS2_151771
RS2_161551
RS2_171681
RS2_181631
RS2_191911
RS2_1a1631
RS2_1b1551
RS2_1c1601
RS2_1d1461
RS2_1e1751
RS2_1f1851

+
+
+Summary for Variable cp_rs3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_rs3 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RS3_000Excluded
RS3_010Excluded
RS3_020Excluded
RS3_030Excluded
RS3_040Excluded
RS3_050Excluded
RS3_060Excluded
RS3_070Excluded
RS3_080Excluded
RS3_090Excluded
RS3_0a0Excluded
RS3_0b0Excluded
RS3_0c0Excluded
RS3_0d0Excluded
RS3_0e0Excluded
RS3_0f0Excluded
RS3_100Excluded
RS3_110Excluded
RS3_120Excluded
RS3_130Excluded
RS3_140Excluded
RS3_150Excluded
RS3_160Excluded
RS3_170Excluded
RS3_180Excluded
RS3_190Excluded
RS3_1a0Excluded
RS3_1b0Excluded
RS3_1c0Excluded
RS3_1d0Excluded
RS3_1e0Excluded
RS3_1f0Excluded
IGN_RS30Excluded

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125181
BIT30_119581
BIT29_119571
BIT28_120181
BIT27_118941
BIT26_118881
BIT25_119441
BIT24_119451
BIT23_118761
BIT22_119391
BIT21_119081
BIT20_119381
BIT19_119101
BIT18_119021
BIT17_119241
BIT16_120441
BIT15_121221
BIT14_121861
BIT13_120251
BIT12_124211
BIT11_123591
BIT10_122911
BIT9_121611
BIT8_120921
BIT7_122161
BIT6_120171
BIT5_121591
BIT4_125031
BIT3_125501
BIT2_124971
BIT1_120531
BIT0_117131
BIT31_028581
BIT30_034181
BIT29_034191
BIT28_033581
BIT27_034821
BIT26_034881
BIT25_034321
BIT24_034311
BIT23_035001
BIT22_034371
BIT21_034681
BIT20_034381
BIT19_034661
BIT18_034741
BIT17_034521
BIT16_033321
BIT15_032541
BIT14_031901
BIT13_033511
BIT12_029551
BIT11_030171
BIT10_030851
BIT9_032151
BIT8_032841
BIT7_031601
BIT6_033591
BIT5_032171
BIT4_028731
BIT3_028261
BIT2_028791
BIT1_033231
BIT0_036631

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125501
BIT30_119431
BIT29_119551
BIT28_120001
BIT27_118951
BIT26_119101
BIT25_119131
BIT24_119141
BIT23_119031
BIT22_118811
BIT21_119331
BIT20_119121
BIT19_119271
BIT18_119091
BIT17_118701
BIT16_119721
BIT15_121321
BIT14_120961
BIT13_120291
BIT12_124221
BIT11_123831
BIT10_123261
BIT9_121261
BIT8_120321
BIT7_121551
BIT6_119841
BIT5_119691
BIT4_124601
BIT3_125111
BIT2_124231
BIT1_119761
BIT0_117681
BIT31_028261
BIT30_034331
BIT29_034211
BIT28_033761
BIT27_034811
BIT26_034661
BIT25_034631
BIT24_034621
BIT23_034731
BIT22_034951
BIT21_034431
BIT20_034641
BIT19_034491
BIT18_034671
BIT17_035061
BIT16_034041
BIT15_032441
BIT14_032801
BIT13_033471
BIT12_029541
BIT11_029931
BIT10_030501
BIT9_032501
BIT8_033441
BIT7_032211
BIT6_033921
BIT5_034071
BIT4_029161
BIT3_028651
BIT2_029531
BIT1_034001
BIT0_036081

+
+
+Summary for Variable cp_rs3_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10248101699.22 8

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_03][RS1_16]011
[RD_08][RS1_1f]011
[RD_0b][RS1_01]011
[RD_0e][RS1_01]011
[RD_0e][RS1_03]011
[RD_0f][RS1_14]011
[RD_1e][RS1_02]011
[RD_1f][RS1_11]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0021
RD_00RS1_0151
RD_00RS1_0281
RD_00RS1_0361
RD_00RS1_0481
RD_00RS1_0541
RD_00RS1_0671
RD_00RS1_0791
RD_00RS1_0831
RD_00RS1_0961
RD_00RS1_0a31
RD_00RS1_0b21
RD_00RS1_0c91
RD_00RS1_0d41
RD_00RS1_0e51
RD_00RS1_0f71
RD_00RS1_1051
RD_00RS1_1131
RD_00RS1_12121
RD_00RS1_1381
RD_00RS1_1491
RD_00RS1_15141
RD_00RS1_1671
RD_00RS1_1741
RD_00RS1_1891
RD_00RS1_1941
RD_00RS1_1a61
RD_00RS1_1b101
RD_00RS1_1c51
RD_00RS1_1d41
RD_00RS1_1e91
RD_00RS1_1f31
RD_01RS1_0021
RD_01RS1_0151
RD_01RS1_0261
RD_01RS1_0311
RD_01RS1_0441
RD_01RS1_05101
RD_01RS1_0691
RD_01RS1_0751
RD_01RS1_0831
RD_01RS1_0981
RD_01RS1_0a61
RD_01RS1_0b41
RD_01RS1_0c41
RD_01RS1_0d11
RD_01RS1_0e41
RD_01RS1_0f31
RD_01RS1_1011
RD_01RS1_11131
RD_01RS1_1231
RD_01RS1_1331
RD_01RS1_1451
RD_01RS1_1541
RD_01RS1_1621
RD_01RS1_1781
RD_01RS1_1861
RD_01RS1_1981
RD_01RS1_1a71
RD_01RS1_1b41
RD_01RS1_1c31
RD_01RS1_1d31
RD_01RS1_1e31
RD_01RS1_1f61
RD_02RS1_00101
RD_02RS1_0141
RD_02RS1_0271
RD_02RS1_0391
RD_02RS1_0461
RD_02RS1_0591
RD_02RS1_0651
RD_02RS1_0711
RD_02RS1_0831
RD_02RS1_0951
RD_02RS1_0a41
RD_02RS1_0b41
RD_02RS1_0c41
RD_02RS1_0d81
RD_02RS1_0e51
RD_02RS1_0f31
RD_02RS1_1041
RD_02RS1_1131
RD_02RS1_1241
RD_02RS1_1361
RD_02RS1_1481
RD_02RS1_1541
RD_02RS1_1641
RD_02RS1_1721
RD_02RS1_1861
RD_02RS1_1981
RD_02RS1_1a31
RD_02RS1_1b61
RD_02RS1_1c71
RD_02RS1_1d61
RD_02RS1_1e41
RD_02RS1_1f51
RD_03RS1_0081
RD_03RS1_0111
RD_03RS1_0241
RD_03RS1_0331
RD_03RS1_0461
RD_03RS1_05111
RD_03RS1_0631
RD_03RS1_0741
RD_03RS1_0851
RD_03RS1_0931
RD_03RS1_0a91
RD_03RS1_0b71
RD_03RS1_0c41
RD_03RS1_0d31
RD_03RS1_0e91
RD_03RS1_0f71
RD_03RS1_1061
RD_03RS1_11111
RD_03RS1_1261
RD_03RS1_1371
RD_03RS1_1441
RD_03RS1_1561
RD_03RS1_1761
RD_03RS1_1871
RD_03RS1_1931
RD_03RS1_1a61
RD_03RS1_1b71
RD_03RS1_1c41
RD_03RS1_1d81
RD_03RS1_1e31
RD_03RS1_1f71
RD_04RS1_0051
RD_04RS1_0151
RD_04RS1_0241
RD_04RS1_0321
RD_04RS1_0471
RD_04RS1_0541
RD_04RS1_0671
RD_04RS1_0741
RD_04RS1_0841
RD_04RS1_0911
RD_04RS1_0a101
RD_04RS1_0b51
RD_04RS1_0c41
RD_04RS1_0d21
RD_04RS1_0e61
RD_04RS1_0f71
RD_04RS1_1021
RD_04RS1_1191
RD_04RS1_1231
RD_04RS1_1351
RD_04RS1_1441
RD_04RS1_1591
RD_04RS1_1651
RD_04RS1_1761
RD_04RS1_1821
RD_04RS1_19101
RD_04RS1_1a61
RD_04RS1_1b71
RD_04RS1_1c31
RD_04RS1_1d51
RD_04RS1_1e51
RD_04RS1_1f51
RD_05RS1_0051
RD_05RS1_0151
RD_05RS1_0271
RD_05RS1_0371
RD_05RS1_0471
RD_05RS1_0551
RD_05RS1_0651
RD_05RS1_0781
RD_05RS1_0841
RD_05RS1_0991
RD_05RS1_0a71
RD_05RS1_0b41
RD_05RS1_0c21
RD_05RS1_0d31
RD_05RS1_0e51
RD_05RS1_0f31
RD_05RS1_1051
RD_05RS1_1121
RD_05RS1_1251
RD_05RS1_1311
RD_05RS1_1481
RD_05RS1_1541
RD_05RS1_1641
RD_05RS1_1751
RD_05RS1_1841
RD_05RS1_1961
RD_05RS1_1a11
RD_05RS1_1b21
RD_05RS1_1c21
RD_05RS1_1d41
RD_05RS1_1e31
RD_05RS1_1f31
RD_06RS1_0081
RD_06RS1_0141
RD_06RS1_0271
RD_06RS1_0331
RD_06RS1_04131
RD_06RS1_0551
RD_06RS1_0671
RD_06RS1_0751
RD_06RS1_0851
RD_06RS1_0991
RD_06RS1_0a31
RD_06RS1_0b71
RD_06RS1_0c31
RD_06RS1_0d31
RD_06RS1_0e11
RD_06RS1_0f41
RD_06RS1_1061
RD_06RS1_1161
RD_06RS1_1231
RD_06RS1_1361
RD_06RS1_1481
RD_06RS1_1541
RD_06RS1_1651
RD_06RS1_1771
RD_06RS1_1841
RD_06RS1_19121
RD_06RS1_1a11
RD_06RS1_1b71
RD_06RS1_1c61
RD_06RS1_1d41
RD_06RS1_1e11
RD_06RS1_1f91
RD_07RS1_0041
RD_07RS1_0141
RD_07RS1_0221
RD_07RS1_0341
RD_07RS1_0441
RD_07RS1_0561
RD_07RS1_0631
RD_07RS1_0741
RD_07RS1_0851
RD_07RS1_0971
RD_07RS1_0a41
RD_07RS1_0b31
RD_07RS1_0c71
RD_07RS1_0d41
RD_07RS1_0e91
RD_07RS1_0f41
RD_07RS1_1081
RD_07RS1_1161
RD_07RS1_1231
RD_07RS1_1331
RD_07RS1_1491
RD_07RS1_1551
RD_07RS1_1671
RD_07RS1_1781
RD_07RS1_1871
RD_07RS1_1951
RD_07RS1_1a61
RD_07RS1_1b41
RD_07RS1_1c51
RD_07RS1_1d31
RD_07RS1_1e41
RD_07RS1_1f81
RD_08RS1_0031
RD_08RS1_0141
RD_08RS1_0281
RD_08RS1_0341
RD_08RS1_0421
RD_08RS1_0521
RD_08RS1_0681
RD_08RS1_0771
RD_08RS1_0841
RD_08RS1_0961
RD_08RS1_0a31
RD_08RS1_0b51
RD_08RS1_0c51
RD_08RS1_0d51
RD_08RS1_0e21
RD_08RS1_0f21
RD_08RS1_1031
RD_08RS1_1191
RD_08RS1_1231
RD_08RS1_1311
RD_08RS1_1451
RD_08RS1_1551
RD_08RS1_1651
RD_08RS1_1751
RD_08RS1_1841
RD_08RS1_1951
RD_08RS1_1a51
RD_08RS1_1b91
RD_08RS1_1c51
RD_08RS1_1d61
RD_08RS1_1e81
RD_09RS1_0081
RD_09RS1_0131
RD_09RS1_0251
RD_09RS1_0321
RD_09RS1_0411
RD_09RS1_05121
RD_09RS1_0671
RD_09RS1_0771
RD_09RS1_0871
RD_09RS1_0961
RD_09RS1_0a51
RD_09RS1_0b31
RD_09RS1_0c31
RD_09RS1_0d31
RD_09RS1_0e61
RD_09RS1_0f51
RD_09RS1_1031
RD_09RS1_1131
RD_09RS1_1271
RD_09RS1_1371
RD_09RS1_1471
RD_09RS1_1561
RD_09RS1_1611
RD_09RS1_1741
RD_09RS1_1881
RD_09RS1_1951
RD_09RS1_1a31
RD_09RS1_1b71
RD_09RS1_1c31
RD_09RS1_1d111
RD_09RS1_1e51
RD_09RS1_1f71
RD_0aRS1_0031
RD_0aRS1_0151
RD_0aRS1_0251
RD_0aRS1_0381
RD_0aRS1_0441
RD_0aRS1_0541
RD_0aRS1_0661
RD_0aRS1_0761
RD_0aRS1_0851
RD_0aRS1_0921
RD_0aRS1_0a21
RD_0aRS1_0b61
RD_0aRS1_0c41
RD_0aRS1_0d31
RD_0aRS1_0e81
RD_0aRS1_0f111
RD_0aRS1_1051
RD_0aRS1_1181
RD_0aRS1_1271
RD_0aRS1_1331
RD_0aRS1_1441
RD_0aRS1_1561
RD_0aRS1_1651
RD_0aRS1_1731
RD_0aRS1_1851
RD_0aRS1_1981
RD_0aRS1_1a51
RD_0aRS1_1b41
RD_0aRS1_1c21
RD_0aRS1_1d31
RD_0aRS1_1e21
RD_0aRS1_1f21
RD_0bRS1_0051
RD_0bRS1_0231
RD_0bRS1_0321
RD_0bRS1_0461
RD_0bRS1_05121
RD_0bRS1_0641
RD_0bRS1_0741
RD_0bRS1_0831
RD_0bRS1_0961
RD_0bRS1_0a51
RD_0bRS1_0b41
RD_0bRS1_0c61
RD_0bRS1_0d51
RD_0bRS1_0e61
RD_0bRS1_0f71
RD_0bRS1_1091
RD_0bRS1_1141
RD_0bRS1_1251
RD_0bRS1_1391
RD_0bRS1_1461
RD_0bRS1_1521
RD_0bRS1_1631
RD_0bRS1_1771
RD_0bRS1_18101
RD_0bRS1_1961
RD_0bRS1_1a111
RD_0bRS1_1b51
RD_0bRS1_1c81
RD_0bRS1_1d141
RD_0bRS1_1e31
RD_0bRS1_1f41
RD_0cRS1_0061
RD_0cRS1_0151
RD_0cRS1_0251
RD_0cRS1_0321
RD_0cRS1_0471
RD_0cRS1_0531
RD_0cRS1_0621
RD_0cRS1_0741
RD_0cRS1_0831
RD_0cRS1_0961
RD_0cRS1_0a31
RD_0cRS1_0b11
RD_0cRS1_0c71
RD_0cRS1_0d61
RD_0cRS1_0e11
RD_0cRS1_0f51
RD_0cRS1_1041
RD_0cRS1_1161
RD_0cRS1_1241
RD_0cRS1_1351
RD_0cRS1_14121
RD_0cRS1_1511
RD_0cRS1_16101
RD_0cRS1_1731
RD_0cRS1_1841
RD_0cRS1_1921
RD_0cRS1_1a41
RD_0cRS1_1b101
RD_0cRS1_1c61
RD_0cRS1_1d51
RD_0cRS1_1e81
RD_0cRS1_1f111
RD_0dRS1_0031
RD_0dRS1_0131
RD_0dRS1_0261
RD_0dRS1_0391
RD_0dRS1_0451
RD_0dRS1_0551
RD_0dRS1_0661
RD_0dRS1_0741
RD_0dRS1_0851
RD_0dRS1_0931
RD_0dRS1_0a61
RD_0dRS1_0b41
RD_0dRS1_0c31
RD_0dRS1_0d31
RD_0dRS1_0e41
RD_0dRS1_0f61
RD_0dRS1_1041
RD_0dRS1_1171
RD_0dRS1_1251
RD_0dRS1_1391
RD_0dRS1_1431
RD_0dRS1_1591
RD_0dRS1_1671
RD_0dRS1_1731
RD_0dRS1_1861
RD_0dRS1_1961
RD_0dRS1_1a81
RD_0dRS1_1b31
RD_0dRS1_1c91
RD_0dRS1_1d81
RD_0dRS1_1e31
RD_0dRS1_1f41
RD_0eRS1_0031
RD_0eRS1_0241
RD_0eRS1_0421
RD_0eRS1_05101
RD_0eRS1_0651
RD_0eRS1_0711
RD_0eRS1_0841
RD_0eRS1_0961
RD_0eRS1_0a41
RD_0eRS1_0b71
RD_0eRS1_0c41
RD_0eRS1_0d31
RD_0eRS1_0e61
RD_0eRS1_0f71
RD_0eRS1_1061
RD_0eRS1_1141
RD_0eRS1_1291
RD_0eRS1_1361
RD_0eRS1_1451
RD_0eRS1_1561
RD_0eRS1_1621
RD_0eRS1_1711
RD_0eRS1_1821
RD_0eRS1_1961
RD_0eRS1_1a31
RD_0eRS1_1b81
RD_0eRS1_1c41
RD_0eRS1_1d71
RD_0eRS1_1e41
RD_0eRS1_1f51
RD_0fRS1_00101
RD_0fRS1_0121
RD_0fRS1_0271
RD_0fRS1_0341
RD_0fRS1_0471
RD_0fRS1_0571
RD_0fRS1_0631
RD_0fRS1_0741
RD_0fRS1_0881
RD_0fRS1_0931
RD_0fRS1_0a41
RD_0fRS1_0b31
RD_0fRS1_0c61
RD_0fRS1_0d51
RD_0fRS1_0e31
RD_0fRS1_0f61
RD_0fRS1_1071
RD_0fRS1_11101
RD_0fRS1_1291
RD_0fRS1_1381
RD_0fRS1_1561
RD_0fRS1_1651
RD_0fRS1_17101
RD_0fRS1_1861
RD_0fRS1_1991
RD_0fRS1_1a31
RD_0fRS1_1b61
RD_0fRS1_1c91
RD_0fRS1_1d51
RD_0fRS1_1e111
RD_0fRS1_1f51
RD_10RS1_0051
RD_10RS1_0191
RD_10RS1_0241
RD_10RS1_0351
RD_10RS1_0431
RD_10RS1_0561
RD_10RS1_0621
RD_10RS1_0781
RD_10RS1_0851
RD_10RS1_0941
RD_10RS1_0a41
RD_10RS1_0b51
RD_10RS1_0c51
RD_10RS1_0d51
RD_10RS1_0e61
RD_10RS1_0f31
RD_10RS1_1041
RD_10RS1_11111
RD_10RS1_1211
RD_10RS1_1381
RD_10RS1_1461
RD_10RS1_1541
RD_10RS1_1651
RD_10RS1_1731
RD_10RS1_1831
RD_10RS1_1951
RD_10RS1_1a61
RD_10RS1_1b41
RD_10RS1_1c101
RD_10RS1_1d31
RD_10RS1_1e11
RD_10RS1_1f31
RD_11RS1_0081
RD_11RS1_0151
RD_11RS1_0221
RD_11RS1_0341
RD_11RS1_0481
RD_11RS1_0591
RD_11RS1_06111
RD_11RS1_0741
RD_11RS1_0831
RD_11RS1_09121
RD_11RS1_0a51
RD_11RS1_0b41
RD_11RS1_0c51
RD_11RS1_0d91
RD_11RS1_0e41
RD_11RS1_0f71
RD_11RS1_1031
RD_11RS1_1151
RD_11RS1_1241
RD_11RS1_1341
RD_11RS1_1451
RD_11RS1_15121
RD_11RS1_1641
RD_11RS1_1771
RD_11RS1_1841
RD_11RS1_1951
RD_11RS1_1a41
RD_11RS1_1b91
RD_11RS1_1c41
RD_11RS1_1d51
RD_11RS1_1e21
RD_11RS1_1f61
RD_12RS1_0061
RD_12RS1_0161
RD_12RS1_0261
RD_12RS1_0341
RD_12RS1_0461
RD_12RS1_0531
RD_12RS1_0681
RD_12RS1_0771
RD_12RS1_0871
RD_12RS1_0961
RD_12RS1_0a121
RD_12RS1_0b71
RD_12RS1_0c61
RD_12RS1_0d91
RD_12RS1_0e31
RD_12RS1_0f51
RD_12RS1_1031
RD_12RS1_1151
RD_12RS1_1251
RD_12RS1_1331
RD_12RS1_1451
RD_12RS1_1541
RD_12RS1_1661
RD_12RS1_1741
RD_12RS1_1871
RD_12RS1_1961
RD_12RS1_1a41
RD_12RS1_1b31
RD_12RS1_1c61
RD_12RS1_1d31
RD_12RS1_1e41
RD_12RS1_1f101
RD_13RS1_0041
RD_13RS1_0171
RD_13RS1_0251
RD_13RS1_0341
RD_13RS1_0431
RD_13RS1_0551
RD_13RS1_0661
RD_13RS1_0741
RD_13RS1_0841
RD_13RS1_09101
RD_13RS1_0a41
RD_13RS1_0b51
RD_13RS1_0c41
RD_13RS1_0d41
RD_13RS1_0e61
RD_13RS1_0f21
RD_13RS1_1031
RD_13RS1_1121
RD_13RS1_1251
RD_13RS1_1341
RD_13RS1_1431
RD_13RS1_15121
RD_13RS1_1661
RD_13RS1_1741
RD_13RS1_1861
RD_13RS1_1971
RD_13RS1_1a41
RD_13RS1_1b61
RD_13RS1_1c61
RD_13RS1_1d101
RD_13RS1_1e91
RD_13RS1_1f11
RD_14RS1_0041
RD_14RS1_0141
RD_14RS1_0261
RD_14RS1_0331
RD_14RS1_0481
RD_14RS1_0541
RD_14RS1_0651
RD_14RS1_0751
RD_14RS1_0821
RD_14RS1_0931
RD_14RS1_0a11
RD_14RS1_0b101
RD_14RS1_0c31
RD_14RS1_0d51
RD_14RS1_0e21
RD_14RS1_0f91
RD_14RS1_10121
RD_14RS1_1171
RD_14RS1_1271
RD_14RS1_1381
RD_14RS1_1461
RD_14RS1_1531
RD_14RS1_1641
RD_14RS1_1731
RD_14RS1_1861
RD_14RS1_1921
RD_14RS1_1a121
RD_14RS1_1b41
RD_14RS1_1c71
RD_14RS1_1d61
RD_14RS1_1e81
RD_14RS1_1f101
RD_15RS1_0021
RD_15RS1_0161
RD_15RS1_0291
RD_15RS1_0371
RD_15RS1_0471
RD_15RS1_0551
RD_15RS1_0661
RD_15RS1_0791
RD_15RS1_0841
RD_15RS1_0971
RD_15RS1_0a71
RD_15RS1_0b71
RD_15RS1_0c21
RD_15RS1_0d21
RD_15RS1_0e61
RD_15RS1_0f61
RD_15RS1_1031
RD_15RS1_1151
RD_15RS1_1251
RD_15RS1_1331
RD_15RS1_1451
RD_15RS1_1511
RD_15RS1_1631
RD_15RS1_1751
RD_15RS1_1841
RD_15RS1_1941
RD_15RS1_1a61
RD_15RS1_1b61
RD_15RS1_1c11
RD_15RS1_1d81
RD_15RS1_1e71
RD_15RS1_1f51
RD_16RS1_0041
RD_16RS1_0151
RD_16RS1_0251
RD_16RS1_0331
RD_16RS1_0481
RD_16RS1_0561
RD_16RS1_0631
RD_16RS1_0741
RD_16RS1_0861
RD_16RS1_0931
RD_16RS1_0a71
RD_16RS1_0b81
RD_16RS1_0c21
RD_16RS1_0d61
RD_16RS1_0e121
RD_16RS1_0f71
RD_16RS1_1041
RD_16RS1_1131
RD_16RS1_1281
RD_16RS1_1361
RD_16RS1_1461
RD_16RS1_1551
RD_16RS1_1661
RD_16RS1_1781
RD_16RS1_1861
RD_16RS1_19111
RD_16RS1_1a21
RD_16RS1_1b51
RD_16RS1_1c51
RD_16RS1_1d71
RD_16RS1_1e61
RD_16RS1_1f41
RD_17RS1_0061
RD_17RS1_0141
RD_17RS1_0251
RD_17RS1_0311
RD_17RS1_0461
RD_17RS1_0541
RD_17RS1_0651
RD_17RS1_0761
RD_17RS1_0831
RD_17RS1_0941
RD_17RS1_0a41
RD_17RS1_0b51
RD_17RS1_0c81
RD_17RS1_0d61
RD_17RS1_0e51
RD_17RS1_0f31
RD_17RS1_1061
RD_17RS1_1151
RD_17RS1_1271
RD_17RS1_1371
RD_17RS1_1451
RD_17RS1_1561
RD_17RS1_1631
RD_17RS1_1741
RD_17RS1_1871
RD_17RS1_1961
RD_17RS1_1a61
RD_17RS1_1b41
RD_17RS1_1c51
RD_17RS1_1d41
RD_17RS1_1e41
RD_17RS1_1f41
RD_18RS1_0061
RD_18RS1_0161
RD_18RS1_0261
RD_18RS1_0311
RD_18RS1_0451
RD_18RS1_0531
RD_18RS1_0621
RD_18RS1_0771
RD_18RS1_0811
RD_18RS1_0921
RD_18RS1_0a41
RD_18RS1_0b21
RD_18RS1_0c21
RD_18RS1_0d131
RD_18RS1_0e31
RD_18RS1_0f21
RD_18RS1_1061
RD_18RS1_1151
RD_18RS1_1271
RD_18RS1_1361
RD_18RS1_1481
RD_18RS1_1531
RD_18RS1_1661
RD_18RS1_1751
RD_18RS1_1851
RD_18RS1_19111
RD_18RS1_1a61
RD_18RS1_1b21
RD_18RS1_1c81
RD_18RS1_1d41
RD_18RS1_1e41
RD_18RS1_1f51
RD_19RS1_0041
RD_19RS1_0141
RD_19RS1_0251
RD_19RS1_0391
RD_19RS1_0421
RD_19RS1_0521
RD_19RS1_0661
RD_19RS1_0721
RD_19RS1_0871
RD_19RS1_0951
RD_19RS1_0a21
RD_19RS1_0b51
RD_19RS1_0c71
RD_19RS1_0d41
RD_19RS1_0e51
RD_19RS1_0f51
RD_19RS1_1051
RD_19RS1_1111
RD_19RS1_1271
RD_19RS1_1331
RD_19RS1_1481
RD_19RS1_1521
RD_19RS1_1681
RD_19RS1_1761
RD_19RS1_1891
RD_19RS1_1951
RD_19RS1_1a31
RD_19RS1_1b41
RD_19RS1_1c71
RD_19RS1_1d81
RD_19RS1_1e41
RD_19RS1_1f31
RD_1aRS1_0051
RD_1aRS1_0141
RD_1aRS1_0221
RD_1aRS1_0351
RD_1aRS1_0441
RD_1aRS1_0541
RD_1aRS1_06121
RD_1aRS1_0751
RD_1aRS1_0831
RD_1aRS1_0981
RD_1aRS1_0a91
RD_1aRS1_0b91
RD_1aRS1_0c111
RD_1aRS1_0d91
RD_1aRS1_0e61
RD_1aRS1_0f21
RD_1aRS1_1041
RD_1aRS1_1131
RD_1aRS1_12101
RD_1aRS1_1331
RD_1aRS1_1481
RD_1aRS1_1521
RD_1aRS1_16101
RD_1aRS1_1711
RD_1aRS1_1841
RD_1aRS1_1941
RD_1aRS1_1a11
RD_1aRS1_1b41
RD_1aRS1_1c21
RD_1aRS1_1d21
RD_1aRS1_1e51
RD_1aRS1_1f71
RD_1bRS1_00111
RD_1bRS1_0171
RD_1bRS1_0251
RD_1bRS1_0351
RD_1bRS1_0451
RD_1bRS1_0571
RD_1bRS1_0661
RD_1bRS1_0741
RD_1bRS1_0891
RD_1bRS1_09141
RD_1bRS1_0a51
RD_1bRS1_0b71
RD_1bRS1_0c41
RD_1bRS1_0d51
RD_1bRS1_0e91
RD_1bRS1_0f41
RD_1bRS1_1051
RD_1bRS1_11101
RD_1bRS1_1241
RD_1bRS1_1371
RD_1bRS1_1441
RD_1bRS1_1551
RD_1bRS1_1621
RD_1bRS1_1771
RD_1bRS1_1841
RD_1bRS1_1981
RD_1bRS1_1a61
RD_1bRS1_1b81
RD_1bRS1_1c51
RD_1bRS1_1d51
RD_1bRS1_1e21
RD_1bRS1_1f51
RD_1cRS1_00101
RD_1cRS1_0121
RD_1cRS1_0261
RD_1cRS1_0351
RD_1cRS1_0461
RD_1cRS1_0531
RD_1cRS1_0641
RD_1cRS1_0761
RD_1cRS1_0841
RD_1cRS1_0931
RD_1cRS1_0a51
RD_1cRS1_0b41
RD_1cRS1_0c41
RD_1cRS1_0d21
RD_1cRS1_0e71
RD_1cRS1_0f31
RD_1cRS1_1081
RD_1cRS1_1151
RD_1cRS1_1251
RD_1cRS1_1351
RD_1cRS1_1451
RD_1cRS1_15141
RD_1cRS1_1661
RD_1cRS1_1761
RD_1cRS1_1851
RD_1cRS1_19101
RD_1cRS1_1a31
RD_1cRS1_1b41
RD_1cRS1_1c51
RD_1cRS1_1d101
RD_1cRS1_1e41
RD_1cRS1_1f81
RD_1dRS1_0021
RD_1dRS1_0171
RD_1dRS1_0271
RD_1dRS1_0321
RD_1dRS1_0451
RD_1dRS1_0511
RD_1dRS1_0641
RD_1dRS1_0721
RD_1dRS1_0891
RD_1dRS1_0921
RD_1dRS1_0a81
RD_1dRS1_0b31
RD_1dRS1_0c41
RD_1dRS1_0d61
RD_1dRS1_0e81
RD_1dRS1_0f91
RD_1dRS1_1031
RD_1dRS1_11101
RD_1dRS1_1241
RD_1dRS1_1351
RD_1dRS1_1431
RD_1dRS1_1571
RD_1dRS1_1691
RD_1dRS1_1711
RD_1dRS1_1811
RD_1dRS1_1961
RD_1dRS1_1a61
RD_1dRS1_1b41
RD_1dRS1_1c31
RD_1dRS1_1d31
RD_1dRS1_1e61
RD_1dRS1_1f41
RD_1eRS1_0071
RD_1eRS1_0141
RD_1eRS1_0341
RD_1eRS1_0431
RD_1eRS1_05151
RD_1eRS1_0621
RD_1eRS1_0741
RD_1eRS1_0851
RD_1eRS1_0991
RD_1eRS1_0a41
RD_1eRS1_0b81
RD_1eRS1_0c21
RD_1eRS1_0d41
RD_1eRS1_0e51
RD_1eRS1_0f41
RD_1eRS1_1041
RD_1eRS1_1141
RD_1eRS1_1251
RD_1eRS1_1341
RD_1eRS1_1461
RD_1eRS1_1551
RD_1eRS1_1651
RD_1eRS1_1781
RD_1eRS1_1861
RD_1eRS1_1971
RD_1eRS1_1a81
RD_1eRS1_1b41
RD_1eRS1_1c31
RD_1eRS1_1d71
RD_1eRS1_1e41
RD_1eRS1_1f91
RD_1fRS1_0041
RD_1fRS1_0171
RD_1fRS1_0271
RD_1fRS1_0341
RD_1fRS1_0451
RD_1fRS1_0531
RD_1fRS1_0641
RD_1fRS1_0791
RD_1fRS1_0881
RD_1fRS1_0931
RD_1fRS1_0a41
RD_1fRS1_0b71
RD_1fRS1_0c51
RD_1fRS1_0d81
RD_1fRS1_0e91
RD_1fRS1_0f51
RD_1fRS1_10131
RD_1fRS1_1221
RD_1fRS1_1341
RD_1fRS1_1431
RD_1fRS1_1581
RD_1fRS1_1631
RD_1fRS1_1751
RD_1fRS1_1811
RD_1fRS1_1941
RD_1fRS1_1a31
RD_1fRS1_1b81
RD_1fRS1_1c31
RD_1fRS1_1d91
RD_1fRS1_1e21
RD_1fRS1_1f81

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10247101799.32 7

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_03][RS2_1a]011
[RD_0e][RS2_07]011
[RD_1b][RS2_12]011
[RD_1c][RS2_02]011
[RD_1c][RS2_1d]011
[RD_1d][RS2_01]011
[RD_1e][RS2_10]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0061
RD_00RS2_0191
RD_00RS2_0261
RD_00RS2_0361
RD_00RS2_0481
RD_00RS2_0531
RD_00RS2_0681
RD_00RS2_0741
RD_00RS2_0861
RD_00RS2_0951
RD_00RS2_0a51
RD_00RS2_0b31
RD_00RS2_0c61
RD_00RS2_0d91
RD_00RS2_0e71
RD_00RS2_0f71
RD_00RS2_1061
RD_00RS2_1181
RD_00RS2_1271
RD_00RS2_1351
RD_00RS2_14131
RD_00RS2_1571
RD_00RS2_1631
RD_00RS2_1761
RD_00RS2_1891
RD_00RS2_1991
RD_00RS2_1a71
RD_00RS2_1b61
RD_00RS2_1c51
RD_00RS2_1d51
RD_00RS2_1e21
RD_00RS2_1f41
RD_01RS2_0041
RD_01RS2_0151
RD_01RS2_0251
RD_01RS2_0361
RD_01RS2_0441
RD_01RS2_0591
RD_01RS2_0651
RD_01RS2_0751
RD_01RS2_0831
RD_01RS2_0981
RD_01RS2_0a11
RD_01RS2_0b91
RD_01RS2_0c61
RD_01RS2_0d31
RD_01RS2_0e61
RD_01RS2_0f81
RD_01RS2_1011
RD_01RS2_1151
RD_01RS2_1221
RD_01RS2_1331
RD_01RS2_1431
RD_01RS2_1561
RD_01RS2_1661
RD_01RS2_1751
RD_01RS2_1881
RD_01RS2_1931
RD_01RS2_1a41
RD_01RS2_1b21
RD_01RS2_1c41
RD_01RS2_1d31
RD_01RS2_1e91
RD_01RS2_1f31
RD_02RS2_0041
RD_02RS2_0141
RD_02RS2_0241
RD_02RS2_0351
RD_02RS2_0461
RD_02RS2_05131
RD_02RS2_0691
RD_02RS2_0741
RD_02RS2_0861
RD_02RS2_0941
RD_02RS2_0a11
RD_02RS2_0b41
RD_02RS2_0c51
RD_02RS2_0d11
RD_02RS2_0e51
RD_02RS2_0f131
RD_02RS2_1061
RD_02RS2_1171
RD_02RS2_1251
RD_02RS2_1351
RD_02RS2_1431
RD_02RS2_1571
RD_02RS2_1641
RD_02RS2_1741
RD_02RS2_1881
RD_02RS2_1941
RD_02RS2_1a41
RD_02RS2_1b31
RD_02RS2_1c21
RD_02RS2_1d31
RD_02RS2_1e81
RD_02RS2_1f61
RD_03RS2_0021
RD_03RS2_0191
RD_03RS2_0251
RD_03RS2_0331
RD_03RS2_0481
RD_03RS2_0521
RD_03RS2_0651
RD_03RS2_0731
RD_03RS2_0831
RD_03RS2_09121
RD_03RS2_0a101
RD_03RS2_0b41
RD_03RS2_0c41
RD_03RS2_0d51
RD_03RS2_0e51
RD_03RS2_0f21
RD_03RS2_1061
RD_03RS2_1161
RD_03RS2_1261
RD_03RS2_1371
RD_03RS2_1451
RD_03RS2_1551
RD_03RS2_1691
RD_03RS2_1781
RD_03RS2_1861
RD_03RS2_1951
RD_03RS2_1b41
RD_03RS2_1c71
RD_03RS2_1d51
RD_03RS2_1e91
RD_03RS2_1f81
RD_04RS2_0081
RD_04RS2_0191
RD_04RS2_0251
RD_04RS2_03111
RD_04RS2_0481
RD_04RS2_0541
RD_04RS2_0671
RD_04RS2_0771
RD_04RS2_0841
RD_04RS2_0951
RD_04RS2_0a11
RD_04RS2_0b21
RD_04RS2_0c71
RD_04RS2_0d61
RD_04RS2_0e21
RD_04RS2_0f81
RD_04RS2_1041
RD_04RS2_1131
RD_04RS2_1261
RD_04RS2_1351
RD_04RS2_1441
RD_04RS2_1521
RD_04RS2_1651
RD_04RS2_1741
RD_04RS2_1841
RD_04RS2_1941
RD_04RS2_1a91
RD_04RS2_1b51
RD_04RS2_1c51
RD_04RS2_1d21
RD_04RS2_1e61
RD_04RS2_1f11
RD_05RS2_0041
RD_05RS2_0131
RD_05RS2_0251
RD_05RS2_0371
RD_05RS2_0441
RD_05RS2_0541
RD_05RS2_0681
RD_05RS2_0751
RD_05RS2_0851
RD_05RS2_0941
RD_05RS2_0a11
RD_05RS2_0b41
RD_05RS2_0c71
RD_05RS2_0d41
RD_05RS2_0e51
RD_05RS2_0f51
RD_05RS2_1041
RD_05RS2_1131
RD_05RS2_1271
RD_05RS2_1331
RD_05RS2_1491
RD_05RS2_1551
RD_05RS2_1611
RD_05RS2_1731
RD_05RS2_1831
RD_05RS2_1951
RD_05RS2_1a61
RD_05RS2_1b31
RD_05RS2_1c31
RD_05RS2_1d51
RD_05RS2_1e41
RD_05RS2_1f61
RD_06RS2_0031
RD_06RS2_0171
RD_06RS2_0271
RD_06RS2_0351
RD_06RS2_0471
RD_06RS2_0531
RD_06RS2_0691
RD_06RS2_0721
RD_06RS2_0881
RD_06RS2_0971
RD_06RS2_0a81
RD_06RS2_0b21
RD_06RS2_0c61
RD_06RS2_0d11
RD_06RS2_0e91
RD_06RS2_0f21
RD_06RS2_1071
RD_06RS2_1161
RD_06RS2_1271
RD_06RS2_1381
RD_06RS2_1441
RD_06RS2_1521
RD_06RS2_1651
RD_06RS2_1751
RD_06RS2_1851
RD_06RS2_19121
RD_06RS2_1a31
RD_06RS2_1b71
RD_06RS2_1c61
RD_06RS2_1d31
RD_06RS2_1e51
RD_06RS2_1f51
RD_07RS2_0041
RD_07RS2_0181
RD_07RS2_0241
RD_07RS2_0351
RD_07RS2_0431
RD_07RS2_0541
RD_07RS2_06101
RD_07RS2_0741
RD_07RS2_0831
RD_07RS2_0931
RD_07RS2_0a91
RD_07RS2_0b31
RD_07RS2_0c81
RD_07RS2_0d61
RD_07RS2_0e91
RD_07RS2_0f81
RD_07RS2_1031
RD_07RS2_1131
RD_07RS2_1241
RD_07RS2_1371
RD_07RS2_1421
RD_07RS2_1541
RD_07RS2_1671
RD_07RS2_1731
RD_07RS2_1841
RD_07RS2_1951
RD_07RS2_1a61
RD_07RS2_1b61
RD_07RS2_1c71
RD_07RS2_1d21
RD_07RS2_1e31
RD_07RS2_1f81
RD_08RS2_0041
RD_08RS2_0121
RD_08RS2_0231
RD_08RS2_0341
RD_08RS2_0481
RD_08RS2_0521
RD_08RS2_0641
RD_08RS2_0781
RD_08RS2_0861
RD_08RS2_0921
RD_08RS2_0a41
RD_08RS2_0b51
RD_08RS2_0c51
RD_08RS2_0d61
RD_08RS2_0e21
RD_08RS2_0f61
RD_08RS2_1041
RD_08RS2_1151
RD_08RS2_1231
RD_08RS2_1361
RD_08RS2_1471
RD_08RS2_1551
RD_08RS2_1631
RD_08RS2_1761
RD_08RS2_1821
RD_08RS2_1921
RD_08RS2_1a81
RD_08RS2_1b31
RD_08RS2_1c71
RD_08RS2_1d31
RD_08RS2_1e41
RD_08RS2_1f91
RD_09RS2_0051
RD_09RS2_0111
RD_09RS2_0251
RD_09RS2_0341
RD_09RS2_0441
RD_09RS2_05101
RD_09RS2_0631
RD_09RS2_07101
RD_09RS2_0861
RD_09RS2_0951
RD_09RS2_0a41
RD_09RS2_0b21
RD_09RS2_0c101
RD_09RS2_0d41
RD_09RS2_0e51
RD_09RS2_0f51
RD_09RS2_1051
RD_09RS2_1141
RD_09RS2_1221
RD_09RS2_1341
RD_09RS2_1471
RD_09RS2_15131
RD_09RS2_1661
RD_09RS2_1791
RD_09RS2_1891
RD_09RS2_1931
RD_09RS2_1a51
RD_09RS2_1b11
RD_09RS2_1c61
RD_09RS2_1d31
RD_09RS2_1e21
RD_09RS2_1f81
RD_0aRS2_0051
RD_0aRS2_0161
RD_0aRS2_0241
RD_0aRS2_0331
RD_0aRS2_0441
RD_0aRS2_0531
RD_0aRS2_0631
RD_0aRS2_0751
RD_0aRS2_0841
RD_0aRS2_0931
RD_0aRS2_0a101
RD_0aRS2_0b21
RD_0aRS2_0c81
RD_0aRS2_0d41
RD_0aRS2_0e31
RD_0aRS2_0f41
RD_0aRS2_1051
RD_0aRS2_1141
RD_0aRS2_1251
RD_0aRS2_1341
RD_0aRS2_1451
RD_0aRS2_1551
RD_0aRS2_1651
RD_0aRS2_1771
RD_0aRS2_1851
RD_0aRS2_1941
RD_0aRS2_1a41
RD_0aRS2_1b51
RD_0aRS2_1c21
RD_0aRS2_1d61
RD_0aRS2_1e81
RD_0aRS2_1f91
RD_0bRS2_0051
RD_0bRS2_0151
RD_0bRS2_0261
RD_0bRS2_0391
RD_0bRS2_0461
RD_0bRS2_0551
RD_0bRS2_0631
RD_0bRS2_0741
RD_0bRS2_0861
RD_0bRS2_0981
RD_0bRS2_0a41
RD_0bRS2_0b111
RD_0bRS2_0c51
RD_0bRS2_0d31
RD_0bRS2_0e71
RD_0bRS2_0f21
RD_0bRS2_1041
RD_0bRS2_1161
RD_0bRS2_12111
RD_0bRS2_1321
RD_0bRS2_1461
RD_0bRS2_1581
RD_0bRS2_1671
RD_0bRS2_1771
RD_0bRS2_1841
RD_0bRS2_1941
RD_0bRS2_1a81
RD_0bRS2_1b41
RD_0bRS2_1c91
RD_0bRS2_1d21
RD_0bRS2_1e51
RD_0bRS2_1f81
RD_0cRS2_0011
RD_0cRS2_0131
RD_0cRS2_0281
RD_0cRS2_0341
RD_0cRS2_0411
RD_0cRS2_0541
RD_0cRS2_0631
RD_0cRS2_0741
RD_0cRS2_0891
RD_0cRS2_0961
RD_0cRS2_0a41
RD_0cRS2_0b51
RD_0cRS2_0c141
RD_0cRS2_0d41
RD_0cRS2_0e61
RD_0cRS2_0f61
RD_0cRS2_1011
RD_0cRS2_1161
RD_0cRS2_1231
RD_0cRS2_1351
RD_0cRS2_1491
RD_0cRS2_15111
RD_0cRS2_1621
RD_0cRS2_1761
RD_0cRS2_1871
RD_0cRS2_1941
RD_0cRS2_1a21
RD_0cRS2_1b51
RD_0cRS2_1c31
RD_0cRS2_1d51
RD_0cRS2_1e71
RD_0cRS2_1f31
RD_0dRS2_0081
RD_0dRS2_0121
RD_0dRS2_0231
RD_0dRS2_0371
RD_0dRS2_0441
RD_0dRS2_0511
RD_0dRS2_0671
RD_0dRS2_0761
RD_0dRS2_0841
RD_0dRS2_0931
RD_0dRS2_0a11
RD_0dRS2_0b51
RD_0dRS2_0c61
RD_0dRS2_0d61
RD_0dRS2_0e31
RD_0dRS2_0f71
RD_0dRS2_1071
RD_0dRS2_1161
RD_0dRS2_1261
RD_0dRS2_1381
RD_0dRS2_1431
RD_0dRS2_1561
RD_0dRS2_1651
RD_0dRS2_1761
RD_0dRS2_1841
RD_0dRS2_19111
RD_0dRS2_1a71
RD_0dRS2_1b61
RD_0dRS2_1c31
RD_0dRS2_1d81
RD_0dRS2_1e31
RD_0dRS2_1f71
RD_0eRS2_0061
RD_0eRS2_0131
RD_0eRS2_0251
RD_0eRS2_03171
RD_0eRS2_0481
RD_0eRS2_0531
RD_0eRS2_0641
RD_0eRS2_0861
RD_0eRS2_0941
RD_0eRS2_0a21
RD_0eRS2_0b51
RD_0eRS2_0c51
RD_0eRS2_0d21
RD_0eRS2_0e31
RD_0eRS2_0f21
RD_0eRS2_1051
RD_0eRS2_11101
RD_0eRS2_1261
RD_0eRS2_1341
RD_0eRS2_1461
RD_0eRS2_1541
RD_0eRS2_1611
RD_0eRS2_1721
RD_0eRS2_1861
RD_0eRS2_1941
RD_0eRS2_1a21
RD_0eRS2_1b71
RD_0eRS2_1c11
RD_0eRS2_1d21
RD_0eRS2_1e61
RD_0eRS2_1f31
RD_0fRS2_00121
RD_0fRS2_0151
RD_0fRS2_0251
RD_0fRS2_0341
RD_0fRS2_04101
RD_0fRS2_0531
RD_0fRS2_0641
RD_0fRS2_0781
RD_0fRS2_0841
RD_0fRS2_0921
RD_0fRS2_0a151
RD_0fRS2_0b81
RD_0fRS2_0c31
RD_0fRS2_0d41
RD_0fRS2_0e41
RD_0fRS2_0f91
RD_0fRS2_1051
RD_0fRS2_1141
RD_0fRS2_1241
RD_0fRS2_1381
RD_0fRS2_1431
RD_0fRS2_1581
RD_0fRS2_1681
RD_0fRS2_1731
RD_0fRS2_1831
RD_0fRS2_1971
RD_0fRS2_1a81
RD_0fRS2_1b21
RD_0fRS2_1c71
RD_0fRS2_1d61
RD_0fRS2_1e31
RD_0fRS2_1f121
RD_10RS2_0041
RD_10RS2_0141
RD_10RS2_0231
RD_10RS2_0381
RD_10RS2_0421
RD_10RS2_0521
RD_10RS2_0671
RD_10RS2_0761
RD_10RS2_0871
RD_10RS2_0921
RD_10RS2_0a61
RD_10RS2_0b101
RD_10RS2_0c11
RD_10RS2_0d31
RD_10RS2_0e11
RD_10RS2_0f81
RD_10RS2_1071
RD_10RS2_1191
RD_10RS2_1261
RD_10RS2_1351
RD_10RS2_1451
RD_10RS2_1531
RD_10RS2_1641
RD_10RS2_1781
RD_10RS2_1881
RD_10RS2_1951
RD_10RS2_1a11
RD_10RS2_1b41
RD_10RS2_1c31
RD_10RS2_1d61
RD_10RS2_1e31
RD_10RS2_1f51
RD_11RS2_00101
RD_11RS2_0191
RD_11RS2_02131
RD_11RS2_0371
RD_11RS2_0461
RD_11RS2_0541
RD_11RS2_06101
RD_11RS2_0731
RD_11RS2_0831
RD_11RS2_0971
RD_11RS2_0a11
RD_11RS2_0b41
RD_11RS2_0c21
RD_11RS2_0d21
RD_11RS2_0e61
RD_11RS2_0f21
RD_11RS2_1051
RD_11RS2_1141
RD_11RS2_1281
RD_11RS2_1351
RD_11RS2_1421
RD_11RS2_15101
RD_11RS2_1631
RD_11RS2_1781
RD_11RS2_18101
RD_11RS2_1941
RD_11RS2_1a31
RD_11RS2_1b51
RD_11RS2_1c71
RD_11RS2_1d81
RD_11RS2_1e41
RD_11RS2_1f81
RD_12RS2_0021
RD_12RS2_0141
RD_12RS2_0271
RD_12RS2_0361
RD_12RS2_0481
RD_12RS2_0561
RD_12RS2_0641
RD_12RS2_0761
RD_12RS2_0871
RD_12RS2_09111
RD_12RS2_0a131
RD_12RS2_0b61
RD_12RS2_0c41
RD_12RS2_0d51
RD_12RS2_0e61
RD_12RS2_0f61
RD_12RS2_1031
RD_12RS2_1131
RD_12RS2_1251
RD_12RS2_1341
RD_12RS2_1471
RD_12RS2_1521
RD_12RS2_1651
RD_12RS2_1741
RD_12RS2_1851
RD_12RS2_1961
RD_12RS2_1a61
RD_12RS2_1b61
RD_12RS2_1c101
RD_12RS2_1d51
RD_12RS2_1e31
RD_12RS2_1f41
RD_13RS2_0081
RD_13RS2_0151
RD_13RS2_0231
RD_13RS2_0351
RD_13RS2_0421
RD_13RS2_05101
RD_13RS2_0681
RD_13RS2_07101
RD_13RS2_0861
RD_13RS2_0951
RD_13RS2_0a31
RD_13RS2_0b41
RD_13RS2_0c71
RD_13RS2_0d51
RD_13RS2_0e51
RD_13RS2_0f31
RD_13RS2_1041
RD_13RS2_1131
RD_13RS2_12111
RD_13RS2_13101
RD_13RS2_1431
RD_13RS2_1541
RD_13RS2_1671
RD_13RS2_1751
RD_13RS2_1821
RD_13RS2_1961
RD_13RS2_1a21
RD_13RS2_1b21
RD_13RS2_1c51
RD_13RS2_1d71
RD_13RS2_1e31
RD_13RS2_1f21
RD_14RS2_0071
RD_14RS2_0171
RD_14RS2_0251
RD_14RS2_0391
RD_14RS2_0451
RD_14RS2_0551
RD_14RS2_0611
RD_14RS2_0731
RD_14RS2_0831
RD_14RS2_0961
RD_14RS2_0a21
RD_14RS2_0b61
RD_14RS2_0c61
RD_14RS2_0d51
RD_14RS2_0e91
RD_14RS2_0f61
RD_14RS2_1031
RD_14RS2_1171
RD_14RS2_1281
RD_14RS2_1361
RD_14RS2_14111
RD_14RS2_1531
RD_14RS2_1671
RD_14RS2_1751
RD_14RS2_1821
RD_14RS2_1981
RD_14RS2_1a71
RD_14RS2_1b41
RD_14RS2_1c41
RD_14RS2_1d61
RD_14RS2_1e101
RD_14RS2_1f31
RD_15RS2_0061
RD_15RS2_0161
RD_15RS2_0261
RD_15RS2_0331
RD_15RS2_0441
RD_15RS2_0541
RD_15RS2_0651
RD_15RS2_0741
RD_15RS2_0861
RD_15RS2_0971
RD_15RS2_0a41
RD_15RS2_0b31
RD_15RS2_0c51
RD_15RS2_0d21
RD_15RS2_0e71
RD_15RS2_0f31
RD_15RS2_1031
RD_15RS2_1121
RD_15RS2_1261
RD_15RS2_1381
RD_15RS2_1431
RD_15RS2_1551
RD_15RS2_1691
RD_15RS2_1761
RD_15RS2_1811
RD_15RS2_19101
RD_15RS2_1a81
RD_15RS2_1b21
RD_15RS2_1c41
RD_15RS2_1d61
RD_15RS2_1e91
RD_15RS2_1f61
RD_16RS2_0071
RD_16RS2_0151
RD_16RS2_0291
RD_16RS2_0381
RD_16RS2_0491
RD_16RS2_0541
RD_16RS2_0631
RD_16RS2_0741
RD_16RS2_0881
RD_16RS2_0981
RD_16RS2_0a51
RD_16RS2_0b101
RD_16RS2_0c61
RD_16RS2_0d81
RD_16RS2_0e41
RD_16RS2_0f31
RD_16RS2_1061
RD_16RS2_1141
RD_16RS2_1261
RD_16RS2_1351
RD_16RS2_1481
RD_16RS2_1521
RD_16RS2_1641
RD_16RS2_1731
RD_16RS2_1851
RD_16RS2_19101
RD_16RS2_1a31
RD_16RS2_1b61
RD_16RS2_1c41
RD_16RS2_1d31
RD_16RS2_1e61
RD_16RS2_1f51
RD_17RS2_0021
RD_17RS2_0121
RD_17RS2_0271
RD_17RS2_0351
RD_17RS2_0441
RD_17RS2_0571
RD_17RS2_0641
RD_17RS2_0761
RD_17RS2_0861
RD_17RS2_0951
RD_17RS2_0a71
RD_17RS2_0b31
RD_17RS2_0c61
RD_17RS2_0d41
RD_17RS2_0e41
RD_17RS2_0f51
RD_17RS2_1081
RD_17RS2_1141
RD_17RS2_1211
RD_17RS2_1321
RD_17RS2_1441
RD_17RS2_1551
RD_17RS2_1641
RD_17RS2_1741
RD_17RS2_1841
RD_17RS2_1931
RD_17RS2_1a51
RD_17RS2_1b61
RD_17RS2_1c51
RD_17RS2_1d81
RD_17RS2_1e91
RD_17RS2_1f91
RD_18RS2_0061
RD_18RS2_0181
RD_18RS2_0241
RD_18RS2_0391
RD_18RS2_0461
RD_18RS2_0581
RD_18RS2_0631
RD_18RS2_0761
RD_18RS2_0831
RD_18RS2_0991
RD_18RS2_0a61
RD_18RS2_0b51
RD_18RS2_0c41
RD_18RS2_0d61
RD_18RS2_0e31
RD_18RS2_0f21
RD_18RS2_1021
RD_18RS2_1131
RD_18RS2_1231
RD_18RS2_1331
RD_18RS2_1451
RD_18RS2_1541
RD_18RS2_1631
RD_18RS2_1771
RD_18RS2_1831
RD_18RS2_19101
RD_18RS2_1a41
RD_18RS2_1b71
RD_18RS2_1c41
RD_18RS2_1d51
RD_18RS2_1e31
RD_18RS2_1f21
RD_19RS2_0041
RD_19RS2_0151
RD_19RS2_0251
RD_19RS2_0311
RD_19RS2_0461
RD_19RS2_0591
RD_19RS2_0671
RD_19RS2_0741
RD_19RS2_0861
RD_19RS2_0941
RD_19RS2_0a41
RD_19RS2_0b31
RD_19RS2_0c31
RD_19RS2_0d31
RD_19RS2_0e51
RD_19RS2_0f61
RD_19RS2_1091
RD_19RS2_1141
RD_19RS2_1261
RD_19RS2_1321
RD_19RS2_1431
RD_19RS2_1521
RD_19RS2_1631
RD_19RS2_1731
RD_19RS2_1841
RD_19RS2_1981
RD_19RS2_1a41
RD_19RS2_1b61
RD_19RS2_1c51
RD_19RS2_1d41
RD_19RS2_1e121
RD_19RS2_1f71
RD_1aRS2_0071
RD_1aRS2_0151
RD_1aRS2_0231
RD_1aRS2_0361
RD_1aRS2_0441
RD_1aRS2_0521
RD_1aRS2_0641
RD_1aRS2_0771
RD_1aRS2_0831
RD_1aRS2_0951
RD_1aRS2_0a51
RD_1aRS2_0b81
RD_1aRS2_0c21
RD_1aRS2_0d51
RD_1aRS2_0e41
RD_1aRS2_0f71
RD_1aRS2_1061
RD_1aRS2_1131
RD_1aRS2_1261
RD_1aRS2_1341
RD_1aRS2_1471
RD_1aRS2_1541
RD_1aRS2_1661
RD_1aRS2_17101
RD_1aRS2_1851
RD_1aRS2_1971
RD_1aRS2_1a61
RD_1aRS2_1b41
RD_1aRS2_1c51
RD_1aRS2_1d61
RD_1aRS2_1e41
RD_1aRS2_1f81
RD_1bRS2_0051
RD_1bRS2_0181
RD_1bRS2_02141
RD_1bRS2_0351
RD_1bRS2_0491
RD_1bRS2_0541
RD_1bRS2_06141
RD_1bRS2_0731
RD_1bRS2_0871
RD_1bRS2_0951
RD_1bRS2_0a91
RD_1bRS2_0b21
RD_1bRS2_0c51
RD_1bRS2_0d81
RD_1bRS2_0e51
RD_1bRS2_0f31
RD_1bRS2_1041
RD_1bRS2_1151
RD_1bRS2_13101
RD_1bRS2_1471
RD_1bRS2_1571
RD_1bRS2_16101
RD_1bRS2_1731
RD_1bRS2_1871
RD_1bRS2_1941
RD_1bRS2_1a31
RD_1bRS2_1b91
RD_1bRS2_1c41
RD_1bRS2_1d91
RD_1bRS2_1e41
RD_1bRS2_1f21
RD_1cRS2_0041
RD_1cRS2_01101
RD_1cRS2_0361
RD_1cRS2_0481
RD_1cRS2_0571
RD_1cRS2_0661
RD_1cRS2_0771
RD_1cRS2_0861
RD_1cRS2_0931
RD_1cRS2_0a91
RD_1cRS2_0b61
RD_1cRS2_0c21
RD_1cRS2_0d51
RD_1cRS2_0e71
RD_1cRS2_0f71
RD_1cRS2_1071
RD_1cRS2_1151
RD_1cRS2_1241
RD_1cRS2_1381
RD_1cRS2_1441
RD_1cRS2_1551
RD_1cRS2_1631
RD_1cRS2_1721
RD_1cRS2_1871
RD_1cRS2_1931
RD_1cRS2_1a51
RD_1cRS2_1b41
RD_1cRS2_1c101
RD_1cRS2_1e71
RD_1cRS2_1f101
RD_1dRS2_0011
RD_1dRS2_0241
RD_1dRS2_0331
RD_1dRS2_0491
RD_1dRS2_0531
RD_1dRS2_0651
RD_1dRS2_0731
RD_1dRS2_0881
RD_1dRS2_0931
RD_1dRS2_0a71
RD_1dRS2_0b81
RD_1dRS2_0c31
RD_1dRS2_0d81
RD_1dRS2_0e41
RD_1dRS2_0f21
RD_1dRS2_1051
RD_1dRS2_1111
RD_1dRS2_1251
RD_1dRS2_1351
RD_1dRS2_1441
RD_1dRS2_1591
RD_1dRS2_1651
RD_1dRS2_1741
RD_1dRS2_1871
RD_1dRS2_1971
RD_1dRS2_1a81
RD_1dRS2_1b91
RD_1dRS2_1c21
RD_1dRS2_1d41
RD_1dRS2_1e41
RD_1dRS2_1f41
RD_1eRS2_0061
RD_1eRS2_0151
RD_1eRS2_0251
RD_1eRS2_0341
RD_1eRS2_0451
RD_1eRS2_0551
RD_1eRS2_0681
RD_1eRS2_0781
RD_1eRS2_0821
RD_1eRS2_0961
RD_1eRS2_0a81
RD_1eRS2_0b51
RD_1eRS2_0c91
RD_1eRS2_0d81
RD_1eRS2_0e31
RD_1eRS2_0f51
RD_1eRS2_1121
RD_1eRS2_1241
RD_1eRS2_1381
RD_1eRS2_1431
RD_1eRS2_1561
RD_1eRS2_1621
RD_1eRS2_1771
RD_1eRS2_1821
RD_1eRS2_1971
RD_1eRS2_1a81
RD_1eRS2_1b61
RD_1eRS2_1c71
RD_1eRS2_1d31
RD_1eRS2_1e91
RD_1eRS2_1f31
RD_1fRS2_0041
RD_1fRS2_0151
RD_1fRS2_0261
RD_1fRS2_0361
RD_1fRS2_0461
RD_1fRS2_0541
RD_1fRS2_0691
RD_1fRS2_0751
RD_1fRS2_0841
RD_1fRS2_0961
RD_1fRS2_0a41
RD_1fRS2_0b91
RD_1fRS2_0c51
RD_1fRS2_0d51
RD_1fRS2_0e31
RD_1fRS2_0f71
RD_1fRS2_1041
RD_1fRS2_1181
RD_1fRS2_1271
RD_1fRS2_1341
RD_1fRS2_1421
RD_1fRS2_1581
RD_1fRS2_1631
RD_1fRS2_1751
RD_1fRS2_1841
RD_1fRS2_1971
RD_1fRS2_1a71
RD_1fRS2_1b61
RD_1fRS2_1c41
RD_1fRS2_1d31
RD_1fRS2_1e11
RD_1fRS2_1f71

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_4.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_4.html new file mode 100644 index 00000000..32ca1c90 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_4.html @@ -0,0 +1,104 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_madd_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Cross cross_rd_rs3 +
+
+Samples crossed: cp_rd cp_rs3
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_5.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_5.html new file mode 100644 index 00000000..7f5a995b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_5.html @@ -0,0 +1,11607 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001791
RD_011771
RD_021561
RD_031651
RD_041571
RD_051701
RD_061511
RD_071541
RD_081651
RD_091761
RD_0a1671
RD_0b1731
RD_0c1431
RD_0d1511
RD_0e1751
RD_0f1831
RD_101771
RD_111591
RD_121471
RD_131831
RD_141681
RD_151521
RD_161561
RD_171981
RD_181581
RD_191541
RD_1a1521
RD_1b1881
RD_1c1641
RD_1d1581
RD_1e1781
RD_1f1801

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001801
RS1_011491
RS1_021691
RS1_031611
RS1_041751
RS1_051821
RS1_061741
RS1_071801
RS1_081641
RS1_091881
RS1_0a1671
RS1_0b1441
RS1_0c1601
RS1_0d1571
RS1_0e1891
RS1_0f1551
RS1_101681
RS1_111401
RS1_121471
RS1_131451
RS1_141861
RS1_151581
RS1_161661
RS1_171711
RS1_181671
RS1_191741
RS1_1a1531
RS1_1b1761
RS1_1c1991
RS1_1d1611
RS1_1e1581
RS1_1f1511

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001831
RS2_011731
RS2_021551
RS2_031861
RS2_041841
RS2_051861
RS2_061501
RS2_071781
RS2_081581
RS2_091561
RS2_0a1471
RS2_0b1611
RS2_0c1601
RS2_0d1721
RS2_0e1761
RS2_0f1661
RS2_101681
RS2_111421
RS2_121681
RS2_131651
RS2_141611
RS2_151671
RS2_161741
RS2_171551
RS2_181521
RS2_191741
RS2_1a1651
RS2_1b1501
RS2_1c1931
RS2_1d1791
RS2_1e1591
RS2_1f1511

+
+
+Summary for Variable cp_rs3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_rs3 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RS3_000Excluded
RS3_010Excluded
RS3_020Excluded
RS3_030Excluded
RS3_040Excluded
RS3_050Excluded
RS3_060Excluded
RS3_070Excluded
RS3_080Excluded
RS3_090Excluded
RS3_0a0Excluded
RS3_0b0Excluded
RS3_0c0Excluded
RS3_0d0Excluded
RS3_0e0Excluded
RS3_0f0Excluded
RS3_100Excluded
RS3_110Excluded
RS3_120Excluded
RS3_130Excluded
RS3_140Excluded
RS3_150Excluded
RS3_160Excluded
RS3_170Excluded
RS3_180Excluded
RS3_190Excluded
RS3_1a0Excluded
RS3_1b0Excluded
RS3_1c0Excluded
RS3_1d0Excluded
RS3_1e0Excluded
RS3_1f0Excluded
IGN_RS30Excluded

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125311
BIT30_120081
BIT29_119711
BIT28_119571
BIT27_119081
BIT26_119431
BIT25_119601
BIT24_119031
BIT23_119121
BIT22_119641
BIT21_118721
BIT20_118681
BIT19_118871
BIT18_119171
BIT17_119601
BIT16_120661
BIT15_121641
BIT14_120941
BIT13_120131
BIT12_123881
BIT11_123791
BIT10_123801
BIT9_121761
BIT8_120281
BIT7_121831
BIT6_119911
BIT5_120101
BIT4_124141
BIT3_124731
BIT2_123851
BIT1_119441
BIT0_116701
BIT31_027831
BIT30_033061
BIT29_033431
BIT28_033571
BIT27_034061
BIT26_033711
BIT25_033541
BIT24_034111
BIT23_034021
BIT22_033501
BIT21_034421
BIT20_034461
BIT19_034271
BIT18_033971
BIT17_033541
BIT16_032481
BIT15_031501
BIT14_032201
BIT13_033011
BIT12_029261
BIT11_029351
BIT10_029341
BIT9_031381
BIT8_032861
BIT7_031311
BIT6_033231
BIT5_033041
BIT4_029001
BIT3_028411
BIT2_029291
BIT1_033701
BIT0_036441

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_124961
BIT30_119811
BIT29_119731
BIT28_119801
BIT27_118971
BIT26_119271
BIT25_119771
BIT24_118771
BIT23_119551
BIT22_118911
BIT21_118381
BIT20_118421
BIT19_119151
BIT18_118681
BIT17_119401
BIT16_119871
BIT15_121901
BIT14_121301
BIT13_120521
BIT12_123621
BIT11_123771
BIT10_123261
BIT9_121711
BIT8_119741
BIT7_122241
BIT6_118891
BIT5_120641
BIT4_124591
BIT3_125201
BIT2_124191
BIT1_119541
BIT0_117831
BIT31_028151
BIT30_033301
BIT29_033381
BIT28_033311
BIT27_034141
BIT26_033841
BIT25_033341
BIT24_034341
BIT23_033561
BIT22_034201
BIT21_034731
BIT20_034691
BIT19_033961
BIT18_034431
BIT17_033711
BIT16_033241
BIT15_031211
BIT14_031811
BIT13_032591
BIT12_029491
BIT11_029341
BIT10_029851
BIT9_031401
BIT8_033371
BIT7_030871
BIT6_034221
BIT5_032471
BIT4_028521
BIT3_027911
BIT2_028921
BIT1_033571
BIT0_035281

+
+
+Summary for Variable cp_rs3_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102410101499.02 10

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_00][RS1_01]011
[RD_01][RS1_13]011
[RD_02][RS1_0d]011
[RD_04][RS1_01]011
[RD_04][RS1_05]011
[RD_04][RS1_1c]011
[RD_0c][RS1_18]011
[RD_0e][RS1_12]011
[RD_16][RS1_11]011
[RD_19][RS1_00]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0041
RD_00RS1_0291
RD_00RS1_0341
RD_00RS1_0451
RD_00RS1_0561
RD_00RS1_0661
RD_00RS1_07101
RD_00RS1_0831
RD_00RS1_0991
RD_00RS1_0a71
RD_00RS1_0b51
RD_00RS1_0c31
RD_00RS1_0d41
RD_00RS1_0e51
RD_00RS1_0f11
RD_00RS1_1091
RD_00RS1_1181
RD_00RS1_1241
RD_00RS1_1311
RD_00RS1_1451
RD_00RS1_15161
RD_00RS1_1671
RD_00RS1_1751
RD_00RS1_1821
RD_00RS1_1951
RD_00RS1_1a71
RD_00RS1_1b61
RD_00RS1_1c71
RD_00RS1_1d81
RD_00RS1_1e41
RD_00RS1_1f41
RD_01RS1_0091
RD_01RS1_0161
RD_01RS1_0271
RD_01RS1_03121
RD_01RS1_0461
RD_01RS1_0551
RD_01RS1_0631
RD_01RS1_07131
RD_01RS1_0871
RD_01RS1_0921
RD_01RS1_0a21
RD_01RS1_0b51
RD_01RS1_0c41
RD_01RS1_0d41
RD_01RS1_0e61
RD_01RS1_0f41
RD_01RS1_1031
RD_01RS1_1131
RD_01RS1_1251
RD_01RS1_1451
RD_01RS1_1551
RD_01RS1_1671
RD_01RS1_1761
RD_01RS1_1881
RD_01RS1_1931
RD_01RS1_1a61
RD_01RS1_1b31
RD_01RS1_1c91
RD_01RS1_1d41
RD_01RS1_1e81
RD_01RS1_1f71
RD_02RS1_0071
RD_02RS1_0161
RD_02RS1_0261
RD_02RS1_0341
RD_02RS1_0481
RD_02RS1_0551
RD_02RS1_0691
RD_02RS1_0731
RD_02RS1_0871
RD_02RS1_0951
RD_02RS1_0a71
RD_02RS1_0b31
RD_02RS1_0c51
RD_02RS1_0e51
RD_02RS1_0f21
RD_02RS1_1011
RD_02RS1_1161
RD_02RS1_1261
RD_02RS1_1371
RD_02RS1_1441
RD_02RS1_1541
RD_02RS1_1681
RD_02RS1_1731
RD_02RS1_1831
RD_02RS1_1961
RD_02RS1_1a41
RD_02RS1_1b71
RD_02RS1_1c51
RD_02RS1_1d41
RD_02RS1_1e31
RD_02RS1_1f31
RD_03RS1_0051
RD_03RS1_0111
RD_03RS1_0221
RD_03RS1_0361
RD_03RS1_0431
RD_03RS1_05121
RD_03RS1_0621
RD_03RS1_0761
RD_03RS1_0871
RD_03RS1_0961
RD_03RS1_0a81
RD_03RS1_0b21
RD_03RS1_0c71
RD_03RS1_0d51
RD_03RS1_0e61
RD_03RS1_0f51
RD_03RS1_1091
RD_03RS1_1151
RD_03RS1_1291
RD_03RS1_1341
RD_03RS1_1481
RD_03RS1_1511
RD_03RS1_1661
RD_03RS1_1741
RD_03RS1_1881
RD_03RS1_1941
RD_03RS1_1a31
RD_03RS1_1b51
RD_03RS1_1c71
RD_03RS1_1d21
RD_03RS1_1e41
RD_03RS1_1f31
RD_04RS1_0081
RD_04RS1_0221
RD_04RS1_0341
RD_04RS1_0471
RD_04RS1_0651
RD_04RS1_0751
RD_04RS1_0871
RD_04RS1_0971
RD_04RS1_0a41
RD_04RS1_0b31
RD_04RS1_0c51
RD_04RS1_0d31
RD_04RS1_0e51
RD_04RS1_0f11
RD_04RS1_1071
RD_04RS1_1171
RD_04RS1_1231
RD_04RS1_1331
RD_04RS1_1491
RD_04RS1_1581
RD_04RS1_1661
RD_04RS1_1761
RD_04RS1_1851
RD_04RS1_19111
RD_04RS1_1a41
RD_04RS1_1b41
RD_04RS1_1d51
RD_04RS1_1e81
RD_04RS1_1f51
RD_05RS1_0061
RD_05RS1_0151
RD_05RS1_0221
RD_05RS1_0341
RD_05RS1_0431
RD_05RS1_0551
RD_05RS1_0651
RD_05RS1_0771
RD_05RS1_0841
RD_05RS1_0961
RD_05RS1_0a21
RD_05RS1_0b81
RD_05RS1_0c71
RD_05RS1_0d41
RD_05RS1_0e31
RD_05RS1_0f41
RD_05RS1_10101
RD_05RS1_1131
RD_05RS1_1241
RD_05RS1_1341
RD_05RS1_1461
RD_05RS1_1541
RD_05RS1_1651
RD_05RS1_1761
RD_05RS1_1871
RD_05RS1_1971
RD_05RS1_1a101
RD_05RS1_1b31
RD_05RS1_1c101
RD_05RS1_1d81
RD_05RS1_1e71
RD_05RS1_1f11
RD_06RS1_0031
RD_06RS1_0111
RD_06RS1_0221
RD_06RS1_0341
RD_06RS1_0491
RD_06RS1_0571
RD_06RS1_0681
RD_06RS1_0731
RD_06RS1_0841
RD_06RS1_0971
RD_06RS1_0a71
RD_06RS1_0b51
RD_06RS1_0c51
RD_06RS1_0d51
RD_06RS1_0e71
RD_06RS1_0f51
RD_06RS1_1061
RD_06RS1_1111
RD_06RS1_1211
RD_06RS1_1351
RD_06RS1_1461
RD_06RS1_1571
RD_06RS1_1631
RD_06RS1_1721
RD_06RS1_1871
RD_06RS1_1951
RD_06RS1_1a21
RD_06RS1_1b61
RD_06RS1_1c41
RD_06RS1_1d81
RD_06RS1_1e41
RD_06RS1_1f21
RD_07RS1_0081
RD_07RS1_0191
RD_07RS1_0231
RD_07RS1_0331
RD_07RS1_0441
RD_07RS1_0531
RD_07RS1_0631
RD_07RS1_0731
RD_07RS1_0841
RD_07RS1_0991
RD_07RS1_0a31
RD_07RS1_0b51
RD_07RS1_0c51
RD_07RS1_0d21
RD_07RS1_0e51
RD_07RS1_0f31
RD_07RS1_1041
RD_07RS1_1161
RD_07RS1_1221
RD_07RS1_1361
RD_07RS1_1491
RD_07RS1_1521
RD_07RS1_1631
RD_07RS1_1751
RD_07RS1_1871
RD_07RS1_1941
RD_07RS1_1a51
RD_07RS1_1b71
RD_07RS1_1c71
RD_07RS1_1d61
RD_07RS1_1e51
RD_07RS1_1f41
RD_08RS1_0041
RD_08RS1_0151
RD_08RS1_0241
RD_08RS1_0331
RD_08RS1_0441
RD_08RS1_0531
RD_08RS1_0641
RD_08RS1_0781
RD_08RS1_0841
RD_08RS1_0941
RD_08RS1_0a61
RD_08RS1_0b41
RD_08RS1_0c31
RD_08RS1_0d71
RD_08RS1_0e61
RD_08RS1_0f71
RD_08RS1_1051
RD_08RS1_1141
RD_08RS1_1261
RD_08RS1_1361
RD_08RS1_1421
RD_08RS1_1561
RD_08RS1_1671
RD_08RS1_1731
RD_08RS1_1831
RD_08RS1_1971
RD_08RS1_1a31
RD_08RS1_1b81
RD_08RS1_1c91
RD_08RS1_1d51
RD_08RS1_1e81
RD_08RS1_1f71
RD_09RS1_0061
RD_09RS1_0151
RD_09RS1_0291
RD_09RS1_0331
RD_09RS1_0441
RD_09RS1_0531
RD_09RS1_0661
RD_09RS1_0741
RD_09RS1_0821
RD_09RS1_0971
RD_09RS1_0a71
RD_09RS1_0b71
RD_09RS1_0c61
RD_09RS1_0d111
RD_09RS1_0e51
RD_09RS1_0f41
RD_09RS1_1061
RD_09RS1_1121
RD_09RS1_1221
RD_09RS1_1381
RD_09RS1_1431
RD_09RS1_1571
RD_09RS1_1631
RD_09RS1_1761
RD_09RS1_1871
RD_09RS1_1961
RD_09RS1_1a61
RD_09RS1_1b61
RD_09RS1_1c81
RD_09RS1_1d21
RD_09RS1_1e91
RD_09RS1_1f61
RD_0aRS1_0061
RD_0aRS1_0131
RD_0aRS1_0291
RD_0aRS1_0351
RD_0aRS1_0421
RD_0aRS1_0541
RD_0aRS1_0631
RD_0aRS1_0751
RD_0aRS1_0841
RD_0aRS1_0961
RD_0aRS1_0a61
RD_0aRS1_0b71
RD_0aRS1_0c31
RD_0aRS1_0d51
RD_0aRS1_0e131
RD_0aRS1_0f71
RD_0aRS1_1071
RD_0aRS1_1181
RD_0aRS1_1241
RD_0aRS1_1351
RD_0aRS1_1491
RD_0aRS1_1541
RD_0aRS1_1651
RD_0aRS1_1741
RD_0aRS1_1831
RD_0aRS1_1911
RD_0aRS1_1a41
RD_0aRS1_1b31
RD_0aRS1_1c61
RD_0aRS1_1d81
RD_0aRS1_1e51
RD_0aRS1_1f31
RD_0bRS1_0061
RD_0bRS1_0141
RD_0bRS1_0281
RD_0bRS1_0381
RD_0bRS1_0421
RD_0bRS1_0561
RD_0bRS1_0641
RD_0bRS1_0761
RD_0bRS1_0891
RD_0bRS1_0961
RD_0bRS1_0a61
RD_0bRS1_0b71
RD_0bRS1_0c61
RD_0bRS1_0d61
RD_0bRS1_0e91
RD_0bRS1_0f61
RD_0bRS1_1021
RD_0bRS1_1131
RD_0bRS1_1251
RD_0bRS1_1351
RD_0bRS1_1421
RD_0bRS1_1521
RD_0bRS1_1651
RD_0bRS1_1741
RD_0bRS1_1861
RD_0bRS1_1951
RD_0bRS1_1a41
RD_0bRS1_1b51
RD_0bRS1_1c101
RD_0bRS1_1d81
RD_0bRS1_1e61
RD_0bRS1_1f21
RD_0cRS1_0021
RD_0cRS1_0151
RD_0cRS1_0261
RD_0cRS1_0351
RD_0cRS1_0421
RD_0cRS1_0561
RD_0cRS1_0661
RD_0cRS1_0741
RD_0cRS1_0841
RD_0cRS1_0971
RD_0cRS1_0a61
RD_0cRS1_0b61
RD_0cRS1_0c11
RD_0cRS1_0d51
RD_0cRS1_0e31
RD_0cRS1_0f51
RD_0cRS1_1011
RD_0cRS1_1111
RD_0cRS1_1241
RD_0cRS1_1331
RD_0cRS1_1481
RD_0cRS1_1541
RD_0cRS1_1651
RD_0cRS1_1771
RD_0cRS1_1941
RD_0cRS1_1a81
RD_0cRS1_1b41
RD_0cRS1_1c41
RD_0cRS1_1d51
RD_0cRS1_1e91
RD_0cRS1_1f31
RD_0dRS1_00121
RD_0dRS1_0161
RD_0dRS1_0261
RD_0dRS1_0311
RD_0dRS1_0451
RD_0dRS1_0531
RD_0dRS1_0641
RD_0dRS1_0751
RD_0dRS1_0861
RD_0dRS1_0961
RD_0dRS1_0a41
RD_0dRS1_0b31
RD_0dRS1_0c51
RD_0dRS1_0d41
RD_0dRS1_0e11
RD_0dRS1_0f31
RD_0dRS1_1081
RD_0dRS1_1131
RD_0dRS1_1231
RD_0dRS1_1371
RD_0dRS1_1431
RD_0dRS1_1591
RD_0dRS1_1631
RD_0dRS1_1731
RD_0dRS1_1831
RD_0dRS1_1911
RD_0dRS1_1a61
RD_0dRS1_1b31
RD_0dRS1_1c81
RD_0dRS1_1d61
RD_0dRS1_1e61
RD_0dRS1_1f51
RD_0eRS1_0041
RD_0eRS1_0171
RD_0eRS1_0231
RD_0eRS1_0341
RD_0eRS1_0411
RD_0eRS1_0561
RD_0eRS1_0691
RD_0eRS1_0771
RD_0eRS1_0851
RD_0eRS1_09111
RD_0eRS1_0a61
RD_0eRS1_0b21
RD_0eRS1_0c51
RD_0eRS1_0d81
RD_0eRS1_0e91
RD_0eRS1_0f21
RD_0eRS1_1091
RD_0eRS1_1161
RD_0eRS1_1331
RD_0eRS1_14101
RD_0eRS1_1551
RD_0eRS1_1681
RD_0eRS1_1791
RD_0eRS1_1851
RD_0eRS1_1911
RD_0eRS1_1a21
RD_0eRS1_1b51
RD_0eRS1_1c51
RD_0eRS1_1d61
RD_0eRS1_1e81
RD_0eRS1_1f41
RD_0fRS1_0071
RD_0fRS1_0141
RD_0fRS1_02121
RD_0fRS1_03151
RD_0fRS1_0491
RD_0fRS1_0531
RD_0fRS1_0661
RD_0fRS1_0721
RD_0fRS1_0861
RD_0fRS1_0931
RD_0fRS1_0a31
RD_0fRS1_0b61
RD_0fRS1_0c51
RD_0fRS1_0d51
RD_0fRS1_0e101
RD_0fRS1_0f11
RD_0fRS1_1061
RD_0fRS1_1161
RD_0fRS1_1241
RD_0fRS1_1311
RD_0fRS1_1471
RD_0fRS1_1561
RD_0fRS1_1631
RD_0fRS1_1751
RD_0fRS1_1891
RD_0fRS1_1981
RD_0fRS1_1a51
RD_0fRS1_1b51
RD_0fRS1_1c81
RD_0fRS1_1d51
RD_0fRS1_1e21
RD_0fRS1_1f61
RD_10RS1_0081
RD_10RS1_0131
RD_10RS1_0271
RD_10RS1_0341
RD_10RS1_0431
RD_10RS1_0561
RD_10RS1_0641
RD_10RS1_0761
RD_10RS1_0841
RD_10RS1_0961
RD_10RS1_0a21
RD_10RS1_0b51
RD_10RS1_0c31
RD_10RS1_0d61
RD_10RS1_0e31
RD_10RS1_0f71
RD_10RS1_1051
RD_10RS1_1151
RD_10RS1_1261
RD_10RS1_1361
RD_10RS1_1481
RD_10RS1_1581
RD_10RS1_1651
RD_10RS1_1771
RD_10RS1_1861
RD_10RS1_19141
RD_10RS1_1a51
RD_10RS1_1b61
RD_10RS1_1c91
RD_10RS1_1d31
RD_10RS1_1e41
RD_10RS1_1f31
RD_11RS1_0041
RD_11RS1_0151
RD_11RS1_0241
RD_11RS1_0321
RD_11RS1_0491
RD_11RS1_0571
RD_11RS1_0641
RD_11RS1_0751
RD_11RS1_0811
RD_11RS1_0941
RD_11RS1_0a61
RD_11RS1_0b81
RD_11RS1_0c51
RD_11RS1_0d61
RD_11RS1_0e61
RD_11RS1_0f41
RD_11RS1_1041
RD_11RS1_1141
RD_11RS1_1251
RD_11RS1_1371
RD_11RS1_1431
RD_11RS1_1531
RD_11RS1_1631
RD_11RS1_1751
RD_11RS1_1861
RD_11RS1_1961
RD_11RS1_1a61
RD_11RS1_1b61
RD_11RS1_1c71
RD_11RS1_1d31
RD_11RS1_1e11
RD_11RS1_1f101
RD_12RS1_00101
RD_12RS1_0111
RD_12RS1_0231
RD_12RS1_0391
RD_12RS1_0441
RD_12RS1_05101
RD_12RS1_0661
RD_12RS1_0751
RD_12RS1_0851
RD_12RS1_0941
RD_12RS1_0a101
RD_12RS1_0b31
RD_12RS1_0c31
RD_12RS1_0d21
RD_12RS1_0e11
RD_12RS1_0f81
RD_12RS1_1031
RD_12RS1_1151
RD_12RS1_1231
RD_12RS1_1351
RD_12RS1_1441
RD_12RS1_1531
RD_12RS1_1671
RD_12RS1_1721
RD_12RS1_1891
RD_12RS1_1921
RD_12RS1_1a21
RD_12RS1_1b61
RD_12RS1_1c11
RD_12RS1_1d41
RD_12RS1_1e31
RD_12RS1_1f41
RD_13RS1_0041
RD_13RS1_0121
RD_13RS1_0241
RD_13RS1_0341
RD_13RS1_0451
RD_13RS1_0571
RD_13RS1_0641
RD_13RS1_0741
RD_13RS1_0841
RD_13RS1_0941
RD_13RS1_0a91
RD_13RS1_0b61
RD_13RS1_0c61
RD_13RS1_0d41
RD_13RS1_0e31
RD_13RS1_0f61
RD_13RS1_1041
RD_13RS1_1151
RD_13RS1_1281
RD_13RS1_1351
RD_13RS1_1421
RD_13RS1_1541
RD_13RS1_1661
RD_13RS1_1791
RD_13RS1_1861
RD_13RS1_19121
RD_13RS1_1a31
RD_13RS1_1b101
RD_13RS1_1c81
RD_13RS1_1d51
RD_13RS1_1e91
RD_13RS1_1f111
RD_14RS1_0091
RD_14RS1_0141
RD_14RS1_0251
RD_14RS1_0321
RD_14RS1_0431
RD_14RS1_0561
RD_14RS1_0641
RD_14RS1_0771
RD_14RS1_0841
RD_14RS1_0961
RD_14RS1_0a51
RD_14RS1_0b51
RD_14RS1_0c51
RD_14RS1_0d41
RD_14RS1_0e111
RD_14RS1_0f41
RD_14RS1_1061
RD_14RS1_1151
RD_14RS1_1251
RD_14RS1_1311
RD_14RS1_1461
RD_14RS1_1561
RD_14RS1_1671
RD_14RS1_1731
RD_14RS1_1851
RD_14RS1_1941
RD_14RS1_1a71
RD_14RS1_1b41
RD_14RS1_1c71
RD_14RS1_1d21
RD_14RS1_1e111
RD_14RS1_1f51
RD_15RS1_0031
RD_15RS1_0151
RD_15RS1_0221
RD_15RS1_0341
RD_15RS1_0451
RD_15RS1_0581
RD_15RS1_0631
RD_15RS1_07101
RD_15RS1_0861
RD_15RS1_0921
RD_15RS1_0a31
RD_15RS1_0b21
RD_15RS1_0c61
RD_15RS1_0d41
RD_15RS1_0e71
RD_15RS1_0f51
RD_15RS1_1021
RD_15RS1_1131
RD_15RS1_1261
RD_15RS1_1361
RD_15RS1_1451
RD_15RS1_1561
RD_15RS1_1611
RD_15RS1_17111
RD_15RS1_1851
RD_15RS1_1921
RD_15RS1_1a41
RD_15RS1_1b31
RD_15RS1_1c91
RD_15RS1_1d51
RD_15RS1_1e41
RD_15RS1_1f51
RD_16RS1_0061
RD_16RS1_0171
RD_16RS1_0241
RD_16RS1_0331
RD_16RS1_0461
RD_16RS1_0581
RD_16RS1_0671
RD_16RS1_0761
RD_16RS1_0851
RD_16RS1_0921
RD_16RS1_0a51
RD_16RS1_0b41
RD_16RS1_0c61
RD_16RS1_0d51
RD_16RS1_0e21
RD_16RS1_0f81
RD_16RS1_10111
RD_16RS1_1261
RD_16RS1_1341
RD_16RS1_1471
RD_16RS1_1531
RD_16RS1_1671
RD_16RS1_1771
RD_16RS1_1851
RD_16RS1_1941
RD_16RS1_1a41
RD_16RS1_1b21
RD_16RS1_1c31
RD_16RS1_1d61
RD_16RS1_1e21
RD_16RS1_1f11
RD_17RS1_0071
RD_17RS1_0171
RD_17RS1_02121
RD_17RS1_0381
RD_17RS1_04121
RD_17RS1_0581
RD_17RS1_0691
RD_17RS1_0771
RD_17RS1_0831
RD_17RS1_0931
RD_17RS1_0a71
RD_17RS1_0b31
RD_17RS1_0c41
RD_17RS1_0d101
RD_17RS1_0e21
RD_17RS1_0f71
RD_17RS1_1031
RD_17RS1_1161
RD_17RS1_1271
RD_17RS1_1351
RD_17RS1_1471
RD_17RS1_1561
RD_17RS1_1671
RD_17RS1_1721
RD_17RS1_18101
RD_17RS1_19111
RD_17RS1_1a41
RD_17RS1_1b71
RD_17RS1_1c11
RD_17RS1_1d91
RD_17RS1_1e21
RD_17RS1_1f21
RD_18RS1_0021
RD_18RS1_0161
RD_18RS1_0251
RD_18RS1_0331
RD_18RS1_0421
RD_18RS1_0561
RD_18RS1_0641
RD_18RS1_0721
RD_18RS1_0871
RD_18RS1_0991
RD_18RS1_0a71
RD_18RS1_0b61
RD_18RS1_0c51
RD_18RS1_0d31
RD_18RS1_0e101
RD_18RS1_0f31
RD_18RS1_1071
RD_18RS1_1131
RD_18RS1_1251
RD_18RS1_1371
RD_18RS1_1491
RD_18RS1_1531
RD_18RS1_1661
RD_18RS1_1781
RD_18RS1_1851
RD_18RS1_1951
RD_18RS1_1a61
RD_18RS1_1b21
RD_18RS1_1c41
RD_18RS1_1d21
RD_18RS1_1e31
RD_18RS1_1f31
RD_19RS1_0181
RD_19RS1_0231
RD_19RS1_0371
RD_19RS1_04121
RD_19RS1_0561
RD_19RS1_0681
RD_19RS1_0711
RD_19RS1_0871
RD_19RS1_0951
RD_19RS1_0a21
RD_19RS1_0b31
RD_19RS1_0c31
RD_19RS1_0d61
RD_19RS1_0e61
RD_19RS1_0f11
RD_19RS1_1041
RD_19RS1_1151
RD_19RS1_1281
RD_19RS1_1331
RD_19RS1_1451
RD_19RS1_1531
RD_19RS1_1641
RD_19RS1_1781
RD_19RS1_1831
RD_19RS1_1951
RD_19RS1_1a71
RD_19RS1_1b61
RD_19RS1_1c41
RD_19RS1_1d31
RD_19RS1_1e31
RD_19RS1_1f51
RD_1aRS1_0021
RD_1aRS1_0171
RD_1aRS1_0261
RD_1aRS1_0331
RD_1aRS1_0491
RD_1aRS1_0541
RD_1aRS1_0641
RD_1aRS1_0791
RD_1aRS1_0851
RD_1aRS1_0961
RD_1aRS1_0a11
RD_1aRS1_0b21
RD_1aRS1_0c51
RD_1aRS1_0d31
RD_1aRS1_0e51
RD_1aRS1_0f71
RD_1aRS1_1061
RD_1aRS1_1161
RD_1aRS1_1231
RD_1aRS1_1331
RD_1aRS1_1461
RD_1aRS1_1531
RD_1aRS1_1621
RD_1aRS1_1741
RD_1aRS1_1811
RD_1aRS1_1951
RD_1aRS1_1a21
RD_1aRS1_1b181
RD_1aRS1_1c51
RD_1aRS1_1d11
RD_1aRS1_1e31
RD_1aRS1_1f61
RD_1bRS1_0091
RD_1bRS1_0161
RD_1bRS1_0231
RD_1bRS1_0311
RD_1bRS1_0491
RD_1bRS1_0591
RD_1bRS1_0681
RD_1bRS1_0741
RD_1bRS1_0871
RD_1bRS1_09121
RD_1bRS1_0a61
RD_1bRS1_0b31
RD_1bRS1_0c111
RD_1bRS1_0d31
RD_1bRS1_0e71
RD_1bRS1_0f71
RD_1bRS1_1021
RD_1bRS1_1171
RD_1bRS1_1261
RD_1bRS1_1311
RD_1bRS1_14101
RD_1bRS1_1531
RD_1bRS1_1661
RD_1bRS1_1781
RD_1bRS1_1871
RD_1bRS1_1921
RD_1bRS1_1a31
RD_1bRS1_1b41
RD_1bRS1_1c91
RD_1bRS1_1d61
RD_1bRS1_1e51
RD_1bRS1_1f41
RD_1cRS1_0041
RD_1cRS1_0171
RD_1cRS1_0241
RD_1cRS1_0331
RD_1cRS1_0481
RD_1cRS1_0551
RD_1cRS1_0651
RD_1cRS1_0771
RD_1cRS1_0861
RD_1cRS1_0921
RD_1cRS1_0a31
RD_1cRS1_0b31
RD_1cRS1_0c41
RD_1cRS1_0d91
RD_1cRS1_0e91
RD_1cRS1_0f61
RD_1cRS1_1041
RD_1cRS1_1131
RD_1cRS1_1211
RD_1cRS1_1361
RD_1cRS1_1451
RD_1cRS1_1571
RD_1cRS1_1651
RD_1cRS1_1741
RD_1cRS1_1821
RD_1cRS1_1921
RD_1cRS1_1a41
RD_1cRS1_1b51
RD_1cRS1_1c101
RD_1cRS1_1d121
RD_1cRS1_1e31
RD_1cRS1_1f61
RD_1dRS1_0051
RD_1dRS1_0161
RD_1dRS1_0271
RD_1dRS1_0341
RD_1dRS1_0451
RD_1dRS1_0561
RD_1dRS1_0681
RD_1dRS1_0751
RD_1dRS1_0851
RD_1dRS1_0951
RD_1dRS1_0a71
RD_1dRS1_0b31
RD_1dRS1_0c41
RD_1dRS1_0d71
RD_1dRS1_0e31
RD_1dRS1_0f61
RD_1dRS1_1081
RD_1dRS1_1131
RD_1dRS1_1251
RD_1dRS1_1341
RD_1dRS1_1441
RD_1dRS1_1511
RD_1dRS1_1641
RD_1dRS1_1761
RD_1dRS1_1851
RD_1dRS1_1991
RD_1dRS1_1a41
RD_1dRS1_1b31
RD_1dRS1_1c51
RD_1dRS1_1d11
RD_1dRS1_1e31
RD_1dRS1_1f71
RD_1eRS1_0071
RD_1eRS1_0131
RD_1eRS1_0261
RD_1eRS1_0391
RD_1eRS1_0431
RD_1eRS1_0551
RD_1eRS1_0651
RD_1eRS1_0731
RD_1eRS1_0841
RD_1eRS1_09101
RD_1eRS1_0a41
RD_1eRS1_0b41
RD_1eRS1_0c91
RD_1eRS1_0d51
RD_1eRS1_0e61
RD_1eRS1_0f71
RD_1eRS1_1031
RD_1eRS1_1161
RD_1eRS1_1251
RD_1eRS1_1381
RD_1eRS1_1461
RD_1eRS1_1511
RD_1eRS1_1691
RD_1eRS1_1761
RD_1eRS1_1861
RD_1eRS1_1961
RD_1eRS1_1a71
RD_1eRS1_1b71
RD_1eRS1_1c21
RD_1eRS1_1d41
RD_1eRS1_1e41
RD_1eRS1_1f81
RD_1fRS1_0031
RD_1fRS1_0151
RD_1fRS1_0241
RD_1fRS1_03101
RD_1fRS1_0461
RD_1fRS1_0541
RD_1fRS1_0681
RD_1fRS1_0781
RD_1fRS1_0881
RD_1fRS1_0971
RD_1fRS1_0a61
RD_1fRS1_0b61
RD_1fRS1_0c61
RD_1fRS1_0d21
RD_1fRS1_0e101
RD_1fRS1_0f91
RD_1fRS1_1031
RD_1fRS1_1121
RD_1fRS1_1261
RD_1fRS1_1361
RD_1fRS1_1431
RD_1fRS1_1581
RD_1fRS1_1631
RD_1fRS1_1731
RD_1fRS1_1831
RD_1fRS1_1971
RD_1fRS1_1a61
RD_1fRS1_1b71
RD_1fRS1_1c81
RD_1fRS1_1d51
RD_1fRS1_1e21
RD_1fRS1_1f61

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10245101999.51 5

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_02][RS2_09]011
[RD_03][RS2_0b]011
[RD_04][RS2_0a]011
[RD_0d][RS2_0d]011
[RD_14][RS2_12]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0071
RD_00RS2_0151
RD_00RS2_0241
RD_00RS2_0361
RD_00RS2_04121
RD_00RS2_0521
RD_00RS2_0671
RD_00RS2_0771
RD_00RS2_0851
RD_00RS2_0941
RD_00RS2_0a61
RD_00RS2_0b81
RD_00RS2_0c81
RD_00RS2_0d21
RD_00RS2_0e11
RD_00RS2_0f81
RD_00RS2_1031
RD_00RS2_1181
RD_00RS2_1251
RD_00RS2_1331
RD_00RS2_1461
RD_00RS2_1521
RD_00RS2_1671
RD_00RS2_1741
RD_00RS2_1861
RD_00RS2_1971
RD_00RS2_1a41
RD_00RS2_1b41
RD_00RS2_1c71
RD_00RS2_1d71
RD_00RS2_1e81
RD_00RS2_1f61
RD_01RS2_0061
RD_01RS2_0131
RD_01RS2_0281
RD_01RS2_0381
RD_01RS2_0461
RD_01RS2_0541
RD_01RS2_0651
RD_01RS2_0751
RD_01RS2_0831
RD_01RS2_0971
RD_01RS2_0a51
RD_01RS2_0b101
RD_01RS2_0c31
RD_01RS2_0d71
RD_01RS2_0e71
RD_01RS2_0f111
RD_01RS2_1091
RD_01RS2_1131
RD_01RS2_1261
RD_01RS2_1381
RD_01RS2_1451
RD_01RS2_1581
RD_01RS2_1651
RD_01RS2_1741
RD_01RS2_1831
RD_01RS2_1921
RD_01RS2_1a31
RD_01RS2_1b41
RD_01RS2_1c51
RD_01RS2_1d51
RD_01RS2_1e31
RD_01RS2_1f61
RD_02RS2_0051
RD_02RS2_0161
RD_02RS2_0221
RD_02RS2_0371
RD_02RS2_0461
RD_02RS2_0551
RD_02RS2_0681
RD_02RS2_0771
RD_02RS2_0821
RD_02RS2_0a11
RD_02RS2_0b81
RD_02RS2_0c81
RD_02RS2_0d61
RD_02RS2_0e71
RD_02RS2_0f31
RD_02RS2_1031
RD_02RS2_1131
RD_02RS2_1271
RD_02RS2_1331
RD_02RS2_1471
RD_02RS2_1541
RD_02RS2_1651
RD_02RS2_1751
RD_02RS2_1861
RD_02RS2_1971
RD_02RS2_1a71
RD_02RS2_1b41
RD_02RS2_1c11
RD_02RS2_1d41
RD_02RS2_1e51
RD_02RS2_1f41
RD_03RS2_0071
RD_03RS2_0161
RD_03RS2_0231
RD_03RS2_0331
RD_03RS2_0461
RD_03RS2_0541
RD_03RS2_0631
RD_03RS2_0751
RD_03RS2_0841
RD_03RS2_0941
RD_03RS2_0a31
RD_03RS2_0c31
RD_03RS2_0d51
RD_03RS2_0e81
RD_03RS2_0f91
RD_03RS2_1091
RD_03RS2_1141
RD_03RS2_1231
RD_03RS2_1351
RD_03RS2_1441
RD_03RS2_1561
RD_03RS2_1641
RD_03RS2_1731
RD_03RS2_1871
RD_03RS2_1991
RD_03RS2_1a111
RD_03RS2_1b31
RD_03RS2_1c51
RD_03RS2_1d101
RD_03RS2_1e51
RD_03RS2_1f41
RD_04RS2_0071
RD_04RS2_0131
RD_04RS2_0231
RD_04RS2_0371
RD_04RS2_0411
RD_04RS2_0581
RD_04RS2_0631
RD_04RS2_0731
RD_04RS2_0831
RD_04RS2_0971
RD_04RS2_0b71
RD_04RS2_0c41
RD_04RS2_0d51
RD_04RS2_0e71
RD_04RS2_0f31
RD_04RS2_1031
RD_04RS2_1151
RD_04RS2_1241
RD_04RS2_1351
RD_04RS2_1491
RD_04RS2_1571
RD_04RS2_1651
RD_04RS2_1731
RD_04RS2_1831
RD_04RS2_1991
RD_04RS2_1a71
RD_04RS2_1b31
RD_04RS2_1c51
RD_04RS2_1d91
RD_04RS2_1e31
RD_04RS2_1f61
RD_05RS2_0031
RD_05RS2_0151
RD_05RS2_0221
RD_05RS2_0361
RD_05RS2_0451
RD_05RS2_0541
RD_05RS2_0631
RD_05RS2_0751
RD_05RS2_0831
RD_05RS2_0931
RD_05RS2_0a81
RD_05RS2_0b81
RD_05RS2_0c81
RD_05RS2_0d121
RD_05RS2_0e101
RD_05RS2_0f31
RD_05RS2_1061
RD_05RS2_1131
RD_05RS2_1251
RD_05RS2_1351
RD_05RS2_1421
RD_05RS2_1541
RD_05RS2_16101
RD_05RS2_1761
RD_05RS2_1851
RD_05RS2_1941
RD_05RS2_1a31
RD_05RS2_1b51
RD_05RS2_1c61
RD_05RS2_1d111
RD_05RS2_1e51
RD_05RS2_1f21
RD_06RS2_0051
RD_06RS2_0191
RD_06RS2_0221
RD_06RS2_0361
RD_06RS2_0441
RD_06RS2_0561
RD_06RS2_0671
RD_06RS2_07121
RD_06RS2_0871
RD_06RS2_0951
RD_06RS2_0a31
RD_06RS2_0b71
RD_06RS2_0c21
RD_06RS2_0d41
RD_06RS2_0e61
RD_06RS2_0f51
RD_06RS2_1021
RD_06RS2_1131
RD_06RS2_1221
RD_06RS2_1341
RD_06RS2_1481
RD_06RS2_1551
RD_06RS2_1621
RD_06RS2_1721
RD_06RS2_1841
RD_06RS2_1911
RD_06RS2_1a41
RD_06RS2_1b51
RD_06RS2_1c81
RD_06RS2_1d21
RD_06RS2_1e31
RD_06RS2_1f61
RD_07RS2_0061
RD_07RS2_0161
RD_07RS2_0251
RD_07RS2_0321
RD_07RS2_0431
RD_07RS2_0541
RD_07RS2_0641
RD_07RS2_07111
RD_07RS2_0851
RD_07RS2_0921
RD_07RS2_0a41
RD_07RS2_0b21
RD_07RS2_0c121
RD_07RS2_0d31
RD_07RS2_0e31
RD_07RS2_0f81
RD_07RS2_1041
RD_07RS2_1121
RD_07RS2_1241
RD_07RS2_1351
RD_07RS2_1421
RD_07RS2_1561
RD_07RS2_1661
RD_07RS2_1741
RD_07RS2_1841
RD_07RS2_1971
RD_07RS2_1a41
RD_07RS2_1b61
RD_07RS2_1c41
RD_07RS2_1d91
RD_07RS2_1e41
RD_07RS2_1f31
RD_08RS2_0071
RD_08RS2_0171
RD_08RS2_0271
RD_08RS2_0351
RD_08RS2_0441
RD_08RS2_0581
RD_08RS2_0661
RD_08RS2_0751
RD_08RS2_0861
RD_08RS2_0951
RD_08RS2_0a11
RD_08RS2_0b11
RD_08RS2_0c21
RD_08RS2_0d71
RD_08RS2_0e31
RD_08RS2_0f71
RD_08RS2_1091
RD_08RS2_1111
RD_08RS2_12101
RD_08RS2_1341
RD_08RS2_1451
RD_08RS2_1571
RD_08RS2_1621
RD_08RS2_1761
RD_08RS2_1851
RD_08RS2_1931
RD_08RS2_1a81
RD_08RS2_1b51
RD_08RS2_1c41
RD_08RS2_1d61
RD_08RS2_1e51
RD_08RS2_1f41
RD_09RS2_0081
RD_09RS2_0171
RD_09RS2_0231
RD_09RS2_0351
RD_09RS2_0451
RD_09RS2_0551
RD_09RS2_0641
RD_09RS2_0731
RD_09RS2_0871
RD_09RS2_0951
RD_09RS2_0a31
RD_09RS2_0b21
RD_09RS2_0c11
RD_09RS2_0d61
RD_09RS2_0e61
RD_09RS2_0f61
RD_09RS2_1091
RD_09RS2_11131
RD_09RS2_1291
RD_09RS2_1391
RD_09RS2_1431
RD_09RS2_1551
RD_09RS2_16111
RD_09RS2_1741
RD_09RS2_1821
RD_09RS2_1961
RD_09RS2_1a41
RD_09RS2_1b61
RD_09RS2_1c31
RD_09RS2_1d11
RD_09RS2_1e101
RD_09RS2_1f51
RD_0aRS2_0051
RD_0aRS2_0111
RD_0aRS2_02101
RD_0aRS2_0341
RD_0aRS2_0481
RD_0aRS2_0561
RD_0aRS2_0631
RD_0aRS2_0781
RD_0aRS2_0851
RD_0aRS2_0921
RD_0aRS2_0a81
RD_0aRS2_0b31
RD_0aRS2_0c31
RD_0aRS2_0d81
RD_0aRS2_0e111
RD_0aRS2_0f61
RD_0aRS2_1061
RD_0aRS2_1141
RD_0aRS2_1221
RD_0aRS2_1331
RD_0aRS2_1441
RD_0aRS2_1531
RD_0aRS2_1621
RD_0aRS2_1781
RD_0aRS2_1841
RD_0aRS2_1991
RD_0aRS2_1a31
RD_0aRS2_1b21
RD_0aRS2_1c41
RD_0aRS2_1d41
RD_0aRS2_1e81
RD_0aRS2_1f101
RD_0bRS2_0071
RD_0bRS2_0181
RD_0bRS2_0221
RD_0bRS2_0351
RD_0bRS2_0431
RD_0bRS2_0561
RD_0bRS2_0651
RD_0bRS2_0761
RD_0bRS2_0861
RD_0bRS2_09121
RD_0bRS2_0a21
RD_0bRS2_0b41
RD_0bRS2_0c81
RD_0bRS2_0d51
RD_0bRS2_0e41
RD_0bRS2_0f31
RD_0bRS2_1031
RD_0bRS2_1161
RD_0bRS2_12121
RD_0bRS2_13101
RD_0bRS2_1441
RD_0bRS2_1531
RD_0bRS2_1641
RD_0bRS2_1741
RD_0bRS2_1821
RD_0bRS2_1951
RD_0bRS2_1a51
RD_0bRS2_1b41
RD_0bRS2_1c61
RD_0bRS2_1d81
RD_0bRS2_1e41
RD_0bRS2_1f71
RD_0cRS2_0031
RD_0cRS2_0151
RD_0cRS2_0211
RD_0cRS2_0361
RD_0cRS2_0441
RD_0cRS2_0561
RD_0cRS2_0661
RD_0cRS2_0771
RD_0cRS2_0811
RD_0cRS2_0931
RD_0cRS2_0a31
RD_0cRS2_0b31
RD_0cRS2_0c31
RD_0cRS2_0d41
RD_0cRS2_0e31
RD_0cRS2_0f41
RD_0cRS2_1051
RD_0cRS2_1141
RD_0cRS2_1221
RD_0cRS2_1371
RD_0cRS2_1491
RD_0cRS2_1581
RD_0cRS2_1621
RD_0cRS2_1721
RD_0cRS2_1851
RD_0cRS2_1931
RD_0cRS2_1a71
RD_0cRS2_1b21
RD_0cRS2_1c101
RD_0cRS2_1d61
RD_0cRS2_1e41
RD_0cRS2_1f51
RD_0dRS2_0061
RD_0dRS2_0161
RD_0dRS2_0251
RD_0dRS2_0341
RD_0dRS2_0421
RD_0dRS2_0541
RD_0dRS2_0671
RD_0dRS2_0771
RD_0dRS2_0871
RD_0dRS2_0921
RD_0dRS2_0a51
RD_0dRS2_0b31
RD_0dRS2_0c31
RD_0dRS2_0e31
RD_0dRS2_0f41
RD_0dRS2_1081
RD_0dRS2_1131
RD_0dRS2_1281
RD_0dRS2_1351
RD_0dRS2_1431
RD_0dRS2_1551
RD_0dRS2_1611
RD_0dRS2_1761
RD_0dRS2_18101
RD_0dRS2_1931
RD_0dRS2_1a21
RD_0dRS2_1b31
RD_0dRS2_1c61
RD_0dRS2_1d41
RD_0dRS2_1e91
RD_0dRS2_1f71
RD_0eRS2_0081
RD_0eRS2_0181
RD_0eRS2_0281
RD_0eRS2_0351
RD_0eRS2_0441
RD_0eRS2_0531
RD_0eRS2_0671
RD_0eRS2_0761
RD_0eRS2_0841
RD_0eRS2_0981
RD_0eRS2_0a51
RD_0eRS2_0b31
RD_0eRS2_0c71
RD_0eRS2_0d101
RD_0eRS2_0e81
RD_0eRS2_0f41
RD_0eRS2_1081
RD_0eRS2_1161
RD_0eRS2_1231
RD_0eRS2_1331
RD_0eRS2_1491
RD_0eRS2_1531
RD_0eRS2_1611
RD_0eRS2_1781
RD_0eRS2_1861
RD_0eRS2_1941
RD_0eRS2_1a21
RD_0eRS2_1b21
RD_0eRS2_1c121
RD_0eRS2_1d41
RD_0eRS2_1e41
RD_0eRS2_1f21
RD_0fRS2_0061
RD_0fRS2_0151
RD_0fRS2_0231
RD_0fRS2_03111
RD_0fRS2_0471
RD_0fRS2_0551
RD_0fRS2_0641
RD_0fRS2_0741
RD_0fRS2_08121
RD_0fRS2_0911
RD_0fRS2_0a71
RD_0fRS2_0b61
RD_0fRS2_0c41
RD_0fRS2_0d101
RD_0fRS2_0e41
RD_0fRS2_0f41
RD_0fRS2_1071
RD_0fRS2_1141
RD_0fRS2_1241
RD_0fRS2_1341
RD_0fRS2_1451
RD_0fRS2_1541
RD_0fRS2_1641
RD_0fRS2_1761
RD_0fRS2_1871
RD_0fRS2_1951
RD_0fRS2_1a81
RD_0fRS2_1b11
RD_0fRS2_1c91
RD_0fRS2_1d61
RD_0fRS2_1e121
RD_0fRS2_1f41
RD_10RS2_0061
RD_10RS2_0181
RD_10RS2_0251
RD_10RS2_0351
RD_10RS2_0431
RD_10RS2_0581
RD_10RS2_0651
RD_10RS2_07111
RD_10RS2_0821
RD_10RS2_0961
RD_10RS2_0a51
RD_10RS2_0b91
RD_10RS2_0c51
RD_10RS2_0d51
RD_10RS2_0e61
RD_10RS2_0f31
RD_10RS2_1041
RD_10RS2_1161
RD_10RS2_1251
RD_10RS2_1341
RD_10RS2_1461
RD_10RS2_1561
RD_10RS2_1661
RD_10RS2_1721
RD_10RS2_1821
RD_10RS2_1961
RD_10RS2_1a41
RD_10RS2_1b81
RD_10RS2_1c71
RD_10RS2_1d61
RD_10RS2_1e51
RD_10RS2_1f81
RD_11RS2_0061
RD_11RS2_0131
RD_11RS2_0261
RD_11RS2_0371
RD_11RS2_0471
RD_11RS2_05101
RD_11RS2_0671
RD_11RS2_0731
RD_11RS2_0861
RD_11RS2_0941
RD_11RS2_0a61
RD_11RS2_0b31
RD_11RS2_0c31
RD_11RS2_0d71
RD_11RS2_0e41
RD_11RS2_0f31
RD_11RS2_1031
RD_11RS2_1121
RD_11RS2_1241
RD_11RS2_1311
RD_11RS2_1431
RD_11RS2_1571
RD_11RS2_1681
RD_11RS2_1751
RD_11RS2_1871
RD_11RS2_1911
RD_11RS2_1a101
RD_11RS2_1b21
RD_11RS2_1c81
RD_11RS2_1d71
RD_11RS2_1e11
RD_11RS2_1f51
RD_12RS2_0031
RD_12RS2_0151
RD_12RS2_0241
RD_12RS2_0331
RD_12RS2_0421
RD_12RS2_05111
RD_12RS2_0651
RD_12RS2_0771
RD_12RS2_0891
RD_12RS2_0961
RD_12RS2_0a41
RD_12RS2_0b91
RD_12RS2_0c51
RD_12RS2_0d21
RD_12RS2_0e21
RD_12RS2_0f21
RD_12RS2_1011
RD_12RS2_1151
RD_12RS2_1271
RD_12RS2_13101
RD_12RS2_1451
RD_12RS2_1531
RD_12RS2_1681
RD_12RS2_1721
RD_12RS2_1821
RD_12RS2_1991
RD_12RS2_1a21
RD_12RS2_1b51
RD_12RS2_1c21
RD_12RS2_1d31
RD_12RS2_1e31
RD_12RS2_1f11
RD_13RS2_00161
RD_13RS2_0171
RD_13RS2_0281
RD_13RS2_0311
RD_13RS2_0481
RD_13RS2_0561
RD_13RS2_0671
RD_13RS2_0741
RD_13RS2_0831
RD_13RS2_09111
RD_13RS2_0a21
RD_13RS2_0b21
RD_13RS2_0c71
RD_13RS2_0d31
RD_13RS2_0e101
RD_13RS2_0f61
RD_13RS2_1031
RD_13RS2_1161
RD_13RS2_1231
RD_13RS2_1381
RD_13RS2_1461
RD_13RS2_1511
RD_13RS2_1651
RD_13RS2_1751
RD_13RS2_1891
RD_13RS2_1971
RD_13RS2_1a51
RD_13RS2_1b101
RD_13RS2_1c31
RD_13RS2_1d41
RD_13RS2_1e51
RD_13RS2_1f21
RD_14RS2_0051
RD_14RS2_0171
RD_14RS2_0241
RD_14RS2_0341
RD_14RS2_0471
RD_14RS2_0571
RD_14RS2_0671
RD_14RS2_0731
RD_14RS2_0831
RD_14RS2_0931
RD_14RS2_0a41
RD_14RS2_0b91
RD_14RS2_0c51
RD_14RS2_0d61
RD_14RS2_0e61
RD_14RS2_0f61
RD_14RS2_1081
RD_14RS2_1171
RD_14RS2_1391
RD_14RS2_1461
RD_14RS2_1561
RD_14RS2_1681
RD_14RS2_1771
RD_14RS2_1821
RD_14RS2_1971
RD_14RS2_1a61
RD_14RS2_1b21
RD_14RS2_1c11
RD_14RS2_1d51
RD_14RS2_1e41
RD_14RS2_1f41
RD_15RS2_0051
RD_15RS2_0161
RD_15RS2_0261
RD_15RS2_0371
RD_15RS2_0441
RD_15RS2_0551
RD_15RS2_0641
RD_15RS2_0731
RD_15RS2_0841
RD_15RS2_0941
RD_15RS2_0a71
RD_15RS2_0b61
RD_15RS2_0c51
RD_15RS2_0d21
RD_15RS2_0e71
RD_15RS2_0f61
RD_15RS2_1051
RD_15RS2_1141
RD_15RS2_1231
RD_15RS2_1321
RD_15RS2_1431
RD_15RS2_1531
RD_15RS2_1671
RD_15RS2_1721
RD_15RS2_1821
RD_15RS2_1941
RD_15RS2_1a61
RD_15RS2_1b51
RD_15RS2_1c101
RD_15RS2_1d101
RD_15RS2_1e11
RD_15RS2_1f41
RD_16RS2_0041
RD_16RS2_0181
RD_16RS2_0231
RD_16RS2_0351
RD_16RS2_04111
RD_16RS2_0581
RD_16RS2_0631
RD_16RS2_0731
RD_16RS2_0851
RD_16RS2_0911
RD_16RS2_0a81
RD_16RS2_0b31
RD_16RS2_0c21
RD_16RS2_0d21
RD_16RS2_0e71
RD_16RS2_0f81
RD_16RS2_1081
RD_16RS2_1171
RD_16RS2_1261
RD_16RS2_1361
RD_16RS2_1471
RD_16RS2_1511
RD_16RS2_1631
RD_16RS2_1781
RD_16RS2_1841
RD_16RS2_1931
RD_16RS2_1a11
RD_16RS2_1b71
RD_16RS2_1c31
RD_16RS2_1d71
RD_16RS2_1e21
RD_16RS2_1f21
RD_17RS2_0071
RD_17RS2_0131
RD_17RS2_0251
RD_17RS2_0361
RD_17RS2_0431
RD_17RS2_0531
RD_17RS2_0611
RD_17RS2_0781
RD_17RS2_0821
RD_17RS2_0951
RD_17RS2_0a151
RD_17RS2_0b81
RD_17RS2_0c71
RD_17RS2_0d91
RD_17RS2_0e61
RD_17RS2_0f61
RD_17RS2_1051
RD_17RS2_1121
RD_17RS2_12151
RD_17RS2_1371
RD_17RS2_1471
RD_17RS2_15111
RD_17RS2_1681
RD_17RS2_1781
RD_17RS2_1851
RD_17RS2_1951
RD_17RS2_1a91
RD_17RS2_1b31
RD_17RS2_1c81
RD_17RS2_1d31
RD_17RS2_1e41
RD_17RS2_1f41
RD_18RS2_0041
RD_18RS2_0151
RD_18RS2_0241
RD_18RS2_0351
RD_18RS2_0481
RD_18RS2_0561
RD_18RS2_0631
RD_18RS2_0751
RD_18RS2_0851
RD_18RS2_0941
RD_18RS2_0a21
RD_18RS2_0b41
RD_18RS2_0c61
RD_18RS2_0d61
RD_18RS2_0e51
RD_18RS2_0f51
RD_18RS2_1031
RD_18RS2_1151
RD_18RS2_1271
RD_18RS2_1321
RD_18RS2_1431
RD_18RS2_1511
RD_18RS2_16101
RD_18RS2_1731
RD_18RS2_1861
RD_18RS2_1961
RD_18RS2_1a71
RD_18RS2_1b41
RD_18RS2_1c71
RD_18RS2_1d61
RD_18RS2_1e21
RD_18RS2_1f91
RD_19RS2_0021
RD_19RS2_0181
RD_19RS2_0231
RD_19RS2_0341
RD_19RS2_04151
RD_19RS2_0521
RD_19RS2_0611
RD_19RS2_0721
RD_19RS2_0821
RD_19RS2_0981
RD_19RS2_0a11
RD_19RS2_0b41
RD_19RS2_0c21
RD_19RS2_0d11
RD_19RS2_0e41
RD_19RS2_0f21
RD_19RS2_1021
RD_19RS2_1141
RD_19RS2_1251
RD_19RS2_1361
RD_19RS2_1431
RD_19RS2_1581
RD_19RS2_1641
RD_19RS2_1741
RD_19RS2_1881
RD_19RS2_1971
RD_19RS2_1a51
RD_19RS2_1b101
RD_19RS2_1c111
RD_19RS2_1d41
RD_19RS2_1e71
RD_19RS2_1f51
RD_1aRS2_0031
RD_1aRS2_0121
RD_1aRS2_0231
RD_1aRS2_0381
RD_1aRS2_0471
RD_1aRS2_0541
RD_1aRS2_0631
RD_1aRS2_0731
RD_1aRS2_08101
RD_1aRS2_0921
RD_1aRS2_0a61
RD_1aRS2_0b71
RD_1aRS2_0c51
RD_1aRS2_0d31
RD_1aRS2_0e41
RD_1aRS2_0f41
RD_1aRS2_1051
RD_1aRS2_1131
RD_1aRS2_1231
RD_1aRS2_1331
RD_1aRS2_1441
RD_1aRS2_1551
RD_1aRS2_1661
RD_1aRS2_1751
RD_1aRS2_1851
RD_1aRS2_1981
RD_1aRS2_1a51
RD_1aRS2_1b81
RD_1aRS2_1c51
RD_1aRS2_1d51
RD_1aRS2_1e21
RD_1aRS2_1f61
RD_1bRS2_0051
RD_1bRS2_0151
RD_1bRS2_02101
RD_1bRS2_0381
RD_1bRS2_0471
RD_1bRS2_0561
RD_1bRS2_0671
RD_1bRS2_0731
RD_1bRS2_0851
RD_1bRS2_0971
RD_1bRS2_0a121
RD_1bRS2_0b51
RD_1bRS2_0c71
RD_1bRS2_0d101
RD_1bRS2_0e91
RD_1bRS2_0f51
RD_1bRS2_1031
RD_1bRS2_1121
RD_1bRS2_1261
RD_1bRS2_1341
RD_1bRS2_1451
RD_1bRS2_1581
RD_1bRS2_1651
RD_1bRS2_1741
RD_1bRS2_1821
RD_1bRS2_1941
RD_1bRS2_1a41
RD_1bRS2_1b61
RD_1bRS2_1c101
RD_1bRS2_1d51
RD_1bRS2_1e41
RD_1bRS2_1f51
RD_1cRS2_0061
RD_1cRS2_0111
RD_1cRS2_0251
RD_1cRS2_03121
RD_1cRS2_0451
RD_1cRS2_05151
RD_1cRS2_0641
RD_1cRS2_0721
RD_1cRS2_0831
RD_1cRS2_0951
RD_1cRS2_0a31
RD_1cRS2_0b61
RD_1cRS2_0c81
RD_1cRS2_0d51
RD_1cRS2_0e51
RD_1cRS2_0f41
RD_1cRS2_1081
RD_1cRS2_1161
RD_1cRS2_1241
RD_1cRS2_1331
RD_1cRS2_1471
RD_1cRS2_1571
RD_1cRS2_1681
RD_1cRS2_1741
RD_1cRS2_1861
RD_1cRS2_1911
RD_1cRS2_1a41
RD_1cRS2_1b11
RD_1cRS2_1c31
RD_1cRS2_1d21
RD_1cRS2_1e51
RD_1cRS2_1f61
RD_1dRS2_0051
RD_1dRS2_0161
RD_1dRS2_0261
RD_1dRS2_0321
RD_1dRS2_0451
RD_1dRS2_0521
RD_1dRS2_0651
RD_1dRS2_0771
RD_1dRS2_08131
RD_1dRS2_0961
RD_1dRS2_0a31
RD_1dRS2_0b21
RD_1dRS2_0c51
RD_1dRS2_0d41
RD_1dRS2_0e51
RD_1dRS2_0f71
RD_1dRS2_1041
RD_1dRS2_1131
RD_1dRS2_1241
RD_1dRS2_13111
RD_1dRS2_1421
RD_1dRS2_1551
RD_1dRS2_1651
RD_1dRS2_1761
RD_1dRS2_1861
RD_1dRS2_1971
RD_1dRS2_1a61
RD_1dRS2_1b41
RD_1dRS2_1c31
RD_1dRS2_1d31
RD_1dRS2_1e51
RD_1dRS2_1f11
RD_1eRS2_0051
RD_1eRS2_0181
RD_1eRS2_0241
RD_1eRS2_0361
RD_1eRS2_0471
RD_1eRS2_0581
RD_1eRS2_0611
RD_1eRS2_0751
RD_1eRS2_0811
RD_1eRS2_0971
RD_1eRS2_0a41
RD_1eRS2_0b51
RD_1eRS2_0c51
RD_1eRS2_0d51
RD_1eRS2_0e31
RD_1eRS2_0f61
RD_1eRS2_1091
RD_1eRS2_1161
RD_1eRS2_1261
RD_1eRS2_1341
RD_1eRS2_1441
RD_1eRS2_15101
RD_1eRS2_1661
RD_1eRS2_1751
RD_1eRS2_1831
RD_1eRS2_1971
RD_1eRS2_1a31
RD_1eRS2_1b81
RD_1eRS2_1c91
RD_1eRS2_1d91
RD_1eRS2_1e71
RD_1eRS2_1f21
RD_1fRS2_0051
RD_1fRS2_0111
RD_1fRS2_02111
RD_1fRS2_03131
RD_1fRS2_0451
RD_1fRS2_0551
RD_1fRS2_0651
RD_1fRS2_0781
RD_1fRS2_0851
RD_1fRS2_0971
RD_1fRS2_0a11
RD_1fRS2_0b41
RD_1fRS2_0c41
RD_1fRS2_0d81
RD_1fRS2_0e21
RD_1fRS2_0f51
RD_1fRS2_1031
RD_1fRS2_1121
RD_1fRS2_1241
RD_1fRS2_1321
RD_1fRS2_1451
RD_1fRS2_1551
RD_1fRS2_1661
RD_1fRS2_17101
RD_1fRS2_1841
RD_1fRS2_1981
RD_1fRS2_1a61
RD_1fRS2_1b81
RD_1fRS2_1c81
RD_1fRS2_1d41
RD_1fRS2_1e101
RD_1fRS2_1f61

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_6.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_6.html new file mode 100644 index 00000000..4dc898ce --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_6.html @@ -0,0 +1,104 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_nmadd_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Cross cross_rd_rs3 +
+
+Samples crossed: cp_rd cp_rs3
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_7.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_7.html new file mode 100644 index 00000000..f91eb379 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_7.html @@ -0,0 +1,11606 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001791
RD_011631
RD_021621
RD_031911
RD_041481
RD_051871
RD_061601
RD_071801
RD_081691
RD_091871
RD_0a1571
RD_0b1721
RD_0c1791
RD_0d1631
RD_0e1621
RD_0f1641
RD_101901
RD_111751
RD_121691
RD_131761
RD_142041
RD_151691
RD_161631
RD_171701
RD_181491
RD_191501
RD_1a1511
RD_1b1311
RD_1c1521
RD_1d1841
RD_1e1651
RD_1f1591

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001581
RS1_011781
RS1_021721
RS1_031701
RS1_041481
RS1_051501
RS1_061591
RS1_071801
RS1_081621
RS1_091601
RS1_0a1981
RS1_0b1601
RS1_0c1981
RS1_0d1741
RS1_0e1711
RS1_0f1671
RS1_101731
RS1_111891
RS1_121591
RS1_131901
RS1_141871
RS1_151561
RS1_161381
RS1_171821
RS1_181421
RS1_191591
RS1_1a1541
RS1_1b1741
RS1_1c1541
RS1_1d1661
RS1_1e1861
RS1_1f1661

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001761
RS2_011621
RS2_021581
RS2_031541
RS2_041541
RS2_051641
RS2_061901
RS2_071781
RS2_081571
RS2_091691
RS2_0a1911
RS2_0b1731
RS2_0c1701
RS2_0d1531
RS2_0e1821
RS2_0f1701
RS2_101151
RS2_111831
RS2_121761
RS2_131431
RS2_141851
RS2_151531
RS2_161641
RS2_171631
RS2_181581
RS2_191771
RS2_1a1491
RS2_1b1771
RS2_1c1691
RS2_1d1821
RS2_1e1921
RS2_1f1931

+
+
+Summary for Variable cp_rs3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_rs3 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RS3_000Excluded
RS3_010Excluded
RS3_020Excluded
RS3_030Excluded
RS3_040Excluded
RS3_050Excluded
RS3_060Excluded
RS3_070Excluded
RS3_080Excluded
RS3_090Excluded
RS3_0a0Excluded
RS3_0b0Excluded
RS3_0c0Excluded
RS3_0d0Excluded
RS3_0e0Excluded
RS3_0f0Excluded
RS3_100Excluded
RS3_110Excluded
RS3_120Excluded
RS3_130Excluded
RS3_140Excluded
RS3_150Excluded
RS3_160Excluded
RS3_170Excluded
RS3_180Excluded
RS3_190Excluded
RS3_1a0Excluded
RS3_1b0Excluded
RS3_1c0Excluded
RS3_1d0Excluded
RS3_1e0Excluded
RS3_1f0Excluded
IGN_RS30Excluded

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125531
BIT30_120671
BIT29_120691
BIT28_120391
BIT27_119191
BIT26_119051
BIT25_119601
BIT24_119581
BIT23_119731
BIT22_120771
BIT21_120001
BIT20_120151
BIT19_118571
BIT18_119211
BIT17_119791
BIT16_120071
BIT15_121771
BIT14_121341
BIT13_120531
BIT12_123461
BIT11_124521
BIT10_123691
BIT9_121601
BIT8_120831
BIT7_122601
BIT6_119971
BIT5_120421
BIT4_124761
BIT3_125381
BIT2_124221
BIT1_120301
BIT0_117471
BIT31_028261
BIT30_033121
BIT29_033101
BIT28_033401
BIT27_034601
BIT26_034741
BIT25_034191
BIT24_034211
BIT23_034061
BIT22_033021
BIT21_033791
BIT20_033641
BIT19_035221
BIT18_034581
BIT17_034001
BIT16_033721
BIT15_032021
BIT14_032451
BIT13_033261
BIT12_030331
BIT11_029271
BIT10_030101
BIT9_032191
BIT8_032961
BIT7_031191
BIT6_033821
BIT5_033371
BIT4_029031
BIT3_028411
BIT2_029571
BIT1_033491
BIT0_036321

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125461
BIT30_120251
BIT29_120561
BIT28_120091
BIT27_119781
BIT26_119541
BIT25_119951
BIT24_119591
BIT23_120361
BIT22_119691
BIT21_119461
BIT20_119831
BIT19_119321
BIT18_119501
BIT17_119711
BIT16_120411
BIT15_120901
BIT14_122451
BIT13_121131
BIT12_124461
BIT11_124051
BIT10_124101
BIT9_122211
BIT8_120881
BIT7_122041
BIT6_120121
BIT5_120891
BIT4_124911
BIT3_125371
BIT2_124291
BIT1_120361
BIT0_117931
BIT31_028321
BIT30_033531
BIT29_033221
BIT28_033691
BIT27_034001
BIT26_034241
BIT25_033831
BIT24_034191
BIT23_033421
BIT22_034091
BIT21_034321
BIT20_033951
BIT19_034461
BIT18_034281
BIT17_034071
BIT16_033371
BIT15_032881
BIT14_031331
BIT13_032651
BIT12_029321
BIT11_029731
BIT10_029681
BIT9_031571
BIT8_032901
BIT7_031741
BIT6_033661
BIT5_032891
BIT4_028871
BIT3_028411
BIT2_029491
BIT1_033421
BIT0_035851

+
+
+Summary for Variable cp_rs3_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10248101699.22 8

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_01][RS1_1a]011
[RD_06][RS1_05]011
[RD_06][RS1_1c]011
[RD_0a][RS1_18]011
[RD_0d][RS1_1f]011
[RD_11][RS1_0d]011
[RD_13][RS1_16]011
[RD_14][RS1_08]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0041
RD_00RS1_0151
RD_00RS1_0241
RD_00RS1_0341
RD_00RS1_0441
RD_00RS1_0541
RD_00RS1_0651
RD_00RS1_0711
RD_00RS1_0881
RD_00RS1_0971
RD_00RS1_0a71
RD_00RS1_0b61
RD_00RS1_0c81
RD_00RS1_0d81
RD_00RS1_0e21
RD_00RS1_0f41
RD_00RS1_1071
RD_00RS1_1131
RD_00RS1_1261
RD_00RS1_1371
RD_00RS1_1441
RD_00RS1_1511
RD_00RS1_1671
RD_00RS1_17111
RD_00RS1_1841
RD_00RS1_1991
RD_00RS1_1a91
RD_00RS1_1b51
RD_00RS1_1c61
RD_00RS1_1d81
RD_00RS1_1e51
RD_00RS1_1f61
RD_01RS1_0051
RD_01RS1_0131
RD_01RS1_0251
RD_01RS1_0351
RD_01RS1_0471
RD_01RS1_0551
RD_01RS1_0641
RD_01RS1_0751
RD_01RS1_0861
RD_01RS1_0941
RD_01RS1_0a21
RD_01RS1_0b71
RD_01RS1_0c101
RD_01RS1_0d61
RD_01RS1_0e51
RD_01RS1_0f51
RD_01RS1_1051
RD_01RS1_1161
RD_01RS1_1261
RD_01RS1_1341
RD_01RS1_1471
RD_01RS1_1551
RD_01RS1_1631
RD_01RS1_1761
RD_01RS1_1851
RD_01RS1_1941
RD_01RS1_1b41
RD_01RS1_1c21
RD_01RS1_1d71
RD_01RS1_1e51
RD_01RS1_1f101
RD_02RS1_0061
RD_02RS1_0171
RD_02RS1_0251
RD_02RS1_0351
RD_02RS1_0431
RD_02RS1_0551
RD_02RS1_0661
RD_02RS1_0751
RD_02RS1_0811
RD_02RS1_0971
RD_02RS1_0a91
RD_02RS1_0b81
RD_02RS1_0c51
RD_02RS1_0d91
RD_02RS1_0e61
RD_02RS1_0f61
RD_02RS1_1051
RD_02RS1_1171
RD_02RS1_1231
RD_02RS1_1321
RD_02RS1_1471
RD_02RS1_1541
RD_02RS1_1631
RD_02RS1_1751
RD_02RS1_1841
RD_02RS1_1941
RD_02RS1_1a121
RD_02RS1_1b11
RD_02RS1_1c31
RD_02RS1_1d31
RD_02RS1_1e11
RD_02RS1_1f51
RD_03RS1_0031
RD_03RS1_0181
RD_03RS1_0251
RD_03RS1_0331
RD_03RS1_0451
RD_03RS1_0571
RD_03RS1_0641
RD_03RS1_0771
RD_03RS1_0861
RD_03RS1_0991
RD_03RS1_0a41
RD_03RS1_0b51
RD_03RS1_0c41
RD_03RS1_0d31
RD_03RS1_0e81
RD_03RS1_0f121
RD_03RS1_10101
RD_03RS1_11121
RD_03RS1_1261
RD_03RS1_1341
RD_03RS1_1461
RD_03RS1_1551
RD_03RS1_1641
RD_03RS1_1771
RD_03RS1_1831
RD_03RS1_1941
RD_03RS1_1a51
RD_03RS1_1b71
RD_03RS1_1c71
RD_03RS1_1d11
RD_03RS1_1e101
RD_03RS1_1f71
RD_04RS1_0051
RD_04RS1_0121
RD_04RS1_0261
RD_04RS1_0341
RD_04RS1_0461
RD_04RS1_0581
RD_04RS1_0641
RD_04RS1_0721
RD_04RS1_0851
RD_04RS1_0941
RD_04RS1_0a81
RD_04RS1_0b31
RD_04RS1_0c71
RD_04RS1_0d41
RD_04RS1_0e31
RD_04RS1_0f51
RD_04RS1_1061
RD_04RS1_1181
RD_04RS1_1251
RD_04RS1_1381
RD_04RS1_1421
RD_04RS1_1531
RD_04RS1_1631
RD_04RS1_1711
RD_04RS1_1831
RD_04RS1_1981
RD_04RS1_1a41
RD_04RS1_1b51
RD_04RS1_1c31
RD_04RS1_1d51
RD_04RS1_1e71
RD_04RS1_1f11
RD_05RS1_0071
RD_05RS1_0171
RD_05RS1_0231
RD_05RS1_0331
RD_05RS1_0441
RD_05RS1_0531
RD_05RS1_0651
RD_05RS1_0771
RD_05RS1_0851
RD_05RS1_0971
RD_05RS1_0a81
RD_05RS1_0b111
RD_05RS1_0c81
RD_05RS1_0d61
RD_05RS1_0e31
RD_05RS1_0f31
RD_05RS1_1021
RD_05RS1_1151
RD_05RS1_1271
RD_05RS1_1341
RD_05RS1_1451
RD_05RS1_1581
RD_05RS1_1671
RD_05RS1_17111
RD_05RS1_1881
RD_05RS1_1951
RD_05RS1_1a31
RD_05RS1_1b71
RD_05RS1_1c51
RD_05RS1_1d31
RD_05RS1_1e61
RD_05RS1_1f111
RD_06RS1_0051
RD_06RS1_0111
RD_06RS1_0261
RD_06RS1_0351
RD_06RS1_0421
RD_06RS1_0611
RD_06RS1_0761
RD_06RS1_0861
RD_06RS1_0941
RD_06RS1_0a31
RD_06RS1_0b61
RD_06RS1_0c61
RD_06RS1_0d41
RD_06RS1_0e71
RD_06RS1_0f101
RD_06RS1_1051
RD_06RS1_11101
RD_06RS1_1261
RD_06RS1_1381
RD_06RS1_1441
RD_06RS1_1511
RD_06RS1_1631
RD_06RS1_1741
RD_06RS1_1861
RD_06RS1_1911
RD_06RS1_1a51
RD_06RS1_1b111
RD_06RS1_1d71
RD_06RS1_1e81
RD_06RS1_1f91
RD_07RS1_0061
RD_07RS1_0171
RD_07RS1_0231
RD_07RS1_0391
RD_07RS1_0451
RD_07RS1_0571
RD_07RS1_06101
RD_07RS1_0791
RD_07RS1_0841
RD_07RS1_0961
RD_07RS1_0a21
RD_07RS1_0b41
RD_07RS1_0c31
RD_07RS1_0d51
RD_07RS1_0e111
RD_07RS1_0f61
RD_07RS1_1041
RD_07RS1_1131
RD_07RS1_1271
RD_07RS1_1321
RD_07RS1_14111
RD_07RS1_1561
RD_07RS1_1651
RD_07RS1_1711
RD_07RS1_1841
RD_07RS1_1971
RD_07RS1_1a31
RD_07RS1_1b91
RD_07RS1_1c41
RD_07RS1_1d101
RD_07RS1_1e11
RD_07RS1_1f61
RD_08RS1_0051
RD_08RS1_0191
RD_08RS1_0211
RD_08RS1_0361
RD_08RS1_0421
RD_08RS1_0551
RD_08RS1_0651
RD_08RS1_0741
RD_08RS1_08101
RD_08RS1_0931
RD_08RS1_0a71
RD_08RS1_0b51
RD_08RS1_0c41
RD_08RS1_0d51
RD_08RS1_0e51
RD_08RS1_0f61
RD_08RS1_1041
RD_08RS1_1181
RD_08RS1_1241
RD_08RS1_1321
RD_08RS1_1431
RD_08RS1_1571
RD_08RS1_16111
RD_08RS1_1761
RD_08RS1_1861
RD_08RS1_1921
RD_08RS1_1a71
RD_08RS1_1b51
RD_08RS1_1c51
RD_08RS1_1d61
RD_08RS1_1e71
RD_08RS1_1f41
RD_09RS1_0031
RD_09RS1_0181
RD_09RS1_0281
RD_09RS1_03151
RD_09RS1_0451
RD_09RS1_0581
RD_09RS1_0651
RD_09RS1_0761
RD_09RS1_0821
RD_09RS1_0961
RD_09RS1_0a51
RD_09RS1_0b41
RD_09RS1_0c91
RD_09RS1_0d21
RD_09RS1_0e71
RD_09RS1_0f51
RD_09RS1_1011
RD_09RS1_11101
RD_09RS1_1231
RD_09RS1_1331
RD_09RS1_1441
RD_09RS1_1521
RD_09RS1_1621
RD_09RS1_1761
RD_09RS1_1851
RD_09RS1_19121
RD_09RS1_1a61
RD_09RS1_1b61
RD_09RS1_1c31
RD_09RS1_1d91
RD_09RS1_1e81
RD_09RS1_1f91
RD_0aRS1_0031
RD_0aRS1_0131
RD_0aRS1_02101
RD_0aRS1_0341
RD_0aRS1_0431
RD_0aRS1_0541
RD_0aRS1_0691
RD_0aRS1_0741
RD_0aRS1_0861
RD_0aRS1_0911
RD_0aRS1_0a51
RD_0aRS1_0b41
RD_0aRS1_0c91
RD_0aRS1_0d31
RD_0aRS1_0e41
RD_0aRS1_0f71
RD_0aRS1_1081
RD_0aRS1_1151
RD_0aRS1_1241
RD_0aRS1_1371
RD_0aRS1_1411
RD_0aRS1_1561
RD_0aRS1_1651
RD_0aRS1_1751
RD_0aRS1_1941
RD_0aRS1_1a71
RD_0aRS1_1b91
RD_0aRS1_1c71
RD_0aRS1_1d51
RD_0aRS1_1e41
RD_0aRS1_1f11
RD_0bRS1_0071
RD_0bRS1_0141
RD_0bRS1_0291
RD_0bRS1_0311
RD_0bRS1_0451
RD_0bRS1_0521
RD_0bRS1_0651
RD_0bRS1_0741
RD_0bRS1_0861
RD_0bRS1_0941
RD_0bRS1_0a81
RD_0bRS1_0b41
RD_0bRS1_0c71
RD_0bRS1_0d61
RD_0bRS1_0e31
RD_0bRS1_0f51
RD_0bRS1_1051
RD_0bRS1_1191
RD_0bRS1_1271
RD_0bRS1_1381
RD_0bRS1_1421
RD_0bRS1_1581
RD_0bRS1_1641
RD_0bRS1_1791
RD_0bRS1_1841
RD_0bRS1_1961
RD_0bRS1_1a71
RD_0bRS1_1b71
RD_0bRS1_1c61
RD_0bRS1_1d11
RD_0bRS1_1e31
RD_0bRS1_1f61
RD_0cRS1_0051
RD_0cRS1_0151
RD_0cRS1_0251
RD_0cRS1_0391
RD_0cRS1_0451
RD_0cRS1_0531
RD_0cRS1_0671
RD_0cRS1_0731
RD_0cRS1_0861
RD_0cRS1_0961
RD_0cRS1_0a101
RD_0cRS1_0b21
RD_0cRS1_0c61
RD_0cRS1_0d51
RD_0cRS1_0e21
RD_0cRS1_0f51
RD_0cRS1_1081
RD_0cRS1_1121
RD_0cRS1_1271
RD_0cRS1_13101
RD_0cRS1_1431
RD_0cRS1_1541
RD_0cRS1_1651
RD_0cRS1_1791
RD_0cRS1_1821
RD_0cRS1_1931
RD_0cRS1_1a41
RD_0cRS1_1b21
RD_0cRS1_1c71
RD_0cRS1_1d71
RD_0cRS1_1e71
RD_0cRS1_1f151
RD_0dRS1_0051
RD_0dRS1_0171
RD_0dRS1_0241
RD_0dRS1_0331
RD_0dRS1_0451
RD_0dRS1_0571
RD_0dRS1_0671
RD_0dRS1_0761
RD_0dRS1_0851
RD_0dRS1_0941
RD_0dRS1_0a111
RD_0dRS1_0b21
RD_0dRS1_0c61
RD_0dRS1_0d21
RD_0dRS1_0e51
RD_0dRS1_0f41
RD_0dRS1_1081
RD_0dRS1_1151
RD_0dRS1_1251
RD_0dRS1_1391
RD_0dRS1_1471
RD_0dRS1_1541
RD_0dRS1_1641
RD_0dRS1_1731
RD_0dRS1_1821
RD_0dRS1_1961
RD_0dRS1_1a51
RD_0dRS1_1b31
RD_0dRS1_1c81
RD_0dRS1_1d61
RD_0dRS1_1e51
RD_0eRS1_0061
RD_0eRS1_0141
RD_0eRS1_0271
RD_0eRS1_0361
RD_0eRS1_0491
RD_0eRS1_0531
RD_0eRS1_0631
RD_0eRS1_0781
RD_0eRS1_0821
RD_0eRS1_0951
RD_0eRS1_0a91
RD_0eRS1_0b31
RD_0eRS1_0c61
RD_0eRS1_0d51
RD_0eRS1_0e21
RD_0eRS1_0f51
RD_0eRS1_1081
RD_0eRS1_1181
RD_0eRS1_1251
RD_0eRS1_1381
RD_0eRS1_1421
RD_0eRS1_1531
RD_0eRS1_1641
RD_0eRS1_1731
RD_0eRS1_1851
RD_0eRS1_1971
RD_0eRS1_1a51
RD_0eRS1_1b41
RD_0eRS1_1c51
RD_0eRS1_1d41
RD_0eRS1_1e31
RD_0eRS1_1f51
RD_0fRS1_0061
RD_0fRS1_0171
RD_0fRS1_0241
RD_0fRS1_0371
RD_0fRS1_0441
RD_0fRS1_0541
RD_0fRS1_0641
RD_0fRS1_0761
RD_0fRS1_0831
RD_0fRS1_0951
RD_0fRS1_0a61
RD_0fRS1_0b21
RD_0fRS1_0c41
RD_0fRS1_0d71
RD_0fRS1_0e61
RD_0fRS1_0f61
RD_0fRS1_1051
RD_0fRS1_1121
RD_0fRS1_1241
RD_0fRS1_1331
RD_0fRS1_1461
RD_0fRS1_1551
RD_0fRS1_1671
RD_0fRS1_1781
RD_0fRS1_1841
RD_0fRS1_19101
RD_0fRS1_1a21
RD_0fRS1_1b31
RD_0fRS1_1c31
RD_0fRS1_1d61
RD_0fRS1_1e91
RD_0fRS1_1f61
RD_10RS1_0051
RD_10RS1_0171
RD_10RS1_0241
RD_10RS1_0361
RD_10RS1_0451
RD_10RS1_0531
RD_10RS1_0661
RD_10RS1_0761
RD_10RS1_0861
RD_10RS1_0951
RD_10RS1_0a91
RD_10RS1_0b101
RD_10RS1_0c111
RD_10RS1_0d81
RD_10RS1_0e81
RD_10RS1_0f21
RD_10RS1_1071
RD_10RS1_1131
RD_10RS1_1291
RD_10RS1_1391
RD_10RS1_1441
RD_10RS1_1541
RD_10RS1_1631
RD_10RS1_1771
RD_10RS1_1831
RD_10RS1_1951
RD_10RS1_1a31
RD_10RS1_1b81
RD_10RS1_1c101
RD_10RS1_1d71
RD_10RS1_1e61
RD_10RS1_1f11
RD_11RS1_0051
RD_11RS1_0181
RD_11RS1_0211
RD_11RS1_0371
RD_11RS1_0471
RD_11RS1_0571
RD_11RS1_0661
RD_11RS1_0761
RD_11RS1_0861
RD_11RS1_0931
RD_11RS1_0a61
RD_11RS1_0b51
RD_11RS1_0c41
RD_11RS1_0e71
RD_11RS1_0f41
RD_11RS1_1061
RD_11RS1_1191
RD_11RS1_12111
RD_11RS1_1331
RD_11RS1_14121
RD_11RS1_1571
RD_11RS1_1651
RD_11RS1_1771
RD_11RS1_1831
RD_11RS1_1931
RD_11RS1_1a21
RD_11RS1_1b61
RD_11RS1_1c31
RD_11RS1_1d41
RD_11RS1_1e71
RD_11RS1_1f51
RD_12RS1_0061
RD_12RS1_0131
RD_12RS1_0281
RD_12RS1_0371
RD_12RS1_0461
RD_12RS1_0551
RD_12RS1_0641
RD_12RS1_0721
RD_12RS1_0841
RD_12RS1_0921
RD_12RS1_0a81
RD_12RS1_0b61
RD_12RS1_0c51
RD_12RS1_0d81
RD_12RS1_0e21
RD_12RS1_0f91
RD_12RS1_1021
RD_12RS1_1161
RD_12RS1_1251
RD_12RS1_1331
RD_12RS1_1451
RD_12RS1_1591
RD_12RS1_1631
RD_12RS1_1791
RD_12RS1_1841
RD_12RS1_19101
RD_12RS1_1a11
RD_12RS1_1b71
RD_12RS1_1c41
RD_12RS1_1d61
RD_12RS1_1e61
RD_12RS1_1f41
RD_13RS1_0061
RD_13RS1_0151
RD_13RS1_0291
RD_13RS1_0361
RD_13RS1_0421
RD_13RS1_0591
RD_13RS1_0651
RD_13RS1_07101
RD_13RS1_0861
RD_13RS1_0981
RD_13RS1_0a91
RD_13RS1_0b21
RD_13RS1_0c61
RD_13RS1_0d121
RD_13RS1_0e71
RD_13RS1_0f61
RD_13RS1_1041
RD_13RS1_1151
RD_13RS1_1261
RD_13RS1_1321
RD_13RS1_1451
RD_13RS1_1581
RD_13RS1_1751
RD_13RS1_1831
RD_13RS1_1921
RD_13RS1_1a41
RD_13RS1_1b31
RD_13RS1_1c61
RD_13RS1_1d61
RD_13RS1_1e71
RD_13RS1_1f21
RD_14RS1_0071
RD_14RS1_0151
RD_14RS1_0231
RD_14RS1_0371
RD_14RS1_04111
RD_14RS1_0541
RD_14RS1_0631
RD_14RS1_0731
RD_14RS1_0961
RD_14RS1_0a51
RD_14RS1_0b51
RD_14RS1_0c81
RD_14RS1_0d71
RD_14RS1_0e31
RD_14RS1_0f41
RD_14RS1_1091
RD_14RS1_1191
RD_14RS1_1281
RD_14RS1_1391
RD_14RS1_1471
RD_14RS1_1571
RD_14RS1_1651
RD_14RS1_1761
RD_14RS1_1881
RD_14RS1_1941
RD_14RS1_1a41
RD_14RS1_1b91
RD_14RS1_1c51
RD_14RS1_1d41
RD_14RS1_1e191
RD_14RS1_1f101
RD_15RS1_0081
RD_15RS1_0151
RD_15RS1_0291
RD_15RS1_0321
RD_15RS1_0441
RD_15RS1_0561
RD_15RS1_0671
RD_15RS1_0771
RD_15RS1_0871
RD_15RS1_0951
RD_15RS1_0a71
RD_15RS1_0b61
RD_15RS1_0c61
RD_15RS1_0d61
RD_15RS1_0e71
RD_15RS1_0f31
RD_15RS1_1021
RD_15RS1_1151
RD_15RS1_1231
RD_15RS1_1351
RD_15RS1_1491
RD_15RS1_1541
RD_15RS1_1621
RD_15RS1_1751
RD_15RS1_1861
RD_15RS1_1971
RD_15RS1_1a41
RD_15RS1_1b51
RD_15RS1_1c91
RD_15RS1_1d11
RD_15RS1_1e51
RD_15RS1_1f21
RD_16RS1_0011
RD_16RS1_0151
RD_16RS1_0291
RD_16RS1_0311
RD_16RS1_0431
RD_16RS1_0521
RD_16RS1_0691
RD_16RS1_0751
RD_16RS1_0851
RD_16RS1_0971
RD_16RS1_0a21
RD_16RS1_0b61
RD_16RS1_0c91
RD_16RS1_0d71
RD_16RS1_0e71
RD_16RS1_0f31
RD_16RS1_1051
RD_16RS1_1161
RD_16RS1_1231
RD_16RS1_13131
RD_16RS1_1461
RD_16RS1_1561
RD_16RS1_1651
RD_16RS1_1751
RD_16RS1_1851
RD_16RS1_1921
RD_16RS1_1a31
RD_16RS1_1b61
RD_16RS1_1c11
RD_16RS1_1d51
RD_16RS1_1e51
RD_16RS1_1f61
RD_17RS1_0021
RD_17RS1_0151
RD_17RS1_0281
RD_17RS1_0351
RD_17RS1_0481
RD_17RS1_0531
RD_17RS1_0631
RD_17RS1_0751
RD_17RS1_0891
RD_17RS1_0991
RD_17RS1_0a71
RD_17RS1_0b51
RD_17RS1_0c21
RD_17RS1_0d91
RD_17RS1_0e81
RD_17RS1_0f81
RD_17RS1_1051
RD_17RS1_1121
RD_17RS1_1221
RD_17RS1_1371
RD_17RS1_1471
RD_17RS1_1551
RD_17RS1_1631
RD_17RS1_1771
RD_17RS1_1841
RD_17RS1_1921
RD_17RS1_1a81
RD_17RS1_1b31
RD_17RS1_1c61
RD_17RS1_1d71
RD_17RS1_1e31
RD_17RS1_1f31
RD_18RS1_0041
RD_18RS1_0181
RD_18RS1_0231
RD_18RS1_0351
RD_18RS1_0421
RD_18RS1_0581
RD_18RS1_0641
RD_18RS1_0791
RD_18RS1_0871
RD_18RS1_0931
RD_18RS1_0a121
RD_18RS1_0b101
RD_18RS1_0c81
RD_18RS1_0d31
RD_18RS1_0e21
RD_18RS1_0f51
RD_18RS1_1021
RD_18RS1_1161
RD_18RS1_1211
RD_18RS1_1321
RD_18RS1_1451
RD_18RS1_1551
RD_18RS1_1651
RD_18RS1_1761
RD_18RS1_1831
RD_18RS1_1931
RD_18RS1_1a11
RD_18RS1_1b11
RD_18RS1_1c51
RD_18RS1_1d51
RD_18RS1_1e41
RD_18RS1_1f21
RD_19RS1_0041
RD_19RS1_0141
RD_19RS1_0231
RD_19RS1_0351
RD_19RS1_0421
RD_19RS1_0551
RD_19RS1_0611
RD_19RS1_0771
RD_19RS1_0851
RD_19RS1_0921
RD_19RS1_0a41
RD_19RS1_0b31
RD_19RS1_0c71
RD_19RS1_0d71
RD_19RS1_0e91
RD_19RS1_0f51
RD_19RS1_1031
RD_19RS1_1141
RD_19RS1_1251
RD_19RS1_1381
RD_19RS1_1461
RD_19RS1_1561
RD_19RS1_1631
RD_19RS1_1731
RD_19RS1_1841
RD_19RS1_1951
RD_19RS1_1a61
RD_19RS1_1b61
RD_19RS1_1c41
RD_19RS1_1d51
RD_19RS1_1e31
RD_19RS1_1f61
RD_1aRS1_0091
RD_1aRS1_0141
RD_1aRS1_0261
RD_1aRS1_0321
RD_1aRS1_0461
RD_1aRS1_0531
RD_1aRS1_0631
RD_1aRS1_0791
RD_1aRS1_0831
RD_1aRS1_0961
RD_1aRS1_0a21
RD_1aRS1_0b21
RD_1aRS1_0c41
RD_1aRS1_0d11
RD_1aRS1_0e91
RD_1aRS1_0f51
RD_1aRS1_10101
RD_1aRS1_1141
RD_1aRS1_1211
RD_1aRS1_1341
RD_1aRS1_1471
RD_1aRS1_1551
RD_1aRS1_1631
RD_1aRS1_1751
RD_1aRS1_1841
RD_1aRS1_1951
RD_1aRS1_1a71
RD_1aRS1_1b41
RD_1aRS1_1c31
RD_1aRS1_1d61
RD_1aRS1_1e51
RD_1aRS1_1f41
RD_1bRS1_0031
RD_1bRS1_0151
RD_1bRS1_0211
RD_1bRS1_0351
RD_1bRS1_0431
RD_1bRS1_0521
RD_1bRS1_0621
RD_1bRS1_0711
RD_1bRS1_0821
RD_1bRS1_0941
RD_1bRS1_0a21
RD_1bRS1_0b41
RD_1bRS1_0c51
RD_1bRS1_0d51
RD_1bRS1_0e51
RD_1bRS1_0f31
RD_1bRS1_1041
RD_1bRS1_1151
RD_1bRS1_1251
RD_1bRS1_1321
RD_1bRS1_1481
RD_1bRS1_1521
RD_1bRS1_1671
RD_1bRS1_1731
RD_1bRS1_18101
RD_1bRS1_1951
RD_1bRS1_1a41
RD_1bRS1_1b51
RD_1bRS1_1c51
RD_1bRS1_1d71
RD_1bRS1_1e41
RD_1bRS1_1f31
RD_1cRS1_0051
RD_1cRS1_0141
RD_1cRS1_0241
RD_1cRS1_0331
RD_1cRS1_0431
RD_1cRS1_0581
RD_1cRS1_0641
RD_1cRS1_0761
RD_1cRS1_0831
RD_1cRS1_0921
RD_1cRS1_0a51
RD_1cRS1_0b51
RD_1cRS1_0c61
RD_1cRS1_0d41
RD_1cRS1_0e61
RD_1cRS1_0f11
RD_1cRS1_1021
RD_1cRS1_1141
RD_1cRS1_1241
RD_1cRS1_1391
RD_1cRS1_1491
RD_1cRS1_1531
RD_1cRS1_1641
RD_1cRS1_1741
RD_1cRS1_1871
RD_1cRS1_1921
RD_1cRS1_1a101
RD_1cRS1_1b61
RD_1cRS1_1c21
RD_1cRS1_1d51
RD_1cRS1_1e71
RD_1cRS1_1f51
RD_1dRS1_0031
RD_1dRS1_0181
RD_1dRS1_0291
RD_1dRS1_0371
RD_1dRS1_0461
RD_1dRS1_0541
RD_1dRS1_0621
RD_1dRS1_0781
RD_1dRS1_0841
RD_1dRS1_0961
RD_1dRS1_0a51
RD_1dRS1_0b51
RD_1dRS1_0c71
RD_1dRS1_0d31
RD_1dRS1_0e31
RD_1dRS1_0f81
RD_1dRS1_1071
RD_1dRS1_1191
RD_1dRS1_1241
RD_1dRS1_13141
RD_1dRS1_1461
RD_1dRS1_1561
RD_1dRS1_1671
RD_1dRS1_1731
RD_1dRS1_1851
RD_1dRS1_1921
RD_1dRS1_1a51
RD_1dRS1_1b71
RD_1dRS1_1c81
RD_1dRS1_1d31
RD_1dRS1_1e61
RD_1dRS1_1f41
RD_1eRS1_0051
RD_1eRS1_0161
RD_1eRS1_0271
RD_1eRS1_0371
RD_1eRS1_0421
RD_1eRS1_0521
RD_1eRS1_06101
RD_1eRS1_07101
RD_1eRS1_0851
RD_1eRS1_0941
RD_1eRS1_0a21
RD_1eRS1_0b41
RD_1eRS1_0c31
RD_1eRS1_0d91
RD_1eRS1_0e61
RD_1eRS1_0f41
RD_1eRS1_10111
RD_1eRS1_1171
RD_1eRS1_1241
RD_1eRS1_1361
RD_1eRS1_1471
RD_1eRS1_1551
RD_1eRS1_1631
RD_1eRS1_1741
RD_1eRS1_1861
RD_1eRS1_1921
RD_1eRS1_1a31
RD_1eRS1_1b41
RD_1eRS1_1c51
RD_1eRS1_1d11
RD_1eRS1_1e61
RD_1eRS1_1f51
RD_1fRS1_0041
RD_1fRS1_0191
RD_1fRS1_0231
RD_1fRS1_0361
RD_1fRS1_0441
RD_1fRS1_0541
RD_1fRS1_0661
RD_1fRS1_0731
RD_1fRS1_0891
RD_1fRS1_0961
RD_1fRS1_0a91
RD_1fRS1_0b61
RD_1fRS1_0c51
RD_1fRS1_0d51
RD_1fRS1_0e31
RD_1fRS1_0f31
RD_1fRS1_1031
RD_1fRS1_1121
RD_1fRS1_1231
RD_1fRS1_1351
RD_1fRS1_14101
RD_1fRS1_1521
RD_1fRS1_1631
RD_1fRS1_1781
RD_1fRS1_1821
RD_1fRS1_1981
RD_1fRS1_1a51
RD_1fRS1_1b61
RD_1fRS1_1c41
RD_1fRS1_1d61
RD_1fRS1_1e41
RD_1fRS1_1f31

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10246101899.41 6

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_02][RS2_17]011
[RD_04][RS2_04]011
[RD_0c][RS2_0b]011
[RD_11][RS2_1f]011
[RD_16][RS2_0a]011
[RD_16][RS2_10]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0041
RD_00RS2_0181
RD_00RS2_0241
RD_00RS2_0371
RD_00RS2_0491
RD_00RS2_0511
RD_00RS2_0661
RD_00RS2_0741
RD_00RS2_0861
RD_00RS2_0931
RD_00RS2_0a61
RD_00RS2_0b71
RD_00RS2_0c21
RD_00RS2_0d41
RD_00RS2_0e101
RD_00RS2_0f61
RD_00RS2_1041
RD_00RS2_1171
RD_00RS2_1281
RD_00RS2_1321
RD_00RS2_1441
RD_00RS2_1561
RD_00RS2_1631
RD_00RS2_1741
RD_00RS2_1861
RD_00RS2_1951
RD_00RS2_1a71
RD_00RS2_1b131
RD_00RS2_1c21
RD_00RS2_1d81
RD_00RS2_1e41
RD_00RS2_1f91
RD_01RS2_0071
RD_01RS2_0141
RD_01RS2_0221
RD_01RS2_0341
RD_01RS2_0431
RD_01RS2_0551
RD_01RS2_0611
RD_01RS2_0731
RD_01RS2_0841
RD_01RS2_0991
RD_01RS2_0a51
RD_01RS2_0b101
RD_01RS2_0c61
RD_01RS2_0d41
RD_01RS2_0e81
RD_01RS2_0f31
RD_01RS2_1011
RD_01RS2_1171
RD_01RS2_1221
RD_01RS2_1381
RD_01RS2_14101
RD_01RS2_1521
RD_01RS2_1641
RD_01RS2_1731
RD_01RS2_1871
RD_01RS2_1981
RD_01RS2_1a91
RD_01RS2_1b61
RD_01RS2_1c41
RD_01RS2_1d51
RD_01RS2_1e31
RD_01RS2_1f61
RD_02RS2_0031
RD_02RS2_0151
RD_02RS2_0251
RD_02RS2_0331
RD_02RS2_0461
RD_02RS2_05101
RD_02RS2_0661
RD_02RS2_0741
RD_02RS2_0851
RD_02RS2_0931
RD_02RS2_0a111
RD_02RS2_0b121
RD_02RS2_0c41
RD_02RS2_0d51
RD_02RS2_0e111
RD_02RS2_0f31
RD_02RS2_1041
RD_02RS2_1111
RD_02RS2_1251
RD_02RS2_1321
RD_02RS2_1481
RD_02RS2_1561
RD_02RS2_1621
RD_02RS2_1831
RD_02RS2_1961
RD_02RS2_1a21
RD_02RS2_1b51
RD_02RS2_1c11
RD_02RS2_1d51
RD_02RS2_1e71
RD_02RS2_1f91
RD_03RS2_0061
RD_03RS2_0131
RD_03RS2_0221
RD_03RS2_0331
RD_03RS2_0491
RD_03RS2_0531
RD_03RS2_0661
RD_03RS2_0761
RD_03RS2_08121
RD_03RS2_0961
RD_03RS2_0a51
RD_03RS2_0b41
RD_03RS2_0c41
RD_03RS2_0d51
RD_03RS2_0e81
RD_03RS2_0f61
RD_03RS2_1061
RD_03RS2_1191
RD_03RS2_12101
RD_03RS2_1331
RD_03RS2_1441
RD_03RS2_1561
RD_03RS2_1681
RD_03RS2_1761
RD_03RS2_1841
RD_03RS2_1971
RD_03RS2_1a81
RD_03RS2_1b71
RD_03RS2_1c71
RD_03RS2_1d41
RD_03RS2_1e71
RD_03RS2_1f71
RD_04RS2_0011
RD_04RS2_0121
RD_04RS2_0221
RD_04RS2_0341
RD_04RS2_0581
RD_04RS2_0671
RD_04RS2_0741
RD_04RS2_0821
RD_04RS2_0981
RD_04RS2_0a41
RD_04RS2_0b71
RD_04RS2_0c31
RD_04RS2_0d31
RD_04RS2_0e61
RD_04RS2_0f61
RD_04RS2_1011
RD_04RS2_1181
RD_04RS2_1211
RD_04RS2_1361
RD_04RS2_1431
RD_04RS2_1541
RD_04RS2_1621
RD_04RS2_1771
RD_04RS2_1871
RD_04RS2_1941
RD_04RS2_1a51
RD_04RS2_1b81
RD_04RS2_1c51
RD_04RS2_1d61
RD_04RS2_1e81
RD_04RS2_1f61
RD_05RS2_0061
RD_05RS2_0141
RD_05RS2_0251
RD_05RS2_0341
RD_05RS2_04101
RD_05RS2_0551
RD_05RS2_0671
RD_05RS2_0761
RD_05RS2_0871
RD_05RS2_0951
RD_05RS2_0a61
RD_05RS2_0b51
RD_05RS2_0c61
RD_05RS2_0d31
RD_05RS2_0e61
RD_05RS2_0f31
RD_05RS2_1031
RD_05RS2_1121
RD_05RS2_12131
RD_05RS2_1331
RD_05RS2_14121
RD_05RS2_1551
RD_05RS2_1631
RD_05RS2_1781
RD_05RS2_1851
RD_05RS2_19101
RD_05RS2_1a61
RD_05RS2_1b121
RD_05RS2_1c71
RD_05RS2_1d21
RD_05RS2_1e51
RD_05RS2_1f31
RD_06RS2_0061
RD_06RS2_0151
RD_06RS2_0221
RD_06RS2_0351
RD_06RS2_0481
RD_06RS2_0581
RD_06RS2_06101
RD_06RS2_0781
RD_06RS2_0861
RD_06RS2_0931
RD_06RS2_0a81
RD_06RS2_0b71
RD_06RS2_0c41
RD_06RS2_0d61
RD_06RS2_0e51
RD_06RS2_0f61
RD_06RS2_1011
RD_06RS2_1111
RD_06RS2_1231
RD_06RS2_1371
RD_06RS2_1451
RD_06RS2_1531
RD_06RS2_1621
RD_06RS2_1741
RD_06RS2_1851
RD_06RS2_1931
RD_06RS2_1a21
RD_06RS2_1b31
RD_06RS2_1c61
RD_06RS2_1d81
RD_06RS2_1e61
RD_06RS2_1f41
RD_07RS2_0091
RD_07RS2_0141
RD_07RS2_0251
RD_07RS2_0361
RD_07RS2_0421
RD_07RS2_0551
RD_07RS2_0691
RD_07RS2_0771
RD_07RS2_0881
RD_07RS2_0951
RD_07RS2_0a31
RD_07RS2_0b51
RD_07RS2_0c51
RD_07RS2_0d91
RD_07RS2_0e51
RD_07RS2_0f61
RD_07RS2_1021
RD_07RS2_1141
RD_07RS2_1241
RD_07RS2_1381
RD_07RS2_1441
RD_07RS2_1571
RD_07RS2_1651
RD_07RS2_17111
RD_07RS2_1851
RD_07RS2_19101
RD_07RS2_1a61
RD_07RS2_1b51
RD_07RS2_1c11
RD_07RS2_1d21
RD_07RS2_1e41
RD_07RS2_1f91
RD_08RS2_0031
RD_08RS2_0191
RD_08RS2_0291
RD_08RS2_0341
RD_08RS2_0441
RD_08RS2_0551
RD_08RS2_0651
RD_08RS2_0771
RD_08RS2_0861
RD_08RS2_0961
RD_08RS2_0a51
RD_08RS2_0b51
RD_08RS2_0c81
RD_08RS2_0d51
RD_08RS2_0e71
RD_08RS2_0f51
RD_08RS2_1051
RD_08RS2_1141
RD_08RS2_1271
RD_08RS2_1381
RD_08RS2_1461
RD_08RS2_1531
RD_08RS2_1641
RD_08RS2_1771
RD_08RS2_1811
RD_08RS2_1931
RD_08RS2_1a51
RD_08RS2_1b31
RD_08RS2_1c21
RD_08RS2_1d91
RD_08RS2_1e51
RD_08RS2_1f41
RD_09RS2_00111
RD_09RS2_0131
RD_09RS2_0281
RD_09RS2_0351
RD_09RS2_0471
RD_09RS2_0541
RD_09RS2_0651
RD_09RS2_0741
RD_09RS2_0861
RD_09RS2_0961
RD_09RS2_0a41
RD_09RS2_0b81
RD_09RS2_0c81
RD_09RS2_0d41
RD_09RS2_0e51
RD_09RS2_0f101
RD_09RS2_1051
RD_09RS2_1141
RD_09RS2_1261
RD_09RS2_1331
RD_09RS2_1461
RD_09RS2_1531
RD_09RS2_1661
RD_09RS2_1731
RD_09RS2_1831
RD_09RS2_1981
RD_09RS2_1a61
RD_09RS2_1b51
RD_09RS2_1c91
RD_09RS2_1d61
RD_09RS2_1e81
RD_09RS2_1f81
RD_0aRS2_0021
RD_0aRS2_0111
RD_0aRS2_0241
RD_0aRS2_0351
RD_0aRS2_0451
RD_0aRS2_0521
RD_0aRS2_0621
RD_0aRS2_07141
RD_0aRS2_0831
RD_0aRS2_09101
RD_0aRS2_0a61
RD_0aRS2_0b91
RD_0aRS2_0c61
RD_0aRS2_0d51
RD_0aRS2_0e21
RD_0aRS2_0f61
RD_0aRS2_1041
RD_0aRS2_1121
RD_0aRS2_1211
RD_0aRS2_1311
RD_0aRS2_1491
RD_0aRS2_1561
RD_0aRS2_1611
RD_0aRS2_1721
RD_0aRS2_1881
RD_0aRS2_19101
RD_0aRS2_1a31
RD_0aRS2_1b21
RD_0aRS2_1c81
RD_0aRS2_1d51
RD_0aRS2_1e31
RD_0aRS2_1f101
RD_0bRS2_0031
RD_0bRS2_0181
RD_0bRS2_0261
RD_0bRS2_0351
RD_0bRS2_0441
RD_0bRS2_0551
RD_0bRS2_06111
RD_0bRS2_0741
RD_0bRS2_0821
RD_0bRS2_0971
RD_0bRS2_0a61
RD_0bRS2_0b31
RD_0bRS2_0c21
RD_0bRS2_0d31
RD_0bRS2_0e31
RD_0bRS2_0f91
RD_0bRS2_1011
RD_0bRS2_11111
RD_0bRS2_1241
RD_0bRS2_1381
RD_0bRS2_1431
RD_0bRS2_1531
RD_0bRS2_1641
RD_0bRS2_1741
RD_0bRS2_1861
RD_0bRS2_1951
RD_0bRS2_1a11
RD_0bRS2_1b81
RD_0bRS2_1c91
RD_0bRS2_1d71
RD_0bRS2_1e111
RD_0bRS2_1f61
RD_0cRS2_0041
RD_0cRS2_0141
RD_0cRS2_0271
RD_0cRS2_0341
RD_0cRS2_0431
RD_0cRS2_0591
RD_0cRS2_0611
RD_0cRS2_0781
RD_0cRS2_0871
RD_0cRS2_0991
RD_0cRS2_0a101
RD_0cRS2_0c91
RD_0cRS2_0d61
RD_0cRS2_0e81
RD_0cRS2_0f51
RD_0cRS2_1071
RD_0cRS2_11101
RD_0cRS2_12111
RD_0cRS2_1341
RD_0cRS2_1421
RD_0cRS2_1561
RD_0cRS2_1631
RD_0cRS2_1741
RD_0cRS2_1841
RD_0cRS2_1931
RD_0cRS2_1a41
RD_0cRS2_1b51
RD_0cRS2_1c71
RD_0cRS2_1d81
RD_0cRS2_1e21
RD_0cRS2_1f51
RD_0dRS2_0051
RD_0dRS2_0151
RD_0dRS2_0231
RD_0dRS2_0361
RD_0dRS2_0471
RD_0dRS2_0541
RD_0dRS2_0631
RD_0dRS2_0741
RD_0dRS2_0841
RD_0dRS2_0941
RD_0dRS2_0a111
RD_0dRS2_0b41
RD_0dRS2_0c11
RD_0dRS2_0d71
RD_0dRS2_0e61
RD_0dRS2_0f71
RD_0dRS2_1031
RD_0dRS2_1191
RD_0dRS2_1231
RD_0dRS2_1341
RD_0dRS2_1461
RD_0dRS2_1571
RD_0dRS2_1661
RD_0dRS2_1741
RD_0dRS2_1821
RD_0dRS2_1941
RD_0dRS2_1a81
RD_0dRS2_1b61
RD_0dRS2_1c41
RD_0dRS2_1d41
RD_0dRS2_1e41
RD_0dRS2_1f81
RD_0eRS2_0051
RD_0eRS2_0131
RD_0eRS2_0261
RD_0eRS2_0351
RD_0eRS2_0471
RD_0eRS2_0541
RD_0eRS2_0681
RD_0eRS2_0741
RD_0eRS2_0841
RD_0eRS2_0941
RD_0eRS2_0a61
RD_0eRS2_0b31
RD_0eRS2_0c31
RD_0eRS2_0d21
RD_0eRS2_0e31
RD_0eRS2_0f21
RD_0eRS2_1021
RD_0eRS2_1141
RD_0eRS2_1281
RD_0eRS2_1361
RD_0eRS2_1431
RD_0eRS2_1551
RD_0eRS2_1641
RD_0eRS2_1751
RD_0eRS2_1861
RD_0eRS2_19111
RD_0eRS2_1a31
RD_0eRS2_1b71
RD_0eRS2_1c61
RD_0eRS2_1d61
RD_0eRS2_1e61
RD_0eRS2_1f111
RD_0fRS2_0051
RD_0fRS2_0141
RD_0fRS2_0231
RD_0fRS2_0341
RD_0fRS2_0421
RD_0fRS2_0581
RD_0fRS2_06101
RD_0fRS2_0791
RD_0fRS2_0811
RD_0fRS2_0921
RD_0fRS2_0a41
RD_0fRS2_0b71
RD_0fRS2_0c151
RD_0fRS2_0d61
RD_0fRS2_0e41
RD_0fRS2_0f51
RD_0fRS2_1051
RD_0fRS2_11121
RD_0fRS2_1231
RD_0fRS2_1331
RD_0fRS2_1471
RD_0fRS2_1531
RD_0fRS2_1631
RD_0fRS2_1751
RD_0fRS2_1881
RD_0fRS2_1941
RD_0fRS2_1a41
RD_0fRS2_1b31
RD_0fRS2_1c51
RD_0fRS2_1d11
RD_0fRS2_1e41
RD_0fRS2_1f51
RD_10RS2_0061
RD_10RS2_0161
RD_10RS2_0251
RD_10RS2_0371
RD_10RS2_0441
RD_10RS2_0551
RD_10RS2_0681
RD_10RS2_0741
RD_10RS2_0891
RD_10RS2_0971
RD_10RS2_0a71
RD_10RS2_0b41
RD_10RS2_0c71
RD_10RS2_0d81
RD_10RS2_0e51
RD_10RS2_0f21
RD_10RS2_1091
RD_10RS2_1131
RD_10RS2_1241
RD_10RS2_1321
RD_10RS2_1481
RD_10RS2_1581
RD_10RS2_16101
RD_10RS2_1761
RD_10RS2_1851
RD_10RS2_19111
RD_10RS2_1a11
RD_10RS2_1b61
RD_10RS2_1c101
RD_10RS2_1d41
RD_10RS2_1e51
RD_10RS2_1f41
RD_11RS2_0091
RD_11RS2_0181
RD_11RS2_0231
RD_11RS2_0371
RD_11RS2_0421
RD_11RS2_0521
RD_11RS2_06101
RD_11RS2_07101
RD_11RS2_0841
RD_11RS2_0951
RD_11RS2_0a61
RD_11RS2_0b31
RD_11RS2_0c81
RD_11RS2_0d41
RD_11RS2_0e71
RD_11RS2_0f51
RD_11RS2_1031
RD_11RS2_1181
RD_11RS2_1261
RD_11RS2_1351
RD_11RS2_1451
RD_11RS2_1551
RD_11RS2_1651
RD_11RS2_1731
RD_11RS2_1891
RD_11RS2_1931
RD_11RS2_1a41
RD_11RS2_1b91
RD_11RS2_1c81
RD_11RS2_1d21
RD_11RS2_1e71
RD_12RS2_0061
RD_12RS2_0121
RD_12RS2_0261
RD_12RS2_0351
RD_12RS2_0441
RD_12RS2_0561
RD_12RS2_0681
RD_12RS2_0771
RD_12RS2_0861
RD_12RS2_0961
RD_12RS2_0a11
RD_12RS2_0b91
RD_12RS2_0c81
RD_12RS2_0d21
RD_12RS2_0e81
RD_12RS2_0f31
RD_12RS2_1051
RD_12RS2_1171
RD_12RS2_1281
RD_12RS2_1361
RD_12RS2_1421
RD_12RS2_1541
RD_12RS2_1661
RD_12RS2_1751
RD_12RS2_1831
RD_12RS2_1981
RD_12RS2_1a41
RD_12RS2_1b31
RD_12RS2_1c71
RD_12RS2_1d21
RD_12RS2_1e51
RD_12RS2_1f71
RD_13RS2_0051
RD_13RS2_0141
RD_13RS2_0271
RD_13RS2_0351
RD_13RS2_0471
RD_13RS2_0551
RD_13RS2_0661
RD_13RS2_0751
RD_13RS2_0831
RD_13RS2_0911
RD_13RS2_0a61
RD_13RS2_0b61
RD_13RS2_0c91
RD_13RS2_0d61
RD_13RS2_0e81
RD_13RS2_0f31
RD_13RS2_1071
RD_13RS2_1191
RD_13RS2_1251
RD_13RS2_1321
RD_13RS2_1441
RD_13RS2_1591
RD_13RS2_1631
RD_13RS2_1731
RD_13RS2_1851
RD_13RS2_1951
RD_13RS2_1a41
RD_13RS2_1b41
RD_13RS2_1c31
RD_13RS2_1d101
RD_13RS2_1e111
RD_13RS2_1f61
RD_14RS2_00101
RD_14RS2_0191
RD_14RS2_0261
RD_14RS2_0391
RD_14RS2_0431
RD_14RS2_0541
RD_14RS2_0651
RD_14RS2_0761
RD_14RS2_0891
RD_14RS2_0991
RD_14RS2_0a61
RD_14RS2_0b31
RD_14RS2_0c51
RD_14RS2_0d51
RD_14RS2_0e41
RD_14RS2_0f71
RD_14RS2_1041
RD_14RS2_1151
RD_14RS2_1271
RD_14RS2_1391
RD_14RS2_14141
RD_14RS2_1551
RD_14RS2_1661
RD_14RS2_1791
RD_14RS2_1811
RD_14RS2_1951
RD_14RS2_1a81
RD_14RS2_1b71
RD_14RS2_1c61
RD_14RS2_1d71
RD_14RS2_1e51
RD_14RS2_1f61
RD_15RS2_0061
RD_15RS2_0131
RD_15RS2_0221
RD_15RS2_0331
RD_15RS2_0441
RD_15RS2_0551
RD_15RS2_0631
RD_15RS2_0771
RD_15RS2_0871
RD_15RS2_0921
RD_15RS2_0a91
RD_15RS2_0b111
RD_15RS2_0c41
RD_15RS2_0d61
RD_15RS2_0e51
RD_15RS2_0f151
RD_15RS2_1021
RD_15RS2_1161
RD_15RS2_1241
RD_15RS2_1311
RD_15RS2_1411
RD_15RS2_1521
RD_15RS2_1641
RD_15RS2_1771
RD_15RS2_1871
RD_15RS2_1961
RD_15RS2_1a61
RD_15RS2_1b91
RD_15RS2_1c41
RD_15RS2_1d71
RD_15RS2_1e91
RD_15RS2_1f21
RD_16RS2_0091
RD_16RS2_0181
RD_16RS2_02101
RD_16RS2_0351
RD_16RS2_0441
RD_16RS2_0551
RD_16RS2_0641
RD_16RS2_0731
RD_16RS2_0811
RD_16RS2_0941
RD_16RS2_0b41
RD_16RS2_0c81
RD_16RS2_0d71
RD_16RS2_0e41
RD_16RS2_0f81
RD_16RS2_1181
RD_16RS2_1231
RD_16RS2_1371
RD_16RS2_1461
RD_16RS2_1561
RD_16RS2_1621
RD_16RS2_1771
RD_16RS2_1841
RD_16RS2_1911
RD_16RS2_1a41
RD_16RS2_1b51
RD_16RS2_1c111
RD_16RS2_1d71
RD_16RS2_1e61
RD_16RS2_1f21
RD_17RS2_0041
RD_17RS2_0171
RD_17RS2_02101
RD_17RS2_0331
RD_17RS2_0451
RD_17RS2_0531
RD_17RS2_0661
RD_17RS2_0741
RD_17RS2_0821
RD_17RS2_0951
RD_17RS2_0a101
RD_17RS2_0b51
RD_17RS2_0c51
RD_17RS2_0d41
RD_17RS2_0e61
RD_17RS2_0f21
RD_17RS2_1051
RD_17RS2_1151
RD_17RS2_1271
RD_17RS2_1341
RD_17RS2_1451
RD_17RS2_1531
RD_17RS2_16101
RD_17RS2_1731
RD_17RS2_1841
RD_17RS2_1941
RD_17RS2_1a51
RD_17RS2_1b31
RD_17RS2_1c31
RD_17RS2_1d71
RD_17RS2_1e91
RD_17RS2_1f121
RD_18RS2_0031
RD_18RS2_0121
RD_18RS2_0261
RD_18RS2_0341
RD_18RS2_0411
RD_18RS2_0531
RD_18RS2_0671
RD_18RS2_0761
RD_18RS2_0821
RD_18RS2_0971
RD_18RS2_0a51
RD_18RS2_0b31
RD_18RS2_0c41
RD_18RS2_0d11
RD_18RS2_0e71
RD_18RS2_0f31
RD_18RS2_1041
RD_18RS2_1161
RD_18RS2_1271
RD_18RS2_1341
RD_18RS2_1421
RD_18RS2_1561
RD_18RS2_1641
RD_18RS2_1771
RD_18RS2_1841
RD_18RS2_1941
RD_18RS2_1a51
RD_18RS2_1b61
RD_18RS2_1c11
RD_18RS2_1d61
RD_18RS2_1e101
RD_18RS2_1f91
RD_19RS2_0061
RD_19RS2_0151
RD_19RS2_0211
RD_19RS2_0371
RD_19RS2_0441
RD_19RS2_0581
RD_19RS2_0671
RD_19RS2_0721
RD_19RS2_0861
RD_19RS2_0961
RD_19RS2_0a41
RD_19RS2_0b21
RD_19RS2_0c31
RD_19RS2_0d31
RD_19RS2_0e41
RD_19RS2_0f31
RD_19RS2_1031
RD_19RS2_1191
RD_19RS2_1231
RD_19RS2_1311
RD_19RS2_14101
RD_19RS2_1521
RD_19RS2_1651
RD_19RS2_1751
RD_19RS2_1871
RD_19RS2_1961
RD_19RS2_1a31
RD_19RS2_1b31
RD_19RS2_1c31
RD_19RS2_1d61
RD_19RS2_1e71
RD_19RS2_1f61
RD_1aRS2_0031
RD_1aRS2_0141
RD_1aRS2_0241
RD_1aRS2_0351
RD_1aRS2_0431
RD_1aRS2_0561
RD_1aRS2_0661
RD_1aRS2_0771
RD_1aRS2_0831
RD_1aRS2_0961
RD_1aRS2_0a41
RD_1aRS2_0b71
RD_1aRS2_0c71
RD_1aRS2_0d81
RD_1aRS2_0e41
RD_1aRS2_0f61
RD_1aRS2_1061
RD_1aRS2_1131
RD_1aRS2_1211
RD_1aRS2_1361
RD_1aRS2_1441
RD_1aRS2_1531
RD_1aRS2_1671
RD_1aRS2_1771
RD_1aRS2_1821
RD_1aRS2_1931
RD_1aRS2_1a21
RD_1aRS2_1b11
RD_1aRS2_1c41
RD_1aRS2_1d121
RD_1aRS2_1e31
RD_1aRS2_1f41
RD_1bRS2_0041
RD_1bRS2_0141
RD_1bRS2_0211
RD_1bRS2_0361
RD_1bRS2_0481
RD_1bRS2_0561
RD_1bRS2_0631
RD_1bRS2_0741
RD_1bRS2_0831
RD_1bRS2_0941
RD_1bRS2_0a61
RD_1bRS2_0b31
RD_1bRS2_0c11
RD_1bRS2_0d51
RD_1bRS2_0e21
RD_1bRS2_0f41
RD_1bRS2_1021
RD_1bRS2_1111
RD_1bRS2_1261
RD_1bRS2_1321
RD_1bRS2_1451
RD_1bRS2_1581
RD_1bRS2_1661
RD_1bRS2_1741
RD_1bRS2_1851
RD_1bRS2_1921
RD_1bRS2_1a21
RD_1bRS2_1b51
RD_1bRS2_1c41
RD_1bRS2_1d31
RD_1bRS2_1e51
RD_1bRS2_1f71
RD_1cRS2_0071
RD_1cRS2_0181
RD_1cRS2_0261
RD_1cRS2_0311
RD_1cRS2_0461
RD_1cRS2_0521
RD_1cRS2_0651
RD_1cRS2_0761
RD_1cRS2_0871
RD_1cRS2_0951
RD_1cRS2_0a41
RD_1cRS2_0b71
RD_1cRS2_0c21
RD_1cRS2_0d61
RD_1cRS2_0e51
RD_1cRS2_0f51
RD_1cRS2_1031
RD_1cRS2_1141
RD_1cRS2_1241
RD_1cRS2_1351
RD_1cRS2_1431
RD_1cRS2_1521
RD_1cRS2_16121
RD_1cRS2_1771
RD_1cRS2_1841
RD_1cRS2_1951
RD_1cRS2_1a21
RD_1cRS2_1b11
RD_1cRS2_1c81
RD_1cRS2_1d71
RD_1cRS2_1e11
RD_1cRS2_1f21
RD_1dRS2_0081
RD_1dRS2_0181
RD_1dRS2_0281
RD_1dRS2_0351
RD_1dRS2_0461
RD_1dRS2_0541
RD_1dRS2_0651
RD_1dRS2_0741
RD_1dRS2_0831
RD_1dRS2_0961
RD_1dRS2_0a51
RD_1dRS2_0b41
RD_1dRS2_0c61
RD_1dRS2_0d21
RD_1dRS2_0e91
RD_1dRS2_0f51
RD_1dRS2_1031
RD_1dRS2_1161
RD_1dRS2_1281
RD_1dRS2_1331
RD_1dRS2_14141
RD_1dRS2_1531
RD_1dRS2_1661
RD_1dRS2_1771
RD_1dRS2_1861
RD_1dRS2_1931
RD_1dRS2_1a51
RD_1dRS2_1b91
RD_1dRS2_1c21
RD_1dRS2_1d71
RD_1dRS2_1e81
RD_1dRS2_1f61
RD_1eRS2_0031
RD_1eRS2_0151
RD_1eRS2_0241
RD_1eRS2_0331
RD_1eRS2_0451
RD_1eRS2_0571
RD_1eRS2_0641
RD_1eRS2_0731
RD_1eRS2_0851
RD_1eRS2_0951
RD_1eRS2_0a111
RD_1eRS2_0b21
RD_1eRS2_0c51
RD_1eRS2_0d41
RD_1eRS2_0e21
RD_1eRS2_0f51
RD_1eRS2_1031
RD_1eRS2_1141
RD_1eRS2_1291
RD_1eRS2_1351
RD_1eRS2_1431
RD_1eRS2_1571
RD_1eRS2_1681
RD_1eRS2_1731
RD_1eRS2_1891
RD_1eRS2_1951
RD_1eRS2_1a101
RD_1eRS2_1b31
RD_1eRS2_1c51
RD_1eRS2_1d41
RD_1eRS2_1e101
RD_1eRS2_1f41
RD_1fRS2_0071
RD_1fRS2_0171
RD_1fRS2_0261
RD_1fRS2_0351
RD_1fRS2_0421
RD_1fRS2_0571
RD_1fRS2_0661
RD_1fRS2_0741
RD_1fRS2_0841
RD_1fRS2_0911
RD_1fRS2_0a71
RD_1fRS2_0b41
RD_1fRS2_0c21
RD_1fRS2_0d51
RD_1fRS2_0e51
RD_1fRS2_0f61
RD_1fRS2_1021
RD_1fRS2_1141
RD_1fRS2_1251
RD_1fRS2_1351
RD_1fRS2_1471
RD_1fRS2_1551
RD_1fRS2_16101
RD_1fRS2_1731
RD_1fRS2_1831
RD_1fRS2_1951
RD_1fRS2_1a51
RD_1fRS2_1b51
RD_1fRS2_1c71
RD_1fRS2_1d51
RD_1fRS2_1e41
RD_1fRS2_1f61

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_8.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_8.html new file mode 100644 index 00000000..797e1034 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp403_8.html @@ -0,0 +1,104 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_rs3_nmsub_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Cross cross_rd_rs3 +
+
+Samples crossed: cp_rd cp_rs3
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp404.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp404.html new file mode 100644 index 00000000..e5bb067d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp404.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent20::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent20.mhpmevent20__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent20.mhpmevent20__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT20101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT20 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT20 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01881

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp405.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp405.html new file mode 100644 index 00000000..4f32441d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp405.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg5::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg5.pmpcfg5__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg5.pmpcfg5__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg5.pmpcfg5__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP23CFG404100.00100110
PMP22CFG404100.00100110
PMP21CFG404100.00100110
PMP20CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP23CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP23CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]21
illegal_values[56:aa]91
illegal_values[ab:ff]71
legal_values911

+
+
+Summary for Variable PMP22CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP22CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]31
illegal_values[56:aa]41
illegal_values[ab:ff]61
legal_values961

+
+
+Summary for Variable PMP21CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP21CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]41
illegal_values[56:aa]41
illegal_values[ab:ff]111
legal_values901

+
+
+Summary for Variable PMP20CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP20CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]601
illegal_values[56:aa]81
illegal_values[ab:ff]41
legal_values371

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp406.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp406.html new file mode 100644 index 00000000..a917cd38 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp406.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter3h::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter3h.mhpmcounter3h__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER3H404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER3H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER3H +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]641
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]141
legal_values261

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp407.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp407.html new file mode 100644 index 00000000..075891ff --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp407.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr8::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr8.pmpaddr8__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr8.pmpaddr8__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR8101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR8 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR8 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01621

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp408.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp408.html new file mode 100644 index 00000000..bb97db00 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp408.html @@ -0,0 +1,570 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_illegal_i + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_illegal_i
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_illegal_i +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.illegal_i_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.illegal_i_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.illegal_i_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00
Crosses15015100.00

+
+Variables for Group Instance uvme_cva6_pkg.illegal_i_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_illegal_opcode303100.00100110
cp_illegal_funct3606100.00100110
cp_illegal_funct7606100.00100110
cp_is_illegal101100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.illegal_i_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_exc_illegal_0303100.00100110
cross_exc_illegal_1606100.00100110
cross_exc_illegal_2606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_illegal_opcode +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for cp_illegal_opcode +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_OPCODE[00:02,04:0e,10:12,14:16,18:22,24:2b]59651
ILLEGAL_OPCODE[2c:32,34:36,38:54]54781
ILLEGAL_OPCODE[55:62,64:66,68:6e,70:72,74:7f]38831

+
+
+Summary for Variable cp_illegal_funct3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins606100.00

+
+User Defined Bins for cp_illegal_funct3 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT3[0:1]67771
ILLEGAL_NOPCODE_FUNCT3[2:3]22551
ILLEGAL_NOPCODE_FUNCT3[4:7]62941
ILLEGAL_FUNCT3[0:1]152211
ILLEGAL_FUNCT3[2:3]97691
ILLEGAL_FUNCT3[4:7]22371

+
+
+Summary for Variable cp_illegal_funct7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins606100.00

+
+User Defined Bins for cp_illegal_funct7 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT7[01:1f,21:2b]42421
ILLEGAL_NOPCODE_FUNCT7[2c:55]15751
ILLEGAL_NOPCODE_FUNCT7[56:7f]16371
ILLEGAL_FUNCT7[01:1f,21:2b]108641
ILLEGAL_FUNCT7[2c:55]106091
ILLEGAL_FUNCT7[56:7f]55101

+
+
+Summary for Variable cp_is_illegal +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_illegal +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_INSTR425531

+
+
+Summary for Cross cross_exc_illegal_0 +
+
+Samples crossed: cp_illegal_opcode cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins303100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_0 +
+
+Bins +
+ + + + + + + + + + + + + + + + + +
cp_illegal_opcodecp_is_illegalCOUNTAT LEAST
ILLEGAL_OPCODE[00:02,04:0e,10:12,14:16,18:22,24:2b]ILLEGAL_INSTR59651
ILLEGAL_OPCODE[2c:32,34:36,38:54]ILLEGAL_INSTR54781
ILLEGAL_OPCODE[55:62,64:66,68:6e,70:72,74:7f]ILLEGAL_INSTR38831

+
+
+Summary for Cross cross_exc_illegal_1 +
+
+Samples crossed: cp_illegal_funct3 cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_illegal_funct3cp_is_illegalCOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT3[0:1]ILLEGAL_INSTR67771
ILLEGAL_NOPCODE_FUNCT3[2:3]ILLEGAL_INSTR22551
ILLEGAL_NOPCODE_FUNCT3[4:7]ILLEGAL_INSTR62941
ILLEGAL_FUNCT3[0:1]ILLEGAL_INSTR152211
ILLEGAL_FUNCT3[2:3]ILLEGAL_INSTR97691
ILLEGAL_FUNCT3[4:7]ILLEGAL_INSTR22371

+
+
+Summary for Cross cross_exc_illegal_2 +
+
+Samples crossed: cp_illegal_funct7 cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_illegal_funct7cp_is_illegalCOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT7[01:1f,21:2b]ILLEGAL_INSTR42421
ILLEGAL_NOPCODE_FUNCT7[2c:55]ILLEGAL_INSTR15751
ILLEGAL_NOPCODE_FUNCT7[56:7f]ILLEGAL_INSTR16371
ILLEGAL_FUNCT7[01:1f,21:2b]ILLEGAL_INSTR108641
ILLEGAL_FUNCT7[2c:55]ILLEGAL_INSTR106091
ILLEGAL_FUNCT7[56:7f]ILLEGAL_INSTR55101

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp409.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp409.html new file mode 100644 index 00000000..6df0bb8f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp409.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent3::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent3.mhpmevent3__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent3.mhpmevent3__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT3404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT3 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]631
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]141
legal_values261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp41.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp41.html new file mode 100644 index 00000000..3129d177 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp41.html @@ -0,0 +1,900 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ci(withChksum=430551851) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ci(withChksum=430551851)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ci(withChksum=430551851) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_addi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_addi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_addi_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1150115100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_addi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value303100.00100110
cp_imm_value202100.00100110
cp_rd_value303100.00100110
cp_rdrs131031100.001001132
cp_rd_toggle64064100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Illegal
NON_ZERO_OFF0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2087351
auto_POSITIVE49871
auto_NEGATIVE10656681

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_ZERO0Excluded
auto_NON_ZERO0Illegal
NON_ZERO_OFF0Illegal
ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_POSITIVE10066151
auto_NEGATIVE2715251

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Illegal
NON_ZERO_OFF0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO6021
auto_POSITIVE2118941
auto_NEGATIVE10668941

+
+
+Summary for Variable cp_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RD_NOT_ZERO0Illegal
[auto[0]]0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]4241
auto[2]391041
auto[3]4521
auto[4]240291
auto[5]389861
auto[6]605521
auto[7]362001
auto[8]390321
auto[9]381891
auto[10]329021
auto[11]348671
auto[12]281621
auto[13]499821
auto[14]391651
auto[15]401401
auto[16]493351
auto[17]368351
auto[18]555781
auto[19]529351
auto[20]1078321
auto[21]452081
auto[22]334841
auto[23]372741
auto[24]403271
auto[25]427021
auto[26]395661
auto[27]363481
auto[28]390671
auto[29]573251
auto[30]494621
auto[31]539261

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_110668941
BIT30_142501
BIT29_142531
BIT28_142741
BIT27_141321
BIT26_141271
BIT25_140991
BIT24_140281
BIT23_140511
BIT22_141151
BIT21_140891
BIT20_141031
BIT19_141191
BIT18_141221
BIT17_141891
BIT16_182161
BIT15_15399671
BIT14_1122641
BIT13_13056081
BIT12_12616741
BIT11_15712681
BIT10_15904051
BIT9_1632791
BIT8_1676191
BIT7_15948041
BIT6_1652971
BIT5_1659731
BIT4_15979591
BIT3_18033461
BIT2_15378251
BIT1_15509511
BIT0_15488171
BIT31_02124961
BIT30_012751401
BIT29_012751371
BIT28_012751161
BIT27_012752581
BIT26_012752631
BIT25_012752911
BIT24_012753621
BIT23_012753391
BIT22_012752751
BIT21_012753011
BIT20_012752871
BIT19_012752711
BIT18_012752681
BIT17_012752011
BIT16_012711741
BIT15_07394231
BIT14_012671261
BIT13_09737821
BIT12_010177161
BIT11_07081221
BIT10_06889851
BIT9_012161111
BIT8_012117711
BIT7_06845861
BIT6_012140931
BIT5_012134171
BIT4_06814311
BIT3_04760441
BIT2_07415651
BIT1_07284391
BIT0_07305731

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_12715251
BIT4_12740691
BIT3_14794761
BIT2_17565221
BIT1_16000281
BIT0_15502891
BIT5_010078651
BIT4_010053211
BIT3_07999141
BIT2_05228681
BIT1_06793621
BIT0_07291011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp410.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp410.html new file mode 100644 index 00000000..fc4cca9c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp410.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr27::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr27.pmpaddr27__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr27.pmpaddr27__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr27.pmpaddr27__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR27404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR27 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR27 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]261
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]61
legal_values231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp411.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp411.html new file mode 100644 index 00000000..ae4f1c4f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp411.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter21::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter21.mhpmcounter21__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER21404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER21 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER21 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]131
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp412.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp412.html new file mode 100644 index 00000000..01a2e870 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp412.html @@ -0,0 +1,1324 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cvxif_rtype_rs3_instr +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.cus_add_rs3_rtype_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_rs3_rtype_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1920192100.00
Crosses000

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs300010
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs3_toggle00010

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_rs3_rtype_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs3_rs200010
cross_rs3_rs100010

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001681
RS1_011571
RS1_021611
RS1_031751
RS1_041601
RS1_051511
RS1_061581
RS1_071731
RS1_081681
RS1_091631
RS1_0a1351
RS1_0b1631
RS1_0c1521
RS1_0d1671
RS1_0e1861
RS1_0f1791
RS1_101671
RS1_111641
RS1_121871
RS1_131711
RS1_141661
RS1_151581
RS1_161571
RS1_171811
RS1_181631
RS1_191691
RS1_1a1441
RS1_1b1631
RS1_1c1411
RS1_1d1651
RS1_1e1571
RS1_1f1651

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001631
RS2_011731
RS2_021681
RS2_031671
RS2_041651
RS2_051551
RS2_061641
RS2_071661
RS2_081781
RS2_091511
RS2_0a1651
RS2_0b1491
RS2_0c1551
RS2_0d1631
RS2_0e1731
RS2_0f1701
RS2_101871
RS2_111611
RS2_121561
RS2_131691
RS2_141681
RS2_151511
RS2_161711
RS2_171751
RS2_181761
RS2_191661
RS2_1a1621
RS2_1b1691
RS2_1c1581
RS2_1d1461
RS2_1e1541
RS2_1f1401

+
+
+Summary for Variable cp_rs3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_rs3 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
RS3_000Excluded
RS3_010Excluded
RS3_020Excluded
RS3_030Excluded
RS3_040Excluded
RS3_050Excluded
RS3_060Excluded
RS3_070Excluded
RS3_080Excluded
RS3_090Excluded
RS3_0a0Excluded
RS3_0b0Excluded
RS3_0c0Excluded
RS3_0d0Excluded
RS3_0e0Excluded
RS3_0f0Excluded
RS3_100Excluded
RS3_110Excluded
RS3_120Excluded
RS3_130Excluded
RS3_140Excluded
RS3_150Excluded
RS3_160Excluded
RS3_170Excluded
RS3_180Excluded
RS3_190Excluded
RS3_1a0Excluded
RS3_1b0Excluded
RS3_1c0Excluded
RS3_1d0Excluded
RS3_1e0Excluded
RS3_1f0Excluded
IGN_RS30Excluded

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_124561
BIT30_120351
BIT29_120011
BIT28_119581
BIT27_119021
BIT26_119021
BIT25_119371
BIT24_118851
BIT23_119091
BIT22_118861
BIT21_118791
BIT20_118621
BIT19_119011
BIT18_118331
BIT17_118811
BIT16_120251
BIT15_121621
BIT14_121191
BIT13_120001
BIT12_123141
BIT11_122771
BIT10_123051
BIT9_122051
BIT8_119741
BIT7_121821
BIT6_118921
BIT5_120271
BIT4_123821
BIT3_124511
BIT2_123761
BIT1_120161
BIT0_116931
BIT31_027761
BIT30_031971
BIT29_032311
BIT28_032741
BIT27_033301
BIT26_033301
BIT25_032951
BIT24_033471
BIT23_033231
BIT22_033461
BIT21_033531
BIT20_033701
BIT19_033311
BIT18_033991
BIT17_033511
BIT16_032071
BIT15_030701
BIT14_031131
BIT13_032321
BIT12_029181
BIT11_029551
BIT10_029271
BIT9_030271
BIT8_032581
BIT7_030501
BIT6_033401
BIT5_032051
BIT4_028501
BIT3_027811
BIT2_028561
BIT1_032161
BIT0_035391

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125081
BIT30_119331
BIT29_119951
BIT28_119841
BIT27_118611
BIT26_119241
BIT25_119781
BIT24_118601
BIT23_119171
BIT22_118841
BIT21_118851
BIT20_119001
BIT19_118391
BIT18_118911
BIT17_118051
BIT16_120031
BIT15_121561
BIT14_120991
BIT13_120211
BIT12_123391
BIT11_123801
BIT10_122951
BIT9_121111
BIT8_120481
BIT7_121581
BIT6_119781
BIT5_120031
BIT4_124591
BIT3_124681
BIT2_123761
BIT1_120001
BIT0_116621
BIT31_027261
BIT30_033011
BIT29_032391
BIT28_032501
BIT27_033731
BIT26_033101
BIT25_032561
BIT24_033741
BIT23_033171
BIT22_033501
BIT21_033491
BIT20_033341
BIT19_033951
BIT18_033431
BIT17_034291
BIT16_032311
BIT15_030781
BIT14_031351
BIT13_032131
BIT12_028951
BIT11_028541
BIT10_029391
BIT9_031231
BIT8_031861
BIT7_030761
BIT6_032561
BIT5_032311
BIT4_027751
BIT3_027661
BIT2_028581
BIT1_032341
BIT0_035721

+
+
+Summary for Variable cp_rs3_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+
+Summary for Cross cross_rs3_rs2 +
+
+Samples crossed: cp_rs3 cp_rs2
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+Summary for Cross cross_rs3_rs1 +
+
+Samples crossed: cp_rs3 cp_rs1
+ + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp413.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp413.html new file mode 100644 index 00000000..9935526d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp413.html @@ -0,0 +1,368 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg1::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg1.pmpcfg1__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg1.pmpcfg1__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables16016100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg1.pmpcfg1__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP7CFG404100.00100110
PMP6CFG404100.00100110
PMP5CFG404100.00100110
PMP4CFG404100.00100110

+
+
+
+
+
+
+Summary for Variable PMP7CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP7CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]61
illegal_values[56:aa]171
illegal_values[ab:ff]191
legal_values1291

+
+
+Summary for Variable PMP6CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP6CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]101
illegal_values[56:aa]91
illegal_values[ab:ff]171
legal_values1351

+
+
+Summary for Variable PMP5CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP5CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]81
illegal_values[56:aa]111
illegal_values[ab:ff]251
legal_values1271

+
+
+Summary for Variable PMP4CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMP4CFG +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[01:55]721
illegal_values[56:aa]171
illegal_values[ab:ff]201
legal_values621

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp414.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp414.html new file mode 100644 index 00000000..644312a7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp414.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr18::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr18.pmpaddr18__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr18.pmpaddr18__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr18.pmpaddr18__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR18404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR18 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR18 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]201
illegal_values[1431655766:2863311530]21
illegal_values[2863311531:ffffffff]61
legal_values191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp415.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp415.html new file mode 100644 index 00000000..71da671b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp415.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr9::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr9.pmpaddr9__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr9.pmpaddr9__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR9404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR9 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR9 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]491
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]121
legal_values271

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp416.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp416.html new file mode 100644 index 00000000..fb77fcc5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp416.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr7::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr7.pmpaddr7__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr7.pmpaddr7__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr7.pmpaddr7__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR7404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR7 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR7 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]341
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]141
legal_values281

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp417.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp417.html new file mode 100644 index 00000000..2c88f3e0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp417.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr31::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr31.pmpaddr31__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr31.pmpaddr31__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr31.pmpaddr31__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR31101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR31 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR31 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01301

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp418.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp418.html new file mode 100644 index 00000000..48b44fe2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp418.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter27::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter27.mhpmcounter27__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER27101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER27 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER27 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02051

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp419.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp419.html new file mode 100644 index 00000000..52445664 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp419.html @@ -0,0 +1,1009 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cvxif_executed + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cvxif_executed
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cvxif_executed +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.cus_cvxif_seq_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_cvxif_seq_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables24024100.00
Crosses1040104100.00

+
+Variables for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_compressed_instr202100.00100110
cp_prev_compressed_instr202100.00100110
cp_instr10010100.00100110
cp_prev_instr10010100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.cus_cvxif_seq_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_seq_cus_compressed_instr_x2404100.00100110
cross_seq_cus_instr_x21000100100.00100110

+
+
+
+
+
+
+Summary for Variable cp_compressed_instr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_compressed_instr +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
CUS_CADD241281
CUS_CNOP243561

+
+
+Summary for Variable cp_prev_compressed_instr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_prev_compressed_instr +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
CUS_CADD240821
CUS_CNOP242991

+
+
+Summary for Variable cp_instr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_instr +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CUS_ADD_RS3_RTYPE64151
CUS_ADD_RS3_NMSUB65721
CUS_ADD_RS3_NMADD65361
CUS_ADD_RS3_MSUB63741
CUS_ADD_RS3_MADD65981
CUS_ADD_MULTI65251
CUS_DOUBLE_RS264401
CUS_DOUBLE_RS165481
CUS_ADD127791
CUS_NOP131381

+
+
+Summary for Variable cp_prev_instr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_prev_instr +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CUS_ADD_RS3_RTYPE63991
CUS_ADD_RS3_NMSUB65531
CUS_ADD_RS3_NMADD65161
CUS_ADD_RS3_MSUB63491
CUS_ADD_RS3_MADD65811
CUS_ADD_MULTI65101
CUS_DOUBLE_RS264201
CUS_DOUBLE_RS165301
CUS_ADD127531
CUS_NOP131161

+
+
+Summary for Cross cross_seq_cus_compressed_instr_x2 +
+
+Samples crossed: cp_compressed_instr cp_prev_compressed_instr
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_seq_cus_compressed_instr_x2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_compressed_instrcp_prev_compressed_instrCOUNTAT LEAST
CUS_CADDCUS_CADD160691
CUS_CADDCUS_CNOP9881
CUS_CNOPCUS_CADD9971
CUS_CNOPCUS_CNOP162001

+
+
+Summary for Cross cross_seq_cus_instr_x2 +
+
+Samples crossed: cp_instr cp_prev_instr
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins1000100100.00

+
+Automatically Generated Cross Bins for cross_seq_cus_instr_x2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_instrcp_prev_instrCOUNTAT LEAST
CUS_ADD_RS3_RTYPECUS_ADD_RS3_RTYPE19221
CUS_ADD_RS3_RTYPECUS_ADD_RS3_NMSUB4131
CUS_ADD_RS3_RTYPECUS_ADD_RS3_NMADD3251
CUS_ADD_RS3_RTYPECUS_ADD_RS3_MSUB3591
CUS_ADD_RS3_RTYPECUS_ADD_RS3_MADD3781
CUS_ADD_RS3_RTYPECUS_ADD_MULTI3491
CUS_ADD_RS3_RTYPECUS_DOUBLE_RS24031
CUS_ADD_RS3_RTYPECUS_DOUBLE_RS13391
CUS_ADD_RS3_RTYPECUS_ADD6881
CUS_ADD_RS3_RTYPECUS_NOP7081
CUS_ADD_RS3_NMSUBCUS_ADD_RS3_RTYPE3911
CUS_ADD_RS3_NMSUBCUS_ADD_RS3_NMSUB19421
CUS_ADD_RS3_NMSUBCUS_ADD_RS3_NMADD3301
CUS_ADD_RS3_NMSUBCUS_ADD_RS3_MSUB3621
CUS_ADD_RS3_NMSUBCUS_ADD_RS3_MADD3791
CUS_ADD_RS3_NMSUBCUS_ADD_MULTI3891
CUS_ADD_RS3_NMSUBCUS_DOUBLE_RS23771
CUS_ADD_RS3_NMSUBCUS_DOUBLE_RS13611
CUS_ADD_RS3_NMSUBCUS_ADD7011
CUS_ADD_RS3_NMSUBCUS_NOP7391
CUS_ADD_RS3_NMADDCUS_ADD_RS3_RTYPE3281
CUS_ADD_RS3_NMADDCUS_ADD_RS3_NMSUB3901
CUS_ADD_RS3_NMADDCUS_ADD_RS3_NMADD19871
CUS_ADD_RS3_NMADDCUS_ADD_RS3_MSUB3511
CUS_ADD_RS3_NMADDCUS_ADD_RS3_MADD3681
CUS_ADD_RS3_NMADDCUS_ADD_MULTI3651
CUS_ADD_RS3_NMADDCUS_DOUBLE_RS23701
CUS_ADD_RS3_NMADDCUS_DOUBLE_RS13541
CUS_ADD_RS3_NMADDCUS_ADD6661
CUS_ADD_RS3_NMADDCUS_NOP7191
CUS_ADD_RS3_MSUBCUS_ADD_RS3_RTYPE3411
CUS_ADD_RS3_MSUBCUS_ADD_RS3_NMSUB3821
CUS_ADD_RS3_MSUBCUS_ADD_RS3_NMADD3631
CUS_ADD_RS3_MSUBCUS_ADD_RS3_MSUB18481
CUS_ADD_RS3_MSUBCUS_ADD_RS3_MADD3501
CUS_ADD_RS3_MSUBCUS_ADD_MULTI3541
CUS_ADD_RS3_MSUBCUS_DOUBLE_RS23481
CUS_ADD_RS3_MSUBCUS_DOUBLE_RS13751
CUS_ADD_RS3_MSUBCUS_ADD6891
CUS_ADD_RS3_MSUBCUS_NOP7021
CUS_ADD_RS3_MADDCUS_ADD_RS3_RTYPE3291
CUS_ADD_RS3_MADDCUS_ADD_RS3_NMSUB3871
CUS_ADD_RS3_MADDCUS_ADD_RS3_NMADD3481
CUS_ADD_RS3_MADDCUS_ADD_RS3_MSUB3531
CUS_ADD_RS3_MADDCUS_ADD_RS3_MADD19961
CUS_ADD_RS3_MADDCUS_ADD_MULTI3481
CUS_ADD_RS3_MADDCUS_DOUBLE_RS23871
CUS_ADD_RS3_MADDCUS_DOUBLE_RS13811
CUS_ADD_RS3_MADDCUS_ADD7551
CUS_ADD_RS3_MADDCUS_NOP7341
CUS_ADD_MULTICUS_ADD_RS3_RTYPE4201
CUS_ADD_MULTICUS_ADD_RS3_NMSUB3381
CUS_ADD_MULTICUS_ADD_RS3_NMADD3891
CUS_ADD_MULTICUS_ADD_RS3_MSUB3651
CUS_ADD_MULTICUS_ADD_RS3_MADD3411
CUS_ADD_MULTICUS_ADD_MULTI20171
CUS_ADD_MULTICUS_DOUBLE_RS23701
CUS_ADD_MULTICUS_DOUBLE_RS13591
CUS_ADD_MULTICUS_ADD6891
CUS_ADD_MULTICUS_NOP6821
CUS_DOUBLE_RS2CUS_ADD_RS3_RTYPE3751
CUS_DOUBLE_RS2CUS_ADD_RS3_NMSUB3421
CUS_DOUBLE_RS2CUS_ADD_RS3_NMADD3741
CUS_DOUBLE_RS2CUS_ADD_RS3_MSUB3631
CUS_DOUBLE_RS2CUS_ADD_RS3_MADD3501
CUS_DOUBLE_RS2CUS_ADD_MULTI3741
CUS_DOUBLE_RS2CUS_DOUBLE_RS218851
CUS_DOUBLE_RS2CUS_DOUBLE_RS13461
CUS_DOUBLE_RS2CUS_ADD7121
CUS_DOUBLE_RS2CUS_NOP6841
CUS_DOUBLE_RS1CUS_ADD_RS3_RTYPE3281
CUS_DOUBLE_RS1CUS_ADD_RS3_NMSUB3601
CUS_DOUBLE_RS1CUS_ADD_RS3_NMADD3601
CUS_DOUBLE_RS1CUS_ADD_RS3_MSUB3641
CUS_DOUBLE_RS1CUS_ADD_RS3_MADD3871
CUS_DOUBLE_RS1CUS_ADD_MULTI3651
CUS_DOUBLE_RS1CUS_DOUBLE_RS23641
CUS_DOUBLE_RS1CUS_DOUBLE_RS119581
CUS_DOUBLE_RS1CUS_ADD6951
CUS_DOUBLE_RS1CUS_NOP7691
CUS_ADDCUS_ADD_RS3_RTYPE4111
CUS_ADDCUS_ADD_RS3_NMSUB4211
CUS_ADDCUS_ADD_RS3_NMADD4191
CUS_ADDCUS_ADD_RS3_MSUB4371
CUS_ADDCUS_ADD_RS3_MADD4521
CUS_ADDCUS_ADD_MULTI4571
CUS_ADDCUS_DOUBLE_RS24061
CUS_ADDCUS_DOUBLE_RS14481
CUS_ADDCUS_ADD39971
CUS_ADDCUS_NOP8891
CUS_NOPCUS_ADD_RS3_RTYPE4431
CUS_NOPCUS_ADD_RS3_NMSUB4631
CUS_NOPCUS_ADD_RS3_NMADD4681
CUS_NOPCUS_ADD_RS3_MSUB4561
CUS_NOPCUS_ADD_RS3_MADD4651
CUS_NOPCUS_ADD_MULTI4341
CUS_NOPCUS_DOUBLE_RS24121
CUS_NOPCUS_DOUBLE_RS14261
CUS_NOPCUS_ADD9671
CUS_NOPCUS_NOP42011

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp42.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp42.html new file mode 100644 index 00000000..6ebb1a3a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp42.html @@ -0,0 +1,872 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cl + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cl
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cl +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.55 99.551 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_lw_cg 99.551 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_lw_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.551 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_lw_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables102210099.55

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_lw_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rd808100.00100118
cp_c_rd_rs1_hazard808100.00100110
cp_rs1_toggle6426296.88 100110
cp_imm_toggle10010100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO633851

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO189191
auto_NON_ZERO444671

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]101171
auto[1]93261
auto[2]53871
auto[3]56141
auto[4]38341
auto[5]85891
auto[6]60071
auto[7]145121

+
+
+Summary for Variable cp_c_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]71521
auto[1]19801
auto[2]108371
auto[3]72451
auto[4]63621
auto[5]135861
auto[6]112131
auto[7]50111

+
+
+Summary for Variable cp_c_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins808100.00

+
+User Defined Bins for cp_c_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_011
RD_111
RD_211
RD_321
RD_411
RD_511
RD_611
RD_711

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins6426296.88

+
+User Defined Bins for cp_rs1_toggle +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT1_1011
BIT0_1011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1633851
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1741
BIT15_1633231
BIT14_1881
BIT13_1231701
BIT12_1402431
BIT11_1632721
BIT10_1632651
BIT9_1981
BIT8_11111
BIT7_1189821
BIT6_11131
BIT5_11011
BIT4_1632831
BIT3_1632631
BIT2_1881
BIT31_011
BIT30_0633851
BIT29_0633851
BIT28_0633851
BIT27_0633851
BIT26_0633851
BIT25_0633851
BIT24_0633851
BIT23_0633851
BIT22_0633851
BIT21_0633851
BIT20_0633851
BIT19_0633851
BIT18_0633851
BIT17_0633851
BIT16_0633121
BIT15_0631
BIT14_0632981
BIT13_0402161
BIT12_0231431
BIT11_01141
BIT10_01211
BIT9_0632881
BIT8_0632751
BIT7_0444041
BIT6_0632731
BIT5_0632851
BIT4_01031
BIT3_01231
BIT2_0632981
BIT1_0633861
BIT0_0633861

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT4_1271
BIT3_1501
BIT2_1681
BIT1_1253801
BIT0_1320871
BIT4_0633591
BIT3_0633361
BIT2_0633181
BIT1_0380061
BIT0_0312991

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp420.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp420.html new file mode 100644 index 00000000..11933d3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp420.html @@ -0,0 +1,216 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cva6_boot_addr + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cva6_boot_addr
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cva6_boot_addr +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
33.33 33.331 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cva6_config_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.boot_addr_cg 33.331 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.boot_addr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
33.331 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.boot_addr_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables32133.33

+
+Variables for Group Instance uvme_cva6_pkg.boot_addr_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_boot_addr32133.33 100110

+
+
+
+
+
+
+Summary for Variable cp_boot_addr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32133.33

+
+User Defined Bins for cp_boot_addr +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
BOOT_ADDR_HIGH011
BOOT_ADDR_0011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
BOOT_ADDR_LOW23561

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp421.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp421.html new file mode 100644 index 00000000..49629c4c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp421.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent5::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent5.mhpmevent5__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent5.mhpmevent5__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent5.mhpmevent5__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT5404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT5 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT5 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]571
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]121
legal_values301

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp422.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp422.html new file mode 100644 index 00000000..c3f28777 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp422.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter28::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter28.mhpmcounter28__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER28101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER28 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER28 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02021

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp423.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp423.html new file mode 100644 index 00000000..ddc0f53a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp423.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr12::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr12.pmpaddr12__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr12.pmpaddr12__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr12.pmpaddr12__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR12101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR12 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR12 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01771

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp424.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp424.html new file mode 100644 index 00000000..6b3e3199 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp424.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr50::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr50.pmpaddr50__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr50.pmpaddr50__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr50.pmpaddr50__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR50101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR50 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR50 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01421

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp425.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp425.html new file mode 100644 index 00000000..b8efb9dd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp425.html @@ -0,0 +1,360 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mstatush::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mstatush::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mstatush::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mstatush.mstatush__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mstatush.mstatush__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mstatush.mstatush__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables505100.00

+
+Variables for Group Instance csr_reg_cov.mstatush.mstatush__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MPELP101100.00100110
MPV101100.00100110
GVA101100.00100110
MBE101100.00100110
SBE101100.00100110

+
+
+
+
+
+
+Summary for Variable MPELP +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MPELP +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02641

+
+
+Summary for Variable MPV +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MPV +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02641

+
+
+Summary for Variable GVA +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for GVA +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02641

+
+
+Summary for Variable MBE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MBE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02641

+
+
+Summary for Variable SBE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for SBE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02641

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp426.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp426.html new file mode 100644 index 00000000..4b8193e1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp426.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter5h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter5h.mhpmcounter5h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER5H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER5H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER5H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp427.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp427.html new file mode 100644 index 00000000..2b19e2b3 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp427.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr9::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr9.pmpaddr9__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr9.pmpaddr9__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr9.pmpaddr9__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR9101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR9 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR9 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02021

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp428.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp428.html new file mode 100644 index 00000000..37f3056a --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp428.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr35::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr35.pmpaddr35__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr35.pmpaddr35__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr35.pmpaddr35__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR35101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR35 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR35 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01321

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp429.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp429.html new file mode 100644 index 00000000..e29958bb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp429.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter31::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter31.mhpmcounter31__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER31404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER31 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER31 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]631
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]191
legal_values261

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp43.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp43.html new file mode 100644 index 00000000..d35d4fc6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp43.html @@ -0,0 +1,1389 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cb + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cb
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cb +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_beqz_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_bnez_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_beqz_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_beqz_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables93093100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_beqz_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_imm_value303100.00100110
cp_c_rs1808100.00100118
cp_rs1_toggle64064100.00100110
cp_imm_toggle16016100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_bnez_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_bnez_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables93093100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_bnez_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_imm_value303100.00100110
cp_c_rs1808100.00100118
cp_rs1_toggle64064100.00100110
cp_imm_toggle16016100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO16851
auto_NON_ZERO31531

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE48331
auto_NEGATIVE41

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5771
auto[1]5971
auto[2]5981
auto[3]5991
auto[4]6531
auto[5]6191
auto[6]5901
auto[7]6051

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_112401
BIT30_110431
BIT29_110551
BIT28_110521
BIT27_110381
BIT26_110311
BIT25_110371
BIT24_110561
BIT23_110301
BIT22_110231
BIT21_110391
BIT20_110441
BIT19_110311
BIT18_110521
BIT17_110161
BIT16_111071
BIT15_112251
BIT14_112031
BIT13_112511
BIT12_111911
BIT11_112481
BIT10_112631
BIT9_112081
BIT8_111931
BIT7_113691
BIT6_113121
BIT5_113091
BIT4_114971
BIT3_114131
BIT2_114251
BIT1_112791
BIT0_114371
BIT31_035981
BIT30_037951
BIT29_037831
BIT28_037861
BIT27_038001
BIT26_038071
BIT25_038011
BIT24_037821
BIT23_038081
BIT22_038151
BIT21_037991
BIT20_037941
BIT19_038071
BIT18_037861
BIT17_038221
BIT16_037311
BIT15_036131
BIT14_036351
BIT13_035871
BIT12_036471
BIT11_035901
BIT10_035751
BIT9_036301
BIT8_036451
BIT7_034691
BIT6_035261
BIT5_035291
BIT4_033411
BIT3_034251
BIT2_034131
BIT1_035591
BIT0_034011

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins16016100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT7_141
BIT6_11101
BIT5_16081
BIT4_121421
BIT3_121871
BIT2_122261
BIT1_123171
BIT0_126591
BIT7_048341
BIT6_047281
BIT5_042301
BIT4_026961
BIT3_026511
BIT2_026121
BIT1_025211
BIT0_021791

+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO183321
auto_NON_ZERO516831

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE699491
auto_NEGATIVE651

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]86561
auto[1]72791
auto[2]114381
auto[3]80261
auto[4]67621
auto[5]75071
auto[6]113021
auto[7]90451

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_112031
BIT30_19861
BIT29_19831
BIT28_110131
BIT27_19871
BIT26_19971
BIT25_110011
BIT24_19981
BIT23_110061
BIT22_19941
BIT21_110201
BIT20_110041
BIT19_110031
BIT18_110291
BIT17_110111
BIT16_110601
BIT15_111351
BIT14_111721
BIT13_112001
BIT12_111641
BIT11_112061
BIT10_112411
BIT9_112071
BIT8_111591
BIT7_113081
BIT6_112511
BIT5_112571
BIT4_114531
BIT3_113891
BIT2_113981
BIT1_112641
BIT0_1500581
BIT31_0688121
BIT30_0690291
BIT29_0690321
BIT28_0690021
BIT27_0690281
BIT26_0690181
BIT25_0690141
BIT24_0690171
BIT23_0690091
BIT22_0690211
BIT21_0689951
BIT20_0690111
BIT19_0690121
BIT18_0689861
BIT17_0690041
BIT16_0689551
BIT15_0688801
BIT14_0688431
BIT13_0688151
BIT12_0688511
BIT11_0688091
BIT10_0687741
BIT9_0688081
BIT8_0688561
BIT7_0687071
BIT6_0687641
BIT5_0687581
BIT4_0685621
BIT3_0686261
BIT2_0686171
BIT1_0687511
BIT0_0199571

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins16016100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT7_1651
BIT6_11591
BIT5_16591
BIT4_1671251
BIT3_1672201
BIT2_1637971
BIT1_1567611
BIT0_1408091
BIT7_0699501
BIT6_0698561
BIT5_0693561
BIT4_028901
BIT3_027951
BIT2_062181
BIT1_0132541
BIT0_0292061

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430.html new file mode 100644 index 00000000..0bd37b67 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430.html @@ -0,0 +1,664 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_cvxif_instr + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_cvxif_instr
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_cvxif_instr +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.80 99.801 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_cvxif_covg.sv
+
+4 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.cus_add_multi_cg 99.781 100 1 64 64
uvme_cva6_pkg.cus_double_add_rs2_cg 99.781 100 1 64 64
uvme_cva6_pkg.cus_double_add_rs1_cg 99.791 100 1 64 64
uvme_cva6_pkg.cus_add_cg 99.851 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_multi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.781 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_multi_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204816203299.22

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_multi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_multi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs110246101899.41 100110
cross_rd_rs2102410101499.02 100110

+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_double_add_rs2_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.781 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204816203299.22

+
+Variables for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.cus_double_add_rs2_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1102410101499.02 100110
cross_rd_rs210246101899.41 100110

+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_double_add_rs1_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.791 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204815203399.27

+
+Variables for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.cus_double_add_rs1_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1102412101298.83 100110
cross_rd_rs210243102199.71 100110

+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.cus_add_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.851 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.cus_add_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00
Crosses204811203799.46

+
+Variables for Group Instance uvme_cva6_pkg.cus_add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.00100110
cp_rs132032100.00100110
cp_rs232032100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.cus_add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1102411101398.93 100110
cross_rd_rs2102401024100.00100110

+
+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_1.html new file mode 100644 index 00000000..14aaae10 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_1.html @@ -0,0 +1,11430 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_multi_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001931
RD_011811
RD_021551
RD_031941
RD_041561
RD_051521
RD_061701
RD_071591
RD_081671
RD_091431
RD_0a1671
RD_0b1651
RD_0c1651
RD_0d1651
RD_0e1611
RD_0f1841
RD_101811
RD_111781
RD_121531
RD_131681
RD_141631
RD_151861
RD_161731
RD_171771
RD_181601
RD_191451
RD_1a1381
RD_1b1601
RD_1c1481
RD_1d1771
RD_1e1521
RD_1f1641

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001521
RS1_011461
RS1_021891
RS1_031691
RS1_041571
RS1_051641
RS1_062041
RS1_071981
RS1_081571
RS1_091701
RS1_0a1721
RS1_0b1931
RS1_0c1821
RS1_0d1411
RS1_0e1401
RS1_0f1671
RS1_101711
RS1_111751
RS1_121791
RS1_131641
RS1_141491
RS1_151701
RS1_161521
RS1_171661
RS1_181491
RS1_191571
RS1_1a1701
RS1_1b1521
RS1_1c1671
RS1_1d1831
RS1_1e1511
RS1_1f1441

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001711
RS2_011691
RS2_021661
RS2_031861
RS2_041741
RS2_051431
RS2_061591
RS2_071661
RS2_081261
RS2_091671
RS2_0a1581
RS2_0b1751
RS2_0c1621
RS2_0d1461
RS2_0e1781
RS2_0f1551
RS2_101731
RS2_111571
RS2_121791
RS2_131811
RS2_141811
RS2_151791
RS2_161701
RS2_171631
RS2_181511
RS2_191521
RS2_1a1601
RS2_1b1521
RS2_1c1791
RS2_1d1671
RS2_1e1831
RS2_1f1721

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_124331
BIT30_119761
BIT29_119361
BIT28_119711
BIT27_119011
BIT26_118911
BIT25_119061
BIT24_119651
BIT23_118801
BIT22_119681
BIT21_118971
BIT20_119241
BIT19_118951
BIT18_119181
BIT17_119881
BIT16_120161
BIT15_121691
BIT14_120871
BIT13_120191
BIT12_123341
BIT11_123961
BIT10_123281
BIT9_121341
BIT8_120251
BIT7_122431
BIT6_119911
BIT5_120541
BIT4_123961
BIT3_125421
BIT2_124351
BIT1_119841
BIT0_116561
BIT31_028611
BIT30_033181
BIT29_033581
BIT28_033231
BIT27_033931
BIT26_034031
BIT25_033881
BIT24_033291
BIT23_034141
BIT22_033261
BIT21_033971
BIT20_033701
BIT19_033991
BIT18_033761
BIT17_033061
BIT16_032781
BIT15_031251
BIT14_032071
BIT13_032751
BIT12_029601
BIT11_028981
BIT10_029661
BIT9_031601
BIT8_032691
BIT7_030511
BIT6_033031
BIT5_032401
BIT4_028981
BIT3_027521
BIT2_028591
BIT1_033101
BIT0_036381

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125171
BIT30_119761
BIT29_119911
BIT28_119871
BIT27_119521
BIT26_118631
BIT25_119251
BIT24_118801
BIT23_118581
BIT22_118961
BIT21_118221
BIT20_118441
BIT19_119611
BIT18_119291
BIT17_119011
BIT16_120001
BIT15_121761
BIT14_121341
BIT13_120271
BIT12_123691
BIT11_123981
BIT10_123561
BIT9_120861
BIT8_120321
BIT7_121811
BIT6_119761
BIT5_119921
BIT4_123881
BIT3_125201
BIT2_123971
BIT1_119921
BIT0_116601
BIT31_027831
BIT30_033241
BIT29_033091
BIT28_033131
BIT27_033481
BIT26_034371
BIT25_033751
BIT24_034201
BIT23_034421
BIT22_034041
BIT21_034781
BIT20_034561
BIT19_033391
BIT18_033711
BIT17_033991
BIT16_033001
BIT15_031241
BIT14_031661
BIT13_032731
BIT12_029311
BIT11_029021
BIT10_029441
BIT9_032141
BIT8_032681
BIT7_031191
BIT6_033241
BIT5_033081
BIT4_029121
BIT3_027801
BIT2_029031
BIT1_033081
BIT0_036401

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10246101899.41 6

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_01][RS1_0d]011
[RD_05][RS1_05]011
[RD_08][RS1_14]011
[RD_13][RS1_11]011
[RD_1c][RS1_02]011
[RD_1e][RS1_0c]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0071
RD_00RS1_0131
RD_00RS1_0281
RD_00RS1_0321
RD_00RS1_0471
RD_00RS1_05101
RD_00RS1_0681
RD_00RS1_0761
RD_00RS1_0831
RD_00RS1_0961
RD_00RS1_0a91
RD_00RS1_0b81
RD_00RS1_0c21
RD_00RS1_0d31
RD_00RS1_0e71
RD_00RS1_0f31
RD_00RS1_1031
RD_00RS1_1181
RD_00RS1_1241
RD_00RS1_1391
RD_00RS1_1471
RD_00RS1_1571
RD_00RS1_1641
RD_00RS1_1751
RD_00RS1_1861
RD_00RS1_1931
RD_00RS1_1a121
RD_00RS1_1b91
RD_00RS1_1c51
RD_00RS1_1d91
RD_00RS1_1e81
RD_00RS1_1f21
RD_01RS1_0021
RD_01RS1_0171
RD_01RS1_0251
RD_01RS1_0341
RD_01RS1_0421
RD_01RS1_0531
RD_01RS1_0661
RD_01RS1_07131
RD_01RS1_0891
RD_01RS1_0921
RD_01RS1_0a81
RD_01RS1_0b61
RD_01RS1_0c111
RD_01RS1_0e41
RD_01RS1_0f51
RD_01RS1_1051
RD_01RS1_1141
RD_01RS1_1251
RD_01RS1_1341
RD_01RS1_1471
RD_01RS1_1551
RD_01RS1_1661
RD_01RS1_1781
RD_01RS1_1831
RD_01RS1_1961
RD_01RS1_1a71
RD_01RS1_1b51
RD_01RS1_1c91
RD_01RS1_1d71
RD_01RS1_1e91
RD_01RS1_1f41
RD_02RS1_0071
RD_02RS1_0111
RD_02RS1_0271
RD_02RS1_0391
RD_02RS1_0441
RD_02RS1_0541
RD_02RS1_0651
RD_02RS1_0731
RD_02RS1_0831
RD_02RS1_0951
RD_02RS1_0a51
RD_02RS1_0b51
RD_02RS1_0c31
RD_02RS1_0d81
RD_02RS1_0e41
RD_02RS1_0f31
RD_02RS1_1041
RD_02RS1_1161
RD_02RS1_1251
RD_02RS1_1321
RD_02RS1_1461
RD_02RS1_1591
RD_02RS1_1621
RD_02RS1_1771
RD_02RS1_1831
RD_02RS1_1991
RD_02RS1_1a31
RD_02RS1_1b51
RD_02RS1_1c21
RD_02RS1_1d71
RD_02RS1_1e11
RD_02RS1_1f81
RD_03RS1_0041
RD_03RS1_0191
RD_03RS1_02111
RD_03RS1_0361
RD_03RS1_0461
RD_03RS1_0551
RD_03RS1_0681
RD_03RS1_07111
RD_03RS1_0891
RD_03RS1_0971
RD_03RS1_0a81
RD_03RS1_0b51
RD_03RS1_0c101
RD_03RS1_0d31
RD_03RS1_0e11
RD_03RS1_0f61
RD_03RS1_1071
RD_03RS1_1171
RD_03RS1_1281
RD_03RS1_1341
RD_03RS1_1411
RD_03RS1_1581
RD_03RS1_1661
RD_03RS1_1771
RD_03RS1_1841
RD_03RS1_1931
RD_03RS1_1a61
RD_03RS1_1b31
RD_03RS1_1c41
RD_03RS1_1d31
RD_03RS1_1e91
RD_03RS1_1f51
RD_04RS1_0071
RD_04RS1_0171
RD_04RS1_02111
RD_04RS1_0381
RD_04RS1_0461
RD_04RS1_0591
RD_04RS1_0651
RD_04RS1_0721
RD_04RS1_0841
RD_04RS1_0981
RD_04RS1_0a71
RD_04RS1_0b41
RD_04RS1_0c21
RD_04RS1_0d31
RD_04RS1_0e51
RD_04RS1_0f11
RD_04RS1_10101
RD_04RS1_1131
RD_04RS1_1241
RD_04RS1_1341
RD_04RS1_1451
RD_04RS1_1521
RD_04RS1_1671
RD_04RS1_1721
RD_04RS1_1841
RD_04RS1_1971
RD_04RS1_1a31
RD_04RS1_1b21
RD_04RS1_1c51
RD_04RS1_1d61
RD_04RS1_1e11
RD_04RS1_1f21
RD_05RS1_0071
RD_05RS1_0141
RD_05RS1_0251
RD_05RS1_0371
RD_05RS1_0471
RD_05RS1_0621
RD_05RS1_0721
RD_05RS1_0841
RD_05RS1_0941
RD_05RS1_0a41
RD_05RS1_0b121
RD_05RS1_0c61
RD_05RS1_0d11
RD_05RS1_0e41
RD_05RS1_0f41
RD_05RS1_1081
RD_05RS1_1131
RD_05RS1_1261
RD_05RS1_13101
RD_05RS1_1421
RD_05RS1_15101
RD_05RS1_1651
RD_05RS1_1761
RD_05RS1_1831
RD_05RS1_1931
RD_05RS1_1a51
RD_05RS1_1b41
RD_05RS1_1c31
RD_05RS1_1d11
RD_05RS1_1e51
RD_05RS1_1f51
RD_06RS1_0061
RD_06RS1_0151
RD_06RS1_0281
RD_06RS1_0371
RD_06RS1_0481
RD_06RS1_0541
RD_06RS1_06101
RD_06RS1_0761
RD_06RS1_0831
RD_06RS1_0961
RD_06RS1_0a31
RD_06RS1_0b51
RD_06RS1_0c31
RD_06RS1_0d121
RD_06RS1_0e51
RD_06RS1_0f51
RD_06RS1_1051
RD_06RS1_11121
RD_06RS1_1231
RD_06RS1_1321
RD_06RS1_1431
RD_06RS1_1531
RD_06RS1_1621
RD_06RS1_17141
RD_06RS1_1841
RD_06RS1_1961
RD_06RS1_1a31
RD_06RS1_1b31
RD_06RS1_1c21
RD_06RS1_1d51
RD_06RS1_1e41
RD_06RS1_1f31
RD_07RS1_0061
RD_07RS1_0131
RD_07RS1_0231
RD_07RS1_0311
RD_07RS1_0471
RD_07RS1_0531
RD_07RS1_0671
RD_07RS1_0721
RD_07RS1_0851
RD_07RS1_0971
RD_07RS1_0a81
RD_07RS1_0b51
RD_07RS1_0c81
RD_07RS1_0d41
RD_07RS1_0e21
RD_07RS1_0f51
RD_07RS1_1031
RD_07RS1_1191
RD_07RS1_1281
RD_07RS1_13101
RD_07RS1_1431
RD_07RS1_1551
RD_07RS1_1651
RD_07RS1_1781
RD_07RS1_1861
RD_07RS1_1961
RD_07RS1_1a21
RD_07RS1_1b51
RD_07RS1_1c21
RD_07RS1_1d31
RD_07RS1_1e31
RD_07RS1_1f51
RD_08RS1_0021
RD_08RS1_0121
RD_08RS1_0241
RD_08RS1_03151
RD_08RS1_0441
RD_08RS1_0571
RD_08RS1_0661
RD_08RS1_0731
RD_08RS1_0841
RD_08RS1_0921
RD_08RS1_0a41
RD_08RS1_0b31
RD_08RS1_0c71
RD_08RS1_0d21
RD_08RS1_0e61
RD_08RS1_0f61
RD_08RS1_1041
RD_08RS1_11101
RD_08RS1_1281
RD_08RS1_1351
RD_08RS1_1561
RD_08RS1_1641
RD_08RS1_1781
RD_08RS1_1871
RD_08RS1_1931
RD_08RS1_1a41
RD_08RS1_1b41
RD_08RS1_1c91
RD_08RS1_1d81
RD_08RS1_1e81
RD_08RS1_1f21
RD_09RS1_0021
RD_09RS1_0171
RD_09RS1_0211
RD_09RS1_0331
RD_09RS1_0441
RD_09RS1_0561
RD_09RS1_0681
RD_09RS1_07141
RD_09RS1_0821
RD_09RS1_0981
RD_09RS1_0a31
RD_09RS1_0b21
RD_09RS1_0c41
RD_09RS1_0d51
RD_09RS1_0e11
RD_09RS1_0f31
RD_09RS1_1061
RD_09RS1_1141
RD_09RS1_1221
RD_09RS1_13121
RD_09RS1_1451
RD_09RS1_1521
RD_09RS1_1651
RD_09RS1_1751
RD_09RS1_1831
RD_09RS1_1951
RD_09RS1_1a71
RD_09RS1_1b31
RD_09RS1_1c61
RD_09RS1_1d21
RD_09RS1_1e11
RD_09RS1_1f21
RD_0aRS1_0041
RD_0aRS1_0151
RD_0aRS1_0271
RD_0aRS1_0321
RD_0aRS1_0431
RD_0aRS1_05141
RD_0aRS1_0641
RD_0aRS1_0791
RD_0aRS1_0861
RD_0aRS1_0941
RD_0aRS1_0a101
RD_0aRS1_0b61
RD_0aRS1_0c91
RD_0aRS1_0d51
RD_0aRS1_0e51
RD_0aRS1_0f31
RD_0aRS1_1061
RD_0aRS1_1111
RD_0aRS1_1221
RD_0aRS1_1311
RD_0aRS1_1431
RD_0aRS1_1551
RD_0aRS1_1671
RD_0aRS1_1731
RD_0aRS1_1851
RD_0aRS1_1941
RD_0aRS1_1a61
RD_0aRS1_1b91
RD_0aRS1_1c41
RD_0aRS1_1d71
RD_0aRS1_1e51
RD_0aRS1_1f31
RD_0bRS1_0041
RD_0bRS1_0161
RD_0bRS1_0261
RD_0bRS1_0371
RD_0bRS1_0441
RD_0bRS1_0561
RD_0bRS1_0651
RD_0bRS1_0721
RD_0bRS1_0841
RD_0bRS1_0971
RD_0bRS1_0a31
RD_0bRS1_0b41
RD_0bRS1_0c91
RD_0bRS1_0d71
RD_0bRS1_0e31
RD_0bRS1_0f71
RD_0bRS1_1061
RD_0bRS1_1141
RD_0bRS1_12101
RD_0bRS1_1351
RD_0bRS1_1471
RD_0bRS1_1541
RD_0bRS1_1671
RD_0bRS1_1721
RD_0bRS1_1861
RD_0bRS1_1981
RD_0bRS1_1a11
RD_0bRS1_1b61
RD_0bRS1_1c41
RD_0bRS1_1d11
RD_0bRS1_1e41
RD_0bRS1_1f61
RD_0cRS1_0051
RD_0cRS1_0111
RD_0cRS1_0241
RD_0cRS1_0361
RD_0cRS1_04101
RD_0cRS1_0521
RD_0cRS1_0661
RD_0cRS1_0781
RD_0cRS1_08101
RD_0cRS1_0951
RD_0cRS1_0a31
RD_0cRS1_0b71
RD_0cRS1_0c71
RD_0cRS1_0d41
RD_0cRS1_0e51
RD_0cRS1_0f51
RD_0cRS1_1051
RD_0cRS1_1131
RD_0cRS1_1231
RD_0cRS1_1351
RD_0cRS1_1451
RD_0cRS1_1551
RD_0cRS1_1641
RD_0cRS1_1771
RD_0cRS1_1831
RD_0cRS1_1951
RD_0cRS1_1a61
RD_0cRS1_1b21
RD_0cRS1_1c61
RD_0cRS1_1d61
RD_0cRS1_1e91
RD_0cRS1_1f31
RD_0dRS1_0051
RD_0dRS1_0141
RD_0dRS1_0241
RD_0dRS1_0341
RD_0dRS1_0431
RD_0dRS1_0551
RD_0dRS1_0671
RD_0dRS1_0781
RD_0dRS1_0881
RD_0dRS1_0981
RD_0dRS1_0a51
RD_0dRS1_0b61
RD_0dRS1_0c81
RD_0dRS1_0d21
RD_0dRS1_0e71
RD_0dRS1_0f101
RD_0dRS1_1051
RD_0dRS1_1181
RD_0dRS1_1231
RD_0dRS1_13101
RD_0dRS1_1461
RD_0dRS1_1551
RD_0dRS1_1621
RD_0dRS1_1781
RD_0dRS1_1821
RD_0dRS1_1951
RD_0dRS1_1a11
RD_0dRS1_1b31
RD_0dRS1_1c31
RD_0dRS1_1d41
RD_0dRS1_1e41
RD_0dRS1_1f21
RD_0eRS1_0041
RD_0eRS1_0141
RD_0eRS1_0231
RD_0eRS1_0351
RD_0eRS1_0461
RD_0eRS1_0541
RD_0eRS1_0671
RD_0eRS1_0791
RD_0eRS1_0831
RD_0eRS1_0931
RD_0eRS1_0a91
RD_0eRS1_0b71
RD_0eRS1_0c61
RD_0eRS1_0d61
RD_0eRS1_0e31
RD_0eRS1_0f31
RD_0eRS1_1021
RD_0eRS1_1171
RD_0eRS1_1241
RD_0eRS1_1311
RD_0eRS1_1421
RD_0eRS1_1561
RD_0eRS1_1661
RD_0eRS1_1731
RD_0eRS1_1881
RD_0eRS1_1941
RD_0eRS1_1a81
RD_0eRS1_1b71
RD_0eRS1_1c31
RD_0eRS1_1d81
RD_0eRS1_1e51
RD_0eRS1_1f51
RD_0fRS1_0071
RD_0fRS1_01101
RD_0fRS1_0271
RD_0fRS1_0341
RD_0fRS1_04101
RD_0fRS1_0561
RD_0fRS1_06121
RD_0fRS1_0751
RD_0fRS1_0871
RD_0fRS1_0971
RD_0fRS1_0a31
RD_0fRS1_0b91
RD_0fRS1_0c81
RD_0fRS1_0d41
RD_0fRS1_0e31
RD_0fRS1_0f21
RD_0fRS1_1061
RD_0fRS1_1151
RD_0fRS1_1281
RD_0fRS1_1371
RD_0fRS1_1451
RD_0fRS1_1531
RD_0fRS1_1681
RD_0fRS1_1761
RD_0fRS1_1841
RD_0fRS1_1931
RD_0fRS1_1a41
RD_0fRS1_1b41
RD_0fRS1_1c41
RD_0fRS1_1d51
RD_0fRS1_1e31
RD_0fRS1_1f51
RD_10RS1_0051
RD_10RS1_0121
RD_10RS1_0271
RD_10RS1_0311
RD_10RS1_0431
RD_10RS1_0531
RD_10RS1_0611
RD_10RS1_07111
RD_10RS1_0861
RD_10RS1_0941
RD_10RS1_0a91
RD_10RS1_0b81
RD_10RS1_0c91
RD_10RS1_0d41
RD_10RS1_0e71
RD_10RS1_0f61
RD_10RS1_1031
RD_10RS1_1141
RD_10RS1_1281
RD_10RS1_1351
RD_10RS1_1421
RD_10RS1_1561
RD_10RS1_1661
RD_10RS1_1761
RD_10RS1_1851
RD_10RS1_19111
RD_10RS1_1a71
RD_10RS1_1b41
RD_10RS1_1c21
RD_10RS1_1d101
RD_10RS1_1e71
RD_10RS1_1f91
RD_11RS1_0021
RD_11RS1_0151
RD_11RS1_0261
RD_11RS1_0371
RD_11RS1_0431
RD_11RS1_0531
RD_11RS1_0661
RD_11RS1_0751
RD_11RS1_0871
RD_11RS1_09101
RD_11RS1_0a51
RD_11RS1_0b91
RD_11RS1_0c81
RD_11RS1_0d31
RD_11RS1_0e41
RD_11RS1_0f41
RD_11RS1_1081
RD_11RS1_1131
RD_11RS1_1291
RD_11RS1_1341
RD_11RS1_1471
RD_11RS1_1541
RD_11RS1_1681
RD_11RS1_1741
RD_11RS1_1861
RD_11RS1_1951
RD_11RS1_1a51
RD_11RS1_1b91
RD_11RS1_1c71
RD_11RS1_1d21
RD_11RS1_1e11
RD_11RS1_1f91
RD_12RS1_0041
RD_12RS1_0121
RD_12RS1_0281
RD_12RS1_0361
RD_12RS1_0441
RD_12RS1_0521
RD_12RS1_0661
RD_12RS1_0791
RD_12RS1_0831
RD_12RS1_0921
RD_12RS1_0a41
RD_12RS1_0b31
RD_12RS1_0c41
RD_12RS1_0d51
RD_12RS1_0e41
RD_12RS1_0f51
RD_12RS1_1051
RD_12RS1_1161
RD_12RS1_1221
RD_12RS1_1381
RD_12RS1_14101
RD_12RS1_1561
RD_12RS1_1631
RD_12RS1_1711
RD_12RS1_1851
RD_12RS1_1911
RD_12RS1_1a71
RD_12RS1_1b71
RD_12RS1_1c61
RD_12RS1_1d81
RD_12RS1_1e61
RD_12RS1_1f11
RD_13RS1_0061
RD_13RS1_0141
RD_13RS1_0241
RD_13RS1_0331
RD_13RS1_0441
RD_13RS1_0541
RD_13RS1_06101
RD_13RS1_0791
RD_13RS1_0841
RD_13RS1_0941
RD_13RS1_0a81
RD_13RS1_0b81
RD_13RS1_0c31
RD_13RS1_0d41
RD_13RS1_0e41
RD_13RS1_0f81
RD_13RS1_1081
RD_13RS1_1241
RD_13RS1_1331
RD_13RS1_1461
RD_13RS1_1541
RD_13RS1_1691
RD_13RS1_1741
RD_13RS1_1871
RD_13RS1_1941
RD_13RS1_1a81
RD_13RS1_1b41
RD_13RS1_1c41
RD_13RS1_1d81
RD_13RS1_1e51
RD_13RS1_1f31
RD_14RS1_0051
RD_14RS1_0161
RD_14RS1_0271
RD_14RS1_0351
RD_14RS1_0461
RD_14RS1_0541
RD_14RS1_0661
RD_14RS1_0761
RD_14RS1_0851
RD_14RS1_0951
RD_14RS1_0a41
RD_14RS1_0b31
RD_14RS1_0c51
RD_14RS1_0d11
RD_14RS1_0e101
RD_14RS1_0f41
RD_14RS1_1031
RD_14RS1_11111
RD_14RS1_1251
RD_14RS1_1361
RD_14RS1_1471
RD_14RS1_1531
RD_14RS1_1641
RD_14RS1_1731
RD_14RS1_1851
RD_14RS1_1971
RD_14RS1_1a51
RD_14RS1_1b11
RD_14RS1_1c71
RD_14RS1_1d61
RD_14RS1_1e41
RD_14RS1_1f41
RD_15RS1_0051
RD_15RS1_0141
RD_15RS1_02131
RD_15RS1_0351
RD_15RS1_0451
RD_15RS1_05101
RD_15RS1_0661
RD_15RS1_0771
RD_15RS1_0841
RD_15RS1_0961
RD_15RS1_0a71
RD_15RS1_0b61
RD_15RS1_0c101
RD_15RS1_0d71
RD_15RS1_0e21
RD_15RS1_0f71
RD_15RS1_1071
RD_15RS1_1141
RD_15RS1_1261
RD_15RS1_1381
RD_15RS1_1441
RD_15RS1_1581
RD_15RS1_1671
RD_15RS1_1761
RD_15RS1_1841
RD_15RS1_1911
RD_15RS1_1a41
RD_15RS1_1b61
RD_15RS1_1c71
RD_15RS1_1d51
RD_15RS1_1e11
RD_15RS1_1f41
RD_16RS1_0031
RD_16RS1_0131
RD_16RS1_02101
RD_16RS1_03101
RD_16RS1_0451
RD_16RS1_0561
RD_16RS1_0681
RD_16RS1_0721
RD_16RS1_0861
RD_16RS1_0951
RD_16RS1_0a21
RD_16RS1_0b111
RD_16RS1_0c51
RD_16RS1_0d51
RD_16RS1_0e61
RD_16RS1_0f41
RD_16RS1_1041
RD_16RS1_1131
RD_16RS1_1271
RD_16RS1_1321
RD_16RS1_1441
RD_16RS1_1531
RD_16RS1_1631
RD_16RS1_1781
RD_16RS1_1851
RD_16RS1_1951
RD_16RS1_1a41
RD_16RS1_1b51
RD_16RS1_1c71
RD_16RS1_1d101
RD_16RS1_1e61
RD_16RS1_1f61
RD_17RS1_0081
RD_17RS1_01111
RD_17RS1_0231
RD_17RS1_0341
RD_17RS1_0441
RD_17RS1_0531
RD_17RS1_0651
RD_17RS1_0741
RD_17RS1_0821
RD_17RS1_0951
RD_17RS1_0a81
RD_17RS1_0b41
RD_17RS1_0c71
RD_17RS1_0d51
RD_17RS1_0e61
RD_17RS1_0f131
RD_17RS1_1081
RD_17RS1_1161
RD_17RS1_1231
RD_17RS1_1351
RD_17RS1_1431
RD_17RS1_1561
RD_17RS1_1611
RD_17RS1_1761
RD_17RS1_1861
RD_17RS1_1981
RD_17RS1_1a51
RD_17RS1_1b51
RD_17RS1_1c91
RD_17RS1_1d91
RD_17RS1_1e41
RD_17RS1_1f11
RD_18RS1_0061
RD_18RS1_0111
RD_18RS1_0241
RD_18RS1_0371
RD_18RS1_0431
RD_18RS1_05111
RD_18RS1_0621
RD_18RS1_0771
RD_18RS1_0831
RD_18RS1_0981
RD_18RS1_0a31
RD_18RS1_0b61
RD_18RS1_0c41
RD_18RS1_0d111
RD_18RS1_0e11
RD_18RS1_0f31
RD_18RS1_1081
RD_18RS1_1161
RD_18RS1_12101
RD_18RS1_1331
RD_18RS1_1471
RD_18RS1_1551
RD_18RS1_1621
RD_18RS1_1741
RD_18RS1_1841
RD_18RS1_1961
RD_18RS1_1a21
RD_18RS1_1b41
RD_18RS1_1c51
RD_18RS1_1d31
RD_18RS1_1e41
RD_18RS1_1f71
RD_19RS1_0041
RD_19RS1_0121
RD_19RS1_0291
RD_19RS1_0311
RD_19RS1_0481
RD_19RS1_0511
RD_19RS1_0651
RD_19RS1_0741
RD_19RS1_0851
RD_19RS1_0921
RD_19RS1_0a71
RD_19RS1_0b61
RD_19RS1_0c41
RD_19RS1_0d11
RD_19RS1_0e71
RD_19RS1_0f71
RD_19RS1_1041
RD_19RS1_1121
RD_19RS1_12111
RD_19RS1_1341
RD_19RS1_1451
RD_19RS1_1541
RD_19RS1_1631
RD_19RS1_1731
RD_19RS1_1841
RD_19RS1_1951
RD_19RS1_1a71
RD_19RS1_1b41
RD_19RS1_1c41
RD_19RS1_1d61
RD_19RS1_1e31
RD_19RS1_1f31
RD_1aRS1_0051
RD_1aRS1_0141
RD_1aRS1_0241
RD_1aRS1_0341
RD_1aRS1_0421
RD_1aRS1_0521
RD_1aRS1_06111
RD_1aRS1_0731
RD_1aRS1_0861
RD_1aRS1_0931
RD_1aRS1_0a31
RD_1aRS1_0b61
RD_1aRS1_0c41
RD_1aRS1_0d11
RD_1aRS1_0e21
RD_1aRS1_0f31
RD_1aRS1_1021
RD_1aRS1_1171
RD_1aRS1_1231
RD_1aRS1_1331
RD_1aRS1_1441
RD_1aRS1_1551
RD_1aRS1_1621
RD_1aRS1_1761
RD_1aRS1_1821
RD_1aRS1_1981
RD_1aRS1_1a51
RD_1aRS1_1b31
RD_1aRS1_1c81
RD_1aRS1_1d31
RD_1aRS1_1e51
RD_1aRS1_1f91
RD_1bRS1_0051
RD_1bRS1_0161
RD_1bRS1_0291
RD_1bRS1_0341
RD_1bRS1_0441
RD_1bRS1_0541
RD_1bRS1_0671
RD_1bRS1_0761
RD_1bRS1_0851
RD_1bRS1_0941
RD_1bRS1_0a11
RD_1bRS1_0b71
RD_1bRS1_0c21
RD_1bRS1_0d51
RD_1bRS1_0e61
RD_1bRS1_0f61
RD_1bRS1_1031
RD_1bRS1_1141
RD_1bRS1_1241
RD_1bRS1_1341
RD_1bRS1_1451
RD_1bRS1_1551
RD_1bRS1_1651
RD_1bRS1_1761
RD_1bRS1_1821
RD_1bRS1_1931
RD_1bRS1_1a71
RD_1bRS1_1b81
RD_1bRS1_1c51
RD_1bRS1_1d71
RD_1bRS1_1e61
RD_1bRS1_1f51
RD_1cRS1_0031
RD_1cRS1_0191
RD_1cRS1_0331
RD_1cRS1_0451
RD_1cRS1_0551
RD_1cRS1_0671
RD_1cRS1_0791
RD_1cRS1_0821
RD_1cRS1_0951
RD_1cRS1_0a21
RD_1cRS1_0b91
RD_1cRS1_0c51
RD_1cRS1_0d21
RD_1cRS1_0e31
RD_1cRS1_0f81
RD_1cRS1_1051
RD_1cRS1_1171
RD_1cRS1_1241
RD_1cRS1_1341
RD_1cRS1_1451
RD_1cRS1_1551
RD_1cRS1_1631
RD_1cRS1_1731
RD_1cRS1_1861
RD_1cRS1_1921
RD_1cRS1_1a61
RD_1cRS1_1b31
RD_1cRS1_1c71
RD_1cRS1_1d41
RD_1cRS1_1e11
RD_1cRS1_1f61
RD_1dRS1_0041
RD_1dRS1_0141
RD_1dRS1_0231
RD_1dRS1_0371
RD_1dRS1_0461
RD_1dRS1_0571
RD_1dRS1_0641
RD_1dRS1_0741
RD_1dRS1_0831
RD_1dRS1_0981
RD_1dRS1_0a81
RD_1dRS1_0b41
RD_1dRS1_0c41
RD_1dRS1_0d121
RD_1dRS1_0e51
RD_1dRS1_0f111
RD_1dRS1_1031
RD_1dRS1_1151
RD_1dRS1_1291
RD_1dRS1_1341
RD_1dRS1_1431
RD_1dRS1_1571
RD_1dRS1_16111
RD_1dRS1_1721
RD_1dRS1_1831
RD_1dRS1_1931
RD_1dRS1_1a61
RD_1dRS1_1b101
RD_1dRS1_1c41
RD_1dRS1_1d51
RD_1dRS1_1e51
RD_1dRS1_1f31
RD_1eRS1_0051
RD_1eRS1_0131
RD_1eRS1_0271
RD_1eRS1_0371
RD_1eRS1_0421
RD_1eRS1_0571
RD_1eRS1_0641
RD_1eRS1_0741
RD_1eRS1_0861
RD_1eRS1_0971
RD_1eRS1_0a51
RD_1eRS1_0b21
RD_1eRS1_0d21
RD_1eRS1_0e41
RD_1eRS1_0f41
RD_1eRS1_1061
RD_1eRS1_1191
RD_1eRS1_1251
RD_1eRS1_1341
RD_1eRS1_1471
RD_1eRS1_1551
RD_1eRS1_1631
RD_1eRS1_1741
RD_1eRS1_1841
RD_1eRS1_1931
RD_1eRS1_1a81
RD_1eRS1_1b21
RD_1eRS1_1c61
RD_1eRS1_1d91
RD_1eRS1_1e51
RD_1eRS1_1f31
RD_1fRS1_0031
RD_1fRS1_0121
RD_1fRS1_0211
RD_1fRS1_0351
RD_1fRS1_0421
RD_1fRS1_0541
RD_1fRS1_06101
RD_1fRS1_0751
RD_1fRS1_0861
RD_1fRS1_0931
RD_1fRS1_0a41
RD_1fRS1_0b71
RD_1fRS1_0c51
RD_1fRS1_0d41
RD_1fRS1_0e41
RD_1fRS1_0f31
RD_1fRS1_1091
RD_1fRS1_1141
RD_1fRS1_1261
RD_1fRS1_1361
RD_1fRS1_1431
RD_1fRS1_1591
RD_1fRS1_1621
RD_1fRS1_1711
RD_1fRS1_18101
RD_1fRS1_1951
RD_1fRS1_1a61
RD_1fRS1_1b31
RD_1fRS1_1c81
RD_1fRS1_1d61
RD_1fRS1_1e91
RD_1fRS1_1f91

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102410101499.02 10

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_01][RS2_12]011
[RD_05][RS2_06]011
[RD_09][RS2_10 , RS2_11]----2
[RD_0b][RS2_19]011
[RD_0e][RS2_11]011
[RD_12][RS2_06]011
[RD_13][RS2_07]011
[RD_1b][RS2_06]011
[RD_1c][RS2_0d]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_00131
RD_00RS2_0131
RD_00RS2_02101
RD_00RS2_0311
RD_00RS2_0461
RD_00RS2_0551
RD_00RS2_0631
RD_00RS2_0791
RD_00RS2_0831
RD_00RS2_0941
RD_00RS2_0a31
RD_00RS2_0b91
RD_00RS2_0c41
RD_00RS2_0d11
RD_00RS2_0e51
RD_00RS2_0f41
RD_00RS2_1031
RD_00RS2_11101
RD_00RS2_1281
RD_00RS2_1391
RD_00RS2_1441
RD_00RS2_15101
RD_00RS2_1631
RD_00RS2_1781
RD_00RS2_1871
RD_00RS2_1971
RD_00RS2_1a81
RD_00RS2_1b21
RD_00RS2_1c51
RD_00RS2_1d111
RD_00RS2_1e111
RD_00RS2_1f41
RD_01RS2_0051
RD_01RS2_0181
RD_01RS2_0271
RD_01RS2_0351
RD_01RS2_0431
RD_01RS2_0571
RD_01RS2_0651
RD_01RS2_0781
RD_01RS2_0831
RD_01RS2_0981
RD_01RS2_0a71
RD_01RS2_0b41
RD_01RS2_0c51
RD_01RS2_0d51
RD_01RS2_0e91
RD_01RS2_0f81
RD_01RS2_1051
RD_01RS2_1131
RD_01RS2_1371
RD_01RS2_1441
RD_01RS2_1521
RD_01RS2_16111
RD_01RS2_1781
RD_01RS2_1851
RD_01RS2_1961
RD_01RS2_1a41
RD_01RS2_1b51
RD_01RS2_1c61
RD_01RS2_1d51
RD_01RS2_1e61
RD_01RS2_1f71
RD_02RS2_0071
RD_02RS2_0121
RD_02RS2_0221
RD_02RS2_0351
RD_02RS2_0431
RD_02RS2_0521
RD_02RS2_0681
RD_02RS2_0741
RD_02RS2_0821
RD_02RS2_0941
RD_02RS2_0a71
RD_02RS2_0b41
RD_02RS2_0c41
RD_02RS2_0d101
RD_02RS2_0e101
RD_02RS2_0f31
RD_02RS2_1041
RD_02RS2_1121
RD_02RS2_1261
RD_02RS2_1331
RD_02RS2_1411
RD_02RS2_1581
RD_02RS2_1651
RD_02RS2_1711
RD_02RS2_1841
RD_02RS2_1921
RD_02RS2_1a61
RD_02RS2_1b71
RD_02RS2_1c61
RD_02RS2_1d71
RD_02RS2_1e111
RD_02RS2_1f51
RD_03RS2_0031
RD_03RS2_0121
RD_03RS2_02141
RD_03RS2_0371
RD_03RS2_0491
RD_03RS2_0521
RD_03RS2_0641
RD_03RS2_0791
RD_03RS2_0891
RD_03RS2_0941
RD_03RS2_0a61
RD_03RS2_0b91
RD_03RS2_0c91
RD_03RS2_0d41
RD_03RS2_0e121
RD_03RS2_0f31
RD_03RS2_1081
RD_03RS2_1131
RD_03RS2_1291
RD_03RS2_1391
RD_03RS2_1461
RD_03RS2_1561
RD_03RS2_1661
RD_03RS2_1791
RD_03RS2_1821
RD_03RS2_1951
RD_03RS2_1a31
RD_03RS2_1b71
RD_03RS2_1c51
RD_03RS2_1d41
RD_03RS2_1e31
RD_03RS2_1f31
RD_04RS2_0051
RD_04RS2_0141
RD_04RS2_0221
RD_04RS2_0341
RD_04RS2_0491
RD_04RS2_0541
RD_04RS2_0651
RD_04RS2_0731
RD_04RS2_0851
RD_04RS2_0941
RD_04RS2_0a31
RD_04RS2_0b21
RD_04RS2_0c71
RD_04RS2_0d61
RD_04RS2_0e41
RD_04RS2_0f61
RD_04RS2_1031
RD_04RS2_11101
RD_04RS2_1241
RD_04RS2_1351
RD_04RS2_1491
RD_04RS2_1581
RD_04RS2_1651
RD_04RS2_1751
RD_04RS2_1881
RD_04RS2_1921
RD_04RS2_1a51
RD_04RS2_1b51
RD_04RS2_1c31
RD_04RS2_1d11
RD_04RS2_1e41
RD_04RS2_1f61
RD_05RS2_0031
RD_05RS2_0171
RD_05RS2_0251
RD_05RS2_0381
RD_05RS2_0441
RD_05RS2_0561
RD_05RS2_0771
RD_05RS2_0811
RD_05RS2_0961
RD_05RS2_0a61
RD_05RS2_0b81
RD_05RS2_0c81
RD_05RS2_0d51
RD_05RS2_0e11
RD_05RS2_0f31
RD_05RS2_1041
RD_05RS2_1141
RD_05RS2_1261
RD_05RS2_1351
RD_05RS2_1491
RD_05RS2_1561
RD_05RS2_1681
RD_05RS2_1721
RD_05RS2_1821
RD_05RS2_1951
RD_05RS2_1a61
RD_05RS2_1b21
RD_05RS2_1c11
RD_05RS2_1d91
RD_05RS2_1e41
RD_05RS2_1f11
RD_06RS2_0071
RD_06RS2_0151
RD_06RS2_0231
RD_06RS2_0331
RD_06RS2_0451
RD_06RS2_0571
RD_06RS2_0641
RD_06RS2_0751
RD_06RS2_0871
RD_06RS2_0941
RD_06RS2_0a71
RD_06RS2_0b61
RD_06RS2_0c11
RD_06RS2_0d81
RD_06RS2_0e71
RD_06RS2_0f61
RD_06RS2_1091
RD_06RS2_1111
RD_06RS2_1251
RD_06RS2_13101
RD_06RS2_1451
RD_06RS2_1541
RD_06RS2_1691
RD_06RS2_1731
RD_06RS2_1841
RD_06RS2_1961
RD_06RS2_1a51
RD_06RS2_1b61
RD_06RS2_1c41
RD_06RS2_1d61
RD_06RS2_1e41
RD_06RS2_1f41
RD_07RS2_00121
RD_07RS2_0181
RD_07RS2_0281
RD_07RS2_0341
RD_07RS2_0441
RD_07RS2_0541
RD_07RS2_0641
RD_07RS2_0731
RD_07RS2_0851
RD_07RS2_0961
RD_07RS2_0a61
RD_07RS2_0b61
RD_07RS2_0c51
RD_07RS2_0d21
RD_07RS2_0e91
RD_07RS2_0f41
RD_07RS2_1031
RD_07RS2_1141
RD_07RS2_1231
RD_07RS2_1351
RD_07RS2_1431
RD_07RS2_1581
RD_07RS2_1621
RD_07RS2_1751
RD_07RS2_1851
RD_07RS2_1921
RD_07RS2_1a31
RD_07RS2_1b61
RD_07RS2_1c71
RD_07RS2_1d41
RD_07RS2_1e41
RD_07RS2_1f51
RD_08RS2_0031
RD_08RS2_0151
RD_08RS2_0261
RD_08RS2_0371
RD_08RS2_0481
RD_08RS2_0551
RD_08RS2_0671
RD_08RS2_0781
RD_08RS2_0851
RD_08RS2_0941
RD_08RS2_0a41
RD_08RS2_0b21
RD_08RS2_0c11
RD_08RS2_0d51
RD_08RS2_0e51
RD_08RS2_0f81
RD_08RS2_1091
RD_08RS2_1131
RD_08RS2_1251
RD_08RS2_1371
RD_08RS2_1431
RD_08RS2_1571
RD_08RS2_16101
RD_08RS2_1721
RD_08RS2_1841
RD_08RS2_1991
RD_08RS2_1a21
RD_08RS2_1b51
RD_08RS2_1c41
RD_08RS2_1d31
RD_08RS2_1e41
RD_08RS2_1f71
RD_09RS2_0031
RD_09RS2_0161
RD_09RS2_0221
RD_09RS2_0351
RD_09RS2_0451
RD_09RS2_0551
RD_09RS2_0671
RD_09RS2_0751
RD_09RS2_0851
RD_09RS2_0951
RD_09RS2_0a41
RD_09RS2_0b31
RD_09RS2_0c81
RD_09RS2_0d31
RD_09RS2_0e41
RD_09RS2_0f21
RD_09RS2_1251
RD_09RS2_1351
RD_09RS2_1451
RD_09RS2_1541
RD_09RS2_1641
RD_09RS2_1761
RD_09RS2_1891
RD_09RS2_1971
RD_09RS2_1a21
RD_09RS2_1b31
RD_09RS2_1c51
RD_09RS2_1d81
RD_09RS2_1e41
RD_09RS2_1f41
RD_0aRS2_0041
RD_0aRS2_0171
RD_0aRS2_0281
RD_0aRS2_03131
RD_0aRS2_0441
RD_0aRS2_0551
RD_0aRS2_0641
RD_0aRS2_0741
RD_0aRS2_0821
RD_0aRS2_0961
RD_0aRS2_0a31
RD_0aRS2_0b11
RD_0aRS2_0c31
RD_0aRS2_0d41
RD_0aRS2_0e41
RD_0aRS2_0f31
RD_0aRS2_1031
RD_0aRS2_1191
RD_0aRS2_1271
RD_0aRS2_1371
RD_0aRS2_1471
RD_0aRS2_1561
RD_0aRS2_1611
RD_0aRS2_1761
RD_0aRS2_1831
RD_0aRS2_1971
RD_0aRS2_1a51
RD_0aRS2_1b51
RD_0aRS2_1c101
RD_0aRS2_1d21
RD_0aRS2_1e71
RD_0aRS2_1f71
RD_0bRS2_0051
RD_0bRS2_0151
RD_0bRS2_0251
RD_0bRS2_0391
RD_0bRS2_0491
RD_0bRS2_0511
RD_0bRS2_0641
RD_0bRS2_0741
RD_0bRS2_0841
RD_0bRS2_0991
RD_0bRS2_0a61
RD_0bRS2_0b101
RD_0bRS2_0c71
RD_0bRS2_0d51
RD_0bRS2_0e21
RD_0bRS2_0f21
RD_0bRS2_1061
RD_0bRS2_1141
RD_0bRS2_1291
RD_0bRS2_1371
RD_0bRS2_1481
RD_0bRS2_1531
RD_0bRS2_1631
RD_0bRS2_1741
RD_0bRS2_1861
RD_0bRS2_1a41
RD_0bRS2_1b61
RD_0bRS2_1c81
RD_0bRS2_1d21
RD_0bRS2_1e31
RD_0bRS2_1f51
RD_0cRS2_0061
RD_0cRS2_0151
RD_0cRS2_0231
RD_0cRS2_0361
RD_0cRS2_0421
RD_0cRS2_0581
RD_0cRS2_0661
RD_0cRS2_0751
RD_0cRS2_0821
RD_0cRS2_0981
RD_0cRS2_0a31
RD_0cRS2_0b71
RD_0cRS2_0c31
RD_0cRS2_0d31
RD_0cRS2_0e51
RD_0cRS2_0f111
RD_0cRS2_1041
RD_0cRS2_11121
RD_0cRS2_1271
RD_0cRS2_1341
RD_0cRS2_1431
RD_0cRS2_1511
RD_0cRS2_1631
RD_0cRS2_17101
RD_0cRS2_1871
RD_0cRS2_1931
RD_0cRS2_1a31
RD_0cRS2_1b71
RD_0cRS2_1c31
RD_0cRS2_1d71
RD_0cRS2_1e31
RD_0cRS2_1f51
RD_0dRS2_0051
RD_0dRS2_0141
RD_0dRS2_0261
RD_0dRS2_0361
RD_0dRS2_0421
RD_0dRS2_0571
RD_0dRS2_0691
RD_0dRS2_0711
RD_0dRS2_0831
RD_0dRS2_0951
RD_0dRS2_0a71
RD_0dRS2_0b71
RD_0dRS2_0c81
RD_0dRS2_0d61
RD_0dRS2_0e51
RD_0dRS2_0f51
RD_0dRS2_1071
RD_0dRS2_1141
RD_0dRS2_1251
RD_0dRS2_1351
RD_0dRS2_1451
RD_0dRS2_1561
RD_0dRS2_1631
RD_0dRS2_1731
RD_0dRS2_1871
RD_0dRS2_1951
RD_0dRS2_1a41
RD_0dRS2_1b61
RD_0dRS2_1c31
RD_0dRS2_1d21
RD_0dRS2_1e61
RD_0dRS2_1f81
RD_0eRS2_0051
RD_0eRS2_0191
RD_0eRS2_0231
RD_0eRS2_0371
RD_0eRS2_0441
RD_0eRS2_0531
RD_0eRS2_0651
RD_0eRS2_0761
RD_0eRS2_0811
RD_0eRS2_0931
RD_0eRS2_0a41
RD_0eRS2_0b121
RD_0eRS2_0c91
RD_0eRS2_0d71
RD_0eRS2_0e51
RD_0eRS2_0f61
RD_0eRS2_10111
RD_0eRS2_1231
RD_0eRS2_1321
RD_0eRS2_1441
RD_0eRS2_1571
RD_0eRS2_1631
RD_0eRS2_1751
RD_0eRS2_1821
RD_0eRS2_1951
RD_0eRS2_1a41
RD_0eRS2_1b31
RD_0eRS2_1c41
RD_0eRS2_1d81
RD_0eRS2_1e51
RD_0eRS2_1f61
RD_0fRS2_0041
RD_0fRS2_0171
RD_0fRS2_02101
RD_0fRS2_03111
RD_0fRS2_0441
RD_0fRS2_0551
RD_0fRS2_0651
RD_0fRS2_0751
RD_0fRS2_0811
RD_0fRS2_0961
RD_0fRS2_0a41
RD_0fRS2_0b51
RD_0fRS2_0c71
RD_0fRS2_0d51
RD_0fRS2_0e71
RD_0fRS2_0f61
RD_0fRS2_1061
RD_0fRS2_1131
RD_0fRS2_1251
RD_0fRS2_1331
RD_0fRS2_1461
RD_0fRS2_1531
RD_0fRS2_1681
RD_0fRS2_1761
RD_0fRS2_1881
RD_0fRS2_1961
RD_0fRS2_1a51
RD_0fRS2_1b21
RD_0fRS2_1c101
RD_0fRS2_1d61
RD_0fRS2_1e71
RD_0fRS2_1f81
RD_10RS2_0051
RD_10RS2_0151
RD_10RS2_0261
RD_10RS2_0371
RD_10RS2_0481
RD_10RS2_0541
RD_10RS2_0671
RD_10RS2_0721
RD_10RS2_0841
RD_10RS2_0981
RD_10RS2_0a81
RD_10RS2_0b91
RD_10RS2_0c111
RD_10RS2_0d61
RD_10RS2_0e71
RD_10RS2_0f81
RD_10RS2_1061
RD_10RS2_1161
RD_10RS2_1241
RD_10RS2_1341
RD_10RS2_1431
RD_10RS2_1581
RD_10RS2_1671
RD_10RS2_1721
RD_10RS2_1841
RD_10RS2_1921
RD_10RS2_1a61
RD_10RS2_1b41
RD_10RS2_1c31
RD_10RS2_1d111
RD_10RS2_1e11
RD_10RS2_1f51
RD_11RS2_0021
RD_11RS2_0141
RD_11RS2_0241
RD_11RS2_0341
RD_11RS2_0441
RD_11RS2_0531
RD_11RS2_0671
RD_11RS2_0741
RD_11RS2_0811
RD_11RS2_0981
RD_11RS2_0a71
RD_11RS2_0b31
RD_11RS2_0c71
RD_11RS2_0d41
RD_11RS2_0e61
RD_11RS2_0f81
RD_11RS2_1071
RD_11RS2_11111
RD_11RS2_1251
RD_11RS2_1371
RD_11RS2_14101
RD_11RS2_1551
RD_11RS2_1651
RD_11RS2_1771
RD_11RS2_1831
RD_11RS2_1961
RD_11RS2_1a71
RD_11RS2_1b31
RD_11RS2_1c121
RD_11RS2_1d41
RD_11RS2_1e41
RD_11RS2_1f61
RD_12RS2_0061
RD_12RS2_0141
RD_12RS2_02101
RD_12RS2_0341
RD_12RS2_0431
RD_12RS2_0561
RD_12RS2_0731
RD_12RS2_0841
RD_12RS2_0931
RD_12RS2_0a21
RD_12RS2_0b41
RD_12RS2_0c41
RD_12RS2_0d61
RD_12RS2_0e51
RD_12RS2_0f61
RD_12RS2_1011
RD_12RS2_11111
RD_12RS2_1261
RD_12RS2_1331
RD_12RS2_1481
RD_12RS2_1571
RD_12RS2_1641
RD_12RS2_1721
RD_12RS2_1871
RD_12RS2_1931
RD_12RS2_1a41
RD_12RS2_1b31
RD_12RS2_1c41
RD_12RS2_1d41
RD_12RS2_1e91
RD_12RS2_1f71
RD_13RS2_0031
RD_13RS2_0151
RD_13RS2_0231
RD_13RS2_0361
RD_13RS2_0471
RD_13RS2_0561
RD_13RS2_0691
RD_13RS2_0811
RD_13RS2_0981
RD_13RS2_0a31
RD_13RS2_0b51
RD_13RS2_0c41
RD_13RS2_0d51
RD_13RS2_0e51
RD_13RS2_0f41
RD_13RS2_1051
RD_13RS2_1141
RD_13RS2_1261
RD_13RS2_1341
RD_13RS2_1471
RD_13RS2_1541
RD_13RS2_1661
RD_13RS2_1741
RD_13RS2_1841
RD_13RS2_1941
RD_13RS2_1a121
RD_13RS2_1b101
RD_13RS2_1c81
RD_13RS2_1d81
RD_13RS2_1e41
RD_13RS2_1f41
RD_14RS2_0061
RD_14RS2_0161
RD_14RS2_0271
RD_14RS2_0331
RD_14RS2_0451
RD_14RS2_0551
RD_14RS2_0681
RD_14RS2_0761
RD_14RS2_0861
RD_14RS2_0941
RD_14RS2_0a21
RD_14RS2_0b51
RD_14RS2_0c21
RD_14RS2_0d21
RD_14RS2_0e21
RD_14RS2_0f41
RD_14RS2_1061
RD_14RS2_1151
RD_14RS2_1291
RD_14RS2_1341
RD_14RS2_1441
RD_14RS2_1571
RD_14RS2_1671
RD_14RS2_1741
RD_14RS2_1841
RD_14RS2_1931
RD_14RS2_1a91
RD_14RS2_1b51
RD_14RS2_1c41
RD_14RS2_1d71
RD_14RS2_1e81
RD_14RS2_1f41
RD_15RS2_0091
RD_15RS2_0171
RD_15RS2_0241
RD_15RS2_0371
RD_15RS2_04101
RD_15RS2_0511
RD_15RS2_0671
RD_15RS2_07101
RD_15RS2_0851
RD_15RS2_0981
RD_15RS2_0a21
RD_15RS2_0b21
RD_15RS2_0c21
RD_15RS2_0d51
RD_15RS2_0e31
RD_15RS2_0f51
RD_15RS2_1081
RD_15RS2_1141
RD_15RS2_1291
RD_15RS2_1361
RD_15RS2_1471
RD_15RS2_1541
RD_15RS2_1671
RD_15RS2_1741
RD_15RS2_1841
RD_15RS2_1941
RD_15RS2_1a61
RD_15RS2_1b111
RD_15RS2_1c91
RD_15RS2_1d51
RD_15RS2_1e71
RD_15RS2_1f41
RD_16RS2_0051
RD_16RS2_0191
RD_16RS2_0241
RD_16RS2_0321
RD_16RS2_0491
RD_16RS2_0531
RD_16RS2_0651
RD_16RS2_07101
RD_16RS2_0831
RD_16RS2_0911
RD_16RS2_0a21
RD_16RS2_0b11
RD_16RS2_0c31
RD_16RS2_0d31
RD_16RS2_0e81
RD_16RS2_0f51
RD_16RS2_1071
RD_16RS2_1171
RD_16RS2_1241
RD_16RS2_1381
RD_16RS2_1481
RD_16RS2_1581
RD_16RS2_1661
RD_16RS2_1771
RD_16RS2_1851
RD_16RS2_1911
RD_16RS2_1a61
RD_16RS2_1b61
RD_16RS2_1c71
RD_16RS2_1d61
RD_16RS2_1e81
RD_16RS2_1f61
RD_17RS2_0061
RD_17RS2_0141
RD_17RS2_0221
RD_17RS2_0391
RD_17RS2_0421
RD_17RS2_0521
RD_17RS2_0651
RD_17RS2_0741
RD_17RS2_08121
RD_17RS2_0921
RD_17RS2_0a71
RD_17RS2_0b101
RD_17RS2_0c81
RD_17RS2_0d51
RD_17RS2_0e121
RD_17RS2_0f51
RD_17RS2_1021
RD_17RS2_1131
RD_17RS2_1251
RD_17RS2_1361
RD_17RS2_1431
RD_17RS2_1531
RD_17RS2_1641
RD_17RS2_1751
RD_17RS2_1831
RD_17RS2_19111
RD_17RS2_1a91
RD_17RS2_1b81
RD_17RS2_1c91
RD_17RS2_1d31
RD_17RS2_1e31
RD_17RS2_1f51
RD_18RS2_0081
RD_18RS2_0171
RD_18RS2_0221
RD_18RS2_0361
RD_18RS2_0431
RD_18RS2_0591
RD_18RS2_0641
RD_18RS2_0751
RD_18RS2_0841
RD_18RS2_0951
RD_18RS2_0a81
RD_18RS2_0b31
RD_18RS2_0c31
RD_18RS2_0d21
RD_18RS2_0e31
RD_18RS2_0f41
RD_18RS2_1081
RD_18RS2_1111
RD_18RS2_1251
RD_18RS2_1341
RD_18RS2_1481
RD_18RS2_1531
RD_18RS2_1661
RD_18RS2_1721
RD_18RS2_1891
RD_18RS2_1951
RD_18RS2_1a11
RD_18RS2_1b61
RD_18RS2_1c51
RD_18RS2_1d61
RD_18RS2_1e91
RD_18RS2_1f61
RD_19RS2_0051
RD_19RS2_0161
RD_19RS2_0231
RD_19RS2_0381
RD_19RS2_0461
RD_19RS2_0531
RD_19RS2_0621
RD_19RS2_0731
RD_19RS2_0851
RD_19RS2_0921
RD_19RS2_0a111
RD_19RS2_0b31
RD_19RS2_0c51
RD_19RS2_0d31
RD_19RS2_0e21
RD_19RS2_0f31
RD_19RS2_1071
RD_19RS2_1111
RD_19RS2_1271
RD_19RS2_1361
RD_19RS2_1471
RD_19RS2_1521
RD_19RS2_1651
RD_19RS2_17101
RD_19RS2_1851
RD_19RS2_1961
RD_19RS2_1a31
RD_19RS2_1b31
RD_19RS2_1c21
RD_19RS2_1d31
RD_19RS2_1e41
RD_19RS2_1f41
RD_1aRS2_0061
RD_1aRS2_0141
RD_1aRS2_0261
RD_1aRS2_0341
RD_1aRS2_0431
RD_1aRS2_0521
RD_1aRS2_0661
RD_1aRS2_0751
RD_1aRS2_0841
RD_1aRS2_0951
RD_1aRS2_0a31
RD_1aRS2_0b51
RD_1aRS2_0c41
RD_1aRS2_0d71
RD_1aRS2_0e51
RD_1aRS2_0f41
RD_1aRS2_1051
RD_1aRS2_1111
RD_1aRS2_1261
RD_1aRS2_1361
RD_1aRS2_1431
RD_1aRS2_1551
RD_1aRS2_1631
RD_1aRS2_1761
RD_1aRS2_1831
RD_1aRS2_1941
RD_1aRS2_1a51
RD_1aRS2_1b11
RD_1aRS2_1c91
RD_1aRS2_1d31
RD_1aRS2_1e21
RD_1aRS2_1f31
RD_1bRS2_0061
RD_1bRS2_0191
RD_1bRS2_0231
RD_1bRS2_0361
RD_1bRS2_0451
RD_1bRS2_0551
RD_1bRS2_0761
RD_1bRS2_0851
RD_1bRS2_0941
RD_1bRS2_0a51
RD_1bRS2_0b91
RD_1bRS2_0c41
RD_1bRS2_0d61
RD_1bRS2_0e81
RD_1bRS2_0f51
RD_1bRS2_1051
RD_1bRS2_1171
RD_1bRS2_1221
RD_1bRS2_1341
RD_1bRS2_1421
RD_1bRS2_1571
RD_1bRS2_1661
RD_1bRS2_1741
RD_1bRS2_1821
RD_1bRS2_1911
RD_1bRS2_1a31
RD_1bRS2_1b51
RD_1bRS2_1c21
RD_1bRS2_1d81
RD_1bRS2_1e71
RD_1bRS2_1f91
RD_1cRS2_0041
RD_1cRS2_0131
RD_1cRS2_0261
RD_1cRS2_0341
RD_1cRS2_0441
RD_1cRS2_0541
RD_1cRS2_0651
RD_1cRS2_0731
RD_1cRS2_0831
RD_1cRS2_0941
RD_1cRS2_0a51
RD_1cRS2_0b21
RD_1cRS2_0c41
RD_1cRS2_0e11
RD_1cRS2_0f41
RD_1cRS2_1041
RD_1cRS2_1121
RD_1cRS2_1251
RD_1cRS2_1361
RD_1cRS2_1491
RD_1cRS2_15111
RD_1cRS2_1641
RD_1cRS2_1781
RD_1cRS2_1851
RD_1cRS2_1951
RD_1cRS2_1a71
RD_1cRS2_1b31
RD_1cRS2_1c51
RD_1cRS2_1d31
RD_1cRS2_1e81
RD_1cRS2_1f71
RD_1dRS2_0061
RD_1dRS2_0131
RD_1dRS2_0251
RD_1dRS2_0311
RD_1dRS2_04111
RD_1dRS2_0551
RD_1dRS2_0671
RD_1dRS2_0781
RD_1dRS2_0831
RD_1dRS2_0961
RD_1dRS2_0a21
RD_1dRS2_0b61
RD_1dRS2_0c61
RD_1dRS2_0d71
RD_1dRS2_0e81
RD_1dRS2_0f61
RD_1dRS2_1081
RD_1dRS2_1191
RD_1dRS2_1251
RD_1dRS2_1371
RD_1dRS2_14101
RD_1dRS2_1541
RD_1dRS2_1651
RD_1dRS2_1761
RD_1dRS2_1821
RD_1dRS2_1931
RD_1dRS2_1a51
RD_1dRS2_1b21
RD_1dRS2_1c41
RD_1dRS2_1d41
RD_1dRS2_1e91
RD_1dRS2_1f41
RD_1eRS2_0021
RD_1eRS2_0141
RD_1eRS2_0231
RD_1eRS2_0331
RD_1eRS2_0461
RD_1eRS2_0541
RD_1eRS2_0631
RD_1eRS2_0751
RD_1eRS2_0851
RD_1eRS2_0951
RD_1eRS2_0a81
RD_1eRS2_0b41
RD_1eRS2_0c21
RD_1eRS2_0d21
RD_1eRS2_0e71
RD_1eRS2_0f31
RD_1eRS2_1021
RD_1eRS2_11111
RD_1eRS2_12101
RD_1eRS2_1361
RD_1eRS2_1461
RD_1eRS2_1591
RD_1eRS2_1661
RD_1eRS2_1731
RD_1eRS2_1841
RD_1eRS2_1981
RD_1eRS2_1a31
RD_1eRS2_1b21
RD_1eRS2_1c11
RD_1eRS2_1d11
RD_1eRS2_1e81
RD_1eRS2_1f61
RD_1fRS2_0021
RD_1fRS2_0121
RD_1fRS2_0241
RD_1fRS2_03111
RD_1fRS2_0471
RD_1fRS2_0551
RD_1fRS2_0641
RD_1fRS2_0761
RD_1fRS2_0831
RD_1fRS2_0981
RD_1fRS2_0a31
RD_1fRS2_0b91
RD_1fRS2_0c41
RD_1fRS2_0d41
RD_1fRS2_0e21
RD_1fRS2_0f11
RD_1fRS2_1071
RD_1fRS2_1121
RD_1fRS2_1241
RD_1fRS2_1371
RD_1fRS2_1441
RD_1fRS2_1531
RD_1fRS2_1651
RD_1fRS2_1761
RD_1fRS2_1841
RD_1fRS2_1991
RD_1fRS2_1a51
RD_1fRS2_1b31
RD_1fRS2_1c111
RD_1fRS2_1d61
RD_1fRS2_1e61
RD_1fRS2_1f71

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_2.html new file mode 100644 index 00000000..38774db8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_2.html @@ -0,0 +1,11435 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_double_add_rs2_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001631
RD_011831
RD_021751
RD_031781
RD_041611
RD_051671
RD_061411
RD_071731
RD_081501
RD_091581
RD_0a1631
RD_0b1731
RD_0c1651
RD_0d1701
RD_0e1561
RD_0f1431
RD_101571
RD_111821
RD_121601
RD_131621
RD_141641
RD_151911
RD_161861
RD_171731
RD_181551
RD_191321
RD_1a1491
RD_1b1731
RD_1c1451
RD_1d1861
RD_1e1951
RD_1f1611

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001761
RS1_011591
RS1_021521
RS1_031561
RS1_041701
RS1_051651
RS1_061881
RS1_071551
RS1_081581
RS1_091411
RS1_0a1911
RS1_0b1371
RS1_0c1841
RS1_0d1681
RS1_0e1591
RS1_0f1931
RS1_101721
RS1_111861
RS1_121701
RS1_131681
RS1_141361
RS1_151671
RS1_161711
RS1_171691
RS1_181441
RS1_191461
RS1_1a1661
RS1_1b1621
RS1_1c1911
RS1_1d1701
RS1_1e1491
RS1_1f1711

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001601
RS2_011551
RS2_021541
RS2_031541
RS2_041661
RS2_051521
RS2_061571
RS2_071811
RS2_081731
RS2_092061
RS2_0a1691
RS2_0b1711
RS2_0c1381
RS2_0d1731
RS2_0e1661
RS2_0f1751
RS2_101721
RS2_111781
RS2_121741
RS2_131671
RS2_141481
RS2_151711
RS2_161861
RS2_171491
RS2_181831
RS2_191651
RS2_1a1581
RS2_1b1511
RS2_1c1601
RS2_1d1681
RS2_1e1651
RS2_1f1451

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_124971
BIT30_119781
BIT29_120261
BIT28_120061
BIT27_119141
BIT26_119221
BIT25_119081
BIT24_119221
BIT23_118771
BIT22_119481
BIT21_119311
BIT20_119361
BIT19_119191
BIT18_119111
BIT17_118901
BIT16_120421
BIT15_122001
BIT14_121821
BIT13_120401
BIT12_124441
BIT11_123931
BIT10_123961
BIT9_121741
BIT8_119891
BIT7_122481
BIT6_119891
BIT5_120061
BIT4_125021
BIT3_124981
BIT2_124171
BIT1_119981
BIT0_116661
BIT31_027921
BIT30_033111
BIT29_032631
BIT28_032831
BIT27_033751
BIT26_033671
BIT25_033811
BIT24_033671
BIT23_034121
BIT22_033411
BIT21_033581
BIT20_033531
BIT19_033701
BIT18_033781
BIT17_033991
BIT16_032471
BIT15_030891
BIT14_031071
BIT13_032491
BIT12_028451
BIT11_028961
BIT10_028931
BIT9_031151
BIT8_033001
BIT7_030411
BIT6_033001
BIT5_032831
BIT4_027871
BIT3_027911
BIT2_028721
BIT1_032911
BIT0_036231

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125141
BIT30_120111
BIT29_119591
BIT28_119331
BIT27_118731
BIT26_119241
BIT25_119251
BIT24_119121
BIT23_119311
BIT22_118901
BIT21_118841
BIT20_119011
BIT19_118561
BIT18_119301
BIT17_118821
BIT16_119491
BIT15_121381
BIT14_121241
BIT13_120241
BIT12_122861
BIT11_123551
BIT10_123271
BIT9_120461
BIT8_120071
BIT7_121731
BIT6_120101
BIT5_119571
BIT4_124251
BIT3_125001
BIT2_124391
BIT1_119791
BIT0_117451
BIT31_027761
BIT30_032791
BIT29_033311
BIT28_033571
BIT27_034171
BIT26_033661
BIT25_033651
BIT24_033781
BIT23_033591
BIT22_034001
BIT21_034061
BIT20_033891
BIT19_034341
BIT18_033601
BIT17_034081
BIT16_033411
BIT15_031521
BIT14_031661
BIT13_032661
BIT12_030041
BIT11_029351
BIT10_029631
BIT9_032441
BIT8_032831
BIT7_031171
BIT6_032801
BIT5_033331
BIT4_028651
BIT3_027901
BIT2_028511
BIT1_033111
BIT0_035451

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102410101499.02 10

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_08][RS1_07]011
[RD_09][RS1_18]011
[RD_0d][RS1_08]011
[RD_0e][RS1_18]011
[RD_10][RS1_09]011
[RD_11][RS1_14]011
[RD_19][RS1_08]011
[RD_1a][RS1_05]011
[RD_1a][RS1_1b]011
[RD_1d][RS1_09]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0071
RD_00RS1_0151
RD_00RS1_0271
RD_00RS1_0361
RD_00RS1_0421
RD_00RS1_0531
RD_00RS1_0691
RD_00RS1_0781
RD_00RS1_0861
RD_00RS1_0951
RD_00RS1_0a31
RD_00RS1_0b41
RD_00RS1_0c61
RD_00RS1_0d51
RD_00RS1_0e61
RD_00RS1_0f31
RD_00RS1_1041
RD_00RS1_1191
RD_00RS1_1241
RD_00RS1_1311
RD_00RS1_1481
RD_00RS1_1511
RD_00RS1_1651
RD_00RS1_1731
RD_00RS1_1851
RD_00RS1_1971
RD_00RS1_1a81
RD_00RS1_1b41
RD_00RS1_1c61
RD_00RS1_1d61
RD_00RS1_1e31
RD_00RS1_1f41
RD_01RS1_0051
RD_01RS1_0141
RD_01RS1_0281
RD_01RS1_0371
RD_01RS1_0421
RD_01RS1_0591
RD_01RS1_0671
RD_01RS1_0781
RD_01RS1_08121
RD_01RS1_0931
RD_01RS1_0a41
RD_01RS1_0b81
RD_01RS1_0c91
RD_01RS1_0d51
RD_01RS1_0e41
RD_01RS1_0f81
RD_01RS1_1061
RD_01RS1_1121
RD_01RS1_1261
RD_01RS1_1321
RD_01RS1_1471
RD_01RS1_1521
RD_01RS1_1621
RD_01RS1_1751
RD_01RS1_1841
RD_01RS1_1981
RD_01RS1_1a81
RD_01RS1_1b71
RD_01RS1_1c51
RD_01RS1_1d81
RD_01RS1_1e41
RD_01RS1_1f41
RD_02RS1_0021
RD_02RS1_0181
RD_02RS1_0231
RD_02RS1_03101
RD_02RS1_0441
RD_02RS1_0511
RD_02RS1_0641
RD_02RS1_0731
RD_02RS1_0881
RD_02RS1_0951
RD_02RS1_0a71
RD_02RS1_0b71
RD_02RS1_0c111
RD_02RS1_0d81
RD_02RS1_0e61
RD_02RS1_0f101
RD_02RS1_1041
RD_02RS1_1131
RD_02RS1_1261
RD_02RS1_1341
RD_02RS1_1451
RD_02RS1_1551
RD_02RS1_16101
RD_02RS1_1721
RD_02RS1_18101
RD_02RS1_1981
RD_02RS1_1a11
RD_02RS1_1b41
RD_02RS1_1c91
RD_02RS1_1d21
RD_02RS1_1e11
RD_02RS1_1f41
RD_03RS1_0031
RD_03RS1_0131
RD_03RS1_0241
RD_03RS1_0341
RD_03RS1_04101
RD_03RS1_0541
RD_03RS1_0651
RD_03RS1_0761
RD_03RS1_0861
RD_03RS1_0941
RD_03RS1_0a71
RD_03RS1_0b81
RD_03RS1_0c31
RD_03RS1_0d41
RD_03RS1_0e41
RD_03RS1_0f41
RD_03RS1_10131
RD_03RS1_1171
RD_03RS1_1271
RD_03RS1_1361
RD_03RS1_1441
RD_03RS1_1571
RD_03RS1_1691
RD_03RS1_17111
RD_03RS1_1841
RD_03RS1_1981
RD_03RS1_1a31
RD_03RS1_1b41
RD_03RS1_1c71
RD_03RS1_1d41
RD_03RS1_1e21
RD_03RS1_1f31
RD_04RS1_0011
RD_04RS1_0141
RD_04RS1_0251
RD_04RS1_0331
RD_04RS1_0431
RD_04RS1_05121
RD_04RS1_0651
RD_04RS1_0731
RD_04RS1_0851
RD_04RS1_0931
RD_04RS1_0a51
RD_04RS1_0b81
RD_04RS1_0c41
RD_04RS1_0d31
RD_04RS1_0e61
RD_04RS1_0f51
RD_04RS1_1051
RD_04RS1_1161
RD_04RS1_1261
RD_04RS1_1371
RD_04RS1_1441
RD_04RS1_1571
RD_04RS1_1631
RD_04RS1_1761
RD_04RS1_1851
RD_04RS1_1961
RD_04RS1_1a31
RD_04RS1_1b81
RD_04RS1_1c51
RD_04RS1_1d81
RD_04RS1_1e31
RD_04RS1_1f41
RD_05RS1_0061
RD_05RS1_0111
RD_05RS1_0251
RD_05RS1_0391
RD_05RS1_0431
RD_05RS1_0531
RD_05RS1_0661
RD_05RS1_0761
RD_05RS1_0841
RD_05RS1_0991
RD_05RS1_0a41
RD_05RS1_0b31
RD_05RS1_0c51
RD_05RS1_0d31
RD_05RS1_0e21
RD_05RS1_0f51
RD_05RS1_1041
RD_05RS1_1161
RD_05RS1_1281
RD_05RS1_1371
RD_05RS1_1471
RD_05RS1_1531
RD_05RS1_1651
RD_05RS1_1781
RD_05RS1_1811
RD_05RS1_1951
RD_05RS1_1a51
RD_05RS1_1b51
RD_05RS1_1c81
RD_05RS1_1d101
RD_05RS1_1e41
RD_05RS1_1f71
RD_06RS1_0081
RD_06RS1_0151
RD_06RS1_0231
RD_06RS1_0321
RD_06RS1_0451
RD_06RS1_0581
RD_06RS1_0611
RD_06RS1_0751
RD_06RS1_0831
RD_06RS1_0931
RD_06RS1_0a91
RD_06RS1_0b31
RD_06RS1_0c21
RD_06RS1_0d41
RD_06RS1_0e61
RD_06RS1_0f11
RD_06RS1_1041
RD_06RS1_1121
RD_06RS1_1251
RD_06RS1_1341
RD_06RS1_1431
RD_06RS1_1551
RD_06RS1_1641
RD_06RS1_1781
RD_06RS1_1881
RD_06RS1_1921
RD_06RS1_1a71
RD_06RS1_1b71
RD_06RS1_1c41
RD_06RS1_1d31
RD_06RS1_1e61
RD_06RS1_1f11
RD_07RS1_0061
RD_07RS1_01111
RD_07RS1_0271
RD_07RS1_0321
RD_07RS1_0491
RD_07RS1_0541
RD_07RS1_0671
RD_07RS1_0751
RD_07RS1_0831
RD_07RS1_0961
RD_07RS1_0a41
RD_07RS1_0b51
RD_07RS1_0c91
RD_07RS1_0d21
RD_07RS1_0e31
RD_07RS1_0f101
RD_07RS1_1041
RD_07RS1_1171
RD_07RS1_1221
RD_07RS1_1351
RD_07RS1_1421
RD_07RS1_1531
RD_07RS1_1671
RD_07RS1_1771
RD_07RS1_1851
RD_07RS1_1951
RD_07RS1_1a61
RD_07RS1_1b51
RD_07RS1_1c51
RD_07RS1_1d51
RD_07RS1_1e31
RD_07RS1_1f91
RD_08RS1_0031
RD_08RS1_0141
RD_08RS1_0221
RD_08RS1_0321
RD_08RS1_0431
RD_08RS1_0551
RD_08RS1_0611
RD_08RS1_0821
RD_08RS1_0941
RD_08RS1_0a51
RD_08RS1_0b21
RD_08RS1_0c51
RD_08RS1_0d101
RD_08RS1_0e41
RD_08RS1_0f61
RD_08RS1_1061
RD_08RS1_1151
RD_08RS1_1281
RD_08RS1_1351
RD_08RS1_1431
RD_08RS1_1571
RD_08RS1_1661
RD_08RS1_1791
RD_08RS1_1841
RD_08RS1_1941
RD_08RS1_1a101
RD_08RS1_1b31
RD_08RS1_1c81
RD_08RS1_1d31
RD_08RS1_1e61
RD_08RS1_1f51
RD_09RS1_0091
RD_09RS1_0151
RD_09RS1_0281
RD_09RS1_0331
RD_09RS1_04121
RD_09RS1_0561
RD_09RS1_0671
RD_09RS1_0761
RD_09RS1_0891
RD_09RS1_0931
RD_09RS1_0a61
RD_09RS1_0b21
RD_09RS1_0c101
RD_09RS1_0d51
RD_09RS1_0e21
RD_09RS1_0f51
RD_09RS1_1061
RD_09RS1_1151
RD_09RS1_1281
RD_09RS1_1341
RD_09RS1_1421
RD_09RS1_1541
RD_09RS1_1621
RD_09RS1_1771
RD_09RS1_1911
RD_09RS1_1a31
RD_09RS1_1b51
RD_09RS1_1c31
RD_09RS1_1d31
RD_09RS1_1e61
RD_09RS1_1f11
RD_0aRS1_0071
RD_0aRS1_01111
RD_0aRS1_0291
RD_0aRS1_0371
RD_0aRS1_0431
RD_0aRS1_0531
RD_0aRS1_0641
RD_0aRS1_0731
RD_0aRS1_0861
RD_0aRS1_0931
RD_0aRS1_0a81
RD_0aRS1_0b51
RD_0aRS1_0c101
RD_0aRS1_0d31
RD_0aRS1_0e31
RD_0aRS1_0f61
RD_0aRS1_1021
RD_0aRS1_1171
RD_0aRS1_1221
RD_0aRS1_1371
RD_0aRS1_1451
RD_0aRS1_1531
RD_0aRS1_1691
RD_0aRS1_1731
RD_0aRS1_1841
RD_0aRS1_1911
RD_0aRS1_1a81
RD_0aRS1_1b21
RD_0aRS1_1c31
RD_0aRS1_1d41
RD_0aRS1_1e61
RD_0aRS1_1f61
RD_0bRS1_0081
RD_0bRS1_0171
RD_0bRS1_0211
RD_0bRS1_0381
RD_0bRS1_0421
RD_0bRS1_0521
RD_0bRS1_0651
RD_0bRS1_0741
RD_0bRS1_0851
RD_0bRS1_0931
RD_0bRS1_0a81
RD_0bRS1_0b101
RD_0bRS1_0c61
RD_0bRS1_0d61
RD_0bRS1_0e61
RD_0bRS1_0f41
RD_0bRS1_1011
RD_0bRS1_1161
RD_0bRS1_1251
RD_0bRS1_1321
RD_0bRS1_1481
RD_0bRS1_1561
RD_0bRS1_1681
RD_0bRS1_1741
RD_0bRS1_1891
RD_0bRS1_1941
RD_0bRS1_1a61
RD_0bRS1_1b61
RD_0bRS1_1c61
RD_0bRS1_1d41
RD_0bRS1_1e81
RD_0bRS1_1f51
RD_0cRS1_0061
RD_0cRS1_0151
RD_0cRS1_0211
RD_0cRS1_0351
RD_0cRS1_0431
RD_0cRS1_0531
RD_0cRS1_0641
RD_0cRS1_0741
RD_0cRS1_0821
RD_0cRS1_0951
RD_0cRS1_0a71
RD_0cRS1_0b51
RD_0cRS1_0c31
RD_0cRS1_0d31
RD_0cRS1_0e41
RD_0cRS1_0f81
RD_0cRS1_1091
RD_0cRS1_1121
RD_0cRS1_1211
RD_0cRS1_13101
RD_0cRS1_1421
RD_0cRS1_1561
RD_0cRS1_1671
RD_0cRS1_1771
RD_0cRS1_1851
RD_0cRS1_1951
RD_0cRS1_1a101
RD_0cRS1_1b51
RD_0cRS1_1c31
RD_0cRS1_1d81
RD_0cRS1_1e81
RD_0cRS1_1f91
RD_0dRS1_0041
RD_0dRS1_0141
RD_0dRS1_0211
RD_0dRS1_0341
RD_0dRS1_0471
RD_0dRS1_0591
RD_0dRS1_06121
RD_0dRS1_0751
RD_0dRS1_0921
RD_0dRS1_0a61
RD_0dRS1_0b61
RD_0dRS1_0c61
RD_0dRS1_0d21
RD_0dRS1_0e61
RD_0dRS1_0f21
RD_0dRS1_1051
RD_0dRS1_1141
RD_0dRS1_1221
RD_0dRS1_1381
RD_0dRS1_1481
RD_0dRS1_1531
RD_0dRS1_1671
RD_0dRS1_1731
RD_0dRS1_1871
RD_0dRS1_1931
RD_0dRS1_1a71
RD_0dRS1_1b81
RD_0dRS1_1c111
RD_0dRS1_1d101
RD_0dRS1_1e21
RD_0dRS1_1f61
RD_0eRS1_0051
RD_0eRS1_0121
RD_0eRS1_0211
RD_0eRS1_0391
RD_0eRS1_0461
RD_0eRS1_0571
RD_0eRS1_06141
RD_0eRS1_0731
RD_0eRS1_0831
RD_0eRS1_0971
RD_0eRS1_0a61
RD_0eRS1_0b31
RD_0eRS1_0c71
RD_0eRS1_0d61
RD_0eRS1_0e101
RD_0eRS1_0f11
RD_0eRS1_1061
RD_0eRS1_1121
RD_0eRS1_1281
RD_0eRS1_1361
RD_0eRS1_1431
RD_0eRS1_1571
RD_0eRS1_1651
RD_0eRS1_1761
RD_0eRS1_1951
RD_0eRS1_1a21
RD_0eRS1_1b21
RD_0eRS1_1c41
RD_0eRS1_1d31
RD_0eRS1_1e51
RD_0eRS1_1f21
RD_0fRS1_0061
RD_0fRS1_0131
RD_0fRS1_0211
RD_0fRS1_0321
RD_0fRS1_0461
RD_0fRS1_0561
RD_0fRS1_0631
RD_0fRS1_0721
RD_0fRS1_0821
RD_0fRS1_0911
RD_0fRS1_0a31
RD_0fRS1_0b61
RD_0fRS1_0c21
RD_0fRS1_0d71
RD_0fRS1_0e31
RD_0fRS1_0f61
RD_0fRS1_1071
RD_0fRS1_11101
RD_0fRS1_12101
RD_0fRS1_1331
RD_0fRS1_1461
RD_0fRS1_1541
RD_0fRS1_1661
RD_0fRS1_1761
RD_0fRS1_1821
RD_0fRS1_1961
RD_0fRS1_1a51
RD_0fRS1_1b31
RD_0fRS1_1c41
RD_0fRS1_1d51
RD_0fRS1_1e31
RD_0fRS1_1f41
RD_10RS1_0091
RD_10RS1_0121
RD_10RS1_0261
RD_10RS1_0371
RD_10RS1_0471
RD_10RS1_0521
RD_10RS1_0641
RD_10RS1_07101
RD_10RS1_0821
RD_10RS1_0a51
RD_10RS1_0b11
RD_10RS1_0c51
RD_10RS1_0d51
RD_10RS1_0e31
RD_10RS1_0f61
RD_10RS1_1081
RD_10RS1_1161
RD_10RS1_1241
RD_10RS1_1351
RD_10RS1_1411
RD_10RS1_1561
RD_10RS1_1631
RD_10RS1_1771
RD_10RS1_1831
RD_10RS1_1971
RD_10RS1_1a51
RD_10RS1_1b41
RD_10RS1_1c91
RD_10RS1_1d71
RD_10RS1_1e11
RD_10RS1_1f71
RD_11RS1_00141
RD_11RS1_0141
RD_11RS1_0241
RD_11RS1_0331
RD_11RS1_04121
RD_11RS1_0581
RD_11RS1_0661
RD_11RS1_0711
RD_11RS1_08101
RD_11RS1_0961
RD_11RS1_0a31
RD_11RS1_0b31
RD_11RS1_0c41
RD_11RS1_0d91
RD_11RS1_0e71
RD_11RS1_0f41
RD_11RS1_1041
RD_11RS1_1151
RD_11RS1_1231
RD_11RS1_1391
RD_11RS1_1551
RD_11RS1_1641
RD_11RS1_1721
RD_11RS1_1861
RD_11RS1_1951
RD_11RS1_1a51
RD_11RS1_1b71
RD_11RS1_1c131
RD_11RS1_1d61
RD_11RS1_1e41
RD_11RS1_1f61
RD_12RS1_0061
RD_12RS1_0151
RD_12RS1_0251
RD_12RS1_0331
RD_12RS1_0441
RD_12RS1_0531
RD_12RS1_0621
RD_12RS1_0761
RD_12RS1_0851
RD_12RS1_0961
RD_12RS1_0a71
RD_12RS1_0b31
RD_12RS1_0c21
RD_12RS1_0d91
RD_12RS1_0e61
RD_12RS1_0f61
RD_12RS1_1061
RD_12RS1_1181
RD_12RS1_1271
RD_12RS1_1361
RD_12RS1_1411
RD_12RS1_1561
RD_12RS1_1621
RD_12RS1_1761
RD_12RS1_1821
RD_12RS1_1951
RD_12RS1_1a81
RD_12RS1_1b71
RD_12RS1_1c21
RD_12RS1_1d41
RD_12RS1_1e41
RD_12RS1_1f81
RD_13RS1_0091
RD_13RS1_0111
RD_13RS1_0241
RD_13RS1_0331
RD_13RS1_0441
RD_13RS1_0551
RD_13RS1_0681
RD_13RS1_0761
RD_13RS1_0861
RD_13RS1_0941
RD_13RS1_0a51
RD_13RS1_0b41
RD_13RS1_0c91
RD_13RS1_0d61
RD_13RS1_0e31
RD_13RS1_0f41
RD_13RS1_1091
RD_13RS1_1131
RD_13RS1_1221
RD_13RS1_1361
RD_13RS1_1461
RD_13RS1_1571
RD_13RS1_1641
RD_13RS1_1741
RD_13RS1_1831
RD_13RS1_1931
RD_13RS1_1a41
RD_13RS1_1b71
RD_13RS1_1c101
RD_13RS1_1d51
RD_13RS1_1e51
RD_13RS1_1f31
RD_14RS1_0031
RD_14RS1_0161
RD_14RS1_0271
RD_14RS1_0321
RD_14RS1_0421
RD_14RS1_0571
RD_14RS1_0631
RD_14RS1_0731
RD_14RS1_0871
RD_14RS1_0951
RD_14RS1_0a61
RD_14RS1_0b31
RD_14RS1_0c31
RD_14RS1_0d31
RD_14RS1_0e21
RD_14RS1_0f61
RD_14RS1_1051
RD_14RS1_11131
RD_14RS1_1261
RD_14RS1_1321
RD_14RS1_1481
RD_14RS1_1561
RD_14RS1_1651
RD_14RS1_1741
RD_14RS1_1861
RD_14RS1_1951
RD_14RS1_1a91
RD_14RS1_1b41
RD_14RS1_1c51
RD_14RS1_1d31
RD_14RS1_1e61
RD_14RS1_1f91
RD_15RS1_0041
RD_15RS1_0161
RD_15RS1_0211
RD_15RS1_0341
RD_15RS1_0481
RD_15RS1_0581
RD_15RS1_0671
RD_15RS1_0761
RD_15RS1_0861
RD_15RS1_0951
RD_15RS1_0a91
RD_15RS1_0b31
RD_15RS1_0c31
RD_15RS1_0d61
RD_15RS1_0e61
RD_15RS1_0f91
RD_15RS1_1031
RD_15RS1_1141
RD_15RS1_12101
RD_15RS1_1341
RD_15RS1_1441
RD_15RS1_1591
RD_15RS1_16131
RD_15RS1_1751
RD_15RS1_1871
RD_15RS1_1931
RD_15RS1_1a41
RD_15RS1_1b111
RD_15RS1_1c51
RD_15RS1_1d81
RD_15RS1_1e51
RD_15RS1_1f51
RD_16RS1_0071
RD_16RS1_0131
RD_16RS1_02121
RD_16RS1_03101
RD_16RS1_0461
RD_16RS1_0531
RD_16RS1_0681
RD_16RS1_0741
RD_16RS1_0831
RD_16RS1_0931
RD_16RS1_0a111
RD_16RS1_0b61
RD_16RS1_0c81
RD_16RS1_0d81
RD_16RS1_0e61
RD_16RS1_0f71
RD_16RS1_1061
RD_16RS1_1131
RD_16RS1_1271
RD_16RS1_1361
RD_16RS1_1451
RD_16RS1_1531
RD_16RS1_1641
RD_16RS1_1751
RD_16RS1_1821
RD_16RS1_1961
RD_16RS1_1a51
RD_16RS1_1b41
RD_16RS1_1c81
RD_16RS1_1d51
RD_16RS1_1e61
RD_16RS1_1f61
RD_17RS1_0061
RD_17RS1_0151
RD_17RS1_0251
RD_17RS1_0371
RD_17RS1_0481
RD_17RS1_0541
RD_17RS1_0671
RD_17RS1_0721
RD_17RS1_0861
RD_17RS1_0961
RD_17RS1_0a41
RD_17RS1_0b51
RD_17RS1_0c71
RD_17RS1_0d41
RD_17RS1_0e51
RD_17RS1_0f71
RD_17RS1_1091
RD_17RS1_1151
RD_17RS1_1231
RD_17RS1_1341
RD_17RS1_1441
RD_17RS1_1571
RD_17RS1_1621
RD_17RS1_1731
RD_17RS1_1841
RD_17RS1_1961
RD_17RS1_1a11
RD_17RS1_1b71
RD_17RS1_1c71
RD_17RS1_1d61
RD_17RS1_1e91
RD_17RS1_1f81
RD_18RS1_0051
RD_18RS1_0121
RD_18RS1_0291
RD_18RS1_0361
RD_18RS1_0441
RD_18RS1_0571
RD_18RS1_0681
RD_18RS1_0731
RD_18RS1_0831
RD_18RS1_0921
RD_18RS1_0a101
RD_18RS1_0b31
RD_18RS1_0c41
RD_18RS1_0d81
RD_18RS1_0e61
RD_18RS1_0f71
RD_18RS1_1081
RD_18RS1_1121
RD_18RS1_1211
RD_18RS1_1331
RD_18RS1_1461
RD_18RS1_1561
RD_18RS1_1641
RD_18RS1_1771
RD_18RS1_1851
RD_18RS1_1921
RD_18RS1_1a71
RD_18RS1_1b41
RD_18RS1_1c51
RD_18RS1_1d51
RD_18RS1_1e21
RD_18RS1_1f11
RD_19RS1_0051
RD_19RS1_0141
RD_19RS1_0231
RD_19RS1_0311
RD_19RS1_0411
RD_19RS1_0531
RD_19RS1_0651
RD_19RS1_0721
RD_19RS1_0951
RD_19RS1_0a31
RD_19RS1_0b21
RD_19RS1_0c71
RD_19RS1_0d51
RD_19RS1_0e51
RD_19RS1_0f71
RD_19RS1_1051
RD_19RS1_1171
RD_19RS1_1261
RD_19RS1_1341
RD_19RS1_1441
RD_19RS1_1571
RD_19RS1_1661
RD_19RS1_1721
RD_19RS1_1831
RD_19RS1_1951
RD_19RS1_1a11
RD_19RS1_1b61
RD_19RS1_1c21
RD_19RS1_1d81
RD_19RS1_1e31
RD_19RS1_1f51
RD_1aRS1_0021
RD_1aRS1_0171
RD_1aRS1_0231
RD_1aRS1_0361
RD_1aRS1_0481
RD_1aRS1_0681
RD_1aRS1_0751
RD_1aRS1_0861
RD_1aRS1_0941
RD_1aRS1_0a71
RD_1aRS1_0b31
RD_1aRS1_0c41
RD_1aRS1_0d31
RD_1aRS1_0e121
RD_1aRS1_0f51
RD_1aRS1_1011
RD_1aRS1_1161
RD_1aRS1_1291
RD_1aRS1_1321
RD_1aRS1_1421
RD_1aRS1_1571
RD_1aRS1_1641
RD_1aRS1_1721
RD_1aRS1_1841
RD_1aRS1_1931
RD_1aRS1_1a41
RD_1aRS1_1c61
RD_1aRS1_1d31
RD_1aRS1_1e51
RD_1aRS1_1f81
RD_1bRS1_0041
RD_1bRS1_0121
RD_1bRS1_0251
RD_1bRS1_0371
RD_1bRS1_0451
RD_1bRS1_0531
RD_1bRS1_0621
RD_1bRS1_07101
RD_1bRS1_0871
RD_1bRS1_0991
RD_1bRS1_0a51
RD_1bRS1_0b41
RD_1bRS1_0c71
RD_1bRS1_0d51
RD_1bRS1_0e71
RD_1bRS1_0f61
RD_1bRS1_1031
RD_1bRS1_1171
RD_1bRS1_12121
RD_1bRS1_1351
RD_1bRS1_1441
RD_1bRS1_1531
RD_1bRS1_1621
RD_1bRS1_1751
RD_1bRS1_1871
RD_1bRS1_1931
RD_1bRS1_1a51
RD_1bRS1_1b71
RD_1bRS1_1c31
RD_1bRS1_1d61
RD_1bRS1_1e61
RD_1bRS1_1f71
RD_1cRS1_0031
RD_1cRS1_0161
RD_1cRS1_0271
RD_1cRS1_0321
RD_1cRS1_0431
RD_1cRS1_0571
RD_1cRS1_0681
RD_1cRS1_0761
RD_1cRS1_0841
RD_1cRS1_0961
RD_1cRS1_0a41
RD_1cRS1_0b21
RD_1cRS1_0c21
RD_1cRS1_0d11
RD_1cRS1_0e31
RD_1cRS1_0f41
RD_1cRS1_1081
RD_1cRS1_1161
RD_1cRS1_1221
RD_1cRS1_1331
RD_1cRS1_1431
RD_1cRS1_1571
RD_1cRS1_1661
RD_1cRS1_1721
RD_1cRS1_1821
RD_1cRS1_1911
RD_1cRS1_1a11
RD_1cRS1_1b81
RD_1cRS1_1c61
RD_1cRS1_1d41
RD_1cRS1_1e91
RD_1cRS1_1f91
RD_1dRS1_0041
RD_1dRS1_0161
RD_1dRS1_0251
RD_1dRS1_0341
RD_1dRS1_0451
RD_1dRS1_0591
RD_1dRS1_0651
RD_1dRS1_0761
RD_1dRS1_0891
RD_1dRS1_0a51
RD_1dRS1_0b41
RD_1dRS1_0c101
RD_1dRS1_0d91
RD_1dRS1_0e21
RD_1dRS1_0f111
RD_1dRS1_1031
RD_1dRS1_1171
RD_1dRS1_1221
RD_1dRS1_13101
RD_1dRS1_1491
RD_1dRS1_1581
RD_1dRS1_1651
RD_1dRS1_1751
RD_1dRS1_1851
RD_1dRS1_1971
RD_1dRS1_1a71
RD_1dRS1_1b61
RD_1dRS1_1c31
RD_1dRS1_1d51
RD_1dRS1_1e51
RD_1dRS1_1f51
RD_1eRS1_0021
RD_1eRS1_0171
RD_1eRS1_0251
RD_1eRS1_0351
RD_1eRS1_0481
RD_1eRS1_0561
RD_1eRS1_0651
RD_1eRS1_0751
RD_1eRS1_0841
RD_1eRS1_09101
RD_1eRS1_0a81
RD_1eRS1_0b31
RD_1eRS1_0c101
RD_1eRS1_0d41
RD_1eRS1_0e61
RD_1eRS1_0f141
RD_1eRS1_1071
RD_1eRS1_1171
RD_1eRS1_1241
RD_1eRS1_13121
RD_1eRS1_1411
RD_1eRS1_1551
RD_1eRS1_1681
RD_1eRS1_1771
RD_1eRS1_1861
RD_1eRS1_1941
RD_1eRS1_1a41
RD_1eRS1_1b11
RD_1eRS1_1c71
RD_1eRS1_1d61
RD_1eRS1_1e61
RD_1eRS1_1f81
RD_1fRS1_0071
RD_1fRS1_01111
RD_1fRS1_0251
RD_1fRS1_0331
RD_1fRS1_0451
RD_1fRS1_0551
RD_1fRS1_0681
RD_1fRS1_0791
RD_1fRS1_0841
RD_1fRS1_0941
RD_1fRS1_0a71
RD_1fRS1_0b31
RD_1fRS1_0c11
RD_1fRS1_0d71
RD_1fRS1_0e51
RD_1fRS1_0f61
RD_1fRS1_1011
RD_1fRS1_11141
RD_1fRS1_1241
RD_1fRS1_1361
RD_1fRS1_1411
RD_1fRS1_1521
RD_1fRS1_1641
RD_1fRS1_1781
RD_1fRS1_1861
RD_1fRS1_1931
RD_1fRS1_1a41
RD_1fRS1_1b11
RD_1fRS1_1c91
RD_1fRS1_1d31
RD_1fRS1_1e31
RD_1fRS1_1f21

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10246101899.41 6

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_02][RS2_10]011
[RD_08][RS2_0c]011
[RD_08][RS2_1b]011
[RD_0f][RS2_01]011
[RD_18][RS2_13]011
[RD_19][RS2_08]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0071
RD_00RS2_0141
RD_00RS2_0231
RD_00RS2_0341
RD_00RS2_0481
RD_00RS2_05101
RD_00RS2_0641
RD_00RS2_0751
RD_00RS2_0871
RD_00RS2_0951
RD_00RS2_0a61
RD_00RS2_0b71
RD_00RS2_0c21
RD_00RS2_0d21
RD_00RS2_0e51
RD_00RS2_0f31
RD_00RS2_1071
RD_00RS2_1151
RD_00RS2_1241
RD_00RS2_1341
RD_00RS2_1441
RD_00RS2_1531
RD_00RS2_1661
RD_00RS2_1771
RD_00RS2_1871
RD_00RS2_1941
RD_00RS2_1a21
RD_00RS2_1b61
RD_00RS2_1c41
RD_00RS2_1d71
RD_00RS2_1e61
RD_00RS2_1f51
RD_01RS2_0081
RD_01RS2_0121
RD_01RS2_0241
RD_01RS2_03101
RD_01RS2_0481
RD_01RS2_0571
RD_01RS2_0641
RD_01RS2_0761
RD_01RS2_0851
RD_01RS2_0941
RD_01RS2_0a21
RD_01RS2_0b71
RD_01RS2_0c61
RD_01RS2_0d71
RD_01RS2_0e21
RD_01RS2_0f61
RD_01RS2_10101
RD_01RS2_1141
RD_01RS2_1271
RD_01RS2_1371
RD_01RS2_1461
RD_01RS2_1561
RD_01RS2_1691
RD_01RS2_1761
RD_01RS2_1841
RD_01RS2_1951
RD_01RS2_1a121
RD_01RS2_1b31
RD_01RS2_1c21
RD_01RS2_1d21
RD_01RS2_1e41
RD_01RS2_1f81
RD_02RS2_0011
RD_02RS2_0131
RD_02RS2_02101
RD_02RS2_0351
RD_02RS2_0481
RD_02RS2_0531
RD_02RS2_0681
RD_02RS2_0761
RD_02RS2_0861
RD_02RS2_0971
RD_02RS2_0a61
RD_02RS2_0b91
RD_02RS2_0c61
RD_02RS2_0d71
RD_02RS2_0e41
RD_02RS2_0f51
RD_02RS2_1151
RD_02RS2_1261
RD_02RS2_1331
RD_02RS2_1451
RD_02RS2_1511
RD_02RS2_1681
RD_02RS2_1731
RD_02RS2_1891
RD_02RS2_19101
RD_02RS2_1a61
RD_02RS2_1b61
RD_02RS2_1c31
RD_02RS2_1d51
RD_02RS2_1e51
RD_02RS2_1f61
RD_03RS2_0061
RD_03RS2_0171
RD_03RS2_0251
RD_03RS2_0351
RD_03RS2_0461
RD_03RS2_0561
RD_03RS2_0651
RD_03RS2_0781
RD_03RS2_0851
RD_03RS2_09101
RD_03RS2_0a51
RD_03RS2_0b81
RD_03RS2_0c61
RD_03RS2_0d41
RD_03RS2_0e41
RD_03RS2_0f61
RD_03RS2_10101
RD_03RS2_1141
RD_03RS2_1251
RD_03RS2_1331
RD_03RS2_1441
RD_03RS2_1541
RD_03RS2_1661
RD_03RS2_1751
RD_03RS2_1871
RD_03RS2_1951
RD_03RS2_1a31
RD_03RS2_1b81
RD_03RS2_1c21
RD_03RS2_1d81
RD_03RS2_1e51
RD_03RS2_1f31
RD_04RS2_0061
RD_04RS2_0121
RD_04RS2_0291
RD_04RS2_0361
RD_04RS2_0451
RD_04RS2_0571
RD_04RS2_0621
RD_04RS2_07101
RD_04RS2_0821
RD_04RS2_0991
RD_04RS2_0a21
RD_04RS2_0b61
RD_04RS2_0c21
RD_04RS2_0d51
RD_04RS2_0e101
RD_04RS2_0f31
RD_04RS2_1031
RD_04RS2_1121
RD_04RS2_1231
RD_04RS2_1331
RD_04RS2_1421
RD_04RS2_1521
RD_04RS2_1651
RD_04RS2_1751
RD_04RS2_1871
RD_04RS2_1961
RD_04RS2_1a71
RD_04RS2_1b21
RD_04RS2_1c61
RD_04RS2_1d51
RD_04RS2_1e101
RD_04RS2_1f71
RD_05RS2_0081
RD_05RS2_0191
RD_05RS2_0221
RD_05RS2_0351
RD_05RS2_0431
RD_05RS2_0561
RD_05RS2_0631
RD_05RS2_0771
RD_05RS2_0851
RD_05RS2_09121
RD_05RS2_0a61
RD_05RS2_0b91
RD_05RS2_0c31
RD_05RS2_0d61
RD_05RS2_0e41
RD_05RS2_0f61
RD_05RS2_1071
RD_05RS2_1131
RD_05RS2_1241
RD_05RS2_1351
RD_05RS2_1451
RD_05RS2_1541
RD_05RS2_1681
RD_05RS2_1731
RD_05RS2_1851
RD_05RS2_1951
RD_05RS2_1a31
RD_05RS2_1b41
RD_05RS2_1c11
RD_05RS2_1d71
RD_05RS2_1e51
RD_05RS2_1f41
RD_06RS2_0021
RD_06RS2_0151
RD_06RS2_0261
RD_06RS2_0351
RD_06RS2_0431
RD_06RS2_0541
RD_06RS2_0641
RD_06RS2_0751
RD_06RS2_0861
RD_06RS2_0921
RD_06RS2_0a71
RD_06RS2_0b41
RD_06RS2_0c31
RD_06RS2_0d41
RD_06RS2_0e41
RD_06RS2_0f71
RD_06RS2_1011
RD_06RS2_1161
RD_06RS2_1241
RD_06RS2_13111
RD_06RS2_1431
RD_06RS2_1561
RD_06RS2_1641
RD_06RS2_1721
RD_06RS2_1821
RD_06RS2_1951
RD_06RS2_1a51
RD_06RS2_1b31
RD_06RS2_1c41
RD_06RS2_1d71
RD_06RS2_1e41
RD_06RS2_1f31
RD_07RS2_0051
RD_07RS2_0121
RD_07RS2_0271
RD_07RS2_0321
RD_07RS2_0461
RD_07RS2_0551
RD_07RS2_0621
RD_07RS2_0791
RD_07RS2_0881
RD_07RS2_0941
RD_07RS2_0a41
RD_07RS2_0b61
RD_07RS2_0c61
RD_07RS2_0d51
RD_07RS2_0e81
RD_07RS2_0f61
RD_07RS2_1051
RD_07RS2_1181
RD_07RS2_1261
RD_07RS2_1351
RD_07RS2_1441
RD_07RS2_1571
RD_07RS2_1651
RD_07RS2_1751
RD_07RS2_1831
RD_07RS2_1931
RD_07RS2_1a61
RD_07RS2_1b61
RD_07RS2_1c71
RD_07RS2_1d81
RD_07RS2_1e51
RD_07RS2_1f51
RD_08RS2_00101
RD_08RS2_01121
RD_08RS2_0261
RD_08RS2_0321
RD_08RS2_0461
RD_08RS2_0511
RD_08RS2_0631
RD_08RS2_0751
RD_08RS2_0851
RD_08RS2_0921
RD_08RS2_0a61
RD_08RS2_0b51
RD_08RS2_0d81
RD_08RS2_0e31
RD_08RS2_0f21
RD_08RS2_1061
RD_08RS2_1141
RD_08RS2_1241
RD_08RS2_1361
RD_08RS2_1431
RD_08RS2_1551
RD_08RS2_1681
RD_08RS2_1731
RD_08RS2_1861
RD_08RS2_1971
RD_08RS2_1a51
RD_08RS2_1c51
RD_08RS2_1d41
RD_08RS2_1e51
RD_08RS2_1f31
RD_09RS2_0031
RD_09RS2_0141
RD_09RS2_0211
RD_09RS2_0341
RD_09RS2_0451
RD_09RS2_0531
RD_09RS2_0641
RD_09RS2_0751
RD_09RS2_0841
RD_09RS2_0961
RD_09RS2_0a61
RD_09RS2_0b11
RD_09RS2_0c51
RD_09RS2_0d41
RD_09RS2_0e41
RD_09RS2_0f31
RD_09RS2_1051
RD_09RS2_1121
RD_09RS2_1211
RD_09RS2_13131
RD_09RS2_1441
RD_09RS2_1571
RD_09RS2_1661
RD_09RS2_1771
RD_09RS2_18101
RD_09RS2_1941
RD_09RS2_1a71
RD_09RS2_1b61
RD_09RS2_1c71
RD_09RS2_1d21
RD_09RS2_1e81
RD_09RS2_1f71
RD_0aRS2_0051
RD_0aRS2_0141
RD_0aRS2_0241
RD_0aRS2_0331
RD_0aRS2_0451
RD_0aRS2_0511
RD_0aRS2_0621
RD_0aRS2_0751
RD_0aRS2_0881
RD_0aRS2_0971
RD_0aRS2_0a81
RD_0aRS2_0b51
RD_0aRS2_0c21
RD_0aRS2_0d41
RD_0aRS2_0e51
RD_0aRS2_0f71
RD_0aRS2_1031
RD_0aRS2_1131
RD_0aRS2_12101
RD_0aRS2_1381
RD_0aRS2_1431
RD_0aRS2_1591
RD_0aRS2_1641
RD_0aRS2_1741
RD_0aRS2_1851
RD_0aRS2_1991
RD_0aRS2_1a41
RD_0aRS2_1b41
RD_0aRS2_1c31
RD_0aRS2_1d51
RD_0aRS2_1e21
RD_0aRS2_1f121
RD_0bRS2_0021
RD_0bRS2_0171
RD_0bRS2_0231
RD_0bRS2_0341
RD_0bRS2_0431
RD_0bRS2_0551
RD_0bRS2_0651
RD_0bRS2_0741
RD_0bRS2_0891
RD_0bRS2_0991
RD_0bRS2_0a51
RD_0bRS2_0b81
RD_0bRS2_0c41
RD_0bRS2_0d71
RD_0bRS2_0e11
RD_0bRS2_0f61
RD_0bRS2_1051
RD_0bRS2_1161
RD_0bRS2_1271
RD_0bRS2_1381
RD_0bRS2_1441
RD_0bRS2_1581
RD_0bRS2_1671
RD_0bRS2_1721
RD_0bRS2_1841
RD_0bRS2_1941
RD_0bRS2_1a31
RD_0bRS2_1b41
RD_0bRS2_1c81
RD_0bRS2_1d71
RD_0bRS2_1e61
RD_0bRS2_1f81
RD_0cRS2_0031
RD_0cRS2_0131
RD_0cRS2_0261
RD_0cRS2_0331
RD_0cRS2_0471
RD_0cRS2_0541
RD_0cRS2_0671
RD_0cRS2_0771
RD_0cRS2_0891
RD_0cRS2_09121
RD_0cRS2_0a21
RD_0cRS2_0b51
RD_0cRS2_0c41
RD_0cRS2_0d31
RD_0cRS2_0e21
RD_0cRS2_0f71
RD_0cRS2_1031
RD_0cRS2_1171
RD_0cRS2_1221
RD_0cRS2_1371
RD_0cRS2_1471
RD_0cRS2_1551
RD_0cRS2_1661
RD_0cRS2_1741
RD_0cRS2_1861
RD_0cRS2_1961
RD_0cRS2_1a21
RD_0cRS2_1b51
RD_0cRS2_1c41
RD_0cRS2_1d61
RD_0cRS2_1e81
RD_0cRS2_1f31
RD_0dRS2_0061
RD_0dRS2_0131
RD_0dRS2_0251
RD_0dRS2_0331
RD_0dRS2_0451
RD_0dRS2_0561
RD_0dRS2_0671
RD_0dRS2_0721
RD_0dRS2_0861
RD_0dRS2_09101
RD_0dRS2_0a51
RD_0dRS2_0b51
RD_0dRS2_0c21
RD_0dRS2_0d71
RD_0dRS2_0e51
RD_0dRS2_0f31
RD_0dRS2_10111
RD_0dRS2_1151
RD_0dRS2_1261
RD_0dRS2_1371
RD_0dRS2_1421
RD_0dRS2_1531
RD_0dRS2_16111
RD_0dRS2_1791
RD_0dRS2_1871
RD_0dRS2_1921
RD_0dRS2_1a51
RD_0dRS2_1b51
RD_0dRS2_1c31
RD_0dRS2_1d51
RD_0dRS2_1e51
RD_0dRS2_1f41
RD_0eRS2_0041
RD_0eRS2_0131
RD_0eRS2_0211
RD_0eRS2_0381
RD_0eRS2_04111
RD_0eRS2_0521
RD_0eRS2_0651
RD_0eRS2_0731
RD_0eRS2_08111
RD_0eRS2_0971
RD_0eRS2_0a51
RD_0eRS2_0b31
RD_0eRS2_0c31
RD_0eRS2_0d61
RD_0eRS2_0e61
RD_0eRS2_0f31
RD_0eRS2_1011
RD_0eRS2_1131
RD_0eRS2_1251
RD_0eRS2_1341
RD_0eRS2_1441
RD_0eRS2_1571
RD_0eRS2_1661
RD_0eRS2_1781
RD_0eRS2_1841
RD_0eRS2_1991
RD_0eRS2_1a51
RD_0eRS2_1b41
RD_0eRS2_1c71
RD_0eRS2_1d21
RD_0eRS2_1e31
RD_0eRS2_1f31
RD_0fRS2_0071
RD_0fRS2_0211
RD_0fRS2_0311
RD_0fRS2_0411
RD_0fRS2_0541
RD_0fRS2_0671
RD_0fRS2_0741
RD_0fRS2_0861
RD_0fRS2_0941
RD_0fRS2_0a61
RD_0fRS2_0b41
RD_0fRS2_0c11
RD_0fRS2_0d31
RD_0fRS2_0e71
RD_0fRS2_0f101
RD_0fRS2_1021
RD_0fRS2_11101
RD_0fRS2_1241
RD_0fRS2_1351
RD_0fRS2_1431
RD_0fRS2_1521
RD_0fRS2_16101
RD_0fRS2_1731
RD_0fRS2_1871
RD_0fRS2_1921
RD_0fRS2_1a51
RD_0fRS2_1b71
RD_0fRS2_1c31
RD_0fRS2_1d51
RD_0fRS2_1e31
RD_0fRS2_1f61
RD_10RS2_0071
RD_10RS2_0171
RD_10RS2_0241
RD_10RS2_0361
RD_10RS2_0421
RD_10RS2_0521
RD_10RS2_0651
RD_10RS2_0771
RD_10RS2_0831
RD_10RS2_0991
RD_10RS2_0a51
RD_10RS2_0b31
RD_10RS2_0c31
RD_10RS2_0d31
RD_10RS2_0e61
RD_10RS2_0f41
RD_10RS2_1051
RD_10RS2_11101
RD_10RS2_1251
RD_10RS2_1351
RD_10RS2_1441
RD_10RS2_1551
RD_10RS2_1671
RD_10RS2_1741
RD_10RS2_1891
RD_10RS2_1941
RD_10RS2_1a21
RD_10RS2_1b31
RD_10RS2_1c91
RD_10RS2_1d51
RD_10RS2_1e11
RD_10RS2_1f31
RD_11RS2_0011
RD_11RS2_0191
RD_11RS2_02121
RD_11RS2_0341
RD_11RS2_0431
RD_11RS2_0591
RD_11RS2_0621
RD_11RS2_0781
RD_11RS2_0841
RD_11RS2_0951
RD_11RS2_0a51
RD_11RS2_0b61
RD_11RS2_0c21
RD_11RS2_0d41
RD_11RS2_0e81
RD_11RS2_0f41
RD_11RS2_1091
RD_11RS2_11101
RD_11RS2_1271
RD_11RS2_1321
RD_11RS2_1451
RD_11RS2_1551
RD_11RS2_1671
RD_11RS2_1741
RD_11RS2_1821
RD_11RS2_1961
RD_11RS2_1a71
RD_11RS2_1b61
RD_11RS2_1c21
RD_11RS2_1d131
RD_11RS2_1e61
RD_11RS2_1f51
RD_12RS2_0051
RD_12RS2_0141
RD_12RS2_0221
RD_12RS2_0371
RD_12RS2_0431
RD_12RS2_05101
RD_12RS2_0691
RD_12RS2_0721
RD_12RS2_0841
RD_12RS2_09101
RD_12RS2_0a71
RD_12RS2_0b21
RD_12RS2_0c61
RD_12RS2_0d71
RD_12RS2_0e51
RD_12RS2_0f61
RD_12RS2_1021
RD_12RS2_1141
RD_12RS2_1251
RD_12RS2_1361
RD_12RS2_1451
RD_12RS2_1591
RD_12RS2_1661
RD_12RS2_1741
RD_12RS2_1841
RD_12RS2_1961
RD_12RS2_1a61
RD_12RS2_1b61
RD_12RS2_1c21
RD_12RS2_1d21
RD_12RS2_1e21
RD_12RS2_1f21
RD_13RS2_0051
RD_13RS2_0161
RD_13RS2_0241
RD_13RS2_0341
RD_13RS2_0481
RD_13RS2_0531
RD_13RS2_0621
RD_13RS2_0761
RD_13RS2_0881
RD_13RS2_0951
RD_13RS2_0a31
RD_13RS2_0b41
RD_13RS2_0c31
RD_13RS2_0d61
RD_13RS2_0e41
RD_13RS2_0f61
RD_13RS2_10111
RD_13RS2_1141
RD_13RS2_12111
RD_13RS2_1351
RD_13RS2_1461
RD_13RS2_1561
RD_13RS2_1671
RD_13RS2_1751
RD_13RS2_1821
RD_13RS2_1941
RD_13RS2_1a41
RD_13RS2_1b21
RD_13RS2_1c71
RD_13RS2_1d31
RD_13RS2_1e51
RD_13RS2_1f31
RD_14RS2_0071
RD_14RS2_0141
RD_14RS2_0271
RD_14RS2_0381
RD_14RS2_0451
RD_14RS2_0541
RD_14RS2_0651
RD_14RS2_0751
RD_14RS2_0831
RD_14RS2_0921
RD_14RS2_0a51
RD_14RS2_0b61
RD_14RS2_0c71
RD_14RS2_0d21
RD_14RS2_0e71
RD_14RS2_0f91
RD_14RS2_1031
RD_14RS2_11141
RD_14RS2_1261
RD_14RS2_1351
RD_14RS2_1411
RD_14RS2_1551
RD_14RS2_1631
RD_14RS2_1731
RD_14RS2_1831
RD_14RS2_1981
RD_14RS2_1a51
RD_14RS2_1b41
RD_14RS2_1c51
RD_14RS2_1d51
RD_14RS2_1e51
RD_14RS2_1f31
RD_15RS2_0051
RD_15RS2_0141
RD_15RS2_0291
RD_15RS2_0321
RD_15RS2_0461
RD_15RS2_0541
RD_15RS2_0681
RD_15RS2_0731
RD_15RS2_0861
RD_15RS2_0941
RD_15RS2_0a41
RD_15RS2_0b61
RD_15RS2_0c31
RD_15RS2_0d101
RD_15RS2_0e71
RD_15RS2_0f71
RD_15RS2_1031
RD_15RS2_11121
RD_15RS2_1251
RD_15RS2_1351
RD_15RS2_14101
RD_15RS2_1591
RD_15RS2_1641
RD_15RS2_1751
RD_15RS2_18111
RD_15RS2_1931
RD_15RS2_1a81
RD_15RS2_1b91
RD_15RS2_1c21
RD_15RS2_1d71
RD_15RS2_1e91
RD_15RS2_1f11
RD_16RS2_0071
RD_16RS2_0191
RD_16RS2_0251
RD_16RS2_0331
RD_16RS2_0461
RD_16RS2_0561
RD_16RS2_0621
RD_16RS2_0771
RD_16RS2_0891
RD_16RS2_0951
RD_16RS2_0a71
RD_16RS2_0b11
RD_16RS2_0c91
RD_16RS2_0d121
RD_16RS2_0e21
RD_16RS2_0f81
RD_16RS2_10101
RD_16RS2_1151
RD_16RS2_1221
RD_16RS2_1351
RD_16RS2_1461
RD_16RS2_1531
RD_16RS2_1641
RD_16RS2_1771
RD_16RS2_1881
RD_16RS2_1971
RD_16RS2_1a41
RD_16RS2_1b71
RD_16RS2_1c31
RD_16RS2_1d41
RD_16RS2_1e51
RD_16RS2_1f81
RD_17RS2_0041
RD_17RS2_0141
RD_17RS2_0231
RD_17RS2_0351
RD_17RS2_0441
RD_17RS2_0561
RD_17RS2_0651
RD_17RS2_0771
RD_17RS2_0851
RD_17RS2_0961
RD_17RS2_0a111
RD_17RS2_0b41
RD_17RS2_0c51
RD_17RS2_0d21
RD_17RS2_0e61
RD_17RS2_0f101
RD_17RS2_1091
RD_17RS2_1141
RD_17RS2_1281
RD_17RS2_1351
RD_17RS2_1451
RD_17RS2_15101
RD_17RS2_1661
RD_17RS2_1721
RD_17RS2_1821
RD_17RS2_1931
RD_17RS2_1a51
RD_17RS2_1b61
RD_17RS2_1c71
RD_17RS2_1d61
RD_17RS2_1e61
RD_17RS2_1f21
RD_18RS2_0071
RD_18RS2_0161
RD_18RS2_0291
RD_18RS2_0351
RD_18RS2_0451
RD_18RS2_0561
RD_18RS2_0661
RD_18RS2_0731
RD_18RS2_0831
RD_18RS2_0961
RD_18RS2_0a81
RD_18RS2_0b121
RD_18RS2_0c31
RD_18RS2_0d31
RD_18RS2_0e31
RD_18RS2_0f61
RD_18RS2_1071
RD_18RS2_1131
RD_18RS2_1231
RD_18RS2_1461
RD_18RS2_1571
RD_18RS2_1661
RD_18RS2_1751
RD_18RS2_1861
RD_18RS2_1921
RD_18RS2_1a31
RD_18RS2_1b31
RD_18RS2_1c11
RD_18RS2_1d41
RD_18RS2_1e41
RD_18RS2_1f41
RD_19RS2_0061
RD_19RS2_0141
RD_19RS2_0211
RD_19RS2_0361
RD_19RS2_0431
RD_19RS2_0541
RD_19RS2_0641
RD_19RS2_0751
RD_19RS2_0931
RD_19RS2_0a71
RD_19RS2_0b51
RD_19RS2_0c81
RD_19RS2_0d31
RD_19RS2_0e51
RD_19RS2_0f31
RD_19RS2_1011
RD_19RS2_1151
RD_19RS2_12121
RD_19RS2_1321
RD_19RS2_1451
RD_19RS2_1531
RD_19RS2_1631
RD_19RS2_1741
RD_19RS2_1851
RD_19RS2_1951
RD_19RS2_1a11
RD_19RS2_1b51
RD_19RS2_1c21
RD_19RS2_1d31
RD_19RS2_1e71
RD_19RS2_1f21
RD_1aRS2_0061
RD_1aRS2_0141
RD_1aRS2_0221
RD_1aRS2_0371
RD_1aRS2_0451
RD_1aRS2_0551
RD_1aRS2_0641
RD_1aRS2_0761
RD_1aRS2_0861
RD_1aRS2_0961
RD_1aRS2_0a21
RD_1aRS2_0b51
RD_1aRS2_0c61
RD_1aRS2_0d61
RD_1aRS2_0e41
RD_1aRS2_0f51
RD_1aRS2_1031
RD_1aRS2_1141
RD_1aRS2_1251
RD_1aRS2_1341
RD_1aRS2_1431
RD_1aRS2_1541
RD_1aRS2_1651
RD_1aRS2_1741
RD_1aRS2_1841
RD_1aRS2_1921
RD_1aRS2_1a21
RD_1aRS2_1b51
RD_1aRS2_1c101
RD_1aRS2_1d51
RD_1aRS2_1e31
RD_1aRS2_1f71
RD_1bRS2_0051
RD_1bRS2_0141
RD_1bRS2_0221
RD_1bRS2_0361
RD_1bRS2_04111
RD_1bRS2_0531
RD_1bRS2_06101
RD_1bRS2_0721
RD_1bRS2_0851
RD_1bRS2_0991
RD_1bRS2_0a61
RD_1bRS2_0b51
RD_1bRS2_0c51
RD_1bRS2_0d131
RD_1bRS2_0e91
RD_1bRS2_0f51
RD_1bRS2_10101
RD_1bRS2_1141
RD_1bRS2_1231
RD_1bRS2_1341
RD_1bRS2_1441
RD_1bRS2_1541
RD_1bRS2_1661
RD_1bRS2_1751
RD_1bRS2_1851
RD_1bRS2_1941
RD_1bRS2_1a51
RD_1bRS2_1b61
RD_1bRS2_1c41
RD_1bRS2_1d21
RD_1bRS2_1e31
RD_1bRS2_1f41
RD_1cRS2_0021
RD_1cRS2_0151
RD_1cRS2_0241
RD_1cRS2_0311
RD_1cRS2_0431
RD_1cRS2_0521
RD_1cRS2_0641
RD_1cRS2_07111
RD_1cRS2_0851
RD_1cRS2_0961
RD_1cRS2_0a61
RD_1cRS2_0b31
RD_1cRS2_0c31
RD_1cRS2_0d81
RD_1cRS2_0e51
RD_1cRS2_0f21
RD_1cRS2_1061
RD_1cRS2_1151
RD_1cRS2_1231
RD_1cRS2_1311
RD_1cRS2_1431
RD_1cRS2_1581
RD_1cRS2_1651
RD_1cRS2_1751
RD_1cRS2_1851
RD_1cRS2_1941
RD_1cRS2_1a41
RD_1cRS2_1b41
RD_1cRS2_1c61
RD_1cRS2_1d41
RD_1cRS2_1e81
RD_1cRS2_1f41
RD_1dRS2_0041
RD_1dRS2_0111
RD_1dRS2_0261
RD_1dRS2_0381
RD_1dRS2_0451
RD_1dRS2_0541
RD_1dRS2_0641
RD_1dRS2_07111
RD_1dRS2_0841
RD_1dRS2_0941
RD_1dRS2_0a41
RD_1dRS2_0b81
RD_1dRS2_0c71
RD_1dRS2_0d31
RD_1dRS2_0e71
RD_1dRS2_0f61
RD_1dRS2_1071
RD_1dRS2_1171
RD_1dRS2_1261
RD_1dRS2_1341
RD_1dRS2_1441
RD_1dRS2_1571
RD_1dRS2_1641
RD_1dRS2_1781
RD_1dRS2_1841
RD_1dRS2_1961
RD_1dRS2_1a101
RD_1dRS2_1b51
RD_1dRS2_1c101
RD_1dRS2_1d101
RD_1dRS2_1e61
RD_1dRS2_1f21
RD_1eRS2_0051
RD_1eRS2_0181
RD_1eRS2_0251
RD_1eRS2_0391
RD_1eRS2_0441
RD_1eRS2_0571
RD_1eRS2_0691
RD_1eRS2_0741
RD_1eRS2_0831
RD_1eRS2_0971
RD_1eRS2_0a21
RD_1eRS2_0b61
RD_1eRS2_0c81
RD_1eRS2_0d21
RD_1eRS2_0e81
RD_1eRS2_0f51
RD_1eRS2_1011
RD_1eRS2_1171
RD_1eRS2_1271
RD_1eRS2_1371
RD_1eRS2_14141
RD_1eRS2_1541
RD_1eRS2_1631
RD_1eRS2_1771
RD_1eRS2_18101
RD_1eRS2_1971
RD_1eRS2_1a91
RD_1eRS2_1b31
RD_1eRS2_1c61
RD_1eRS2_1d81
RD_1eRS2_1e51
RD_1eRS2_1f51
RD_1fRS2_0011
RD_1fRS2_0161
RD_1fRS2_0261
RD_1fRS2_0331
RD_1fRS2_0431
RD_1fRS2_0531
RD_1fRS2_0661
RD_1fRS2_0731
RD_1fRS2_0831
RD_1fRS2_0991
RD_1fRS2_0a61
RD_1fRS2_0b31
RD_1fRS2_0c51
RD_1fRS2_0d71
RD_1fRS2_0e61
RD_1fRS2_0f61
RD_1fRS2_1061
RD_1fRS2_1131
RD_1fRS2_1281
RD_1fRS2_1381
RD_1fRS2_1441
RD_1fRS2_1531
RD_1fRS2_1611
RD_1fRS2_1711
RD_1fRS2_18101
RD_1fRS2_1981
RD_1fRS2_1a31
RD_1fRS2_1b41
RD_1fRS2_1c151
RD_1fRS2_1d21
RD_1fRS2_1e61
RD_1fRS2_1f31

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_3.html new file mode 100644 index 00000000..5b8861d6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_3.html @@ -0,0 +1,11434 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_double_add_rs1_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_001661
RD_011771
RD_021611
RD_031661
RD_041801
RD_051521
RD_061751
RD_071671
RD_081481
RD_091761
RD_0a1711
RD_0b1751
RD_0c1431
RD_0d1691
RD_0e1541
RD_0f1651
RD_101531
RD_111901
RD_121311
RD_131841
RD_141901
RD_151761
RD_161811
RD_171701
RD_181691
RD_191451
RD_1a1681
RD_1b1551
RD_1c1611
RD_1d1671
RD_1e1821
RD_1f1661

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_001641
RS1_011561
RS1_021761
RS1_031531
RS1_041721
RS1_051661
RS1_061511
RS1_071811
RS1_081521
RS1_091401
RS1_0a1501
RS1_0b1661
RS1_0c1711
RS1_0d1901
RS1_0e1681
RS1_0f1681
RS1_101771
RS1_111591
RS1_121681
RS1_131771
RS1_141951
RS1_151671
RS1_161701
RS1_171681
RS1_181671
RS1_191601
RS1_1a1461
RS1_1b1801
RS1_1c1661
RS1_1d1711
RS1_1e1651
RS1_1f1731

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_001781
RS2_011461
RS2_021811
RS2_031731
RS2_041701
RS2_051781
RS2_061531
RS2_071521
RS2_081601
RS2_091561
RS2_0a1591
RS2_0b1451
RS2_0c1541
RS2_0d1761
RS2_0e1841
RS2_0f1531
RS2_101771
RS2_111871
RS2_121351
RS2_131771
RS2_141611
RS2_151491
RS2_161691
RS2_172101
RS2_181781
RS2_191521
RS2_1a1781
RS2_1b1651
RS2_1c1591
RS2_1d1661
RS2_1e1621
RS2_1f1901

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125301
BIT30_120021
BIT29_120261
BIT28_120251
BIT27_119831
BIT26_119531
BIT25_119721
BIT24_120231
BIT23_120811
BIT22_119661
BIT21_119491
BIT20_119091
BIT19_119551
BIT18_119601
BIT17_119521
BIT16_119651
BIT15_122071
BIT14_121721
BIT13_120801
BIT12_123551
BIT11_123991
BIT10_123511
BIT9_121851
BIT8_121041
BIT7_122941
BIT6_120391
BIT5_120441
BIT4_124341
BIT3_124941
BIT2_124201
BIT1_120701
BIT0_117451
BIT31_028021
BIT30_033301
BIT29_033061
BIT28_033071
BIT27_033491
BIT26_033791
BIT25_033601
BIT24_033091
BIT23_032511
BIT22_033661
BIT21_033831
BIT20_034231
BIT19_033771
BIT18_033721
BIT17_033801
BIT16_033671
BIT15_031251
BIT14_031601
BIT13_032521
BIT12_029771
BIT11_029331
BIT10_029811
BIT9_031471
BIT8_032281
BIT7_030381
BIT6_032931
BIT5_032881
BIT4_028981
BIT3_028381
BIT2_029121
BIT1_032621
BIT0_035871

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125451
BIT30_120211
BIT29_119871
BIT28_120281
BIT27_119011
BIT26_119061
BIT25_119491
BIT24_119951
BIT23_119221
BIT22_118871
BIT21_119241
BIT20_119521
BIT19_119151
BIT18_119771
BIT17_120091
BIT16_120191
BIT15_121871
BIT14_121831
BIT13_121241
BIT12_123771
BIT11_123971
BIT10_123791
BIT9_121801
BIT8_120441
BIT7_122871
BIT6_120151
BIT5_120471
BIT4_124601
BIT3_125331
BIT2_124781
BIT1_119681
BIT0_117451
BIT31_027881
BIT30_033121
BIT29_033461
BIT28_033051
BIT27_034321
BIT26_034271
BIT25_033841
BIT24_033381
BIT23_034111
BIT22_034461
BIT21_034091
BIT20_033811
BIT19_034181
BIT18_033561
BIT17_033241
BIT16_033141
BIT15_031461
BIT14_031501
BIT13_032091
BIT12_029561
BIT11_029361
BIT10_029541
BIT9_031531
BIT8_032891
BIT7_030461
BIT6_033181
BIT5_032861
BIT4_028731
BIT3_028001
BIT2_028551
BIT1_033651
BIT0_035881

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102412101298.83 12

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_03][RS1_07]011
[RD_04][RS1_0a]011
[RD_08][RS1_09]011
[RD_0b][RS1_00]011
[RD_10][RS1_0a]011
[RD_12][RS1_02]011
[RD_16][RS1_16]011
[RD_17][RS1_08]011
[RD_1a][RS1_0d]011
[RD_1b][RS1_0a]011
[RD_1d][RS1_06]011
[RD_1f][RS1_1f]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_0051
RD_00RS1_0191
RD_00RS1_02121
RD_00RS1_0361
RD_00RS1_0491
RD_00RS1_0561
RD_00RS1_0631
RD_00RS1_0731
RD_00RS1_0831
RD_00RS1_0931
RD_00RS1_0a81
RD_00RS1_0b41
RD_00RS1_0c41
RD_00RS1_0d71
RD_00RS1_0e11
RD_00RS1_0f31
RD_00RS1_1051
RD_00RS1_1161
RD_00RS1_1221
RD_00RS1_1361
RD_00RS1_1481
RD_00RS1_1551
RD_00RS1_1631
RD_00RS1_1751
RD_00RS1_1871
RD_00RS1_1961
RD_00RS1_1a21
RD_00RS1_1b71
RD_00RS1_1c21
RD_00RS1_1d41
RD_00RS1_1e31
RD_00RS1_1f91
RD_01RS1_0041
RD_01RS1_0151
RD_01RS1_0251
RD_01RS1_0381
RD_01RS1_0441
RD_01RS1_0551
RD_01RS1_0621
RD_01RS1_0711
RD_01RS1_0871
RD_01RS1_0961
RD_01RS1_0a71
RD_01RS1_0b51
RD_01RS1_0c41
RD_01RS1_0d51
RD_01RS1_0e51
RD_01RS1_0f51
RD_01RS1_1071
RD_01RS1_1131
RD_01RS1_1231
RD_01RS1_1381
RD_01RS1_1461
RD_01RS1_1561
RD_01RS1_1651
RD_01RS1_1741
RD_01RS1_1851
RD_01RS1_1971
RD_01RS1_1a111
RD_01RS1_1b31
RD_01RS1_1c81
RD_01RS1_1d71
RD_01RS1_1e81
RD_01RS1_1f81
RD_02RS1_0051
RD_02RS1_0161
RD_02RS1_0261
RD_02RS1_0331
RD_02RS1_0451
RD_02RS1_0521
RD_02RS1_0641
RD_02RS1_0741
RD_02RS1_0871
RD_02RS1_0931
RD_02RS1_0a81
RD_02RS1_0b91
RD_02RS1_0c71
RD_02RS1_0d51
RD_02RS1_0e31
RD_02RS1_0f71
RD_02RS1_1091
RD_02RS1_1151
RD_02RS1_1241
RD_02RS1_1351
RD_02RS1_1461
RD_02RS1_1531
RD_02RS1_1671
RD_02RS1_1771
RD_02RS1_1821
RD_02RS1_1921
RD_02RS1_1a41
RD_02RS1_1b41
RD_02RS1_1c41
RD_02RS1_1d31
RD_02RS1_1e51
RD_02RS1_1f71
RD_03RS1_0041
RD_03RS1_0141
RD_03RS1_0231
RD_03RS1_0371
RD_03RS1_0481
RD_03RS1_0531
RD_03RS1_0651
RD_03RS1_0821
RD_03RS1_0951
RD_03RS1_0a81
RD_03RS1_0b81
RD_03RS1_0c41
RD_03RS1_0d111
RD_03RS1_0e71
RD_03RS1_0f61
RD_03RS1_1051
RD_03RS1_1121
RD_03RS1_1211
RD_03RS1_1321
RD_03RS1_1451
RD_03RS1_15111
RD_03RS1_1641
RD_03RS1_1771
RD_03RS1_1851
RD_03RS1_1971
RD_03RS1_1a51
RD_03RS1_1b31
RD_03RS1_1c71
RD_03RS1_1d21
RD_03RS1_1e111
RD_03RS1_1f41
RD_04RS1_0051
RD_04RS1_0141
RD_04RS1_0261
RD_04RS1_0311
RD_04RS1_0441
RD_04RS1_0581
RD_04RS1_0641
RD_04RS1_0761
RD_04RS1_08151
RD_04RS1_0961
RD_04RS1_0b61
RD_04RS1_0c31
RD_04RS1_0d21
RD_04RS1_0e61
RD_04RS1_0f111
RD_04RS1_1041
RD_04RS1_11101
RD_04RS1_1291
RD_04RS1_1341
RD_04RS1_14101
RD_04RS1_1531
RD_04RS1_1631
RD_04RS1_1781
RD_04RS1_1851
RD_04RS1_1981
RD_04RS1_1a31
RD_04RS1_1b61
RD_04RS1_1c71
RD_04RS1_1d71
RD_04RS1_1e31
RD_04RS1_1f31
RD_05RS1_0061
RD_05RS1_0131
RD_05RS1_0271
RD_05RS1_0331
RD_05RS1_0431
RD_05RS1_0531
RD_05RS1_0631
RD_05RS1_0781
RD_05RS1_0821
RD_05RS1_0911
RD_05RS1_0a61
RD_05RS1_0b71
RD_05RS1_0c41
RD_05RS1_0d91
RD_05RS1_0e41
RD_05RS1_0f21
RD_05RS1_1081
RD_05RS1_1171
RD_05RS1_1221
RD_05RS1_1341
RD_05RS1_1471
RD_05RS1_1521
RD_05RS1_1631
RD_05RS1_1731
RD_05RS1_1831
RD_05RS1_1981
RD_05RS1_1a41
RD_05RS1_1b51
RD_05RS1_1c101
RD_05RS1_1d71
RD_05RS1_1e61
RD_05RS1_1f21
RD_06RS1_00101
RD_06RS1_01101
RD_06RS1_0251
RD_06RS1_0321
RD_06RS1_0421
RD_06RS1_0581
RD_06RS1_0681
RD_06RS1_0721
RD_06RS1_0871
RD_06RS1_0961
RD_06RS1_0a21
RD_06RS1_0b61
RD_06RS1_0c71
RD_06RS1_0d71
RD_06RS1_0e131
RD_06RS1_0f11
RD_06RS1_1021
RD_06RS1_1191
RD_06RS1_1241
RD_06RS1_1351
RD_06RS1_1411
RD_06RS1_1581
RD_06RS1_1621
RD_06RS1_17101
RD_06RS1_1841
RD_06RS1_1961
RD_06RS1_1a21
RD_06RS1_1b81
RD_06RS1_1c51
RD_06RS1_1d41
RD_06RS1_1e11
RD_06RS1_1f81
RD_07RS1_0021
RD_07RS1_0191
RD_07RS1_0261
RD_07RS1_0311
RD_07RS1_0471
RD_07RS1_0541
RD_07RS1_0621
RD_07RS1_0791
RD_07RS1_0831
RD_07RS1_0951
RD_07RS1_0a61
RD_07RS1_0b41
RD_07RS1_0c61
RD_07RS1_0d31
RD_07RS1_0e61
RD_07RS1_0f91
RD_07RS1_1091
RD_07RS1_1141
RD_07RS1_12101
RD_07RS1_1341
RD_07RS1_14111
RD_07RS1_1541
RD_07RS1_1631
RD_07RS1_1741
RD_07RS1_1821
RD_07RS1_1941
RD_07RS1_1a31
RD_07RS1_1b51
RD_07RS1_1c111
RD_07RS1_1d21
RD_07RS1_1e31
RD_07RS1_1f61
RD_08RS1_0061
RD_08RS1_0131
RD_08RS1_0241
RD_08RS1_0321
RD_08RS1_0471
RD_08RS1_0571
RD_08RS1_0671
RD_08RS1_0781
RD_08RS1_0821
RD_08RS1_0a41
RD_08RS1_0b61
RD_08RS1_0c41
RD_08RS1_0d91
RD_08RS1_0e21
RD_08RS1_0f31
RD_08RS1_10101
RD_08RS1_1121
RD_08RS1_1241
RD_08RS1_1351
RD_08RS1_1461
RD_08RS1_1541
RD_08RS1_1631
RD_08RS1_1741
RD_08RS1_1811
RD_08RS1_1921
RD_08RS1_1a71
RD_08RS1_1b31
RD_08RS1_1c71
RD_08RS1_1d61
RD_08RS1_1e31
RD_08RS1_1f71
RD_09RS1_0071
RD_09RS1_0131
RD_09RS1_0221
RD_09RS1_0361
RD_09RS1_04101
RD_09RS1_0541
RD_09RS1_0661
RD_09RS1_0761
RD_09RS1_0881
RD_09RS1_0911
RD_09RS1_0a51
RD_09RS1_0b11
RD_09RS1_0c91
RD_09RS1_0d91
RD_09RS1_0e51
RD_09RS1_0f81
RD_09RS1_1021
RD_09RS1_1161
RD_09RS1_1251
RD_09RS1_1361
RD_09RS1_1421
RD_09RS1_1531
RD_09RS1_1661
RD_09RS1_1761
RD_09RS1_1891
RD_09RS1_1921
RD_09RS1_1a71
RD_09RS1_1b61
RD_09RS1_1c61
RD_09RS1_1d41
RD_09RS1_1e71
RD_09RS1_1f91
RD_0aRS1_0041
RD_0aRS1_0151
RD_0aRS1_0231
RD_0aRS1_0351
RD_0aRS1_0441
RD_0aRS1_0511
RD_0aRS1_0671
RD_0aRS1_0731
RD_0aRS1_0881
RD_0aRS1_0931
RD_0aRS1_0a41
RD_0aRS1_0b41
RD_0aRS1_0c81
RD_0aRS1_0d41
RD_0aRS1_0e81
RD_0aRS1_0f111
RD_0aRS1_1071
RD_0aRS1_1171
RD_0aRS1_1251
RD_0aRS1_1371
RD_0aRS1_1481
RD_0aRS1_1541
RD_0aRS1_1661
RD_0aRS1_1771
RD_0aRS1_1851
RD_0aRS1_1951
RD_0aRS1_1a31
RD_0aRS1_1b31
RD_0aRS1_1c41
RD_0aRS1_1d71
RD_0aRS1_1e41
RD_0aRS1_1f71
RD_0bRS1_0151
RD_0bRS1_02101
RD_0bRS1_0341
RD_0bRS1_0441
RD_0bRS1_05131
RD_0bRS1_0681
RD_0bRS1_0731
RD_0bRS1_0881
RD_0bRS1_0961
RD_0bRS1_0a101
RD_0bRS1_0b71
RD_0bRS1_0c61
RD_0bRS1_0d21
RD_0bRS1_0e31
RD_0bRS1_0f41
RD_0bRS1_1061
RD_0bRS1_11101
RD_0bRS1_1221
RD_0bRS1_1331
RD_0bRS1_1471
RD_0bRS1_1551
RD_0bRS1_1691
RD_0bRS1_1771
RD_0bRS1_1871
RD_0bRS1_1921
RD_0bRS1_1a31
RD_0bRS1_1b51
RD_0bRS1_1c41
RD_0bRS1_1d61
RD_0bRS1_1e21
RD_0bRS1_1f41
RD_0cRS1_0061
RD_0cRS1_0131
RD_0cRS1_0251
RD_0cRS1_0341
RD_0cRS1_0441
RD_0cRS1_0551
RD_0cRS1_0621
RD_0cRS1_07111
RD_0cRS1_0811
RD_0cRS1_0931
RD_0cRS1_0a51
RD_0cRS1_0b41
RD_0cRS1_0c31
RD_0cRS1_0d61
RD_0cRS1_0e51
RD_0cRS1_0f31
RD_0cRS1_1021
RD_0cRS1_1161
RD_0cRS1_1271
RD_0cRS1_1321
RD_0cRS1_1431
RD_0cRS1_1591
RD_0cRS1_1641
RD_0cRS1_1721
RD_0cRS1_1811
RD_0cRS1_1981
RD_0cRS1_1a31
RD_0cRS1_1b31
RD_0cRS1_1c81
RD_0cRS1_1d51
RD_0cRS1_1e31
RD_0cRS1_1f71
RD_0dRS1_0061
RD_0dRS1_0121
RD_0dRS1_0291
RD_0dRS1_0331
RD_0dRS1_0431
RD_0dRS1_0581
RD_0dRS1_0661
RD_0dRS1_0741
RD_0dRS1_0811
RD_0dRS1_0971
RD_0dRS1_0a41
RD_0dRS1_0b81
RD_0dRS1_0c71
RD_0dRS1_0d61
RD_0dRS1_0e51
RD_0dRS1_0f31
RD_0dRS1_1061
RD_0dRS1_1141
RD_0dRS1_12111
RD_0dRS1_1311
RD_0dRS1_1461
RD_0dRS1_1531
RD_0dRS1_1641
RD_0dRS1_1751
RD_0dRS1_18131
RD_0dRS1_1931
RD_0dRS1_1a51
RD_0dRS1_1b51
RD_0dRS1_1c31
RD_0dRS1_1d91
RD_0dRS1_1e51
RD_0dRS1_1f41
RD_0eRS1_0061
RD_0eRS1_0121
RD_0eRS1_0281
RD_0eRS1_0331
RD_0eRS1_0451
RD_0eRS1_0541
RD_0eRS1_0631
RD_0eRS1_0731
RD_0eRS1_0821
RD_0eRS1_0931
RD_0eRS1_0a61
RD_0eRS1_0b31
RD_0eRS1_0c51
RD_0eRS1_0d131
RD_0eRS1_0e41
RD_0eRS1_0f81
RD_0eRS1_1051
RD_0eRS1_1121
RD_0eRS1_1241
RD_0eRS1_1371
RD_0eRS1_1481
RD_0eRS1_1531
RD_0eRS1_1661
RD_0eRS1_1741
RD_0eRS1_18101
RD_0eRS1_1951
RD_0eRS1_1a21
RD_0eRS1_1b61
RD_0eRS1_1c21
RD_0eRS1_1d31
RD_0eRS1_1e31
RD_0eRS1_1f61
RD_0fRS1_00111
RD_0fRS1_0171
RD_0fRS1_0231
RD_0fRS1_0351
RD_0fRS1_0451
RD_0fRS1_0541
RD_0fRS1_0631
RD_0fRS1_07111
RD_0fRS1_0841
RD_0fRS1_0921
RD_0fRS1_0a31
RD_0fRS1_0b61
RD_0fRS1_0c11
RD_0fRS1_0d101
RD_0fRS1_0e51
RD_0fRS1_0f41
RD_0fRS1_1061
RD_0fRS1_1171
RD_0fRS1_12101
RD_0fRS1_1351
RD_0fRS1_1451
RD_0fRS1_1551
RD_0fRS1_1631
RD_0fRS1_1721
RD_0fRS1_1831
RD_0fRS1_1931
RD_0fRS1_1a51
RD_0fRS1_1b71
RD_0fRS1_1c81
RD_0fRS1_1d51
RD_0fRS1_1e51
RD_0fRS1_1f21
RD_10RS1_0081
RD_10RS1_0121
RD_10RS1_0241
RD_10RS1_0331
RD_10RS1_0441
RD_10RS1_0551
RD_10RS1_0621
RD_10RS1_0781
RD_10RS1_0821
RD_10RS1_0981
RD_10RS1_0b21
RD_10RS1_0c61
RD_10RS1_0d21
RD_10RS1_0e101
RD_10RS1_0f31
RD_10RS1_10101
RD_10RS1_1121
RD_10RS1_1261
RD_10RS1_1371
RD_10RS1_1471
RD_10RS1_1521
RD_10RS1_1671
RD_10RS1_1731
RD_10RS1_1861
RD_10RS1_1941
RD_10RS1_1a61
RD_10RS1_1b91
RD_10RS1_1c51
RD_10RS1_1d71
RD_10RS1_1e21
RD_10RS1_1f11
RD_11RS1_0041
RD_11RS1_0171
RD_11RS1_02111
RD_11RS1_0371
RD_11RS1_0441
RD_11RS1_0541
RD_11RS1_0651
RD_11RS1_0761
RD_11RS1_0881
RD_11RS1_0941
RD_11RS1_0a21
RD_11RS1_0b51
RD_11RS1_0c61
RD_11RS1_0d51
RD_11RS1_0e71
RD_11RS1_0f91
RD_11RS1_1021
RD_11RS1_1181
RD_11RS1_1271
RD_11RS1_1351
RD_11RS1_1471
RD_11RS1_15111
RD_11RS1_1671
RD_11RS1_1741
RD_11RS1_1891
RD_11RS1_1971
RD_11RS1_1a71
RD_11RS1_1b81
RD_11RS1_1c31
RD_11RS1_1d51
RD_11RS1_1e51
RD_11RS1_1f11
RD_12RS1_0081
RD_12RS1_0131
RD_12RS1_0321
RD_12RS1_0491
RD_12RS1_0531
RD_12RS1_0641
RD_12RS1_0741
RD_12RS1_0831
RD_12RS1_0941
RD_12RS1_0a11
RD_12RS1_0b71
RD_12RS1_0c51
RD_12RS1_0d71
RD_12RS1_0e11
RD_12RS1_0f31
RD_12RS1_1061
RD_12RS1_1111
RD_12RS1_1211
RD_12RS1_1351
RD_12RS1_1441
RD_12RS1_1541
RD_12RS1_1681
RD_12RS1_1761
RD_12RS1_1861
RD_12RS1_1931
RD_12RS1_1a61
RD_12RS1_1b21
RD_12RS1_1c21
RD_12RS1_1d51
RD_12RS1_1e41
RD_12RS1_1f41
RD_13RS1_0051
RD_13RS1_0141
RD_13RS1_0271
RD_13RS1_03101
RD_13RS1_0471
RD_13RS1_0531
RD_13RS1_0651
RD_13RS1_0781
RD_13RS1_0841
RD_13RS1_0921
RD_13RS1_0a71
RD_13RS1_0b21
RD_13RS1_0c61
RD_13RS1_0d51
RD_13RS1_0e21
RD_13RS1_0f31
RD_13RS1_1051
RD_13RS1_1151
RD_13RS1_12141
RD_13RS1_1391
RD_13RS1_1471
RD_13RS1_1541
RD_13RS1_1681
RD_13RS1_1741
RD_13RS1_1811
RD_13RS1_1981
RD_13RS1_1a31
RD_13RS1_1b81
RD_13RS1_1c21
RD_13RS1_1d121
RD_13RS1_1e81
RD_13RS1_1f61
RD_14RS1_0061
RD_14RS1_0171
RD_14RS1_0241
RD_14RS1_0381
RD_14RS1_0461
RD_14RS1_0591
RD_14RS1_0641
RD_14RS1_07131
RD_14RS1_0851
RD_14RS1_0961
RD_14RS1_0a41
RD_14RS1_0b51
RD_14RS1_0c31
RD_14RS1_0d61
RD_14RS1_0e91
RD_14RS1_0f71
RD_14RS1_1041
RD_14RS1_1141
RD_14RS1_1211
RD_14RS1_1371
RD_14RS1_1421
RD_14RS1_1591
RD_14RS1_1621
RD_14RS1_17101
RD_14RS1_1841
RD_14RS1_1981
RD_14RS1_1a81
RD_14RS1_1b61
RD_14RS1_1c31
RD_14RS1_1d61
RD_14RS1_1e61
RD_14RS1_1f81
RD_15RS1_0061
RD_15RS1_0161
RD_15RS1_0231
RD_15RS1_0361
RD_15RS1_0451
RD_15RS1_05101
RD_15RS1_0651
RD_15RS1_0741
RD_15RS1_0851
RD_15RS1_0971
RD_15RS1_0a61
RD_15RS1_0b51
RD_15RS1_0c81
RD_15RS1_0d61
RD_15RS1_0e21
RD_15RS1_0f101
RD_15RS1_1041
RD_15RS1_1121
RD_15RS1_1251
RD_15RS1_1381
RD_15RS1_1451
RD_15RS1_1541
RD_15RS1_1661
RD_15RS1_1751
RD_15RS1_1821
RD_15RS1_1941
RD_15RS1_1a41
RD_15RS1_1b71
RD_15RS1_1c61
RD_15RS1_1d31
RD_15RS1_1e71
RD_15RS1_1f101
RD_16RS1_0031
RD_16RS1_0161
RD_16RS1_02111
RD_16RS1_0381
RD_16RS1_0421
RD_16RS1_0531
RD_16RS1_0641
RD_16RS1_0741
RD_16RS1_0871
RD_16RS1_0961
RD_16RS1_0a81
RD_16RS1_0b41
RD_16RS1_0c51
RD_16RS1_0d81
RD_16RS1_0e81
RD_16RS1_0f41
RD_16RS1_1021
RD_16RS1_1161
RD_16RS1_1241
RD_16RS1_1341
RD_16RS1_1481
RD_16RS1_1551
RD_16RS1_1781
RD_16RS1_18101
RD_16RS1_1961
RD_16RS1_1a41
RD_16RS1_1b71
RD_16RS1_1c91
RD_16RS1_1d41
RD_16RS1_1e81
RD_16RS1_1f51
RD_17RS1_0061
RD_17RS1_0131
RD_17RS1_0251
RD_17RS1_0341
RD_17RS1_0461
RD_17RS1_0551
RD_17RS1_0671
RD_17RS1_07131
RD_17RS1_0931
RD_17RS1_0a31
RD_17RS1_0b61
RD_17RS1_0c51
RD_17RS1_0d61
RD_17RS1_0e41
RD_17RS1_0f41
RD_17RS1_1061
RD_17RS1_1151
RD_17RS1_1251
RD_17RS1_13101
RD_17RS1_1451
RD_17RS1_1531
RD_17RS1_1661
RD_17RS1_1751
RD_17RS1_1831
RD_17RS1_1931
RD_17RS1_1a51
RD_17RS1_1b41
RD_17RS1_1c81
RD_17RS1_1d81
RD_17RS1_1e91
RD_17RS1_1f51
RD_18RS1_0051
RD_18RS1_0131
RD_18RS1_0251
RD_18RS1_0331
RD_18RS1_0491
RD_18RS1_0551
RD_18RS1_0651
RD_18RS1_0741
RD_18RS1_0861
RD_18RS1_0941
RD_18RS1_0a51
RD_18RS1_0b11
RD_18RS1_0c71
RD_18RS1_0d71
RD_18RS1_0e71
RD_18RS1_0f11
RD_18RS1_1031
RD_18RS1_1181
RD_18RS1_1261
RD_18RS1_1351
RD_18RS1_1451
RD_18RS1_1541
RD_18RS1_1681
RD_18RS1_1721
RD_18RS1_18101
RD_18RS1_1951
RD_18RS1_1a21
RD_18RS1_1b31
RD_18RS1_1c41
RD_18RS1_1d91
RD_18RS1_1e121
RD_18RS1_1f61
RD_19RS1_0031
RD_19RS1_0141
RD_19RS1_0261
RD_19RS1_0341
RD_19RS1_0481
RD_19RS1_0531
RD_19RS1_0651
RD_19RS1_0741
RD_19RS1_0821
RD_19RS1_0931
RD_19RS1_0a11
RD_19RS1_0b31
RD_19RS1_0c71
RD_19RS1_0d61
RD_19RS1_0e41
RD_19RS1_0f71
RD_19RS1_1031
RD_19RS1_1141
RD_19RS1_1271
RD_19RS1_1331
RD_19RS1_1481
RD_19RS1_1551
RD_19RS1_1681
RD_19RS1_1771
RD_19RS1_1821
RD_19RS1_1941
RD_19RS1_1a71
RD_19RS1_1b11
RD_19RS1_1c21
RD_19RS1_1d31
RD_19RS1_1e31
RD_19RS1_1f81
RD_1aRS1_0021
RD_1aRS1_0161
RD_1aRS1_0271
RD_1aRS1_0371
RD_1aRS1_0451
RD_1aRS1_0561
RD_1aRS1_0641
RD_1aRS1_0741
RD_1aRS1_0851
RD_1aRS1_0991
RD_1aRS1_0a41
RD_1aRS1_0b61
RD_1aRS1_0c71
RD_1aRS1_0e81
RD_1aRS1_0f31
RD_1aRS1_1021
RD_1aRS1_1131
RD_1aRS1_1221
RD_1aRS1_1381
RD_1aRS1_1461
RD_1aRS1_1581
RD_1aRS1_1641
RD_1aRS1_1771
RD_1aRS1_1851
RD_1aRS1_1941
RD_1aRS1_1a21
RD_1aRS1_1b121
RD_1aRS1_1c51
RD_1aRS1_1d21
RD_1aRS1_1e71
RD_1aRS1_1f81
RD_1bRS1_0051
RD_1bRS1_0141
RD_1bRS1_0211
RD_1bRS1_0371
RD_1bRS1_0421
RD_1bRS1_0571
RD_1bRS1_0641
RD_1bRS1_0741
RD_1bRS1_0841
RD_1bRS1_0961
RD_1bRS1_0b101
RD_1bRS1_0c31
RD_1bRS1_0d51
RD_1bRS1_0e51
RD_1bRS1_0f41
RD_1bRS1_1061
RD_1bRS1_1171
RD_1bRS1_1261
RD_1bRS1_1341
RD_1bRS1_1441
RD_1bRS1_1551
RD_1bRS1_1641
RD_1bRS1_1731
RD_1bRS1_1881
RD_1bRS1_1911
RD_1bRS1_1a41
RD_1bRS1_1b131
RD_1bRS1_1c31
RD_1bRS1_1d91
RD_1bRS1_1e51
RD_1bRS1_1f21
RD_1cRS1_0031
RD_1cRS1_0111
RD_1cRS1_0251
RD_1cRS1_0351
RD_1cRS1_0471
RD_1cRS1_0561
RD_1cRS1_06131
RD_1cRS1_0771
RD_1cRS1_0851
RD_1cRS1_0961
RD_1cRS1_0a71
RD_1cRS1_0b41
RD_1cRS1_0c71
RD_1cRS1_0d31
RD_1cRS1_0e21
RD_1cRS1_0f51
RD_1cRS1_1081
RD_1cRS1_1131
RD_1cRS1_1261
RD_1cRS1_1351
RD_1cRS1_1451
RD_1cRS1_1531
RD_1cRS1_1671
RD_1cRS1_1751
RD_1cRS1_1811
RD_1cRS1_1991
RD_1cRS1_1a51
RD_1cRS1_1b51
RD_1cRS1_1c31
RD_1cRS1_1d11
RD_1cRS1_1e41
RD_1cRS1_1f51
RD_1dRS1_0061
RD_1dRS1_0151
RD_1dRS1_0241
RD_1dRS1_0361
RD_1dRS1_0441
RD_1dRS1_0541
RD_1dRS1_0721
RD_1dRS1_08101
RD_1dRS1_0951
RD_1dRS1_0a41
RD_1dRS1_0b61
RD_1dRS1_0c31
RD_1dRS1_0d61
RD_1dRS1_0e41
RD_1dRS1_0f41
RD_1dRS1_1091
RD_1dRS1_1161
RD_1dRS1_1231
RD_1dRS1_1381
RD_1dRS1_1491
RD_1dRS1_1591
RD_1dRS1_1661
RD_1dRS1_1761
RD_1dRS1_1851
RD_1dRS1_1941
RD_1dRS1_1a31
RD_1dRS1_1b51
RD_1dRS1_1c51
RD_1dRS1_1d61
RD_1dRS1_1e71
RD_1dRS1_1f31
RD_1eRS1_0051
RD_1eRS1_0131
RD_1eRS1_0251
RD_1eRS1_0341
RD_1eRS1_0481
RD_1eRS1_0521
RD_1eRS1_0661
RD_1eRS1_0761
RD_1eRS1_0841
RD_1eRS1_0951
RD_1eRS1_0a71
RD_1eRS1_0b61
RD_1eRS1_0c61
RD_1eRS1_0d61
RD_1eRS1_0e71
RD_1eRS1_0f61
RD_1eRS1_10111
RD_1eRS1_1111
RD_1eRS1_12101
RD_1eRS1_1341
RD_1eRS1_1451
RD_1eRS1_1571
RD_1eRS1_1671
RD_1eRS1_1741
RD_1eRS1_1851
RD_1eRS1_1961
RD_1eRS1_1a51
RD_1eRS1_1b61
RD_1eRS1_1c41
RD_1eRS1_1d91
RD_1eRS1_1e41
RD_1eRS1_1f81
RD_1fRS1_0021
RD_1fRS1_01121
RD_1fRS1_0241
RD_1fRS1_0361
RD_1fRS1_0421
RD_1fRS1_0561
RD_1fRS1_0651
RD_1fRS1_0781
RD_1fRS1_0821
RD_1fRS1_0921
RD_1fRS1_0a51
RD_1fRS1_0b61
RD_1fRS1_0c51
RD_1fRS1_0d41
RD_1fRS1_0e61
RD_1fRS1_0f71
RD_1fRS1_1031
RD_1fRS1_1141
RD_1fRS1_1221
RD_1fRS1_13111
RD_1fRS1_1491
RD_1fRS1_1561
RD_1fRS1_16111
RD_1fRS1_1741
RD_1fRS1_1881
RD_1fRS1_1961
RD_1fRS1_1a61
RD_1fRS1_1b51
RD_1fRS1_1c61
RD_1fRS1_1d11
RD_1fRS1_1e21

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins10243102199.71 3

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEASTNUMBER
[RD_00][RS2_0d]011
[RD_06][RS2_19]011
[RD_0e][RS2_06]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0091
RD_00RS2_0121
RD_00RS2_02101
RD_00RS2_0351
RD_00RS2_0451
RD_00RS2_0571
RD_00RS2_0631
RD_00RS2_0741
RD_00RS2_0851
RD_00RS2_0981
RD_00RS2_0a101
RD_00RS2_0b11
RD_00RS2_0c31
RD_00RS2_0e81
RD_00RS2_0f71
RD_00RS2_1031
RD_00RS2_1181
RD_00RS2_1231
RD_00RS2_1341
RD_00RS2_1431
RD_00RS2_1551
RD_00RS2_1641
RD_00RS2_1771
RD_00RS2_1831
RD_00RS2_1951
RD_00RS2_1a111
RD_00RS2_1b41
RD_00RS2_1c81
RD_00RS2_1d21
RD_00RS2_1e31
RD_00RS2_1f61
RD_01RS2_0071
RD_01RS2_0181
RD_01RS2_0251
RD_01RS2_0321
RD_01RS2_0441
RD_01RS2_0551
RD_01RS2_0651
RD_01RS2_0781
RD_01RS2_0841
RD_01RS2_0941
RD_01RS2_0a51
RD_01RS2_0b31
RD_01RS2_0c31
RD_01RS2_0d101
RD_01RS2_0e71
RD_01RS2_0f71
RD_01RS2_1071
RD_01RS2_1131
RD_01RS2_1231
RD_01RS2_1341
RD_01RS2_1461
RD_01RS2_1561
RD_01RS2_1671
RD_01RS2_1761
RD_01RS2_1851
RD_01RS2_1951
RD_01RS2_1a91
RD_01RS2_1b51
RD_01RS2_1c51
RD_01RS2_1d51
RD_01RS2_1e81
RD_01RS2_1f61
RD_02RS2_0061
RD_02RS2_0171
RD_02RS2_0291
RD_02RS2_0361
RD_02RS2_0431
RD_02RS2_0531
RD_02RS2_0641
RD_02RS2_0711
RD_02RS2_0831
RD_02RS2_0911
RD_02RS2_0a31
RD_02RS2_0b41
RD_02RS2_0c21
RD_02RS2_0d41
RD_02RS2_0e31
RD_02RS2_0f81
RD_02RS2_1071
RD_02RS2_1151
RD_02RS2_1271
RD_02RS2_1321
RD_02RS2_1431
RD_02RS2_1561
RD_02RS2_16101
RD_02RS2_1781
RD_02RS2_1881
RD_02RS2_1921
RD_02RS2_1a31
RD_02RS2_1b101
RD_02RS2_1c61
RD_02RS2_1d41
RD_02RS2_1e91
RD_02RS2_1f41
RD_03RS2_0011
RD_03RS2_0141
RD_03RS2_0251
RD_03RS2_0341
RD_03RS2_0471
RD_03RS2_0551
RD_03RS2_0661
RD_03RS2_0741
RD_03RS2_0851
RD_03RS2_0941
RD_03RS2_0a31
RD_03RS2_0b51
RD_03RS2_0c41
RD_03RS2_0d121
RD_03RS2_0e71
RD_03RS2_0f21
RD_03RS2_1071
RD_03RS2_1171
RD_03RS2_1241
RD_03RS2_13101
RD_03RS2_1441
RD_03RS2_1521
RD_03RS2_1681
RD_03RS2_17111
RD_03RS2_1861
RD_03RS2_1951
RD_03RS2_1a51
RD_03RS2_1b41
RD_03RS2_1c81
RD_03RS2_1d31
RD_03RS2_1e11
RD_03RS2_1f31
RD_04RS2_0091
RD_04RS2_0141
RD_04RS2_0231
RD_04RS2_0351
RD_04RS2_0451
RD_04RS2_0591
RD_04RS2_06121
RD_04RS2_0741
RD_04RS2_0821
RD_04RS2_0921
RD_04RS2_0a51
RD_04RS2_0b91
RD_04RS2_0c61
RD_04RS2_0d41
RD_04RS2_0e41
RD_04RS2_0f81
RD_04RS2_1051
RD_04RS2_1141
RD_04RS2_1281
RD_04RS2_13111
RD_04RS2_1461
RD_04RS2_1531
RD_04RS2_1661
RD_04RS2_1751
RD_04RS2_1871
RD_04RS2_1981
RD_04RS2_1a31
RD_04RS2_1b51
RD_04RS2_1c81
RD_04RS2_1d41
RD_04RS2_1e31
RD_04RS2_1f31
RD_05RS2_0081
RD_05RS2_0171
RD_05RS2_0231
RD_05RS2_0331
RD_05RS2_0421
RD_05RS2_0571
RD_05RS2_0681
RD_05RS2_0751
RD_05RS2_0841
RD_05RS2_0921
RD_05RS2_0a41
RD_05RS2_0b21
RD_05RS2_0c11
RD_05RS2_0d31
RD_05RS2_0e51
RD_05RS2_0f41
RD_05RS2_1061
RD_05RS2_1111
RD_05RS2_1231
RD_05RS2_1371
RD_05RS2_1481
RD_05RS2_1561
RD_05RS2_1661
RD_05RS2_1751
RD_05RS2_1861
RD_05RS2_1941
RD_05RS2_1a31
RD_05RS2_1b21
RD_05RS2_1c51
RD_05RS2_1d61
RD_05RS2_1e41
RD_05RS2_1f121
RD_06RS2_0091
RD_06RS2_0141
RD_06RS2_0261
RD_06RS2_0361
RD_06RS2_0471
RD_06RS2_0541
RD_06RS2_06111
RD_06RS2_0741
RD_06RS2_0851
RD_06RS2_09101
RD_06RS2_0a31
RD_06RS2_0b41
RD_06RS2_0c11
RD_06RS2_0d71
RD_06RS2_0e31
RD_06RS2_0f41
RD_06RS2_1091
RD_06RS2_1121
RD_06RS2_1251
RD_06RS2_1331
RD_06RS2_1481
RD_06RS2_1531
RD_06RS2_1651
RD_06RS2_17141
RD_06RS2_1871
RD_06RS2_1a81
RD_06RS2_1b21
RD_06RS2_1c11
RD_06RS2_1d71
RD_06RS2_1e81
RD_06RS2_1f51
RD_07RS2_0011
RD_07RS2_0171
RD_07RS2_02131
RD_07RS2_0331
RD_07RS2_0421
RD_07RS2_0551
RD_07RS2_0661
RD_07RS2_0721
RD_07RS2_0821
RD_07RS2_0931
RD_07RS2_0a51
RD_07RS2_0b51
RD_07RS2_0c61
RD_07RS2_0d71
RD_07RS2_0e71
RD_07RS2_0f31
RD_07RS2_1041
RD_07RS2_1161
RD_07RS2_1231
RD_07RS2_1351
RD_07RS2_1481
RD_07RS2_1551
RD_07RS2_1621
RD_07RS2_17111
RD_07RS2_1881
RD_07RS2_1981
RD_07RS2_1a71
RD_07RS2_1b61
RD_07RS2_1c21
RD_07RS2_1d11
RD_07RS2_1e81
RD_07RS2_1f61
RD_08RS2_0021
RD_08RS2_0141
RD_08RS2_0271
RD_08RS2_0351
RD_08RS2_0471
RD_08RS2_0551
RD_08RS2_0631
RD_08RS2_0741
RD_08RS2_0851
RD_08RS2_0961
RD_08RS2_0a91
RD_08RS2_0b31
RD_08RS2_0c41
RD_08RS2_0d51
RD_08RS2_0e31
RD_08RS2_0f71
RD_08RS2_1051
RD_08RS2_1171
RD_08RS2_1211
RD_08RS2_1371
RD_08RS2_1441
RD_08RS2_1531
RD_08RS2_1631
RD_08RS2_1721
RD_08RS2_1821
RD_08RS2_1971
RD_08RS2_1a71
RD_08RS2_1b51
RD_08RS2_1c81
RD_08RS2_1d31
RD_08RS2_1e21
RD_08RS2_1f31
RD_09RS2_0051
RD_09RS2_0151
RD_09RS2_0251
RD_09RS2_0361
RD_09RS2_0481
RD_09RS2_0541
RD_09RS2_0641
RD_09RS2_0741
RD_09RS2_0851
RD_09RS2_0981
RD_09RS2_0a71
RD_09RS2_0b71
RD_09RS2_0c71
RD_09RS2_0d21
RD_09RS2_0e41
RD_09RS2_0f31
RD_09RS2_1051
RD_09RS2_1141
RD_09RS2_1291
RD_09RS2_13141
RD_09RS2_1431
RD_09RS2_1561
RD_09RS2_1671
RD_09RS2_1741
RD_09RS2_1851
RD_09RS2_1951
RD_09RS2_1a31
RD_09RS2_1b41
RD_09RS2_1c41
RD_09RS2_1d71
RD_09RS2_1e51
RD_09RS2_1f71
RD_0aRS2_0071
RD_0aRS2_0121
RD_0aRS2_0211
RD_0aRS2_0321
RD_0aRS2_0441
RD_0aRS2_0561
RD_0aRS2_0641
RD_0aRS2_0751
RD_0aRS2_0851
RD_0aRS2_0961
RD_0aRS2_0a31
RD_0aRS2_0b121
RD_0aRS2_0c41
RD_0aRS2_0d141
RD_0aRS2_0e81
RD_0aRS2_0f51
RD_0aRS2_1041
RD_0aRS2_1171
RD_0aRS2_1261
RD_0aRS2_1331
RD_0aRS2_1411
RD_0aRS2_1511
RD_0aRS2_1681
RD_0aRS2_17131
RD_0aRS2_1841
RD_0aRS2_1961
RD_0aRS2_1a91
RD_0aRS2_1b21
RD_0aRS2_1c31
RD_0aRS2_1d61
RD_0aRS2_1e61
RD_0aRS2_1f41
RD_0bRS2_0011
RD_0bRS2_0121
RD_0bRS2_0281
RD_0bRS2_0361
RD_0bRS2_04141
RD_0bRS2_05101
RD_0bRS2_0631
RD_0bRS2_0721
RD_0bRS2_0821
RD_0bRS2_0961
RD_0bRS2_0a61
RD_0bRS2_0b11
RD_0bRS2_0c51
RD_0bRS2_0d41
RD_0bRS2_0e61
RD_0bRS2_0f91
RD_0bRS2_1021
RD_0bRS2_1171
RD_0bRS2_1241
RD_0bRS2_1351
RD_0bRS2_1441
RD_0bRS2_1571
RD_0bRS2_1691
RD_0bRS2_1731
RD_0bRS2_1871
RD_0bRS2_1951
RD_0bRS2_1a61
RD_0bRS2_1b41
RD_0bRS2_1c81
RD_0bRS2_1d51
RD_0bRS2_1e31
RD_0bRS2_1f111
RD_0cRS2_0041
RD_0cRS2_0131
RD_0cRS2_0221
RD_0cRS2_0331
RD_0cRS2_0481
RD_0cRS2_0561
RD_0cRS2_0651
RD_0cRS2_0721
RD_0cRS2_0861
RD_0cRS2_0911
RD_0cRS2_0a21
RD_0cRS2_0b41
RD_0cRS2_0c51
RD_0cRS2_0d31
RD_0cRS2_0e101
RD_0cRS2_0f41
RD_0cRS2_1051
RD_0cRS2_1161
RD_0cRS2_1231
RD_0cRS2_1341
RD_0cRS2_1421
RD_0cRS2_1541
RD_0cRS2_1651
RD_0cRS2_1741
RD_0cRS2_1851
RD_0cRS2_1951
RD_0cRS2_1a71
RD_0cRS2_1b61
RD_0cRS2_1c41
RD_0cRS2_1d31
RD_0cRS2_1e61
RD_0cRS2_1f61
RD_0dRS2_0061
RD_0dRS2_0171
RD_0dRS2_0261
RD_0dRS2_0331
RD_0dRS2_0421
RD_0dRS2_0581
RD_0dRS2_0661
RD_0dRS2_0731
RD_0dRS2_0831
RD_0dRS2_0931
RD_0dRS2_0a41
RD_0dRS2_0b21
RD_0dRS2_0c91
RD_0dRS2_0d51
RD_0dRS2_0e61
RD_0dRS2_0f21
RD_0dRS2_1071
RD_0dRS2_1171
RD_0dRS2_1231
RD_0dRS2_1351
RD_0dRS2_14101
RD_0dRS2_1521
RD_0dRS2_1651
RD_0dRS2_17111
RD_0dRS2_1841
RD_0dRS2_1991
RD_0dRS2_1a31
RD_0dRS2_1b51
RD_0dRS2_1c51
RD_0dRS2_1d51
RD_0dRS2_1e121
RD_0dRS2_1f11
RD_0eRS2_0061
RD_0eRS2_0141
RD_0eRS2_0221
RD_0eRS2_0341
RD_0eRS2_04101
RD_0eRS2_0561
RD_0eRS2_0711
RD_0eRS2_0831
RD_0eRS2_0931
RD_0eRS2_0a31
RD_0eRS2_0b21
RD_0eRS2_0c111
RD_0eRS2_0d81
RD_0eRS2_0e51
RD_0eRS2_0f21
RD_0eRS2_1041
RD_0eRS2_1171
RD_0eRS2_1251
RD_0eRS2_1341
RD_0eRS2_1421
RD_0eRS2_1581
RD_0eRS2_1651
RD_0eRS2_1781
RD_0eRS2_1861
RD_0eRS2_1931
RD_0eRS2_1a61
RD_0eRS2_1b61
RD_0eRS2_1c51
RD_0eRS2_1d81
RD_0eRS2_1e31
RD_0eRS2_1f41
RD_0fRS2_0051
RD_0fRS2_0161
RD_0fRS2_0251
RD_0fRS2_0361
RD_0fRS2_0441
RD_0fRS2_05101
RD_0fRS2_0671
RD_0fRS2_0741
RD_0fRS2_0891
RD_0fRS2_0981
RD_0fRS2_0a41
RD_0fRS2_0b61
RD_0fRS2_0c81
RD_0fRS2_0d51
RD_0fRS2_0e31
RD_0fRS2_0f21
RD_0fRS2_1061
RD_0fRS2_1141
RD_0fRS2_1211
RD_0fRS2_1341
RD_0fRS2_1451
RD_0fRS2_1571
RD_0fRS2_1611
RD_0fRS2_1721
RD_0fRS2_1851
RD_0fRS2_1941
RD_0fRS2_1a91
RD_0fRS2_1b51
RD_0fRS2_1c31
RD_0fRS2_1d61
RD_0fRS2_1e31
RD_0fRS2_1f81
RD_10RS2_0081
RD_10RS2_0121
RD_10RS2_0241
RD_10RS2_0381
RD_10RS2_0461
RD_10RS2_0551
RD_10RS2_0671
RD_10RS2_0721
RD_10RS2_0841
RD_10RS2_0971
RD_10RS2_0a31
RD_10RS2_0b61
RD_10RS2_0c51
RD_10RS2_0d31
RD_10RS2_0e51
RD_10RS2_0f61
RD_10RS2_1061
RD_10RS2_1141
RD_10RS2_1221
RD_10RS2_1321
RD_10RS2_1461
RD_10RS2_1541
RD_10RS2_1631
RD_10RS2_1751
RD_10RS2_1871
RD_10RS2_1931
RD_10RS2_1a61
RD_10RS2_1b71
RD_10RS2_1c31
RD_10RS2_1d81
RD_10RS2_1e11
RD_10RS2_1f51
RD_11RS2_0061
RD_11RS2_0141
RD_11RS2_0261
RD_11RS2_0361
RD_11RS2_0441
RD_11RS2_0571
RD_11RS2_0621
RD_11RS2_0771
RD_11RS2_0851
RD_11RS2_0951
RD_11RS2_0a41
RD_11RS2_0b61
RD_11RS2_0c51
RD_11RS2_0d61
RD_11RS2_0e61
RD_11RS2_0f61
RD_11RS2_1041
RD_11RS2_1191
RD_11RS2_1251
RD_11RS2_1391
RD_11RS2_14101
RD_11RS2_1541
RD_11RS2_1651
RD_11RS2_1781
RD_11RS2_18121
RD_11RS2_1941
RD_11RS2_1a41
RD_11RS2_1b101
RD_11RS2_1c91
RD_11RS2_1d31
RD_11RS2_1e61
RD_11RS2_1f31
RD_12RS2_0041
RD_12RS2_0141
RD_12RS2_0271
RD_12RS2_0381
RD_12RS2_0431
RD_12RS2_0531
RD_12RS2_0621
RD_12RS2_0731
RD_12RS2_0861
RD_12RS2_0931
RD_12RS2_0a51
RD_12RS2_0b41
RD_12RS2_0c11
RD_12RS2_0d41
RD_12RS2_0e11
RD_12RS2_0f31
RD_12RS2_1051
RD_12RS2_1141
RD_12RS2_1221
RD_12RS2_1331
RD_12RS2_1421
RD_12RS2_1551
RD_12RS2_1621
RD_12RS2_1731
RD_12RS2_1841
RD_12RS2_1981
RD_12RS2_1a21
RD_12RS2_1b61
RD_12RS2_1c11
RD_12RS2_1d101
RD_12RS2_1e31
RD_12RS2_1f101
RD_13RS2_0041
RD_13RS2_0141
RD_13RS2_0291
RD_13RS2_0391
RD_13RS2_0431
RD_13RS2_0551
RD_13RS2_0681
RD_13RS2_0781
RD_13RS2_0851
RD_13RS2_0951
RD_13RS2_0a161
RD_13RS2_0b71
RD_13RS2_0c91
RD_13RS2_0d51
RD_13RS2_0e31
RD_13RS2_0f51
RD_13RS2_1041
RD_13RS2_1181
RD_13RS2_1271
RD_13RS2_1341
RD_13RS2_1441
RD_13RS2_1561
RD_13RS2_1641
RD_13RS2_1751
RD_13RS2_1851
RD_13RS2_1961
RD_13RS2_1a61
RD_13RS2_1b41
RD_13RS2_1c51
RD_13RS2_1d31
RD_13RS2_1e51
RD_13RS2_1f31
RD_14RS2_00111
RD_14RS2_0141
RD_14RS2_0251
RD_14RS2_0351
RD_14RS2_0431
RD_14RS2_0581
RD_14RS2_0621
RD_14RS2_07131
RD_14RS2_08101
RD_14RS2_0931
RD_14RS2_0a31
RD_14RS2_0b41
RD_14RS2_0c81
RD_14RS2_0d81
RD_14RS2_0e91
RD_14RS2_0f51
RD_14RS2_1041
RD_14RS2_1191
RD_14RS2_1221
RD_14RS2_1341
RD_14RS2_1451
RD_14RS2_1541
RD_14RS2_1641
RD_14RS2_1781
RD_14RS2_1861
RD_14RS2_1931
RD_14RS2_1a101
RD_14RS2_1b51
RD_14RS2_1c51
RD_14RS2_1d51
RD_14RS2_1e61
RD_14RS2_1f91
RD_15RS2_0071
RD_15RS2_0131
RD_15RS2_0261
RD_15RS2_0311
RD_15RS2_0471
RD_15RS2_0521
RD_15RS2_0631
RD_15RS2_0771
RD_15RS2_0821
RD_15RS2_0931
RD_15RS2_0a71
RD_15RS2_0b31
RD_15RS2_0c61
RD_15RS2_0d81
RD_15RS2_0e91
RD_15RS2_0f91
RD_15RS2_1071
RD_15RS2_1181
RD_15RS2_1231
RD_15RS2_1371
RD_15RS2_1481
RD_15RS2_1541
RD_15RS2_1671
RD_15RS2_1751
RD_15RS2_1851
RD_15RS2_1931
RD_15RS2_1a41
RD_15RS2_1b61
RD_15RS2_1c41
RD_15RS2_1d111
RD_15RS2_1e41
RD_15RS2_1f71
RD_16RS2_0051
RD_16RS2_0151
RD_16RS2_0281
RD_16RS2_0351
RD_16RS2_0441
RD_16RS2_0531
RD_16RS2_0681
RD_16RS2_07101
RD_16RS2_0831
RD_16RS2_0931
RD_16RS2_0a71
RD_16RS2_0b61
RD_16RS2_0c61
RD_16RS2_0d61
RD_16RS2_0e101
RD_16RS2_0f11
RD_16RS2_1081
RD_16RS2_1161
RD_16RS2_1251
RD_16RS2_1361
RD_16RS2_1431
RD_16RS2_1541
RD_16RS2_1641
RD_16RS2_17101
RD_16RS2_1871
RD_16RS2_1941
RD_16RS2_1a61
RD_16RS2_1b51
RD_16RS2_1c61
RD_16RS2_1d81
RD_16RS2_1e51
RD_16RS2_1f41
RD_17RS2_0091
RD_17RS2_0161
RD_17RS2_0241
RD_17RS2_0391
RD_17RS2_0421
RD_17RS2_0541
RD_17RS2_0621
RD_17RS2_0721
RD_17RS2_0841
RD_17RS2_09111
RD_17RS2_0a81
RD_17RS2_0b51
RD_17RS2_0c11
RD_17RS2_0d51
RD_17RS2_0e71
RD_17RS2_0f51
RD_17RS2_1061
RD_17RS2_1191
RD_17RS2_1251
RD_17RS2_1331
RD_17RS2_1441
RD_17RS2_1571
RD_17RS2_1621
RD_17RS2_1771
RD_17RS2_18111
RD_17RS2_1931
RD_17RS2_1a31
RD_17RS2_1b71
RD_17RS2_1c21
RD_17RS2_1d61
RD_17RS2_1e61
RD_17RS2_1f51
RD_18RS2_0061
RD_18RS2_0161
RD_18RS2_0231
RD_18RS2_0381
RD_18RS2_0441
RD_18RS2_0571
RD_18RS2_0651
RD_18RS2_0751
RD_18RS2_08121
RD_18RS2_0961
RD_18RS2_0a31
RD_18RS2_0b31
RD_18RS2_0c61
RD_18RS2_0d51
RD_18RS2_0e61
RD_18RS2_0f21
RD_18RS2_1031
RD_18RS2_1121
RD_18RS2_1251
RD_18RS2_1361
RD_18RS2_1421
RD_18RS2_1581
RD_18RS2_1631
RD_18RS2_1791
RD_18RS2_1871
RD_18RS2_1961
RD_18RS2_1a31
RD_18RS2_1b41
RD_18RS2_1c51
RD_18RS2_1d51
RD_18RS2_1e41
RD_18RS2_1f101
RD_19RS2_0031
RD_19RS2_0161
RD_19RS2_0271
RD_19RS2_0341
RD_19RS2_0461
RD_19RS2_0551
RD_19RS2_0641
RD_19RS2_0751
RD_19RS2_0831
RD_19RS2_0911
RD_19RS2_0a21
RD_19RS2_0b11
RD_19RS2_0c11
RD_19RS2_0d51
RD_19RS2_0e71
RD_19RS2_0f11
RD_19RS2_1081
RD_19RS2_1181
RD_19RS2_1231
RD_19RS2_1371
RD_19RS2_1451
RD_19RS2_1531
RD_19RS2_1641
RD_19RS2_1751
RD_19RS2_1851
RD_19RS2_1951
RD_19RS2_1a21
RD_19RS2_1b31
RD_19RS2_1c41
RD_19RS2_1d61
RD_19RS2_1e71
RD_19RS2_1f91
RD_1aRS2_0021
RD_1aRS2_0131
RD_1aRS2_0231
RD_1aRS2_03101
RD_1aRS2_0461
RD_1aRS2_0541
RD_1aRS2_0631
RD_1aRS2_0771
RD_1aRS2_08111
RD_1aRS2_0931
RD_1aRS2_0a21
RD_1aRS2_0b21
RD_1aRS2_0c61
RD_1aRS2_0d71
RD_1aRS2_0e141
RD_1aRS2_0f51
RD_1aRS2_1041
RD_1aRS2_1171
RD_1aRS2_1231
RD_1aRS2_1331
RD_1aRS2_1461
RD_1aRS2_1521
RD_1aRS2_1661
RD_1aRS2_17141
RD_1aRS2_1811
RD_1aRS2_1931
RD_1aRS2_1a71
RD_1aRS2_1b81
RD_1aRS2_1c21
RD_1aRS2_1d31
RD_1aRS2_1e41
RD_1aRS2_1f71
RD_1bRS2_0041
RD_1bRS2_0161
RD_1bRS2_0281
RD_1bRS2_0371
RD_1bRS2_0441
RD_1bRS2_0541
RD_1bRS2_0671
RD_1bRS2_0751
RD_1bRS2_0811
RD_1bRS2_0921
RD_1bRS2_0a81
RD_1bRS2_0b51
RD_1bRS2_0c11
RD_1bRS2_0d31
RD_1bRS2_0e11
RD_1bRS2_0f51
RD_1bRS2_1061
RD_1bRS2_1151
RD_1bRS2_1241
RD_1bRS2_13101
RD_1bRS2_1461
RD_1bRS2_1531
RD_1bRS2_1641
RD_1bRS2_1711
RD_1bRS2_1821
RD_1bRS2_1961
RD_1bRS2_1a41
RD_1bRS2_1b41
RD_1bRS2_1c51
RD_1bRS2_1d81
RD_1bRS2_1e101
RD_1bRS2_1f61
RD_1cRS2_0051
RD_1cRS2_0121
RD_1cRS2_0261
RD_1cRS2_0391
RD_1cRS2_04101
RD_1cRS2_05101
RD_1cRS2_0611
RD_1cRS2_0731
RD_1cRS2_0881
RD_1cRS2_0951
RD_1cRS2_0a21
RD_1cRS2_0b61
RD_1cRS2_0c31
RD_1cRS2_0d31
RD_1cRS2_0e31
RD_1cRS2_0f71
RD_1cRS2_1061
RD_1cRS2_1171
RD_1cRS2_1241
RD_1cRS2_1331
RD_1cRS2_1421
RD_1cRS2_1531
RD_1cRS2_1691
RD_1cRS2_1751
RD_1cRS2_1861
RD_1cRS2_1941
RD_1cRS2_1a21
RD_1cRS2_1b51
RD_1cRS2_1c91
RD_1cRS2_1d31
RD_1cRS2_1e21
RD_1cRS2_1f81
RD_1dRS2_0061
RD_1dRS2_0131
RD_1dRS2_0231
RD_1dRS2_0341
RD_1dRS2_0461
RD_1dRS2_0551
RD_1dRS2_0621
RD_1dRS2_0781
RD_1dRS2_0861
RD_1dRS2_0991
RD_1dRS2_0a41
RD_1dRS2_0b71
RD_1dRS2_0c61
RD_1dRS2_0d81
RD_1dRS2_0e51
RD_1dRS2_0f41
RD_1dRS2_1061
RD_1dRS2_1171
RD_1dRS2_1251
RD_1dRS2_1351
RD_1dRS2_1491
RD_1dRS2_1561
RD_1dRS2_1691
RD_1dRS2_1731
RD_1dRS2_1881
RD_1dRS2_1931
RD_1dRS2_1a61
RD_1dRS2_1b41
RD_1dRS2_1c21
RD_1dRS2_1d11
RD_1dRS2_1e11
RD_1dRS2_1f61
RD_1eRS2_0051
RD_1eRS2_0171
RD_1eRS2_0241
RD_1eRS2_0351
RD_1eRS2_0461
RD_1eRS2_0551
RD_1eRS2_0651
RD_1eRS2_0761
RD_1eRS2_0851
RD_1eRS2_0981
RD_1eRS2_0a61
RD_1eRS2_0b81
RD_1eRS2_0c61
RD_1eRS2_0d51
RD_1eRS2_0e51
RD_1eRS2_0f41
RD_1eRS2_1061
RD_1eRS2_1181
RD_1eRS2_1231
RD_1eRS2_1351
RD_1eRS2_1471
RD_1eRS2_1521
RD_1eRS2_1631
RD_1eRS2_1751
RD_1eRS2_1831
RD_1eRS2_1991
RD_1eRS2_1a91
RD_1eRS2_1b71
RD_1eRS2_1c111
RD_1eRS2_1d51
RD_1eRS2_1e61
RD_1eRS2_1f31
RD_1fRS2_0071
RD_1fRS2_0151
RD_1fRS2_0281
RD_1fRS2_0361
RD_1fRS2_0441
RD_1fRS2_0511
RD_1fRS2_0651
RD_1fRS2_0741
RD_1fRS2_0871
RD_1fRS2_0971
RD_1fRS2_0a31
RD_1fRS2_0b21
RD_1fRS2_0c51
RD_1fRS2_0d21
RD_1fRS2_0e41
RD_1fRS2_0f81
RD_1fRS2_1081
RD_1fRS2_1111
RD_1fRS2_1291
RD_1fRS2_1381
RD_1fRS2_1451
RD_1fRS2_15101
RD_1fRS2_1691
RD_1fRS2_1731
RD_1fRS2_1811
RD_1fRS2_1911
RD_1fRS2_1a51
RD_1fRS2_1b51
RD_1fRS2_1c31
RD_1fRS2_1d61
RD_1fRS2_1e81
RD_1fRS2_1f61

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_4.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_4.html new file mode 100644 index 00000000..4f1b7ada --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp430_4.html @@ -0,0 +1,11418 @@ + + + + + +Unified Coverage Report :: Group Instance : uvme_cva6_pkg.cus_add_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_003451
RD_013361
RD_023141
RD_033371
RD_043031
RD_052981
RD_063691
RD_073191
RD_083201
RD_093351
RD_0a2891
RD_0b3321
RD_0c3201
RD_0d3331
RD_0e3201
RD_0f3431
RD_103361
RD_113061
RD_123061
RD_133401
RD_143041
RD_153591
RD_163421
RD_173451
RD_183051
RD_193121
RD_1a3281
RD_1b3421
RD_1c2911
RD_1d3461
RD_1e3381
RD_1f3251

+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS1_003101
RS1_013111
RS1_022941
RS1_033381
RS1_043321
RS1_053241
RS1_063441
RS1_073381
RS1_083061
RS1_093201
RS1_0a3111
RS1_0b3241
RS1_0c3621
RS1_0d3181
RS1_0e3271
RS1_0f3611
RS1_103541
RS1_113181
RS1_123331
RS1_133291
RS1_143021
RS1_153271
RS1_163401
RS1_173581
RS1_183311
RS1_193051
RS1_1a3261
RS1_1b3401
RS1_1c2961
RS1_1d3201
RS1_1e3281
RS1_1f3111

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RS2_003091
RS2_012951
RS2_023011
RS2_033271
RS2_043371
RS2_053161
RS2_063301
RS2_073381
RS2_083221
RS2_093421
RS2_0a3301
RS2_0b3161
RS2_0c3211
RS2_0d3221
RS2_0e3221
RS2_0f3381
RS2_103521
RS2_113231
RS2_123151
RS2_133231
RS2_142851
RS2_153461
RS2_163351
RS2_173341
RS2_183511
RS2_193621
RS2_1a2971
RS2_1b3201
RS2_1c3381
RS2_1d3381
RS2_1e3271
RS2_1f3261

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_147171
BIT30_140571
BIT29_139941
BIT28_140401
BIT27_138591
BIT26_138331
BIT25_139491
BIT24_139201
BIT23_138851
BIT22_138941
BIT21_138351
BIT20_138371
BIT19_138271
BIT18_138981
BIT17_138871
BIT16_140231
BIT15_142771
BIT14_142251
BIT13_141361
BIT12_144541
BIT11_145521
BIT10_144621
BIT9_142261
BIT8_140911
BIT7_142631
BIT6_140841
BIT5_141051
BIT4_146511
BIT3_146571
BIT2_146001
BIT1_140271
BIT0_135151
BIT31_057191
BIT30_063791
BIT29_064421
BIT28_063961
BIT27_065771
BIT26_066031
BIT25_064871
BIT24_065161
BIT23_065511
BIT22_065421
BIT21_066011
BIT20_065991
BIT19_066091
BIT18_065381
BIT17_065491
BIT16_064131
BIT15_061591
BIT14_062111
BIT13_063001
BIT12_059821
BIT11_058841
BIT10_059741
BIT9_062101
BIT8_063451
BIT7_061731
BIT6_063521
BIT5_063311
BIT4_057851
BIT3_057791
BIT2_058361
BIT1_064091
BIT0_069211

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_150841
BIT30_139401
BIT29_138611
BIT28_138641
BIT27_137651
BIT26_137471
BIT25_138591
BIT24_137791
BIT23_137531
BIT22_138571
BIT21_137711
BIT20_137141
BIT19_137421
BIT18_137621
BIT17_137551
BIT16_138531
BIT15_142771
BIT14_141821
BIT13_141271
BIT12_146011
BIT11_147711
BIT10_146731
BIT9_142921
BIT8_140111
BIT7_143981
BIT6_139401
BIT5_139791
BIT4_148171
BIT3_149371
BIT2_147171
BIT1_139911
BIT0_132991
BIT31_053521
BIT30_064961
BIT29_065751
BIT28_065721
BIT27_066711
BIT26_066891
BIT25_065771
BIT24_066571
BIT23_066831
BIT22_065791
BIT21_066651
BIT20_067221
BIT19_066941
BIT18_066741
BIT17_066811
BIT16_065831
BIT15_061591
BIT14_062541
BIT13_063091
BIT12_058351
BIT11_056651
BIT10_057631
BIT9_061441
BIT8_064251
BIT7_060381
BIT6_064961
BIT5_064571
BIT4_056191
BIT3_054991
BIT2_057191
BIT1_064451
BIT0_071371

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102411101398.93 11

+
+Automatically Generated Cross Bins for cross_rd_rs1 +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEASTNUMBER
[RD_08][RS1_14]011
[RD_0b][RS1_17]011
[RD_0c][RS1_0f]011
[RD_0c][RS1_18]011
[RD_11][RS1_08]011
[RD_14][RS1_0d]011
[RD_14][RS1_1a]011
[RD_14][RS1_1e]011
[RD_16][RS1_19]011
[RD_1c][RS1_19 , RS1_1a]----2

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs1COUNTAT LEAST
RD_00RS1_001721
RD_00RS1_0111
RD_00RS1_0231
RD_00RS1_0391
RD_00RS1_0451
RD_00RS1_0531
RD_00RS1_0631
RD_00RS1_0781
RD_00RS1_0881
RD_00RS1_0961
RD_00RS1_0a71
RD_00RS1_0b61
RD_00RS1_0c71
RD_00RS1_0d21
RD_00RS1_0e51
RD_00RS1_0f41
RD_00RS1_1071
RD_00RS1_1131
RD_00RS1_1251
RD_00RS1_1371
RD_00RS1_1481
RD_00RS1_1541
RD_00RS1_1661
RD_00RS1_1751
RD_00RS1_1851
RD_00RS1_1971
RD_00RS1_1a41
RD_00RS1_1b131
RD_00RS1_1c41
RD_00RS1_1d31
RD_00RS1_1e71
RD_00RS1_1f81
RD_01RS1_0041
RD_01RS1_011671
RD_01RS1_0221
RD_01RS1_0351
RD_01RS1_04131
RD_01RS1_0551
RD_01RS1_0631
RD_01RS1_0741
RD_01RS1_0851
RD_01RS1_0931
RD_01RS1_0a91
RD_01RS1_0b61
RD_01RS1_0c61
RD_01RS1_0d61
RD_01RS1_0e91
RD_01RS1_0f41
RD_01RS1_1041
RD_01RS1_1121
RD_01RS1_1251
RD_01RS1_1341
RD_01RS1_1431
RD_01RS1_1571
RD_01RS1_1631
RD_01RS1_1711
RD_01RS1_18111
RD_01RS1_1951
RD_01RS1_1a71
RD_01RS1_1b61
RD_01RS1_1c51
RD_01RS1_1d51
RD_01RS1_1e81
RD_01RS1_1f91
RD_02RS1_00101
RD_02RS1_0171
RD_02RS1_021451
RD_02RS1_0371
RD_02RS1_0461
RD_02RS1_0551
RD_02RS1_0651
RD_02RS1_0761
RD_02RS1_0841
RD_02RS1_0941
RD_02RS1_0a71
RD_02RS1_0b51
RD_02RS1_0c111
RD_02RS1_0d41
RD_02RS1_0e61
RD_02RS1_0f61
RD_02RS1_1041
RD_02RS1_1151
RD_02RS1_1281
RD_02RS1_1361
RD_02RS1_1441
RD_02RS1_1561
RD_02RS1_1651
RD_02RS1_1781
RD_02RS1_1811
RD_02RS1_1961
RD_02RS1_1a41
RD_02RS1_1b51
RD_02RS1_1c61
RD_02RS1_1d31
RD_02RS1_1e41
RD_02RS1_1f11
RD_03RS1_0051
RD_03RS1_0171
RD_03RS1_0231
RD_03RS1_031731
RD_03RS1_0451
RD_03RS1_0581
RD_03RS1_0681
RD_03RS1_0751
RD_03RS1_0831
RD_03RS1_0971
RD_03RS1_0a11
RD_03RS1_0b31
RD_03RS1_0c81
RD_03RS1_0d51
RD_03RS1_0e41
RD_03RS1_0f91
RD_03RS1_10101
RD_03RS1_1151
RD_03RS1_1261
RD_03RS1_1331
RD_03RS1_1441
RD_03RS1_1541
RD_03RS1_1671
RD_03RS1_1771
RD_03RS1_1851
RD_03RS1_1951
RD_03RS1_1a51
RD_03RS1_1b11
RD_03RS1_1c21
RD_03RS1_1d31
RD_03RS1_1e81
RD_03RS1_1f81
RD_04RS1_0021
RD_04RS1_0151
RD_04RS1_0211
RD_04RS1_0321
RD_04RS1_041611
RD_04RS1_05101
RD_04RS1_0681
RD_04RS1_0751
RD_04RS1_0871
RD_04RS1_0971
RD_04RS1_0a91
RD_04RS1_0b51
RD_04RS1_0c31
RD_04RS1_0d41
RD_04RS1_0e61
RD_04RS1_0f51
RD_04RS1_1051
RD_04RS1_1161
RD_04RS1_1231
RD_04RS1_1311
RD_04RS1_1441
RD_04RS1_1521
RD_04RS1_1651
RD_04RS1_1721
RD_04RS1_1861
RD_04RS1_1941
RD_04RS1_1a41
RD_04RS1_1b41
RD_04RS1_1c61
RD_04RS1_1d31
RD_04RS1_1e41
RD_04RS1_1f41
RD_05RS1_0061
RD_05RS1_0121
RD_05RS1_0241
RD_05RS1_0381
RD_05RS1_0441
RD_05RS1_051651
RD_05RS1_0631
RD_05RS1_0771
RD_05RS1_0821
RD_05RS1_0921
RD_05RS1_0a21
RD_05RS1_0b31
RD_05RS1_0c21
RD_05RS1_0d41
RD_05RS1_0e51
RD_05RS1_0f71
RD_05RS1_1041
RD_05RS1_1141
RD_05RS1_1231
RD_05RS1_1341
RD_05RS1_1461
RD_05RS1_1561
RD_05RS1_1641
RD_05RS1_17111
RD_05RS1_1811
RD_05RS1_1921
RD_05RS1_1a81
RD_05RS1_1b31
RD_05RS1_1c21
RD_05RS1_1d31
RD_05RS1_1e51
RD_05RS1_1f61
RD_06RS1_0061
RD_06RS1_0191
RD_06RS1_0241
RD_06RS1_0371
RD_06RS1_0491
RD_06RS1_0521
RD_06RS1_061871
RD_06RS1_0751
RD_06RS1_0891
RD_06RS1_0981
RD_06RS1_0a61
RD_06RS1_0b81
RD_06RS1_0c51
RD_06RS1_0d71
RD_06RS1_0e101
RD_06RS1_0f41
RD_06RS1_1021
RD_06RS1_1151
RD_06RS1_1251
RD_06RS1_1331
RD_06RS1_1441
RD_06RS1_1541
RD_06RS1_1651
RD_06RS1_1781
RD_06RS1_1881
RD_06RS1_1991
RD_06RS1_1a51
RD_06RS1_1b51
RD_06RS1_1c51
RD_06RS1_1d41
RD_06RS1_1e61
RD_06RS1_1f51
RD_07RS1_0021
RD_07RS1_0111
RD_07RS1_0241
RD_07RS1_0341
RD_07RS1_0481
RD_07RS1_0531
RD_07RS1_0681
RD_07RS1_071631
RD_07RS1_0861
RD_07RS1_0981
RD_07RS1_0a41
RD_07RS1_0b31
RD_07RS1_0c51
RD_07RS1_0d21
RD_07RS1_0e51
RD_07RS1_0f41
RD_07RS1_1061
RD_07RS1_1111
RD_07RS1_1261
RD_07RS1_13101
RD_07RS1_1431
RD_07RS1_1531
RD_07RS1_1621
RD_07RS1_1771
RD_07RS1_1881
RD_07RS1_19101
RD_07RS1_1a21
RD_07RS1_1b111
RD_07RS1_1c81
RD_07RS1_1d41
RD_07RS1_1e61
RD_07RS1_1f21
RD_08RS1_0031
RD_08RS1_0161
RD_08RS1_0221
RD_08RS1_0391
RD_08RS1_0461
RD_08RS1_0551
RD_08RS1_0621
RD_08RS1_0761
RD_08RS1_081671
RD_08RS1_0941
RD_08RS1_0a21
RD_08RS1_0b41
RD_08RS1_0c61
RD_08RS1_0d41
RD_08RS1_0e91
RD_08RS1_0f31
RD_08RS1_1051
RD_08RS1_1161
RD_08RS1_1281
RD_08RS1_1321
RD_08RS1_1571
RD_08RS1_16101
RD_08RS1_1741
RD_08RS1_1841
RD_08RS1_1981
RD_08RS1_1a81
RD_08RS1_1b71
RD_08RS1_1c31
RD_08RS1_1d21
RD_08RS1_1e61
RD_08RS1_1f21
RD_09RS1_0041
RD_09RS1_0151
RD_09RS1_0231
RD_09RS1_0331
RD_09RS1_0491
RD_09RS1_0531
RD_09RS1_06121
RD_09RS1_0761
RD_09RS1_0831
RD_09RS1_091611
RD_09RS1_0a21
RD_09RS1_0b61
RD_09RS1_0c41
RD_09RS1_0d91
RD_09RS1_0e61
RD_09RS1_0f51
RD_09RS1_1061
RD_09RS1_1141
RD_09RS1_1221
RD_09RS1_1371
RD_09RS1_1461
RD_09RS1_1571
RD_09RS1_1621
RD_09RS1_1751
RD_09RS1_18101
RD_09RS1_1981
RD_09RS1_1a61
RD_09RS1_1b41
RD_09RS1_1c41
RD_09RS1_1d111
RD_09RS1_1e51
RD_09RS1_1f71
RD_0aRS1_0011
RD_0aRS1_0121
RD_0aRS1_0251
RD_0aRS1_0371
RD_0aRS1_0421
RD_0aRS1_0571
RD_0aRS1_0661
RD_0aRS1_0781
RD_0aRS1_0811
RD_0aRS1_0941
RD_0aRS1_0a1521
RD_0aRS1_0b61
RD_0aRS1_0c51
RD_0aRS1_0d41
RD_0aRS1_0e71
RD_0aRS1_0f41
RD_0aRS1_1021
RD_0aRS1_1141
RD_0aRS1_1261
RD_0aRS1_1331
RD_0aRS1_1461
RD_0aRS1_1531
RD_0aRS1_1651
RD_0aRS1_1761
RD_0aRS1_1831
RD_0aRS1_1921
RD_0aRS1_1a61
RD_0aRS1_1b31
RD_0aRS1_1c61
RD_0aRS1_1d41
RD_0aRS1_1e71
RD_0aRS1_1f21
RD_0bRS1_0061
RD_0bRS1_0131
RD_0bRS1_0241
RD_0bRS1_0351
RD_0bRS1_0451
RD_0bRS1_0561
RD_0bRS1_0661
RD_0bRS1_0791
RD_0bRS1_0831
RD_0bRS1_0981
RD_0bRS1_0a61
RD_0bRS1_0b1781
RD_0bRS1_0c21
RD_0bRS1_0d21
RD_0bRS1_0e71
RD_0bRS1_0f61
RD_0bRS1_1061
RD_0bRS1_1181
RD_0bRS1_1231
RD_0bRS1_1351
RD_0bRS1_1461
RD_0bRS1_1541
RD_0bRS1_1681
RD_0bRS1_1831
RD_0bRS1_1941
RD_0bRS1_1a121
RD_0bRS1_1b11
RD_0bRS1_1c21
RD_0bRS1_1d61
RD_0bRS1_1e51
RD_0bRS1_1f31
RD_0cRS1_0041
RD_0cRS1_0131
RD_0cRS1_0231
RD_0cRS1_0341
RD_0cRS1_0461
RD_0cRS1_0511
RD_0cRS1_0621
RD_0cRS1_0741
RD_0cRS1_0871
RD_0cRS1_0961
RD_0cRS1_0a91
RD_0cRS1_0b81
RD_0cRS1_0c1701
RD_0cRS1_0d21
RD_0cRS1_0e41
RD_0cRS1_1061
RD_0cRS1_1121
RD_0cRS1_1291
RD_0cRS1_1361
RD_0cRS1_1441
RD_0cRS1_1551
RD_0cRS1_1651
RD_0cRS1_1781
RD_0cRS1_1991
RD_0cRS1_1a61
RD_0cRS1_1b51
RD_0cRS1_1c31
RD_0cRS1_1d81
RD_0cRS1_1e71
RD_0cRS1_1f41
RD_0dRS1_0031
RD_0dRS1_0171
RD_0dRS1_0241
RD_0dRS1_0381
RD_0dRS1_0461
RD_0dRS1_05121
RD_0dRS1_0671
RD_0dRS1_07111
RD_0dRS1_0831
RD_0dRS1_0951
RD_0dRS1_0a71
RD_0dRS1_0b11
RD_0dRS1_0c71
RD_0dRS1_0d1681
RD_0dRS1_0e61
RD_0dRS1_0f101
RD_0dRS1_1061
RD_0dRS1_1151
RD_0dRS1_1261
RD_0dRS1_1341
RD_0dRS1_1411
RD_0dRS1_1561
RD_0dRS1_1621
RD_0dRS1_1761
RD_0dRS1_1821
RD_0dRS1_1961
RD_0dRS1_1a81
RD_0dRS1_1b61
RD_0dRS1_1c31
RD_0dRS1_1d21
RD_0dRS1_1e21
RD_0dRS1_1f31
RD_0eRS1_0041
RD_0eRS1_0151
RD_0eRS1_0231
RD_0eRS1_0381
RD_0eRS1_0451
RD_0eRS1_0531
RD_0eRS1_0651
RD_0eRS1_0741
RD_0eRS1_0881
RD_0eRS1_0991
RD_0eRS1_0a71
RD_0eRS1_0b61
RD_0eRS1_0c31
RD_0eRS1_0d31
RD_0eRS1_0e1631
RD_0eRS1_0f21
RD_0eRS1_1041
RD_0eRS1_1161
RD_0eRS1_1231
RD_0eRS1_13111
RD_0eRS1_1421
RD_0eRS1_1551
RD_0eRS1_1661
RD_0eRS1_1771
RD_0eRS1_1891
RD_0eRS1_1911
RD_0eRS1_1a41
RD_0eRS1_1b31
RD_0eRS1_1c101
RD_0eRS1_1d21
RD_0eRS1_1e71
RD_0eRS1_1f21
RD_0fRS1_0041
RD_0fRS1_0181
RD_0fRS1_0241
RD_0fRS1_0331
RD_0fRS1_0431
RD_0fRS1_0511
RD_0fRS1_0641
RD_0fRS1_0721
RD_0fRS1_0871
RD_0fRS1_0941
RD_0fRS1_0a41
RD_0fRS1_0b31
RD_0fRS1_0c71
RD_0fRS1_0d71
RD_0fRS1_0e41
RD_0fRS1_0f1871
RD_0fRS1_1081
RD_0fRS1_11101
RD_0fRS1_1271
RD_0fRS1_1341
RD_0fRS1_1431
RD_0fRS1_1531
RD_0fRS1_1631
RD_0fRS1_17101
RD_0fRS1_1851
RD_0fRS1_1921
RD_0fRS1_1a61
RD_0fRS1_1b41
RD_0fRS1_1c61
RD_0fRS1_1d81
RD_0fRS1_1e61
RD_0fRS1_1f61
RD_10RS1_0021
RD_10RS1_0121
RD_10RS1_0291
RD_10RS1_0331
RD_10RS1_04121
RD_10RS1_0571
RD_10RS1_0641
RD_10RS1_0791
RD_10RS1_0831
RD_10RS1_0961
RD_10RS1_0a61
RD_10RS1_0b71
RD_10RS1_0c71
RD_10RS1_0d31
RD_10RS1_0e81
RD_10RS1_0f31
RD_10RS1_101861
RD_10RS1_1171
RD_10RS1_1261
RD_10RS1_1371
RD_10RS1_1431
RD_10RS1_1531
RD_10RS1_1651
RD_10RS1_1721
RD_10RS1_1861
RD_10RS1_1921
RD_10RS1_1a21
RD_10RS1_1b11
RD_10RS1_1c21
RD_10RS1_1d51
RD_10RS1_1e41
RD_10RS1_1f41
RD_11RS1_0041
RD_11RS1_0121
RD_11RS1_0251
RD_11RS1_0321
RD_11RS1_0441
RD_11RS1_0531
RD_11RS1_0671
RD_11RS1_0731
RD_11RS1_0931
RD_11RS1_0a91
RD_11RS1_0b71
RD_11RS1_0c81
RD_11RS1_0d41
RD_11RS1_0e21
RD_11RS1_0f21
RD_11RS1_1071
RD_11RS1_111681
RD_11RS1_1251
RD_11RS1_1331
RD_11RS1_1411
RD_11RS1_1541
RD_11RS1_1641
RD_11RS1_1781
RD_11RS1_1851
RD_11RS1_1921
RD_11RS1_1a61
RD_11RS1_1b91
RD_11RS1_1c21
RD_11RS1_1d41
RD_11RS1_1e81
RD_11RS1_1f51
RD_12RS1_0021
RD_12RS1_0151
RD_12RS1_0231
RD_12RS1_0351
RD_12RS1_0451
RD_12RS1_0541
RD_12RS1_0671
RD_12RS1_0741
RD_12RS1_0841
RD_12RS1_0921
RD_12RS1_0a21
RD_12RS1_0b51
RD_12RS1_0c81
RD_12RS1_0d41
RD_12RS1_0e11
RD_12RS1_0f21
RD_12RS1_1021
RD_12RS1_1181
RD_12RS1_121741
RD_12RS1_1351
RD_12RS1_1421
RD_12RS1_1521
RD_12RS1_1621
RD_12RS1_1761
RD_12RS1_18111
RD_12RS1_1931
RD_12RS1_1a61
RD_12RS1_1b61
RD_12RS1_1c51
RD_12RS1_1d41
RD_12RS1_1e31
RD_12RS1_1f41
RD_13RS1_0071
RD_13RS1_0141
RD_13RS1_02101
RD_13RS1_0361
RD_13RS1_0451
RD_13RS1_0561
RD_13RS1_06111
RD_13RS1_0731
RD_13RS1_0881
RD_13RS1_0951
RD_13RS1_0a21
RD_13RS1_0b31
RD_13RS1_0c71
RD_13RS1_0d71
RD_13RS1_0e31
RD_13RS1_0f71
RD_13RS1_1041
RD_13RS1_1131
RD_13RS1_1241
RD_13RS1_131701
RD_13RS1_1461
RD_13RS1_1591
RD_13RS1_1671
RD_13RS1_1771
RD_13RS1_1851
RD_13RS1_1971
RD_13RS1_1a31
RD_13RS1_1b81
RD_13RS1_1c41
RD_13RS1_1d21
RD_13RS1_1e31
RD_13RS1_1f41
RD_14RS1_0071
RD_14RS1_0151
RD_14RS1_0251
RD_14RS1_0361
RD_14RS1_0431
RD_14RS1_0551
RD_14RS1_0621
RD_14RS1_0761
RD_14RS1_0851
RD_14RS1_0931
RD_14RS1_0a11
RD_14RS1_0b31
RD_14RS1_0c61
RD_14RS1_0e41
RD_14RS1_0f21
RD_14RS1_1051
RD_14RS1_11101
RD_14RS1_1231
RD_14RS1_13131
RD_14RS1_141711
RD_14RS1_1521
RD_14RS1_1661
RD_14RS1_1741
RD_14RS1_1871
RD_14RS1_1961
RD_14RS1_1b41
RD_14RS1_1c21
RD_14RS1_1d31
RD_14RS1_1f51
RD_15RS1_0021
RD_15RS1_0141
RD_15RS1_0281
RD_15RS1_0351
RD_15RS1_0461
RD_15RS1_0561
RD_15RS1_0651
RD_15RS1_0771
RD_15RS1_0831
RD_15RS1_0931
RD_15RS1_0a81
RD_15RS1_0b71
RD_15RS1_0c61
RD_15RS1_0d61
RD_15RS1_0e81
RD_15RS1_0f61
RD_15RS1_1041
RD_15RS1_1111
RD_15RS1_1241
RD_15RS1_1351
RD_15RS1_1431
RD_15RS1_151931
RD_15RS1_1651
RD_15RS1_1751
RD_15RS1_1841
RD_15RS1_1971
RD_15RS1_1a61
RD_15RS1_1b71
RD_15RS1_1c71
RD_15RS1_1d61
RD_15RS1_1e101
RD_15RS1_1f21
RD_16RS1_0071
RD_16RS1_0141
RD_16RS1_0251
RD_16RS1_0351
RD_16RS1_0461
RD_16RS1_0551
RD_16RS1_0641
RD_16RS1_0761
RD_16RS1_0821
RD_16RS1_0911
RD_16RS1_0a71
RD_16RS1_0b11
RD_16RS1_0c41
RD_16RS1_0d41
RD_16RS1_0e41
RD_16RS1_0f121
RD_16RS1_10121
RD_16RS1_1191
RD_16RS1_1231
RD_16RS1_1361
RD_16RS1_1421
RD_16RS1_1511
RD_16RS1_161831
RD_16RS1_1781
RD_16RS1_1851
RD_16RS1_1a41
RD_16RS1_1b81
RD_16RS1_1c31
RD_16RS1_1d71
RD_16RS1_1e51
RD_16RS1_1f91
RD_17RS1_0051
RD_17RS1_01131
RD_17RS1_0251
RD_17RS1_0361
RD_17RS1_0421
RD_17RS1_0531
RD_17RS1_0641
RD_17RS1_0751
RD_17RS1_0881
RD_17RS1_0941
RD_17RS1_0a41
RD_17RS1_0b51
RD_17RS1_0c71
RD_17RS1_0d51
RD_17RS1_0e51
RD_17RS1_0f91
RD_17RS1_1061
RD_17RS1_1151
RD_17RS1_1281
RD_17RS1_1341
RD_17RS1_1421
RD_17RS1_1541
RD_17RS1_1681
RD_17RS1_171821
RD_17RS1_1861
RD_17RS1_1971
RD_17RS1_1a11
RD_17RS1_1b31
RD_17RS1_1c41
RD_17RS1_1d21
RD_17RS1_1e51
RD_17RS1_1f81
RD_18RS1_0021
RD_18RS1_0151
RD_18RS1_0261
RD_18RS1_0311
RD_18RS1_0431
RD_18RS1_0561
RD_18RS1_0671
RD_18RS1_0721
RD_18RS1_0841
RD_18RS1_0941
RD_18RS1_0a61
RD_18RS1_0b31
RD_18RS1_0c71
RD_18RS1_0d41
RD_18RS1_0e31
RD_18RS1_0f71
RD_18RS1_1011
RD_18RS1_1141
RD_18RS1_1261
RD_18RS1_1361
RD_18RS1_1481
RD_18RS1_1521
RD_18RS1_1641
RD_18RS1_1751
RD_18RS1_181721
RD_18RS1_1911
RD_18RS1_1a21
RD_18RS1_1b51
RD_18RS1_1c41
RD_18RS1_1d41
RD_18RS1_1e21
RD_18RS1_1f91
RD_19RS1_0061
RD_19RS1_0181
RD_19RS1_0251
RD_19RS1_0311
RD_19RS1_0451
RD_19RS1_0551
RD_19RS1_0641
RD_19RS1_0771
RD_19RS1_0821
RD_19RS1_0971
RD_19RS1_0a31
RD_19RS1_0b11
RD_19RS1_0c21
RD_19RS1_0d71
RD_19RS1_0e41
RD_19RS1_0f61
RD_19RS1_1091
RD_19RS1_1111
RD_19RS1_1241
RD_19RS1_1341
RD_19RS1_1461
RD_19RS1_1541
RD_19RS1_16101
RD_19RS1_1761
RD_19RS1_1821
RD_19RS1_191621
RD_19RS1_1a101
RD_19RS1_1b31
RD_19RS1_1c51
RD_19RS1_1d61
RD_19RS1_1e51
RD_19RS1_1f21
RD_1aRS1_0081
RD_1aRS1_0131
RD_1aRS1_0251
RD_1aRS1_0351
RD_1aRS1_0451
RD_1aRS1_0551
RD_1aRS1_0661
RD_1aRS1_0781
RD_1aRS1_0831
RD_1aRS1_0921
RD_1aRS1_0a51
RD_1aRS1_0b71
RD_1aRS1_0c101
RD_1aRS1_0d101
RD_1aRS1_0e31
RD_1aRS1_0f121
RD_1aRS1_1051
RD_1aRS1_1151
RD_1aRS1_1241
RD_1aRS1_1321
RD_1aRS1_1461
RD_1aRS1_1541
RD_1aRS1_1631
RD_1aRS1_1721
RD_1aRS1_1841
RD_1aRS1_1961
RD_1aRS1_1a1691
RD_1aRS1_1b91
RD_1aRS1_1c41
RD_1aRS1_1d31
RD_1aRS1_1e11
RD_1aRS1_1f41
RD_1bRS1_0041
RD_1bRS1_0131
RD_1bRS1_0251
RD_1bRS1_0351
RD_1bRS1_0441
RD_1bRS1_0581
RD_1bRS1_0631
RD_1bRS1_0741
RD_1bRS1_0841
RD_1bRS1_0981
RD_1bRS1_0a41
RD_1bRS1_0b51
RD_1bRS1_0c111
RD_1bRS1_0d51
RD_1bRS1_0e91
RD_1bRS1_0f81
RD_1bRS1_1021
RD_1bRS1_1181
RD_1bRS1_1221
RD_1bRS1_1391
RD_1bRS1_1451
RD_1bRS1_1531
RD_1bRS1_1691
RD_1bRS1_1741
RD_1bRS1_1841
RD_1bRS1_1931
RD_1bRS1_1a51
RD_1bRS1_1b1751
RD_1bRS1_1c41
RD_1bRS1_1d81
RD_1bRS1_1e61
RD_1bRS1_1f51
RD_1cRS1_0051
RD_1cRS1_0141
RD_1cRS1_0281
RD_1cRS1_0351
RD_1cRS1_0431
RD_1cRS1_0571
RD_1cRS1_0631
RD_1cRS1_0751
RD_1cRS1_0861
RD_1cRS1_0951
RD_1cRS1_0a31
RD_1cRS1_0b71
RD_1cRS1_0c41
RD_1cRS1_0d41
RD_1cRS1_0e41
RD_1cRS1_0f31
RD_1cRS1_1041
RD_1cRS1_1121
RD_1cRS1_1241
RD_1cRS1_1361
RD_1cRS1_1481
RD_1cRS1_1541
RD_1cRS1_1651
RD_1cRS1_1731
RD_1cRS1_1831
RD_1cRS1_1b51
RD_1cRS1_1c1591
RD_1cRS1_1d41
RD_1cRS1_1e21
RD_1cRS1_1f61
RD_1dRS1_0061
RD_1dRS1_0151
RD_1dRS1_0281
RD_1dRS1_0361
RD_1dRS1_0471
RD_1dRS1_0551
RD_1dRS1_0631
RD_1dRS1_0791
RD_1dRS1_0841
RD_1dRS1_0931
RD_1dRS1_0a31
RD_1dRS1_0b61
RD_1dRS1_0c31
RD_1dRS1_0d101
RD_1dRS1_0e21
RD_1dRS1_0f81
RD_1dRS1_10101
RD_1dRS1_1151
RD_1dRS1_1281
RD_1dRS1_1311
RD_1dRS1_1441
RD_1dRS1_1541
RD_1dRS1_1661
RD_1dRS1_1761
RD_1dRS1_1831
RD_1dRS1_1921
RD_1dRS1_1a51
RD_1dRS1_1b61
RD_1dRS1_1c51
RD_1dRS1_1d1851
RD_1dRS1_1e41
RD_1dRS1_1f41
RD_1eRS1_0041
RD_1eRS1_0131
RD_1eRS1_0261
RD_1eRS1_0351
RD_1eRS1_0451
RD_1eRS1_0551
RD_1eRS1_0611
RD_1eRS1_0751
RD_1eRS1_0841
RD_1eRS1_09141
RD_1eRS1_0a51
RD_1eRS1_0b21
RD_1eRS1_0c121
RD_1eRS1_0d51
RD_1eRS1_0e21
RD_1eRS1_0f71
RD_1eRS1_1061
RD_1eRS1_1131
RD_1eRS1_1261
RD_1eRS1_1331
RD_1eRS1_1461
RD_1eRS1_1571
RD_1eRS1_1621
RD_1eRS1_1771
RD_1eRS1_1881
RD_1eRS1_1941
RD_1eRS1_1a31
RD_1eRS1_1b51
RD_1eRS1_1c81
RD_1eRS1_1d31
RD_1eRS1_1e1751
RD_1eRS1_1f71
RD_1fRS1_0031
RD_1fRS1_0131
RD_1fRS1_0271
RD_1fRS1_03101
RD_1fRS1_0441
RD_1fRS1_0551
RD_1fRS1_0641
RD_1fRS1_0721
RD_1fRS1_0831
RD_1fRS1_0941
RD_1fRS1_0a91
RD_1fRS1_0b41
RD_1fRS1_0c91
RD_1fRS1_0d71
RD_1fRS1_0e91
RD_1fRS1_0f71
RD_1fRS1_1061
RD_1fRS1_1131
RD_1fRS1_1271
RD_1fRS1_1351
RD_1fRS1_1451
RD_1fRS1_1551
RD_1fRS1_1631
RD_1fRS1_1781
RD_1fRS1_1851
RD_1fRS1_1951
RD_1fRS1_1a91
RD_1fRS1_1b51
RD_1fRS1_1c31
RD_1fRS1_1d31
RD_1fRS1_1e21
RD_1fRS1_1f1611

+
+
+Summary for Cross cross_rd_rs2 +
+
+Samples crossed: cp_rd cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins102401024100.00

+
+Automatically Generated Cross Bins for cross_rd_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rdcp_rs2COUNTAT LEAST
RD_00RS2_0081
RD_00RS2_0181
RD_00RS2_02161
RD_00RS2_0371
RD_00RS2_0481
RD_00RS2_05121
RD_00RS2_06111
RD_00RS2_07101
RD_00RS2_08131
RD_00RS2_0971
RD_00RS2_0a121
RD_00RS2_0b101
RD_00RS2_0c161
RD_00RS2_0d111
RD_00RS2_0e121
RD_00RS2_0f111
RD_00RS2_10101
RD_00RS2_11131
RD_00RS2_1281
RD_00RS2_1381
RD_00RS2_1471
RD_00RS2_15151
RD_00RS2_16221
RD_00RS2_17131
RD_00RS2_1861
RD_00RS2_1971
RD_00RS2_1a171
RD_00RS2_1b121
RD_00RS2_1c111
RD_00RS2_1d131
RD_00RS2_1e41
RD_00RS2_1f71
RD_01RS2_00191
RD_01RS2_0161
RD_01RS2_0281
RD_01RS2_0331
RD_01RS2_04101
RD_01RS2_0571
RD_01RS2_0641
RD_01RS2_07141
RD_01RS2_0891
RD_01RS2_09111
RD_01RS2_0a81
RD_01RS2_0b131
RD_01RS2_0c81
RD_01RS2_0d101
RD_01RS2_0e131
RD_01RS2_0f171
RD_01RS2_10141
RD_01RS2_1181
RD_01RS2_12111
RD_01RS2_13111
RD_01RS2_1451
RD_01RS2_15111
RD_01RS2_16101
RD_01RS2_1791
RD_01RS2_18141
RD_01RS2_19121
RD_01RS2_1a91
RD_01RS2_1b131
RD_01RS2_1c121
RD_01RS2_1d121
RD_01RS2_1e111
RD_01RS2_1f141
RD_02RS2_0071
RD_02RS2_0181
RD_02RS2_0281
RD_02RS2_03161
RD_02RS2_0441
RD_02RS2_0591
RD_02RS2_0681
RD_02RS2_07131
RD_02RS2_08161
RD_02RS2_09101
RD_02RS2_0a91
RD_02RS2_0b141
RD_02RS2_0c71
RD_02RS2_0d121
RD_02RS2_0e161
RD_02RS2_0f131
RD_02RS2_1091
RD_02RS2_11121
RD_02RS2_12111
RD_02RS2_1391
RD_02RS2_1471
RD_02RS2_15101
RD_02RS2_1671
RD_02RS2_1771
RD_02RS2_18111
RD_02RS2_19131
RD_02RS2_1a81
RD_02RS2_1b61
RD_02RS2_1c81
RD_02RS2_1d111
RD_02RS2_1e41
RD_02RS2_1f111
RD_03RS2_00171
RD_03RS2_0161
RD_03RS2_02121
RD_03RS2_03111
RD_03RS2_0461
RD_03RS2_05101
RD_03RS2_0681
RD_03RS2_07121
RD_03RS2_0881
RD_03RS2_0981
RD_03RS2_0a121
RD_03RS2_0b71
RD_03RS2_0c131
RD_03RS2_0d81
RD_03RS2_0e141
RD_03RS2_0f161
RD_03RS2_1081
RD_03RS2_1191
RD_03RS2_12131
RD_03RS2_13131
RD_03RS2_14101
RD_03RS2_15111
RD_03RS2_1691
RD_03RS2_17101
RD_03RS2_18211
RD_03RS2_1991
RD_03RS2_1a101
RD_03RS2_1b111
RD_03RS2_1c61
RD_03RS2_1d121
RD_03RS2_1e91
RD_03RS2_1f81
RD_04RS2_00101
RD_04RS2_0171
RD_04RS2_0281
RD_04RS2_0381
RD_04RS2_04141
RD_04RS2_0561
RD_04RS2_0671
RD_04RS2_07131
RD_04RS2_0881
RD_04RS2_09121
RD_04RS2_0a51
RD_04RS2_0b141
RD_04RS2_0c131
RD_04RS2_0d81
RD_04RS2_0e91
RD_04RS2_0f131
RD_04RS2_1061
RD_04RS2_11101
RD_04RS2_12101
RD_04RS2_13151
RD_04RS2_1431
RD_04RS2_1591
RD_04RS2_16111
RD_04RS2_1741
RD_04RS2_18101
RD_04RS2_19111
RD_04RS2_1a131
RD_04RS2_1b81
RD_04RS2_1c71
RD_04RS2_1d101
RD_04RS2_1e161
RD_04RS2_1f51
RD_05RS2_0031
RD_05RS2_0191
RD_05RS2_02101
RD_05RS2_03111
RD_05RS2_04111
RD_05RS2_0551
RD_05RS2_06121
RD_05RS2_0791
RD_05RS2_0871
RD_05RS2_09101
RD_05RS2_0a81
RD_05RS2_0b91
RD_05RS2_0c51
RD_05RS2_0d31
RD_05RS2_0e91
RD_05RS2_0f121
RD_05RS2_1091
RD_05RS2_11111
RD_05RS2_12141
RD_05RS2_1391
RD_05RS2_14101
RD_05RS2_1591
RD_05RS2_1691
RD_05RS2_17121
RD_05RS2_18101
RD_05RS2_19171
RD_05RS2_1a101
RD_05RS2_1b91
RD_05RS2_1c61
RD_05RS2_1d111
RD_05RS2_1e111
RD_05RS2_1f81
RD_06RS2_00131
RD_06RS2_01121
RD_06RS2_0281
RD_06RS2_0371
RD_06RS2_04161
RD_06RS2_05151
RD_06RS2_0691
RD_06RS2_07111
RD_06RS2_0861
RD_06RS2_09131
RD_06RS2_0a151
RD_06RS2_0b121
RD_06RS2_0c91
RD_06RS2_0d101
RD_06RS2_0e71
RD_06RS2_0f101
RD_06RS2_1071
RD_06RS2_1171
RD_06RS2_1271
RD_06RS2_13181
RD_06RS2_14111
RD_06RS2_15171
RD_06RS2_16131
RD_06RS2_1771
RD_06RS2_18121
RD_06RS2_19151
RD_06RS2_1a131
RD_06RS2_1b141
RD_06RS2_1c131
RD_06RS2_1d191
RD_06RS2_1e101
RD_06RS2_1f131
RD_07RS2_0051
RD_07RS2_0181
RD_07RS2_02111
RD_07RS2_03131
RD_07RS2_04141
RD_07RS2_05131
RD_07RS2_0671
RD_07RS2_0781
RD_07RS2_0871
RD_07RS2_09101
RD_07RS2_0a61
RD_07RS2_0b71
RD_07RS2_0c121
RD_07RS2_0d91
RD_07RS2_0e131
RD_07RS2_0f71
RD_07RS2_10151
RD_07RS2_11111
RD_07RS2_1271
RD_07RS2_13111
RD_07RS2_14161
RD_07RS2_1591
RD_07RS2_1681
RD_07RS2_17141
RD_07RS2_18121
RD_07RS2_19141
RD_07RS2_1a91
RD_07RS2_1b101
RD_07RS2_1c61
RD_07RS2_1d91
RD_07RS2_1e51
RD_07RS2_1f131
RD_08RS2_00111
RD_08RS2_0171
RD_08RS2_0291
RD_08RS2_0391
RD_08RS2_04101
RD_08RS2_05101
RD_08RS2_0671
RD_08RS2_07151
RD_08RS2_0871
RD_08RS2_09101
RD_08RS2_0a151
RD_08RS2_0b81
RD_08RS2_0c131
RD_08RS2_0d101
RD_08RS2_0e101
RD_08RS2_0f161
RD_08RS2_1091
RD_08RS2_1161
RD_08RS2_12121
RD_08RS2_13101
RD_08RS2_1491
RD_08RS2_1571
RD_08RS2_1671
RD_08RS2_17101
RD_08RS2_18101
RD_08RS2_19181
RD_08RS2_1a71
RD_08RS2_1b91
RD_08RS2_1c71
RD_08RS2_1d91
RD_08RS2_1e101
RD_08RS2_1f131
RD_09RS2_0081
RD_09RS2_0151
RD_09RS2_02131
RD_09RS2_03101
RD_09RS2_04131
RD_09RS2_0571
RD_09RS2_0631
RD_09RS2_0791
RD_09RS2_08111
RD_09RS2_09151
RD_09RS2_0a71
RD_09RS2_0b101
RD_09RS2_0c191
RD_09RS2_0d71
RD_09RS2_0e111
RD_09RS2_0f151
RD_09RS2_10101
RD_09RS2_1171
RD_09RS2_12151
RD_09RS2_1361
RD_09RS2_14141
RD_09RS2_15101
RD_09RS2_16151
RD_09RS2_1781
RD_09RS2_1891
RD_09RS2_19141
RD_09RS2_1a81
RD_09RS2_1b131
RD_09RS2_1c91
RD_09RS2_1d121
RD_09RS2_1e131
RD_09RS2_1f91
RD_0aRS2_0061
RD_0aRS2_01101
RD_0aRS2_0241
RD_0aRS2_03151
RD_0aRS2_0471
RD_0aRS2_05101
RD_0aRS2_0691
RD_0aRS2_0751
RD_0aRS2_08121
RD_0aRS2_09131
RD_0aRS2_0a51
RD_0aRS2_0b71
RD_0aRS2_0c41
RD_0aRS2_0d91
RD_0aRS2_0e71
RD_0aRS2_0f91
RD_0aRS2_1091
RD_0aRS2_1131
RD_0aRS2_1281
RD_0aRS2_13161
RD_0aRS2_1491
RD_0aRS2_15111
RD_0aRS2_16121
RD_0aRS2_17101
RD_0aRS2_18171
RD_0aRS2_19141
RD_0aRS2_1a31
RD_0aRS2_1b61
RD_0aRS2_1c61
RD_0aRS2_1d161
RD_0aRS2_1e121
RD_0aRS2_1f51
RD_0bRS2_0091
RD_0bRS2_01111
RD_0bRS2_0271
RD_0bRS2_0391
RD_0bRS2_04141
RD_0bRS2_05101
RD_0bRS2_0671
RD_0bRS2_0771
RD_0bRS2_08101
RD_0bRS2_09161
RD_0bRS2_0a71
RD_0bRS2_0b71
RD_0bRS2_0c121
RD_0bRS2_0d61
RD_0bRS2_0e91
RD_0bRS2_0f71
RD_0bRS2_10161
RD_0bRS2_1171
RD_0bRS2_12131
RD_0bRS2_1381
RD_0bRS2_1491
RD_0bRS2_1581
RD_0bRS2_16161
RD_0bRS2_1771
RD_0bRS2_18141
RD_0bRS2_19151
RD_0bRS2_1a201
RD_0bRS2_1b81
RD_0bRS2_1c141
RD_0bRS2_1d61
RD_0bRS2_1e101
RD_0bRS2_1f131
RD_0cRS2_0031
RD_0cRS2_01121
RD_0cRS2_0261
RD_0cRS2_0391
RD_0cRS2_04111
RD_0cRS2_0591
RD_0cRS2_06121
RD_0cRS2_07121
RD_0cRS2_08101
RD_0cRS2_0991
RD_0cRS2_0a101
RD_0cRS2_0b71
RD_0cRS2_0c81
RD_0cRS2_0d111
RD_0cRS2_0e111
RD_0cRS2_0f111
RD_0cRS2_1071
RD_0cRS2_1171
RD_0cRS2_12101
RD_0cRS2_13111
RD_0cRS2_14131
RD_0cRS2_15161
RD_0cRS2_1691
RD_0cRS2_1791
RD_0cRS2_18141
RD_0cRS2_1991
RD_0cRS2_1a131
RD_0cRS2_1b121
RD_0cRS2_1c111
RD_0cRS2_1d101
RD_0cRS2_1e101
RD_0cRS2_1f81
RD_0dRS2_00131
RD_0dRS2_0161
RD_0dRS2_0281
RD_0dRS2_03131
RD_0dRS2_04121
RD_0dRS2_05111
RD_0dRS2_0661
RD_0dRS2_07101
RD_0dRS2_08121
RD_0dRS2_09101
RD_0dRS2_0a111
RD_0dRS2_0b101
RD_0dRS2_0c121
RD_0dRS2_0d71
RD_0dRS2_0e91
RD_0dRS2_0f171
RD_0dRS2_10141
RD_0dRS2_11131
RD_0dRS2_1291
RD_0dRS2_1331
RD_0dRS2_14101
RD_0dRS2_15121
RD_0dRS2_16101
RD_0dRS2_17151
RD_0dRS2_1861
RD_0dRS2_19131
RD_0dRS2_1a61
RD_0dRS2_1b91
RD_0dRS2_1c101
RD_0dRS2_1d141
RD_0dRS2_1e151
RD_0dRS2_1f71
RD_0eRS2_0091
RD_0eRS2_0161
RD_0eRS2_0271
RD_0eRS2_03111
RD_0eRS2_04101
RD_0eRS2_0561
RD_0eRS2_06101
RD_0eRS2_0791
RD_0eRS2_08131
RD_0eRS2_09141
RD_0eRS2_0a131
RD_0eRS2_0b31
RD_0eRS2_0c121
RD_0eRS2_0d151
RD_0eRS2_0e71
RD_0eRS2_0f61
RD_0eRS2_10201
RD_0eRS2_11161
RD_0eRS2_1281
RD_0eRS2_13151
RD_0eRS2_1461
RD_0eRS2_15141
RD_0eRS2_1681
RD_0eRS2_17151
RD_0eRS2_18141
RD_0eRS2_19111
RD_0eRS2_1a51
RD_0eRS2_1b61
RD_0eRS2_1c101
RD_0eRS2_1d71
RD_0eRS2_1e71
RD_0eRS2_1f71
RD_0fRS2_00141
RD_0fRS2_01151
RD_0fRS2_02111
RD_0fRS2_03121
RD_0fRS2_04111
RD_0fRS2_0581
RD_0fRS2_06161
RD_0fRS2_0791
RD_0fRS2_08111
RD_0fRS2_09131
RD_0fRS2_0a81
RD_0fRS2_0b91
RD_0fRS2_0c111
RD_0fRS2_0d111
RD_0fRS2_0e101
RD_0fRS2_0f121
RD_0fRS2_10111
RD_0fRS2_11111
RD_0fRS2_12111
RD_0fRS2_13121
RD_0fRS2_1411
RD_0fRS2_1581
RD_0fRS2_1691
RD_0fRS2_17151
RD_0fRS2_18101
RD_0fRS2_1971
RD_0fRS2_1a111
RD_0fRS2_1b71
RD_0fRS2_1c151
RD_0fRS2_1d131
RD_0fRS2_1e71
RD_0fRS2_1f141
RD_10RS2_00141
RD_10RS2_0191
RD_10RS2_0251
RD_10RS2_0381
RD_10RS2_04101
RD_10RS2_05101
RD_10RS2_06121
RD_10RS2_07161
RD_10RS2_08111
RD_10RS2_09121
RD_10RS2_0a171
RD_10RS2_0b131
RD_10RS2_0c71
RD_10RS2_0d131
RD_10RS2_0e91
RD_10RS2_0f51
RD_10RS2_10171
RD_10RS2_1191
RD_10RS2_1281
RD_10RS2_1391
RD_10RS2_14111
RD_10RS2_15141
RD_10RS2_1661
RD_10RS2_17131
RD_10RS2_1871
RD_10RS2_19131
RD_10RS2_1a71
RD_10RS2_1b161
RD_10RS2_1c121
RD_10RS2_1d91
RD_10RS2_1e61
RD_10RS2_1f81
RD_11RS2_00121
RD_11RS2_0181
RD_11RS2_0281
RD_11RS2_0371
RD_11RS2_0451
RD_11RS2_05121
RD_11RS2_06121
RD_11RS2_07111
RD_11RS2_08121
RD_11RS2_0981
RD_11RS2_0a91
RD_11RS2_0b171
RD_11RS2_0c91
RD_11RS2_0d91
RD_11RS2_0e171
RD_11RS2_0f31
RD_11RS2_10121
RD_11RS2_1161
RD_11RS2_1281
RD_11RS2_1351
RD_11RS2_1471
RD_11RS2_1581
RD_11RS2_16141
RD_11RS2_1761
RD_11RS2_1861
RD_11RS2_19141
RD_11RS2_1a111
RD_11RS2_1b101
RD_11RS2_1c121
RD_11RS2_1d81
RD_11RS2_1e131
RD_11RS2_1f71
RD_12RS2_0061
RD_12RS2_0181
RD_12RS2_02141
RD_12RS2_0371
RD_12RS2_04121
RD_12RS2_0581
RD_12RS2_0691
RD_12RS2_07141
RD_12RS2_08141
RD_12RS2_09101
RD_12RS2_0a101
RD_12RS2_0b61
RD_12RS2_0c51
RD_12RS2_0d171
RD_12RS2_0e41
RD_12RS2_0f51
RD_12RS2_1061
RD_12RS2_11131
RD_12RS2_12131
RD_12RS2_1381
RD_12RS2_1471
RD_12RS2_1581
RD_12RS2_16151
RD_12RS2_17121
RD_12RS2_1831
RD_12RS2_19121
RD_12RS2_1a41
RD_12RS2_1b111
RD_12RS2_1c111
RD_12RS2_1d121
RD_12RS2_1e81
RD_12RS2_1f141
RD_13RS2_00111
RD_13RS2_01151
RD_13RS2_02111
RD_13RS2_03131
RD_13RS2_04151
RD_13RS2_05131
RD_13RS2_0691
RD_13RS2_07101
RD_13RS2_0861
RD_13RS2_0951
RD_13RS2_0a121
RD_13RS2_0b131
RD_13RS2_0c81
RD_13RS2_0d141
RD_13RS2_0e131
RD_13RS2_0f81
RD_13RS2_10121
RD_13RS2_11131
RD_13RS2_12121
RD_13RS2_1341
RD_13RS2_14101
RD_13RS2_15111
RD_13RS2_1681
RD_13RS2_1781
RD_13RS2_18161
RD_13RS2_1981
RD_13RS2_1a121
RD_13RS2_1b91
RD_13RS2_1c71
RD_13RS2_1d81
RD_13RS2_1e121
RD_13RS2_1f141
RD_14RS2_0091
RD_14RS2_01101
RD_14RS2_02121
RD_14RS2_0371
RD_14RS2_0441
RD_14RS2_05121
RD_14RS2_06171
RD_14RS2_0781
RD_14RS2_08131
RD_14RS2_0951
RD_14RS2_0a161
RD_14RS2_0b111
RD_14RS2_0c121
RD_14RS2_0d81
RD_14RS2_0e31
RD_14RS2_0f71
RD_14RS2_1081
RD_14RS2_1181
RD_14RS2_12111
RD_14RS2_13121
RD_14RS2_14121
RD_14RS2_15101
RD_14RS2_1661
RD_14RS2_17131
RD_14RS2_1871
RD_14RS2_1981
RD_14RS2_1a91
RD_14RS2_1b91
RD_14RS2_1c81
RD_14RS2_1d121
RD_14RS2_1e61
RD_14RS2_1f111
RD_15RS2_0041
RD_15RS2_0131
RD_15RS2_0261
RD_15RS2_03111
RD_15RS2_04221
RD_15RS2_05151
RD_15RS2_06141
RD_15RS2_07151
RD_15RS2_0871
RD_15RS2_09181
RD_15RS2_0a161
RD_15RS2_0b141
RD_15RS2_0c131
RD_15RS2_0d131
RD_15RS2_0e231
RD_15RS2_0f141
RD_15RS2_10121
RD_15RS2_11141
RD_15RS2_1251
RD_15RS2_1391
RD_15RS2_1451
RD_15RS2_1541
RD_15RS2_16141
RD_15RS2_1731
RD_15RS2_18111
RD_15RS2_1981
RD_15RS2_1a61
RD_15RS2_1b121
RD_15RS2_1c141
RD_15RS2_1d141
RD_15RS2_1e111
RD_15RS2_1f91
RD_16RS2_0091
RD_16RS2_0181
RD_16RS2_02121
RD_16RS2_0381
RD_16RS2_0481
RD_16RS2_05121
RD_16RS2_06151
RD_16RS2_0771
RD_16RS2_08131
RD_16RS2_09131
RD_16RS2_0a161
RD_16RS2_0b81
RD_16RS2_0c91
RD_16RS2_0d101
RD_16RS2_0e61
RD_16RS2_0f81
RD_16RS2_10111
RD_16RS2_11131
RD_16RS2_1251
RD_16RS2_13131
RD_16RS2_1471
RD_16RS2_1581
RD_16RS2_16121
RD_16RS2_17111
RD_16RS2_18141
RD_16RS2_19131
RD_16RS2_1a41
RD_16RS2_1b121
RD_16RS2_1c201
RD_16RS2_1d121
RD_16RS2_1e131
RD_16RS2_1f121
RD_17RS2_00131
RD_17RS2_01171
RD_17RS2_02101
RD_17RS2_03141
RD_17RS2_04131
RD_17RS2_05121
RD_17RS2_0681
RD_17RS2_0781
RD_17RS2_08131
RD_17RS2_09101
RD_17RS2_0a91
RD_17RS2_0b131
RD_17RS2_0c111
RD_17RS2_0d71
RD_17RS2_0e131
RD_17RS2_0f111
RD_17RS2_10131
RD_17RS2_1171
RD_17RS2_12111
RD_17RS2_1391
RD_17RS2_14101
RD_17RS2_1581
RD_17RS2_1621
RD_17RS2_17131
RD_17RS2_18111
RD_17RS2_19141
RD_17RS2_1a81
RD_17RS2_1b91
RD_17RS2_1c91
RD_17RS2_1d131
RD_17RS2_1e171
RD_17RS2_1f91
RD_18RS2_0051
RD_18RS2_0181
RD_18RS2_02111
RD_18RS2_0381
RD_18RS2_0481
RD_18RS2_0551
RD_18RS2_06111
RD_18RS2_07101
RD_18RS2_0831
RD_18RS2_0951
RD_18RS2_0a81
RD_18RS2_0b61
RD_18RS2_0c91
RD_18RS2_0d111
RD_18RS2_0e81
RD_18RS2_0f111
RD_18RS2_10181
RD_18RS2_1181
RD_18RS2_12131
RD_18RS2_13161
RD_18RS2_14131
RD_18RS2_1591
RD_18RS2_1651
RD_18RS2_1781
RD_18RS2_1881
RD_18RS2_19121
RD_18RS2_1a101
RD_18RS2_1b121
RD_18RS2_1c111
RD_18RS2_1d111
RD_18RS2_1e131
RD_18RS2_1f111
RD_19RS2_00141
RD_19RS2_0191
RD_19RS2_02101
RD_19RS2_0391
RD_19RS2_0481
RD_19RS2_0581
RD_19RS2_06111
RD_19RS2_07161
RD_19RS2_08101
RD_19RS2_09111
RD_19RS2_0a111
RD_19RS2_0b151
RD_19RS2_0c101
RD_19RS2_0d121
RD_19RS2_0e61
RD_19RS2_0f101
RD_19RS2_1091
RD_19RS2_1191
RD_19RS2_1251
RD_19RS2_1391
RD_19RS2_1481
RD_19RS2_1591
RD_19RS2_16121
RD_19RS2_17191
RD_19RS2_1891
RD_19RS2_1951
RD_19RS2_1a71
RD_19RS2_1b81
RD_19RS2_1c61
RD_19RS2_1d101
RD_19RS2_1e101
RD_19RS2_1f71
RD_1aRS2_0091
RD_1aRS2_01101
RD_1aRS2_02101
RD_1aRS2_03111
RD_1aRS2_0471
RD_1aRS2_05141
RD_1aRS2_06171
RD_1aRS2_0761
RD_1aRS2_08121
RD_1aRS2_09121
RD_1aRS2_0a151
RD_1aRS2_0b61
RD_1aRS2_0c71
RD_1aRS2_0d91
RD_1aRS2_0e101
RD_1aRS2_0f81
RD_1aRS2_10121
RD_1aRS2_11171
RD_1aRS2_1281
RD_1aRS2_1371
RD_1aRS2_1461
RD_1aRS2_15181
RD_1aRS2_1691
RD_1aRS2_1731
RD_1aRS2_18161
RD_1aRS2_19121
RD_1aRS2_1a121
RD_1aRS2_1b111
RD_1aRS2_1c131
RD_1aRS2_1d51
RD_1aRS2_1e81
RD_1aRS2_1f81
RD_1bRS2_00111
RD_1bRS2_01161
RD_1bRS2_0271
RD_1bRS2_0371
RD_1bRS2_04141
RD_1bRS2_05141
RD_1bRS2_0681
RD_1bRS2_0791
RD_1bRS2_08111
RD_1bRS2_09151
RD_1bRS2_0a101
RD_1bRS2_0b151
RD_1bRS2_0c101
RD_1bRS2_0d121
RD_1bRS2_0e101
RD_1bRS2_0f91
RD_1bRS2_1061
RD_1bRS2_11131
RD_1bRS2_12121
RD_1bRS2_1391
RD_1bRS2_1491
RD_1bRS2_15151
RD_1bRS2_16121
RD_1bRS2_17121
RD_1bRS2_18111
RD_1bRS2_1951
RD_1bRS2_1a91
RD_1bRS2_1b51
RD_1bRS2_1c121
RD_1bRS2_1d71
RD_1bRS2_1e171
RD_1bRS2_1f101
RD_1cRS2_0091
RD_1cRS2_01101
RD_1cRS2_02111
RD_1cRS2_0391
RD_1cRS2_0491
RD_1cRS2_0561
RD_1cRS2_06111
RD_1cRS2_07111
RD_1cRS2_08121
RD_1cRS2_0981
RD_1cRS2_0a71
RD_1cRS2_0b71
RD_1cRS2_0c71
RD_1cRS2_0d81
RD_1cRS2_0e71
RD_1cRS2_0f41
RD_1cRS2_1091
RD_1cRS2_1171
RD_1cRS2_1291
RD_1cRS2_13101
RD_1cRS2_1491
RD_1cRS2_15101
RD_1cRS2_16121
RD_1cRS2_1791
RD_1cRS2_18161
RD_1cRS2_1981
RD_1cRS2_1a61
RD_1cRS2_1b121
RD_1cRS2_1c101
RD_1cRS2_1d101
RD_1cRS2_1e131
RD_1cRS2_1f51
RD_1dRS2_0091
RD_1dRS2_01101
RD_1dRS2_02151
RD_1dRS2_03121
RD_1dRS2_0491
RD_1dRS2_05111
RD_1dRS2_06151
RD_1dRS2_07111
RD_1dRS2_0891
RD_1dRS2_09111
RD_1dRS2_0a71
RD_1dRS2_0b101
RD_1dRS2_0c111
RD_1dRS2_0d71
RD_1dRS2_0e71
RD_1dRS2_0f211
RD_1dRS2_10111
RD_1dRS2_11111
RD_1dRS2_12101
RD_1dRS2_1371
RD_1dRS2_1491
RD_1dRS2_15121
RD_1dRS2_16101
RD_1dRS2_17131
RD_1dRS2_18101
RD_1dRS2_19131
RD_1dRS2_1a71
RD_1dRS2_1b121
RD_1dRS2_1c131
RD_1dRS2_1d81
RD_1dRS2_1e101
RD_1dRS2_1f151
RD_1eRS2_00121
RD_1eRS2_0161
RD_1eRS2_0261
RD_1eRS2_03121
RD_1eRS2_04131
RD_1eRS2_0541
RD_1eRS2_06121
RD_1eRS2_0781
RD_1eRS2_0881
RD_1eRS2_0991
RD_1eRS2_0a101
RD_1eRS2_0b81
RD_1eRS2_0c71
RD_1eRS2_0d181
RD_1eRS2_0e91
RD_1eRS2_0f131
RD_1eRS2_10141
RD_1eRS2_11151
RD_1eRS2_1291
RD_1eRS2_13111
RD_1eRS2_14111
RD_1eRS2_15151
RD_1eRS2_16141
RD_1eRS2_17121
RD_1eRS2_18121
RD_1eRS2_1971
RD_1eRS2_1a111
RD_1eRS2_1b91
RD_1eRS2_1c111
RD_1eRS2_1d71
RD_1eRS2_1e121
RD_1eRS2_1f131
RD_1fRS2_0071
RD_1fRS2_01121
RD_1fRS2_0271
RD_1fRS2_03201
RD_1fRS2_0491
RD_1fRS2_05121
RD_1fRS2_06131
RD_1fRS2_07121
RD_1fRS2_0881
RD_1fRS2_0991
RD_1fRS2_0a61
RD_1fRS2_0b71
RD_1fRS2_0c121
RD_1fRS2_0d71
RD_1fRS2_0e101
RD_1fRS2_0f91
RD_1fRS2_1081
RD_1fRS2_1191
RD_1fRS2_1291
RD_1fRS2_13101
RD_1fRS2_14111
RD_1fRS2_15101
RD_1fRS2_1691
RD_1fRS2_17141
RD_1fRS2_1841
RD_1fRS2_19111
RD_1fRS2_1a121
RD_1fRS2_1b101
RD_1fRS2_1c181
RD_1fRS2_1d81
RD_1fRS2_1e41
RD_1fRS2_1f181

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp431.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp431.html new file mode 100644 index 00000000..cf6dca73 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp431.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter14::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter14.mhpmcounter14__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER14101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER14 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER14 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp432.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp432.html new file mode 100644 index 00000000..3ed8ca5e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp432.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr16::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr16.pmpaddr16__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr16.pmpaddr16__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr16.pmpaddr16__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR16101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR16 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR16 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_071

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp433.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp433.html new file mode 100644 index 00000000..3617aefb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp433.html @@ -0,0 +1,244 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mtvec::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mtvec::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mtvec::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mtvec.mtvec__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mtvec.mtvec__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mtvec.mtvec__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables303100.00

+
+Variables for Group Instance csr_reg_cov.mtvec.mtvec__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
BASE202100.00100110
MODE101100.00100110

+
+
+
+
+
+
+Summary for Variable BASE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for BASE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values1231
reset_value211

+
+
+Summary for Variable MODE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MODE +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01441

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp434.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp434.html new file mode 100644 index 00000000..1353b737 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp434.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr54::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr54.pmpaddr54__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr54.pmpaddr54__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr54.pmpaddr54__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR54101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR54 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR54 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01311

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp435.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp435.html new file mode 100644 index 00000000..8fbfd83c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp435.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg6::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg6.pmpcfg6__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg6.pmpcfg6__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg6.pmpcfg6__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP27CFG101100.00100110
PMP26CFG101100.00100110
PMP25CFG101100.00100110
PMP24CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP27CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP27CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002181

+
+
+Summary for Variable PMP26CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP26CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002181

+
+
+Summary for Variable PMP25CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP25CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002181

+
+
+Summary for Variable PMP24CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP24CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002181

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp436.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp436.html new file mode 100644 index 00000000..14d661a2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp436.html @@ -0,0 +1,397 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::cg_illegal_zicsr + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::cg_illegal_zicsr
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::cg_illegal_zicsr +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/cov/uvme_illegal_instr_covg.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvme_cva6_pkg.illegal_zicsr_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvme_cva6_pkg.illegal_zicsr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables505100.00
Crosses404100.00

+
+Variables for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_illegal_opcode202100.00100110
cp_illegal_funct3202100.00100110
cp_is_illegal101100.00100110

+
+Crosses for Group Instance uvme_cva6_pkg.illegal_zicsr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_exc_illegal_0202100.00100110
cross_exc_illegal_1202100.00100110

+
+
+
+
+
+
+Summary for Variable cp_illegal_opcode +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_illegal_opcode +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_OPCODE[00:3e]141491
ILLEGAL_OPCODE[3f:72,74:7f]54411

+
+
+Summary for Variable cp_illegal_funct3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_illegal_funct3 +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT357271
ILLEGAL_FUNCT3107921

+
+
+Summary for Variable cp_is_illegal +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for cp_is_illegal +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
ILLEGAL_INSTR425531

+
+
+Summary for Cross cross_exc_illegal_0 +
+
+Samples crossed: cp_illegal_opcode cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins202100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_0 +
+
+Bins +
+ + + + + + + + + + + + +
cp_illegal_opcodecp_is_illegalCOUNTAT LEAST
ILLEGAL_OPCODE[00:3e]ILLEGAL_INSTR141491
ILLEGAL_OPCODE[3f:72,74:7f]ILLEGAL_INSTR54411

+
+
+Summary for Cross cross_exc_illegal_1 +
+
+Samples crossed: cp_illegal_funct3 cp_is_illegal
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins202100.00

+
+Automatically Generated Cross Bins for cross_exc_illegal_1 +
+
+Bins +
+ + + + + + + + + + + + +
cp_illegal_funct3cp_is_illegalCOUNTAT LEAST
ILLEGAL_NOPCODE_FUNCT3ILLEGAL_INSTR57271
ILLEGAL_FUNCT3ILLEGAL_INSTR107921

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp437.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp437.html new file mode 100644 index 00000000..36e615b5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp437.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr52::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr52.pmpaddr52__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr52.pmpaddr52__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr52.pmpaddr52__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR52404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR52 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR52 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]221
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]51
legal_values151

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp438.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp438.html new file mode 100644 index 00000000..245ea22f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp438.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr10::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr10.pmpaddr10__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr10.pmpaddr10__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr10.pmpaddr10__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR10404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR10 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR10 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]451
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]131
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp439.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp439.html new file mode 100644 index 00000000..fc0a5b4b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp439.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr39::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr39.pmpaddr39__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr39.pmpaddr39__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr39.pmpaddr39__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR39101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR39 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR39 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01171

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp44.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp44.html new file mode 100644 index 00000000..52a2792f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp44.html @@ -0,0 +1,6034 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zb_itype_shift + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zb_itype_shift
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zb_itype_shift +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
98.44 98.441 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+4 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbs_bseti_cg 93.751 100 1 64 64
uvma_isacov_pkg.rv32zbb_rori_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbs_bclri_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbs_binvi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_bseti_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
93.751 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables260125993.75
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_shamt32032100.00100110
cp_rd_value21150.00 100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bseti_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_rori_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2600260100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_shamt32032100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rori_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_bclri_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2600260100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_shamt32032100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bclri_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_binvi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2600260100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_shamt32032100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_binvi_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+
+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20151
auto[1]5551
auto[2]5091
auto[3]4971
auto[4]5371
auto[5]5021
auto[6]5191
auto[7]5791
auto[8]5141
auto[9]5341
auto[10]4711
auto[11]4591
auto[12]4831
auto[13]5251
auto[14]5021
auto[15]4801
auto[16]4461
auto[17]4881
auto[18]4881
auto[19]4741
auto[20]5261
auto[21]5041
auto[22]4881
auto[23]4881
auto[24]5091
auto[25]4821
auto[26]4801
auto[27]5481
auto[28]4881
auto[29]5561
auto[30]4801
auto[31]4791

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20811
auto[1]5241
auto[2]4441
auto[3]5321
auto[4]4811
auto[5]4741
auto[6]5021
auto[7]4841
auto[8]5121
auto[9]4911
auto[10]5081
auto[11]5071
auto[12]4961
auto[13]5091
auto[14]4891
auto[15]4571
auto[16]4961
auto[17]4921
auto[18]4731
auto[19]5481
auto[20]4961
auto[21]5401
auto[22]4501
auto[23]4741
auto[24]5431
auto[25]5231
auto[26]5411
auto[27]5101
auto[28]4891
auto[29]4851
auto[30]5151
auto[31]5391

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015421
RD_01231
RD_0291
RD_03151
RD_04181
RD_05121
RD_06121
RD_07161
RD_08161
RD_09161
RD_0a121
RD_0b121
RD_0c161
RD_0d191
RD_0e151
RD_0f131
RD_10161
RD_11171
RD_12131
RD_13121
RD_14171
RD_15171
RD_16151
RD_17131
RD_18241
RD_19271
RD_1a121
RD_1b181
RD_1c171
RD_1d131
RD_1e201
RD_1f131

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66721
auto_NON_ZERO109331

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_005701
SHAMT_015521
SHAMT_025561
SHAMT_035441
SHAMT_045301
SHAMT_055651
SHAMT_065781
SHAMT_075681
SHAMT_085501
SHAMT_095741
SHAMT_0a5311
SHAMT_0b5141
SHAMT_0c5071
SHAMT_0d6011
SHAMT_0e5511
SHAMT_0f5471
SHAMT_105881
SHAMT_115371
SHAMT_125481
SHAMT_135331
SHAMT_145861
SHAMT_155441
SHAMT_165791
SHAMT_175461
SHAMT_185101
SHAMT_195561
SHAMT_1a5421
SHAMT_1b5061
SHAMT_1c5641
SHAMT_1d5581
SHAMT_1e5281
SHAMT_1f5421

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins21150.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
auto_ZERO011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto_NON_ZERO176051

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_150051
BIT30_132301
BIT29_132031
BIT28_132581
BIT27_130301
BIT26_131141
BIT25_130381
BIT24_130861
BIT23_129851
BIT22_131141
BIT21_130551
BIT20_130551
BIT19_130921
BIT18_130701
BIT17_130491
BIT16_131701
BIT15_139601
BIT14_139101
BIT13_141491
BIT12_139631
BIT11_143491
BIT10_145021
BIT9_139911
BIT8_134241
BIT7_143111
BIT6_138171
BIT5_140101
BIT4_150921
BIT3_150361
BIT2_150071
BIT1_139941
BIT0_144611
BIT31_0126001
BIT30_0143751
BIT29_0144021
BIT28_0143471
BIT27_0145751
BIT26_0144911
BIT25_0145671
BIT24_0145191
BIT23_0146201
BIT22_0144911
BIT21_0145501
BIT20_0145501
BIT19_0145131
BIT18_0145351
BIT17_0145561
BIT16_0144351
BIT15_0136451
BIT14_0136951
BIT13_0134561
BIT12_0136421
BIT11_0132561
BIT10_0131031
BIT9_0136141
BIT8_0141811
BIT7_0132941
BIT6_0137881
BIT5_0135951
BIT4_0125131
BIT3_0125691
BIT2_0125981
BIT1_0136111
BIT0_0131441

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153761
BIT30_136761
BIT29_136701
BIT28_137031
BIT27_134441
BIT26_135701
BIT25_134941
BIT24_135091
BIT23_134581
BIT22_135941
BIT21_135041
BIT20_135441
BIT19_135241
BIT18_135441
BIT17_134661
BIT16_136531
BIT15_143981
BIT14_143481
BIT13_146201
BIT12_143311
BIT11_147161
BIT10_148911
BIT9_144431
BIT8_138711
BIT7_147561
BIT6_142651
BIT5_144461
BIT4_154691
BIT3_154241
BIT2_153901
BIT1_144251
BIT0_148851
BIT31_0122291
BIT30_0139291
BIT29_0139351
BIT28_0139021
BIT27_0141611
BIT26_0140351
BIT25_0141111
BIT24_0140961
BIT23_0141471
BIT22_0140111
BIT21_0141011
BIT20_0140611
BIT19_0140811
BIT18_0140611
BIT17_0141391
BIT16_0139521
BIT15_0132071
BIT14_0132571
BIT13_0129851
BIT12_0132741
BIT11_0128891
BIT10_0127141
BIT9_0131621
BIT8_0137341
BIT7_0128491
BIT6_0133401
BIT5_0131591
BIT4_0121361
BIT3_0121811
BIT2_0122151
BIT1_0131801
BIT0_0127201

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20441
auto[1]4741
auto[2]5011
auto[3]4651
auto[4]5041
auto[5]4531
auto[6]5401
auto[7]5091
auto[8]5211
auto[9]4991
auto[10]4731
auto[11]5141
auto[12]4951
auto[13]5181
auto[14]4791
auto[15]4901
auto[16]5181
auto[17]4901
auto[18]5051
auto[19]4671
auto[20]5331
auto[21]4731
auto[22]5371
auto[23]4921
auto[24]4671
auto[25]5201
auto[26]4851
auto[27]5281
auto[28]5201
auto[29]5331
auto[30]4851
auto[31]5101

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20761
auto[1]5701
auto[2]4711
auto[3]4721
auto[4]4871
auto[5]5151
auto[6]4791
auto[7]4621
auto[8]5081
auto[9]4671
auto[10]5021
auto[11]5321
auto[12]4901
auto[13]4911
auto[14]4651
auto[15]5301
auto[16]4781
auto[17]4641
auto[18]5061
auto[19]5121
auto[20]4851
auto[21]5061
auto[22]4891
auto[23]4901
auto[24]5181
auto[25]5221
auto[26]4771
auto[27]5201
auto[28]5421
auto[29]5051
auto[30]5101
auto[31]5011

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015651
RD_01201
RD_02161
RD_03101
RD_04171
RD_05181
RD_06141
RD_07141
RD_08151
RD_09121
RD_0a101
RD_0b321
RD_0c91
RD_0d181
RD_0e131
RD_0f171
RD_10191
RD_11131
RD_12121
RD_13251
RD_14221
RD_15141
RD_16161
RD_17181
RD_18111
RD_1991
RD_1a191
RD_1b131
RD_1c171
RD_1d121
RD_1e181
RD_1f191

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66871
auto_NON_ZERO108551

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_005341
SHAMT_015471
SHAMT_025521
SHAMT_035361
SHAMT_045071
SHAMT_055811
SHAMT_065411
SHAMT_074901
SHAMT_085601
SHAMT_095501
SHAMT_0a5661
SHAMT_0b5491
SHAMT_0c6141
SHAMT_0d5161
SHAMT_0e5331
SHAMT_0f5661
SHAMT_105691
SHAMT_115621
SHAMT_125461
SHAMT_135771
SHAMT_145771
SHAMT_155651
SHAMT_165511
SHAMT_174681
SHAMT_185221
SHAMT_195061
SHAMT_1a5381
SHAMT_1b5431
SHAMT_1c5941
SHAMT_1d5861
SHAMT_1e5571
SHAMT_1f5391

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66871
auto_NON_ZERO108551

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149171
BIT30_131301
BIT29_131611
BIT28_131761
BIT27_130751
BIT26_130611
BIT25_130071
BIT24_129971
BIT23_130151
BIT22_129961
BIT21_130631
BIT20_130301
BIT19_130471
BIT18_130431
BIT17_130741
BIT16_131981
BIT15_140161
BIT14_139271
BIT13_141291
BIT12_139881
BIT11_144411
BIT10_143891
BIT9_139961
BIT8_134551
BIT7_142841
BIT6_137441
BIT5_139411
BIT4_150541
BIT3_150731
BIT2_149531
BIT1_139601
BIT0_145671
BIT31_0126251
BIT30_0144121
BIT29_0143811
BIT28_0143661
BIT27_0144671
BIT26_0144811
BIT25_0145351
BIT24_0145451
BIT23_0145271
BIT22_0145461
BIT21_0144791
BIT20_0145121
BIT19_0144951
BIT18_0144991
BIT17_0144681
BIT16_0143441
BIT15_0135261
BIT14_0136151
BIT13_0134131
BIT12_0135541
BIT11_0131011
BIT10_0131531
BIT9_0135461
BIT8_0140871
BIT7_0132581
BIT6_0137981
BIT5_0136011
BIT4_0124881
BIT3_0124691
BIT2_0125891
BIT1_0135821
BIT0_0129751

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_136971
BIT30_136851
BIT29_137651
BIT28_136621
BIT27_136961
BIT26_137701
BIT25_137091
BIT24_137421
BIT23_137031
BIT22_136551
BIT21_137301
BIT20_137581
BIT19_137341
BIT18_137151
BIT17_137171
BIT16_137241
BIT15_137301
BIT14_136571
BIT13_137331
BIT12_137121
BIT11_137011
BIT10_136281
BIT9_137451
BIT8_136751
BIT7_136881
BIT6_137681
BIT5_136241
BIT4_137881
BIT3_137491
BIT2_138331
BIT1_136771
BIT0_137371
BIT31_0138451
BIT30_0138571
BIT29_0137771
BIT28_0138801
BIT27_0138461
BIT26_0137721
BIT25_0138331
BIT24_0138001
BIT23_0138391
BIT22_0138871
BIT21_0138121
BIT20_0137841
BIT19_0138081
BIT18_0138271
BIT17_0138251
BIT16_0138181
BIT15_0138121
BIT14_0138851
BIT13_0138091
BIT12_0138301
BIT11_0138411
BIT10_0139141
BIT9_0137971
BIT8_0138671
BIT7_0138541
BIT6_0137741
BIT5_0139181
BIT4_0137541
BIT3_0137931
BIT2_0137091
BIT1_0138651
BIT0_0138051

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20421
auto[1]5171
auto[2]5271
auto[3]5041
auto[4]5091
auto[5]5051
auto[6]4761
auto[7]5111
auto[8]4711
auto[9]4881
auto[10]5041
auto[11]4961
auto[12]5101
auto[13]5111
auto[14]4831
auto[15]5001
auto[16]4651
auto[17]5141
auto[18]4601
auto[19]4901
auto[20]5331
auto[21]5211
auto[22]5061
auto[23]5621
auto[24]4971
auto[25]5211
auto[26]5041
auto[27]5161
auto[28]5191
auto[29]4951
auto[30]5171
auto[31]4601

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21011
auto[1]5241
auto[2]4781
auto[3]5741
auto[4]5201
auto[5]4661
auto[6]5151
auto[7]5191
auto[8]4831
auto[9]5321
auto[10]5111
auto[11]5061
auto[12]4861
auto[13]5081
auto[14]4801
auto[15]4661
auto[16]4741
auto[17]5081
auto[18]4621
auto[19]4581
auto[20]5011
auto[21]4931
auto[22]4781
auto[23]5381
auto[24]5301
auto[25]5221
auto[26]5391
auto[27]5211
auto[28]4931
auto[29]5231
auto[30]4841
auto[31]4411

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015661
RD_01211
RD_02161
RD_03151
RD_04131
RD_05111
RD_06141
RD_07171
RD_0891
RD_09191
RD_0a241
RD_0b181
RD_0c121
RD_0d141
RD_0e151
RD_0f141
RD_10161
RD_11151
RD_1291
RD_13161
RD_14161
RD_15181
RD_16161
RD_17141
RD_18201
RD_19211
RD_1a181
RD_1b81
RD_1c121
RD_1d141
RD_1e131
RD_1f91

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66361
auto_NON_ZERO109981

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_005581
SHAMT_015521
SHAMT_025621
SHAMT_035341
SHAMT_045371
SHAMT_055331
SHAMT_065721
SHAMT_075831
SHAMT_085611
SHAMT_095651
SHAMT_0a5311
SHAMT_0b5781
SHAMT_0c5691
SHAMT_0d5631
SHAMT_0e5691
SHAMT_0f5231
SHAMT_105721
SHAMT_115481
SHAMT_125301
SHAMT_135451
SHAMT_145371
SHAMT_155371
SHAMT_165741
SHAMT_175551
SHAMT_185201
SHAMT_195601
SHAMT_1a5651
SHAMT_1b4941
SHAMT_1c5401
SHAMT_1d5811
SHAMT_1e5441
SHAMT_1f5421

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66781
auto_NON_ZERO109561

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_150731
BIT30_132811
BIT29_132541
BIT28_132531
BIT27_131151
BIT26_131511
BIT25_131381
BIT24_131821
BIT23_131231
BIT22_131531
BIT21_131221
BIT20_131501
BIT19_131181
BIT18_131181
BIT17_130821
BIT16_133221
BIT15_141921
BIT14_139831
BIT13_143121
BIT12_141081
BIT11_145551
BIT10_145291
BIT9_140151
BIT8_135291
BIT7_143801
BIT6_137361
BIT5_139841
BIT4_150861
BIT3_153041
BIT2_151641
BIT1_140621
BIT0_145171
BIT31_0125611
BIT30_0143531
BIT29_0143801
BIT28_0143811
BIT27_0145191
BIT26_0144831
BIT25_0144961
BIT24_0144521
BIT23_0145111
BIT22_0144811
BIT21_0145121
BIT20_0144841
BIT19_0145161
BIT18_0145161
BIT17_0145521
BIT16_0143121
BIT15_0134421
BIT14_0136511
BIT13_0133221
BIT12_0135261
BIT11_0130791
BIT10_0131051
BIT9_0136191
BIT8_0141051
BIT7_0132541
BIT6_0138981
BIT5_0136501
BIT4_0125481
BIT3_0123301
BIT2_0124701
BIT1_0135721
BIT0_0131171

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149251
BIT30_131671
BIT29_131311
BIT28_131681
BIT27_130291
BIT26_130541
BIT25_130231
BIT24_130841
BIT23_130101
BIT22_130651
BIT21_130201
BIT20_130511
BIT19_130341
BIT18_130311
BIT17_129761
BIT16_132241
BIT15_140641
BIT14_138571
BIT13_141931
BIT12_139901
BIT11_144121
BIT10_143811
BIT9_138821
BIT8_134231
BIT7_142411
BIT6_136241
BIT5_138641
BIT4_149231
BIT3_151321
BIT2_149951
BIT1_139451
BIT0_143731
BIT31_0127091
BIT30_0144671
BIT29_0145031
BIT28_0144661
BIT27_0146051
BIT26_0145801
BIT25_0146111
BIT24_0145501
BIT23_0146241
BIT22_0145691
BIT21_0146141
BIT20_0145831
BIT19_0146001
BIT18_0146031
BIT17_0146581
BIT16_0144101
BIT15_0135701
BIT14_0137771
BIT13_0134411
BIT12_0136441
BIT11_0132221
BIT10_0132531
BIT9_0137521
BIT8_0142111
BIT7_0133931
BIT6_0140101
BIT5_0137701
BIT4_0127111
BIT3_0125021
BIT2_0126391
BIT1_0136891
BIT0_0132611

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20181
auto[1]5141
auto[2]4921
auto[3]5281
auto[4]4901
auto[5]4981
auto[6]4841
auto[7]4881
auto[8]4841
auto[9]4921
auto[10]5211
auto[11]4911
auto[12]5061
auto[13]4891
auto[14]5121
auto[15]4951
auto[16]5171
auto[17]5391
auto[18]4961
auto[19]5071
auto[20]5031
auto[21]4861
auto[22]4861
auto[23]4741
auto[24]4861
auto[25]5191
auto[26]5041
auto[27]4991
auto[28]4831
auto[29]5181
auto[30]4821
auto[31]4781

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20201
auto[1]5611
auto[2]4811
auto[3]5121
auto[4]5011
auto[5]5171
auto[6]5061
auto[7]4891
auto[8]5301
auto[9]5261
auto[10]4721
auto[11]4711
auto[12]4701
auto[13]5191
auto[14]4671
auto[15]4531
auto[16]4861
auto[17]5341
auto[18]5081
auto[19]4521
auto[20]4921
auto[21]5051
auto[22]5501
auto[23]4761
auto[24]4631
auto[25]5051
auto[26]4791
auto[27]4871
auto[28]4661
auto[29]5511
auto[30]5111
auto[31]5191

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015481
RD_01131
RD_02171
RD_03101
RD_04241
RD_05131
RD_06121
RD_07171
RD_08181
RD_09181
RD_0a141
RD_0b151
RD_0c191
RD_0d141
RD_0e181
RD_0f181
RD_10111
RD_11201
RD_12171
RD_1371
RD_14211
RD_15141
RD_16171
RD_17121
RD_18151
RD_19101
RD_1a101
RD_1b141
RD_1c151
RD_1d231
RD_1e131
RD_1f161

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO65751
auto_NON_ZERO109041

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_005391
SHAMT_015451
SHAMT_025431
SHAMT_035701
SHAMT_045581
SHAMT_055541
SHAMT_066201
SHAMT_075691
SHAMT_085191
SHAMT_095401
SHAMT_0a5611
SHAMT_0b5531
SHAMT_0c5151
SHAMT_0d5391
SHAMT_0e5751
SHAMT_0f5311
SHAMT_105681
SHAMT_115481
SHAMT_125161
SHAMT_135401
SHAMT_145451
SHAMT_155121
SHAMT_165601
SHAMT_175251
SHAMT_185321
SHAMT_195381
SHAMT_1a5511
SHAMT_1b5411
SHAMT_1c5321
SHAMT_1d5631
SHAMT_1e5431
SHAMT_1f5341

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO421
auto_NON_ZERO174371

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149381
BIT30_132141
BIT29_132431
BIT28_132621
BIT27_131611
BIT26_131541
BIT25_130941
BIT24_131201
BIT23_131571
BIT22_131481
BIT21_131401
BIT20_131601
BIT19_131711
BIT18_131481
BIT17_131361
BIT16_133651
BIT15_140201
BIT14_139791
BIT13_141841
BIT12_140381
BIT11_144141
BIT10_144341
BIT9_139601
BIT8_135691
BIT7_143461
BIT6_138211
BIT5_139951
BIT4_149991
BIT3_151261
BIT2_150431
BIT1_139721
BIT0_145411
BIT31_0125411
BIT30_0142651
BIT29_0142361
BIT28_0142171
BIT27_0143181
BIT26_0143251
BIT25_0143851
BIT24_0143591
BIT23_0143221
BIT22_0143311
BIT21_0143391
BIT20_0143191
BIT19_0143081
BIT18_0143311
BIT17_0143431
BIT16_0141141
BIT15_0134591
BIT14_0135001
BIT13_0132951
BIT12_0134411
BIT11_0130651
BIT10_0130451
BIT9_0135191
BIT8_0139101
BIT7_0131331
BIT6_0136581
BIT5_0134841
BIT4_0124801
BIT3_0123531
BIT2_0124361
BIT1_0135071
BIT0_0129381

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_151721
BIT30_135531
BIT29_136101
BIT28_135561
BIT27_134961
BIT26_135251
BIT25_134221
BIT24_134881
BIT23_134761
BIT22_135041
BIT21_134621
BIT20_135111
BIT19_135231
BIT18_135041
BIT17_134841
BIT16_137111
BIT15_143071
BIT14_142741
BIT13_144611
BIT12_142911
BIT11_147031
BIT10_147231
BIT9_142361
BIT8_138781
BIT7_146491
BIT6_141771
BIT5_142591
BIT4_152691
BIT3_153321
BIT2_152701
BIT1_142571
BIT0_148161
BIT31_0123071
BIT30_0139261
BIT29_0138691
BIT28_0139231
BIT27_0139831
BIT26_0139541
BIT25_0140571
BIT24_0139911
BIT23_0140031
BIT22_0139751
BIT21_0140171
BIT20_0139681
BIT19_0139561
BIT18_0139751
BIT17_0139951
BIT16_0137681
BIT15_0131721
BIT14_0132051
BIT13_0130181
BIT12_0131881
BIT11_0127761
BIT10_0127561
BIT9_0132431
BIT8_0136011
BIT7_0128301
BIT6_0133021
BIT5_0132201
BIT4_0122101
BIT3_0121471
BIT2_0122091
BIT1_0132221
BIT0_0126631

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp440.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp440.html new file mode 100644 index 00000000..db06ac15 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp440.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter10::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter10.mhpmcounter10__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER10101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER10 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER10 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01911

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp441.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp441.html new file mode 100644 index 00000000..6aa7af14 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp441.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr8::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr8.pmpaddr8__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr8.pmpaddr8__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr8.pmpaddr8__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR8404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR8 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR8 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]271
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]131
legal_values261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp442.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp442.html new file mode 100644 index 00000000..dbf4467e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp442.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr33::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr33.pmpaddr33__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr33.pmpaddr33__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr33.pmpaddr33__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR33404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR33 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR33 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]231
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]61
legal_values201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp443.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp443.html new file mode 100644 index 00000000..7b49c51f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp443.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter4::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter4.mhpmcounter4__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER4404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER4 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER4 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]571
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]111
legal_values251

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp444.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp444.html new file mode 100644 index 00000000..997dca20 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp444.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter25::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter25.mhpmcounter25__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER25404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER25 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER25 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]591
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]151
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp445.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp445.html new file mode 100644 index 00000000..359b1b9b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp445.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr58::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr58.pmpaddr58__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr58.pmpaddr58__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr58.pmpaddr58__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR58101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR58 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR58 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01201

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp446.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp446.html new file mode 100644 index 00000000..9408fecd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp446.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr56::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr56.pmpaddr56__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr56.pmpaddr56__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr56.pmpaddr56__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR56404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR56 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR56 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]201
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]21
legal_values221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp447.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp447.html new file mode 100644 index 00000000..365429a9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp447.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr14::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr14.pmpaddr14__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr14.pmpaddr14__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr14.pmpaddr14__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR14404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR14 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR14 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]421
illegal_values[1431655766:2863311530]71
illegal_values[2863311531:ffffffff]151
legal_values231

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp448.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp448.html new file mode 100644 index 00000000..3a584198 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp448.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_minstret::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_minstret::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_minstret::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.minstret.minstret__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.minstret.minstret__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.minstret.minstret__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.minstret.minstret__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MINSTRET404100.00100110

+
+
+
+
+
+
+Summary for Variable MINSTRET +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MINSTRET +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]2521
other_values[1431655766:2863311530]81
other_values[2863311531:ffffffff]81
reset_value41

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp449.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp449.html new file mode 100644 index 00000000..f2590f3d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp449.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent6::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent6.mhpmevent6__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent6.mhpmevent6__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent6.mhpmevent6__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT6404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT6 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT6 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]541
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]121
legal_values261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp45.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp45.html new file mode 100644 index 00000000..4b275da8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp45.html @@ -0,0 +1,6523 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype(withChksum=3332249172) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype(withChksum=3332249172)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype(withChksum=3332249172) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
98.01 98.011 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+4 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_jalr_cg 92.031 100 1 64 64
uvma_isacov_pkg.rv32i_andi_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_ori_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_xori_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_jalr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
92.031 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2552023591.15
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value21150.00 100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64194570.31 100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_jalr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_andi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_andi_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2550255100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_andi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_andi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_ori_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_ori_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2550255100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_ori_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_ori_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_xori_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_xori_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2550255100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_xori_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_xori_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]41
auto[1]981
auto[2]401
auto[3]391
auto[4]661
auto[5]261
auto[6]1541
auto[7]681
auto[8]591
auto[9]441
auto[10]1331
auto[11]821
auto[12]1601
auto[13]821
auto[14]471
auto[15]271
auto[16]651
auto[17]261
auto[18]331
auto[19]261
auto[20]421
auto[21]291
auto[22]501
auto[23]291
auto[24]391
auto[25]851
auto[26]441
auto[27]941
auto[28]551
auto[29]571
auto[30]371
auto[31]271

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]11
auto[1]1941
auto[2]691
auto[3]261
auto[4]401
auto[5]671
auto[6]2901
auto[7]841
auto[8]361
auto[9]581
auto[10]731
auto[11]581
auto[12]1671
auto[13]181
auto[14]371
auto[15]241
auto[16]371
auto[17]111
auto[18]241
auto[19]201
auto[20]281
auto[21]191
auto[22]1641
auto[23]461
auto[24]231
auto[25]601
auto[26]271
auto[27]541
auto[28]161
auto[29]471
auto[30]311
auto[31]181

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0011
RD_01561
RD_02221
RD_03261
RD_04261
RD_05121
RD_061341
RD_07441
RD_08361
RD_09241
RD_0a731
RD_0b581
RD_0c1371
RD_0d181
RD_0e301
RD_0f61
RD_10111
RD_11111
RD_12241
RD_13201
RD_14281
RD_15191
RD_16251
RD_17121
RD_18231
RD_19531
RD_1a271
RD_1b541
RD_1c161
RD_1d391
RD_1e311
RD_1f121

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61
auto_NON_ZERO18611

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO10791
auto_POSITIVE3681
auto_NEGATIVE4201

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins21150.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
auto_ZERO011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto_NON_ZERO18671

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_118481
BIT30_1131
BIT29_1131
BIT28_1131
BIT27_1141
BIT26_1131
BIT25_1131
BIT24_1141
BIT23_1131
BIT22_1141
BIT21_1141
BIT20_1141
BIT19_1141
BIT18_1141
BIT17_1141
BIT16_1131
BIT15_1141
BIT14_1131
BIT13_1181
BIT12_1191
BIT11_16791
BIT10_19961
BIT9_19171
BIT8_17821
BIT7_18941
BIT6_19771
BIT5_18751
BIT4_110491
BIT3_19141
BIT2_17931
BIT1_110311
BIT0_110641
BIT31_0191
BIT30_018541
BIT29_018541
BIT28_018541
BIT27_018531
BIT26_018541
BIT25_018541
BIT24_018531
BIT23_018541
BIT22_018531
BIT21_018531
BIT20_018531
BIT19_018531
BIT18_018531
BIT17_018531
BIT16_018541
BIT15_018531
BIT14_018541
BIT13_018491
BIT12_018481
BIT11_011881
BIT10_08711
BIT9_09501
BIT8_010851
BIT7_09731
BIT6_08901
BIT5_09921
BIT4_08181
BIT3_09531
BIT2_010741
BIT1_08361
BIT0_08031

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_14201
BIT10_14201
BIT9_14551
BIT8_14151
BIT7_13831
BIT6_13971
BIT5_13441
BIT4_13621
BIT3_14241
BIT2_13611
BIT1_13891
BIT0_13541
BIT11_014471
BIT10_014471
BIT9_014121
BIT8_014521
BIT7_014841
BIT6_014701
BIT5_015231
BIT4_015051
BIT3_014431
BIT2_015061
BIT1_014781
BIT0_015131

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64194570.31

+
+User Defined Bins for cp_rd_toggle +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT30_1011
BIT29_1011
BIT28_1011
BIT27_1011
BIT26_1011
BIT25_1011
BIT24_1011
BIT23_1011
BIT22_1011
BIT21_1011
BIT20_1011
BIT19_1011
BIT18_1011
BIT17_1011
BIT16_1011
BIT15_1011
BIT14_1011
BIT0_1011
BIT31_0011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_118671
BIT13_1131
BIT12_1131
BIT11_17141
BIT10_19571
BIT9_18681
BIT8_110381
BIT7_19481
BIT6_18921
BIT5_18461
BIT4_18731
BIT3_18011
BIT2_110721
BIT1_18921
BIT30_018671
BIT29_018671
BIT28_018671
BIT27_018671
BIT26_018671
BIT25_018671
BIT24_018671
BIT23_018671
BIT22_018671
BIT21_018671
BIT20_018671
BIT19_018671
BIT18_018671
BIT17_018671
BIT16_018671
BIT15_018671
BIT14_018671
BIT13_018541
BIT12_018541
BIT11_011531
BIT10_09101
BIT9_09991
BIT8_08291
BIT7_09191
BIT6_09751
BIT5_010211
BIT4_09941
BIT3_010661
BIT2_07951
BIT1_09751
BIT0_018671

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO41
auto_ZEROauto_POSITIVE11
auto_ZEROauto_NEGATIVE11
auto_NON_ZEROauto_ZERO10751
auto_NON_ZEROauto_POSITIVE3671
auto_NON_ZEROauto_NEGATIVE4191

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22081
auto[1]6791
auto[2]6141
auto[3]6191
auto[4]6711
auto[5]6101
auto[6]5731
auto[7]6411
auto[8]5781
auto[9]5851
auto[10]5611
auto[11]6271
auto[12]5971
auto[13]5731
auto[14]6441
auto[15]6811
auto[16]5761
auto[17]6031
auto[18]5901
auto[19]6021
auto[20]5631
auto[21]6091
auto[22]5701
auto[23]6001
auto[24]5951
auto[25]6301
auto[26]6381
auto[27]7071
auto[28]5571
auto[29]6141
auto[30]6371
auto[31]7111

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22171
auto[1]5961
auto[2]5721
auto[3]5981
auto[4]6801
auto[5]5701
auto[6]7601
auto[7]5781
auto[8]5661
auto[9]5991
auto[10]6241
auto[11]5851
auto[12]5321
auto[13]6791
auto[14]6381
auto[15]6091
auto[16]5661
auto[17]7131
auto[18]6121
auto[19]6111
auto[20]5791
auto[21]5871
auto[22]5481
auto[23]6441
auto[24]5721
auto[25]6001
auto[26]7301
auto[27]6131
auto[28]5921
auto[29]6571
auto[30]6471
auto[31]5891

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016651
RD_01251
RD_02351
RD_03181
RD_04311
RD_05101
RD_06181
RD_07161
RD_08141
RD_09241
RD_0a161
RD_0b141
RD_0c81
RD_0d121
RD_0e161
RD_0f221
RD_10181
RD_11161
RD_12191
RD_13271
RD_14261
RD_15101
RD_16181
RD_17211
RD_18241
RD_19121
RD_1a231
RD_1b231
RD_1c121
RD_1d271
RD_1e171
RD_1f261

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO79561
auto_NON_ZERO133071

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO51
auto_POSITIVE102741
auto_NEGATIVE109841

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO99561
auto_NON_ZERO113071

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162431
BIT30_139681
BIT29_140151
BIT28_139931
BIT27_138701
BIT26_138581
BIT25_138211
BIT24_138791
BIT23_138321
BIT22_139681
BIT21_140151
BIT20_139301
BIT19_140081
BIT18_140151
BIT17_137421
BIT16_141771
BIT15_151681
BIT14_149311
BIT13_152061
BIT12_151421
BIT11_155211
BIT10_155811
BIT9_146961
BIT8_142381
BIT7_153191
BIT6_146391
BIT5_146701
BIT4_162981
BIT3_163401
BIT2_162201
BIT1_150541
BIT0_155511
BIT31_0150201
BIT30_0172951
BIT29_0172481
BIT28_0172701
BIT27_0173931
BIT26_0174051
BIT25_0174421
BIT24_0173841
BIT23_0174311
BIT22_0172951
BIT21_0172481
BIT20_0173331
BIT19_0172551
BIT18_0172481
BIT17_0175211
BIT16_0170861
BIT15_0160951
BIT14_0163321
BIT13_0160571
BIT12_0161211
BIT11_0157421
BIT10_0156821
BIT9_0165671
BIT8_0170251
BIT7_0159441
BIT6_0166241
BIT5_0165931
BIT4_0149651
BIT3_0149231
BIT2_0150431
BIT1_0162091
BIT0_0157121

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1109841
BIT10_1104401
BIT9_1106341
BIT8_1104331
BIT7_1108321
BIT6_1109041
BIT5_1106571
BIT4_1108451
BIT3_1107351
BIT2_1106121
BIT1_1106001
BIT0_1108481
BIT11_0102791
BIT10_0108231
BIT9_0106291
BIT8_0108301
BIT7_0104311
BIT6_0103591
BIT5_0106061
BIT4_0104181
BIT3_0105281
BIT2_0106511
BIT1_0106631
BIT0_0104151

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_132571
BIT30_120481
BIT29_121051
BIT28_120821
BIT27_119911
BIT26_119791
BIT25_119491
BIT24_120111
BIT23_119581
BIT22_121301
BIT21_121921
BIT20_120841
BIT19_121411
BIT18_121421
BIT17_118931
BIT16_121711
BIT15_127701
BIT14_125251
BIT13_127311
BIT12_127791
BIT11_128321
BIT10_126831
BIT9_123621
BIT8_120541
BIT7_127421
BIT6_123081
BIT5_122811
BIT4_133241
BIT3_132541
BIT2_131391
BIT1_125111
BIT0_128311
BIT31_0180061
BIT30_0192151
BIT29_0191581
BIT28_0191811
BIT27_0192721
BIT26_0192841
BIT25_0193141
BIT24_0192521
BIT23_0193051
BIT22_0191331
BIT21_0190711
BIT20_0191791
BIT19_0191221
BIT18_0191211
BIT17_0193701
BIT16_0190921
BIT15_0184931
BIT14_0187381
BIT13_0185321
BIT12_0184841
BIT11_0184311
BIT10_0185801
BIT9_0189011
BIT8_0192091
BIT7_0185211
BIT6_0189551
BIT5_0189821
BIT4_0179391
BIT3_0180091
BIT2_0181241
BIT1_0187521
BIT0_0184321

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO41
auto_ZEROauto_POSITIVE39191
auto_ZEROauto_NEGATIVE40331
auto_NON_ZEROauto_ZERO11
auto_NON_ZEROauto_POSITIVE63551
auto_NON_ZEROauto_NEGATIVE69511

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]19421
auto[1]6171
auto[2]6501
auto[3]5771
auto[4]6731
auto[5]6291
auto[6]6891
auto[7]6351
auto[8]6651
auto[9]6731
auto[10]6831
auto[11]6551
auto[12]6181
auto[13]6741
auto[14]5921
auto[15]7531
auto[16]6981
auto[17]6491
auto[18]6221
auto[19]6951
auto[20]6601
auto[21]7311
auto[22]7391
auto[23]7271
auto[24]6871
auto[25]7231
auto[26]6641
auto[27]7201
auto[28]6541
auto[29]6271
auto[30]6671
auto[31]6181

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]19391
auto[1]6611
auto[2]6271
auto[3]6501
auto[4]6671
auto[5]6251
auto[6]6601
auto[7]6721
auto[8]6081
auto[9]6991
auto[10]6981
auto[11]7031
auto[12]6751
auto[13]6341
auto[14]6961
auto[15]6591
auto[16]6441
auto[17]6301
auto[18]6301
auto[19]6691
auto[20]6861
auto[21]6591
auto[22]7591
auto[23]6721
auto[24]6371
auto[25]6841
auto[26]6421
auto[27]7161
auto[28]6891
auto[29]6531
auto[30]6771
auto[31]6861

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0014021
RD_01181
RD_02981
RD_03151
RD_04891
RD_05791
RD_061141
RD_071001
RD_08941
RD_091061
RD_0a1091
RD_0b971
RD_0c971
RD_0d811
RD_0e841
RD_0f971
RD_10871
RD_11981
RD_12941
RD_131121
RD_141041
RD_151031
RD_161101
RD_171141
RD_18971
RD_19941
RD_1a981
RD_1b1471
RD_1c891
RD_1d1011
RD_1e1061
RD_1f911

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO75551
auto_NON_ZERO150511

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO23451
auto_POSITIVE102761
auto_NEGATIVE99851

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO31
auto_NON_ZERO226031

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_182421
BIT30_138541
BIT29_137681
BIT28_138161
BIT27_135671
BIT26_136181
BIT25_135841
BIT24_135591
BIT23_135801
BIT22_135991
BIT21_136251
BIT20_136231
BIT19_136301
BIT18_136461
BIT17_135961
BIT16_137701
BIT15_146251
BIT14_146551
BIT13_150101
BIT12_157341
BIT11_164381
BIT10_161861
BIT9_156891
BIT8_150131
BIT7_154771
BIT6_148231
BIT5_152041
BIT4_163581
BIT3_164941
BIT2_163721
BIT1_145681
BIT0_153381
BIT31_0143641
BIT30_0187521
BIT29_0188381
BIT28_0187901
BIT27_0190391
BIT26_0189881
BIT25_0190221
BIT24_0190471
BIT23_0190261
BIT22_0190071
BIT21_0189811
BIT20_0189831
BIT19_0189761
BIT18_0189601
BIT17_0190101
BIT16_0188361
BIT15_0179811
BIT14_0179511
BIT13_0175961
BIT12_0168721
BIT11_0161681
BIT10_0164201
BIT9_0169171
BIT8_0175931
BIT7_0171291
BIT6_0177831
BIT5_0174021
BIT4_0162481
BIT3_0161121
BIT2_0162341
BIT1_0180381
BIT0_0172681

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_199851
BIT10_1101691
BIT9_199711
BIT8_1102851
BIT7_1100941
BIT6_1100851
BIT5_199381
BIT4_1101051
BIT3_1100941
BIT2_1101591
BIT1_1100211
BIT0_1102581
BIT11_0126211
BIT10_0124371
BIT9_0126351
BIT8_0123211
BIT7_0125121
BIT6_0125211
BIT5_0126681
BIT4_0125011
BIT3_0125121
BIT2_0124471
BIT1_0125851
BIT0_0123481

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1153791
BIT30_1119911
BIT29_1119221
BIT28_1119601
BIT27_1118161
BIT26_1118671
BIT25_1118621
BIT24_1118361
BIT23_1118491
BIT22_1118931
BIT21_1118791
BIT20_1118971
BIT19_1118941
BIT18_1119041
BIT17_1118681
BIT16_1119531
BIT15_1123901
BIT14_1123841
BIT13_1126431
BIT12_1135381
BIT11_1138861
BIT10_1136971
BIT9_1134681
BIT8_1131881
BIT7_1131111
BIT6_1128101
BIT5_1129061
BIT4_1135401
BIT3_1136391
BIT2_1135971
BIT1_1123041
BIT0_1129281
BIT31_072271
BIT30_0106151
BIT29_0106841
BIT28_0106461
BIT27_0107901
BIT26_0107391
BIT25_0107441
BIT24_0107701
BIT23_0107571
BIT22_0107131
BIT21_0107271
BIT20_0107091
BIT19_0107121
BIT18_0107021
BIT17_0107381
BIT16_0106531
BIT15_0102161
BIT14_0102221
BIT13_099631
BIT12_090681
BIT11_087201
BIT10_089091
BIT9_091381
BIT8_094181
BIT7_094951
BIT6_097961
BIT5_097001
BIT4_090661
BIT3_089671
BIT2_090091
BIT1_0103021
BIT0_096781

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO31
auto_ZEROauto_POSITIVE37931
auto_ZEROauto_NEGATIVE37591
auto_NON_ZEROauto_ZERO23421
auto_NON_ZEROauto_POSITIVE64831
auto_NON_ZEROauto_NEGATIVE62261

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21361
auto[1]5761
auto[2]5391
auto[3]6041
auto[4]6181
auto[5]6261
auto[6]5911
auto[7]6031
auto[8]6521
auto[9]5891
auto[10]5731
auto[11]5541
auto[12]5871
auto[13]5521
auto[14]5931
auto[15]6141
auto[16]5651
auto[17]6141
auto[18]6001
auto[19]5531
auto[20]5701
auto[21]7231
auto[22]5961
auto[23]6071
auto[24]5391
auto[25]6671
auto[26]6131
auto[27]6011
auto[28]5991
auto[29]5951
auto[30]5751
auto[31]5901

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]23241
auto[1]6141
auto[2]5531
auto[3]7151
auto[4]5971
auto[5]5721
auto[6]6261
auto[7]6321
auto[8]5871
auto[9]5731
auto[10]5751
auto[11]6571
auto[12]6101
auto[13]5451
auto[14]6341
auto[15]5491
auto[16]5341
auto[17]5581
auto[18]5421
auto[19]6181
auto[20]5791
auto[21]5661
auto[22]6201
auto[23]5831
auto[24]5791
auto[25]6251
auto[26]5461
auto[27]5691
auto[28]6041
auto[29]5631
auto[30]6151
auto[31]5501

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015781
RD_01141
RD_02311
RD_03451
RD_04311
RD_05201
RD_06241
RD_07191
RD_08211
RD_09121
RD_0a121
RD_0b221
RD_0c251
RD_0d211
RD_0e71
RD_0f111
RD_10111
RD_11121
RD_12171
RD_13231
RD_14241
RD_15211
RD_16201
RD_17181
RD_18141
RD_19221
RD_1a201
RD_1b171
RD_1c181
RD_1d131
RD_1e181
RD_1f151

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO78541
auto_NON_ZERO127601

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO81
auto_POSITIVE103781
auto_NEGATIVE102281

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71
auto_NON_ZERO206071

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_160361
BIT30_138311
BIT29_137741
BIT28_137851
BIT27_136571
BIT26_136821
BIT25_136341
BIT24_135671
BIT23_136411
BIT22_136501
BIT21_136631
BIT20_136531
BIT19_136611
BIT18_137091
BIT17_136211
BIT16_138291
BIT15_147631
BIT14_145961
BIT13_149011
BIT12_146941
BIT11_151211
BIT10_152111
BIT9_147051
BIT8_141091
BIT7_151471
BIT6_144291
BIT5_146131
BIT4_157941
BIT3_159901
BIT2_159381
BIT1_146901
BIT0_152771
BIT31_0145781
BIT30_0167831
BIT29_0168401
BIT28_0168291
BIT27_0169571
BIT26_0169321
BIT25_0169801
BIT24_0170471
BIT23_0169731
BIT22_0169641
BIT21_0169511
BIT20_0169611
BIT19_0169531
BIT18_0169051
BIT17_0169931
BIT16_0167851
BIT15_0158511
BIT14_0160181
BIT13_0157131
BIT12_0159201
BIT11_0154931
BIT10_0154031
BIT9_0159091
BIT8_0165051
BIT7_0154671
BIT6_0161851
BIT5_0160011
BIT4_0148201
BIT3_0146241
BIT2_0146761
BIT1_0159241
BIT0_0153371

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1102281
BIT10_1102181
BIT9_1105591
BIT8_1105721
BIT7_1103131
BIT6_1100391
BIT5_1103351
BIT4_1104561
BIT3_1104751
BIT2_1102861
BIT1_1103731
BIT0_1101071
BIT11_0103861
BIT10_0103961
BIT9_0100551
BIT8_0100421
BIT7_0103011
BIT6_0105751
BIT5_0102791
BIT4_0101581
BIT3_0101391
BIT2_0103281
BIT1_0102411
BIT0_0105071

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1104201
BIT30_1103491
BIT29_1103001
BIT28_1102911
BIT27_1103071
BIT26_1103321
BIT25_1103061
BIT24_1103051
BIT23_1103051
BIT22_1102721
BIT21_1103191
BIT20_1102111
BIT19_1102651
BIT18_1102131
BIT17_1102671
BIT16_1102591
BIT15_1102431
BIT14_1103301
BIT13_1103551
BIT12_1103541
BIT11_1102891
BIT10_1103331
BIT9_1104701
BIT8_1104251
BIT7_1102741
BIT6_1103181
BIT5_1103541
BIT4_1102681
BIT3_1103771
BIT2_1103361
BIT1_1101931
BIT0_1102621
BIT31_0101941
BIT30_0102651
BIT29_0103141
BIT28_0103231
BIT27_0103071
BIT26_0102821
BIT25_0103081
BIT24_0103091
BIT23_0103091
BIT22_0103421
BIT21_0102951
BIT20_0104031
BIT19_0103491
BIT18_0104011
BIT17_0103471
BIT16_0103551
BIT15_0103711
BIT14_0102841
BIT13_0102591
BIT12_0102601
BIT11_0103251
BIT10_0102811
BIT9_0101441
BIT8_0101891
BIT7_0103401
BIT6_0102961
BIT5_0102601
BIT4_0103461
BIT3_0102371
BIT2_0102781
BIT1_0104211
BIT0_0103521

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO41
auto_ZEROauto_POSITIVE40171
auto_ZEROauto_NEGATIVE38331
auto_NON_ZEROauto_ZERO41
auto_NON_ZEROauto_POSITIVE63611
auto_NON_ZEROauto_NEGATIVE63951

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp450.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp450.html new file mode 100644 index 00000000..3c2cf8da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp450.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mvendorid::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mvendorid::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mvendorid::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mvendorid.mvendorid__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mvendorid.mvendorid__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mvendorid.mvendorid__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mvendorid.mvendorid__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MVENDORID101100.00100110

+
+
+
+
+
+
+Summary for Variable MVENDORID +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MVENDORID +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_1538931

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp46.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp46.html new file mode 100644 index 00000000..493fe3d7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp46.html @@ -0,0 +1,1716 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype(withChksum=2320478138) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype(withChksum=2320478138)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype(withChksum=2320478138) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_addi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_addi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_addi_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2570257100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_addi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_immi_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_addi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value909100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]41541
auto[1]24191
auto[2]89141
auto[3]72211
auto[4]274431
auto[5]174921
auto[6]115221
auto[7]185981
auto[8]210301
auto[9]191661
auto[10]138581
auto[11]110681
auto[12]132651
auto[13]279281
auto[14]194611
auto[15]299211
auto[16]148261
auto[17]223651
auto[18]163151
auto[19]303901
auto[20]241591
auto[21]216921
auto[22]117011
auto[23]270301
auto[24]849021
auto[25]182911
auto[26]224141
auto[27]140031
auto[28]246281
auto[29]130941
auto[30]154751
auto[31]95571

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]40981
auto[1]23531
auto[2]89551
auto[3]73801
auto[4]274861
auto[5]175801
auto[6]115211
auto[7]184521
auto[8]210651
auto[9]191681
auto[10]138401
auto[11]106671
auto[12]139501
auto[13]278761
auto[14]194461
auto[15]298811
auto[16]147351
auto[17]223261
auto[18]162031
auto[19]303801
auto[20]241231
auto[21]217141
auto[22]114361
auto[23]270611
auto[24]848731
auto[25]183341
auto[26]224001
auto[27]140771
auto[28]246781
auto[29]132331
auto[30]155081
auto[31]95031

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0035081
RD_0116741
RD_0283431
RD_0366871
RD_04268451
RD_05169221
RD_06108361
RD_07178691
RD_08204611
RD_09185751
RD_0a132181
RD_0b100291
RD_0c127121
RD_0d273381
RD_0e188771
RD_0f293461
RD_10142261
RD_11217561
RD_12156511
RD_13297891
RD_14235781
RD_15211151
RD_16108781
RD_17264621
RD_18842891
RD_19177501
RD_1a217941
RD_1b134421
RD_1c240621
RD_1d125121
RD_1e149351
RD_1f89961

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO97861
auto_POSITIVE212291
auto_NEGATIVE5932871

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO5071
auto_POSITIVE3117251
auto_NEGATIVE3120701

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO4951
auto_POSITIVE254211
auto_NEGATIVE5983861

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_15932871
BIT30_1281521
BIT29_1258991
BIT28_1258981
BIT27_1193941
BIT26_1194841
BIT25_1193931
BIT24_1194441
BIT23_1217881
BIT22_1194231
BIT21_1193701
BIT20_1194451
BIT19_1193681
BIT18_1195831
BIT17_1195881
BIT16_1297161
BIT15_15674551
BIT14_1349101
BIT13_13379601
BIT12_12765351
BIT11_15410231
BIT10_15442101
BIT9_1188831
BIT8_1199401
BIT7_12854061
BIT6_1227771
BIT5_1262811
BIT4_15519531
BIT3_15500191
BIT2_1229871
BIT1_1205401
BIT0_152801
BIT31_0310151
BIT30_05961501
BIT29_05984031
BIT28_05984041
BIT27_06049081
BIT26_06048181
BIT25_06049091
BIT24_06048581
BIT23_06025141
BIT22_06048791
BIT21_06049321
BIT20_06048571
BIT19_06049341
BIT18_06047191
BIT17_06047141
BIT16_05945861
BIT15_0568471
BIT14_05893921
BIT13_02863421
BIT12_03477671
BIT11_0832791
BIT10_0800921
BIT9_06054191
BIT8_06043621
BIT7_03388961
BIT6_06015251
BIT5_05980211
BIT4_0723491
BIT3_0742831
BIT2_06013151
BIT1_06037621
BIT0_06190221

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_13120701
BIT10_13092651
BIT9_13051401
BIT8_13096621
BIT7_15706031
BIT6_1442161
BIT5_1474821
BIT4_1440031
BIT3_1437431
BIT2_1485621
BIT1_1445701
BIT0_1413291
BIT11_03122321
BIT10_03150371
BIT9_03191621
BIT8_03146401
BIT7_0536991
BIT6_05800861
BIT5_05768201
BIT4_05802991
BIT3_05805591
BIT2_05757401
BIT1_05797321
BIT0_05829731

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_15983861
BIT30_1335591
BIT29_1313051
BIT28_1313281
BIT27_1248581
BIT26_1249651
BIT25_1248911
BIT24_1249411
BIT23_1273471
BIT22_1250131
BIT21_1249611
BIT20_1250501
BIT19_1250091
BIT18_1252211
BIT17_1252281
BIT16_1352831
BIT15_15730811
BIT14_1400771
BIT13_13398251
BIT12_12834831
BIT11_15755101
BIT10_15733671
BIT9_1447401
BIT8_1454701
BIT7_13078181
BIT6_1452181
BIT5_1431861
BIT4_15750191
BIT3_15729371
BIT2_1503891
BIT1_1438271
BIT0_1413911
BIT31_0259161
BIT30_05907431
BIT29_05929971
BIT28_05929741
BIT27_05994441
BIT26_05993371
BIT25_05994111
BIT24_05993611
BIT23_05969551
BIT22_05992891
BIT21_05993411
BIT20_05992521
BIT19_05992931
BIT18_05990811
BIT17_05990741
BIT16_05890191
BIT15_0512211
BIT14_05842251
BIT13_02844771
BIT12_03408191
BIT11_0487921
BIT10_0509351
BIT9_05795621
BIT8_05788321
BIT7_03164841
BIT6_05790841
BIT5_05811161
BIT4_0492831
BIT3_0513651
BIT2_05739131
BIT1_05804751
BIT0_05829111

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO4921
auto_ZEROauto_POSITIVE50631
auto_ZEROauto_NEGATIVE42311
auto_POSITIVEauto_ZERO11
auto_POSITIVEauto_POSITIVE106641
auto_POSITIVEauto_NEGATIVE105641
auto_NEGATIVEauto_ZERO141
auto_NEGATIVEauto_POSITIVE2959981
auto_NEGATIVEauto_NEGATIVE2972751

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp47.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp47.html new file mode 100644 index 00000000..62fd0edd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp47.html @@ -0,0 +1,458 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_zexth + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_zexth
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_zexth +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_zext_h_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_zext_h_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_zext_h_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables42042100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_zext_h_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rsdc808100.00100118
cp_rsdc_value202100.00100110
cp_rs_toggle32032100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rsdc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rsdc +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]12581
auto[1]13111
auto[2]13011
auto[3]13881
auto[4]13071
auto[5]13161
auto[6]13691
auto[7]13151

+
+
+Summary for Variable cp_rsdc_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rsdc_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO35141
auto_NON_ZERO70511

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT15_126231
BIT14_126341
BIT13_127411
BIT12_127131
BIT11_126421
BIT10_126901
BIT9_127261
BIT8_126221
BIT7_129221
BIT6_129371
BIT5_129981
BIT4_132361
BIT3_132461
BIT2_133261
BIT1_130211
BIT0_131651
BIT15_079421
BIT14_079311
BIT13_078241
BIT12_078521
BIT11_079231
BIT10_078751
BIT9_078391
BIT8_079431
BIT7_076431
BIT6_076281
BIT5_075671
BIT4_073291
BIT3_073191
BIT2_072391
BIT1_075441
BIT0_074001

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp48.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp48.html new file mode 100644 index 00000000..2c6c6c11 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp48.html @@ -0,0 +1,1162 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cs + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cs
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cs +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.61 99.611 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_sw_cg 99.611 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_sw_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.611 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_sw_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables160215899.61

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_sw_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rs2808100.00100118
cp_rs2_toggle64064100.00100110
cp_rs1_toggle6426296.88 100110
cp_imm_toggle10010100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO21
auto_NON_ZERO638641

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO149571
auto_NON_ZERO489091

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO194091
auto_NON_ZERO444571

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]101161
auto[1]93221
auto[2]53841
auto[3]60991
auto[4]38251
auto[5]85811
auto[6]60201
auto[7]145191

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]71541
auto[1]19741
auto[2]113191
auto[3]72381
auto[4]63691
auto[5]135801
auto[6]112101
auto[7]50221

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1337401
BIT30_1113581
BIT29_1111061
BIT28_1109591
BIT27_1111011
BIT26_1112281
BIT25_1116031
BIT24_1100701
BIT23_1101881
BIT22_1106011
BIT21_199541
BIT20_1107441
BIT19_1100991
BIT18_1109271
BIT17_1108691
BIT16_1106681
BIT15_1119191
BIT14_1301831
BIT13_1254161
BIT12_1201211
BIT11_1314411
BIT10_1303831
BIT9_1265731
BIT8_1157911
BIT7_1131751
BIT6_1152021
BIT5_1130261
BIT4_1302091
BIT3_1299351
BIT2_1300161
BIT1_1111661
BIT0_1137411
BIT31_0301261
BIT30_0525081
BIT29_0527601
BIT28_0529071
BIT27_0527651
BIT26_0526381
BIT25_0522631
BIT24_0537961
BIT23_0536781
BIT22_0532651
BIT21_0539121
BIT20_0531221
BIT19_0537671
BIT18_0529391
BIT17_0529971
BIT16_0531981
BIT15_0519471
BIT14_0336831
BIT13_0384501
BIT12_0437451
BIT11_0324251
BIT10_0334831
BIT9_0372931
BIT8_0480751
BIT7_0506911
BIT6_0486641
BIT5_0508401
BIT4_0336571
BIT3_0339311
BIT2_0338501
BIT1_0527001
BIT0_0501251

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins6426296.88

+
+User Defined Bins for cp_rs1_toggle +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT1_1011
BIT0_1011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1638641
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_11261
BIT15_1633801
BIT14_14871
BIT13_1235671
BIT12_1404011
BIT11_1632691
BIT10_1632721
BIT9_1991
BIT8_11051
BIT7_1191991
BIT6_13821
BIT5_13221
BIT4_1634891
BIT3_1634981
BIT2_13241
BIT31_021
BIT30_0638651
BIT29_0638651
BIT28_0638651
BIT27_0638651
BIT26_0638651
BIT25_0638651
BIT24_0638651
BIT23_0638651
BIT22_0638651
BIT21_0638651
BIT20_0638651
BIT19_0638651
BIT18_0638651
BIT17_0638651
BIT16_0637401
BIT15_04861
BIT14_0633791
BIT13_0402991
BIT12_0234651
BIT11_05971
BIT10_05941
BIT9_0637671
BIT8_0637611
BIT7_0446671
BIT6_0634841
BIT5_0635441
BIT4_03771
BIT3_03681
BIT2_0635421
BIT1_0638661
BIT0_0638661

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT4_1241
BIT3_1461
BIT2_1611
BIT1_1253651
BIT0_1320691
BIT4_0638421
BIT3_0638201
BIT2_0638051
BIT1_0385011
BIT0_0317971

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp49.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp49.html new file mode 100644 index 00000000..e7c94655 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp49.html @@ -0,0 +1,394 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_zextb + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_zextb
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_zextb +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_zext_b_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_zext_b_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_zext_b_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables26026100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_zext_b_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rsdc808100.00100118
cp_rsdc_value202100.00100110
cp_rs_toggle16016100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rsdc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rsdc +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]12981
auto[1]14041
auto[2]12651
auto[3]12641
auto[4]12261
auto[5]13431
auto[6]13871
auto[7]12911

+
+
+Summary for Variable cp_rsdc_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rsdc_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33761
auto_NON_ZERO71021

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins16016100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT7_130311
BIT6_129411
BIT5_129831
BIT4_134101
BIT3_132401
BIT2_132861
BIT1_129261
BIT0_133091
BIT7_074471
BIT6_075371
BIT5_074951
BIT4_070681
BIT3_072381
BIT2_071921
BIT1_075521
BIT0_071691

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp5.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp5.html new file mode 100644 index 00000000..65ba16dc --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp5.html @@ -0,0 +1,2193 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype(withChksum=777630929) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype(withChksum=777630929)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype(withChksum=777630929) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32m_mulhsu_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_mulhsu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3600360100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value202100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_mulhsu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6561
auto[1]6491
auto[2]6091
auto[3]5781
auto[4]6831
auto[5]6081
auto[6]6011
auto[7]6241
auto[8]5971
auto[9]6041
auto[10]6421
auto[11]6491
auto[12]6521
auto[13]6491
auto[14]6021
auto[15]6341
auto[16]6731
auto[17]6461
auto[18]6601
auto[19]6211
auto[20]6981
auto[21]6681
auto[22]6251
auto[23]6151
auto[24]6571
auto[25]6971
auto[26]6491
auto[27]7011
auto[28]6421
auto[29]6031
auto[30]7011
auto[31]7381

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6131
auto[1]6511
auto[2]6051
auto[3]6201
auto[4]6251
auto[5]6651
auto[6]6911
auto[7]6271
auto[8]6851
auto[9]6151
auto[10]6391
auto[11]6441
auto[12]6621
auto[13]7081
auto[14]6561
auto[15]6021
auto[16]5981
auto[17]6401
auto[18]6201
auto[19]6541
auto[20]6351
auto[21]6591
auto[22]6221
auto[23]6851
auto[24]7031
auto[25]6201
auto[26]6721
auto[27]6011
auto[28]6621
auto[29]6321
auto[30]7051
auto[31]6151

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7031
auto[1]6701
auto[2]5841
auto[3]6851
auto[4]7691
auto[5]5791
auto[6]7771
auto[7]6771
auto[8]6141
auto[9]6321
auto[10]6451
auto[11]6101
auto[12]5931
auto[13]6221
auto[14]6491
auto[15]6301
auto[16]5971
auto[17]6381
auto[18]6201
auto[19]6011
auto[20]5661
auto[21]7051
auto[22]6471
auto[23]6441
auto[24]6351
auto[25]6371
auto[26]6471
auto[27]7151
auto[28]6621
auto[29]5881
auto[30]6501
auto[31]6401

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00751
RD_01691
RD_02661
RD_03551
RD_04741
RD_05641
RD_06611
RD_07701
RD_08601
RD_09551
RD_0a711
RD_0b741
RD_0c631
RD_0d651
RD_0e631
RD_0f641
RD_10631
RD_11701
RD_12741
RD_13561
RD_14501
RD_15721
RD_16621
RD_17561
RD_18761
RD_19621
RD_1a661
RD_1b681
RD_1c831
RD_1d571
RD_1e651
RD_1f671

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00621
RD_01711
RD_02711
RD_03631
RD_04671
RD_05751
RD_06551
RD_07661
RD_08581
RD_09601
RD_0a701
RD_0b731
RD_0c711
RD_0d731
RD_0e601
RD_0f671
RD_10641
RD_11571
RD_12781
RD_13591
RD_14451
RD_15661
RD_16621
RD_17631
RD_18691
RD_19521
RD_1a721
RD_1b831
RD_1c831
RD_1d731
RD_1e701
RD_1f781

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71171
auto_POSITIVE71141
auto_NEGATIVE64001

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71131
auto_NON_ZERO135181

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO133631
auto_POSITIVE27601
auto_NEGATIVE45081

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164001
BIT30_141781
BIT29_141531
BIT28_141091
BIT27_139671
BIT26_139701
BIT25_139351
BIT24_139941
BIT23_138861
BIT22_138851
BIT21_140491
BIT20_139141
BIT19_139121
BIT18_139591
BIT17_139011
BIT16_141851
BIT15_152711
BIT14_149081
BIT13_153481
BIT12_150711
BIT11_156641
BIT10_157621
BIT9_149461
BIT8_143431
BIT7_152221
BIT6_146811
BIT5_148351
BIT4_163901
BIT3_163291
BIT2_163991
BIT1_149731
BIT0_157811
BIT31_0142311
BIT30_0164531
BIT29_0164781
BIT28_0165221
BIT27_0166641
BIT26_0166611
BIT25_0166961
BIT24_0166371
BIT23_0167451
BIT22_0167461
BIT21_0165821
BIT20_0167171
BIT19_0167191
BIT18_0166721
BIT17_0167301
BIT16_0164461
BIT15_0153601
BIT14_0157231
BIT13_0152831
BIT12_0155601
BIT11_0149671
BIT10_0148691
BIT9_0156851
BIT8_0162881
BIT7_0154091
BIT6_0159501
BIT5_0157961
BIT4_0142411
BIT3_0143021
BIT2_0142321
BIT1_0156581
BIT0_0148501

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164261
BIT30_141431
BIT29_140831
BIT28_141021
BIT27_140441
BIT26_139791
BIT25_139071
BIT24_139841
BIT23_139791
BIT22_139531
BIT21_138861
BIT20_139001
BIT19_139571
BIT18_139381
BIT17_139441
BIT16_142241
BIT15_151191
BIT14_151161
BIT13_152941
BIT12_150541
BIT11_156181
BIT10_157031
BIT9_149471
BIT8_144091
BIT7_154031
BIT6_148181
BIT5_148341
BIT4_161621
BIT3_162371
BIT2_163381
BIT1_149771
BIT0_158001
BIT31_0142051
BIT30_0164881
BIT29_0165481
BIT28_0165291
BIT27_0165871
BIT26_0166521
BIT25_0167241
BIT24_0166471
BIT23_0166521
BIT22_0166781
BIT21_0167451
BIT20_0167311
BIT19_0166741
BIT18_0166931
BIT17_0166871
BIT16_0164071
BIT15_0155121
BIT14_0155151
BIT13_0153371
BIT12_0155771
BIT11_0150131
BIT10_0149281
BIT9_0156841
BIT8_0162221
BIT7_0152281
BIT6_0158131
BIT5_0157971
BIT4_0144691
BIT3_0143941
BIT2_0142931
BIT1_0156541
BIT0_0148311

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_145081
BIT30_140091
BIT29_137901
BIT28_137971
BIT27_137471
BIT26_137691
BIT25_138171
BIT24_137751
BIT23_137571
BIT22_137951
BIT21_138401
BIT20_138651
BIT19_138041
BIT18_138691
BIT17_137631
BIT16_138191
BIT15_139571
BIT14_139651
BIT13_139811
BIT12_139951
BIT11_140151
BIT10_140281
BIT9_140111
BIT8_139531
BIT7_139651
BIT6_140501
BIT5_139701
BIT4_141331
BIT3_141301
BIT2_141541
BIT1_141111
BIT0_140521
BIT31_0161231
BIT30_0166221
BIT29_0168411
BIT28_0168341
BIT27_0168841
BIT26_0168621
BIT25_0168141
BIT24_0168561
BIT23_0168741
BIT22_0168361
BIT21_0167911
BIT20_0167661
BIT19_0168271
BIT18_0167621
BIT17_0168681
BIT16_0168121
BIT15_0166741
BIT14_0166661
BIT13_0166501
BIT12_0166361
BIT11_0166161
BIT10_0166031
BIT9_0166201
BIT8_0166781
BIT7_0166661
BIT6_0165811
BIT5_0166611
BIT4_0164981
BIT3_0165011
BIT2_0164771
BIT1_0165201
BIT0_0165791

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(2 bins)
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO33031
auto_ZEROauto_NON_ZERO38141
auto_POSITIVEauto_ZERO19181
auto_POSITIVEauto_NON_ZERO51961
auto_NEGATIVEauto_ZERO18921
auto_NEGATIVEauto_NON_ZERO45081

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp50.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp50.html new file mode 100644 index 00000000..6cc51071 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp50.html @@ -0,0 +1,2177 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype_clmulh + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype_clmulh
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype_clmulh +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbc_clmulh_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbc_clmulh_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3560356100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle62062100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmulh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5701
auto[1]5481
auto[2]5551
auto[3]5341
auto[4]5381
auto[5]5361
auto[6]5461
auto[7]5131
auto[8]5351
auto[9]5851
auto[10]5571
auto[11]5521
auto[12]5311
auto[13]5531
auto[14]5201
auto[15]5771
auto[16]5801
auto[17]5551
auto[18]5461
auto[19]5301
auto[20]5771
auto[21]6041
auto[22]5521
auto[23]5211
auto[24]4961
auto[25]5521
auto[26]5541
auto[27]6101
auto[28]5191
auto[29]5461
auto[30]5811
auto[31]5371

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5251
auto[1]5731
auto[2]5481
auto[3]5621
auto[4]5431
auto[5]5651
auto[6]5451
auto[7]4961
auto[8]5641
auto[9]5551
auto[10]5461
auto[11]6451
auto[12]5331
auto[13]5631
auto[14]5741
auto[15]5501
auto[16]5661
auto[17]5241
auto[18]5391
auto[19]5491
auto[20]5161
auto[21]5781
auto[22]5321
auto[23]5701
auto[24]5381
auto[25]5481
auto[26]6091
auto[27]5161
auto[28]5481
auto[29]5001
auto[30]5471
auto[31]5431

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6241
auto[1]5761
auto[2]5031
auto[3]6001
auto[4]5141
auto[5]5741
auto[6]5421
auto[7]5101
auto[8]5181
auto[9]5441
auto[10]5291
auto[11]5461
auto[12]5081
auto[13]5711
auto[14]5561
auto[15]5751
auto[16]5431
auto[17]5061
auto[18]5441
auto[19]5311
auto[20]5671
auto[21]5201
auto[22]5441
auto[23]5421
auto[24]5551
auto[25]5251
auto[26]5751
auto[27]5651
auto[28]6431
auto[29]5461
auto[30]5311
auto[31]5831

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00691
RD_01681
RD_02731
RD_03651
RD_04541
RD_05631
RD_06561
RD_07431
RD_08611
RD_09491
RD_0a571
RD_0b711
RD_0c521
RD_0d731
RD_0e691
RD_0f721
RD_10691
RD_11601
RD_12571
RD_13631
RD_14761
RD_15641
RD_16661
RD_17711
RD_18571
RD_19581
RD_1a661
RD_1b591
RD_1c641
RD_1d691
RD_1e671
RD_1f641

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01721
RD_02611
RD_03631
RD_04561
RD_05691
RD_06611
RD_07471
RD_08611
RD_09591
RD_0a661
RD_0b731
RD_0c571
RD_0d681
RD_0e661
RD_0f691
RD_10681
RD_11521
RD_12671
RD_13771
RD_14741
RD_15691
RD_16651
RD_17581
RD_18591
RD_19611
RD_1a641
RD_1b631
RD_1c741
RD_1d661
RD_1e661
RD_1f611

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61831
auto_NON_ZERO114271

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60041
auto_NON_ZERO116061

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO116241
auto_NON_ZERO59861

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152131
BIT30_134181
BIT29_133761
BIT28_134021
BIT27_132651
BIT26_132561
BIT25_132441
BIT24_132671
BIT23_132411
BIT22_131891
BIT21_132161
BIT20_132441
BIT19_132241
BIT18_132051
BIT17_132411
BIT16_134521
BIT15_141771
BIT14_140971
BIT13_143441
BIT12_141871
BIT11_146201
BIT10_146231
BIT9_141561
BIT8_136571
BIT7_144271
BIT6_140781
BIT5_140671
BIT4_153341
BIT3_153001
BIT2_153201
BIT1_142311
BIT0_148891
BIT31_0123971
BIT30_0141921
BIT29_0142341
BIT28_0142081
BIT27_0143451
BIT26_0143541
BIT25_0143661
BIT24_0143431
BIT23_0143691
BIT22_0144211
BIT21_0143941
BIT20_0143661
BIT19_0143861
BIT18_0144051
BIT17_0143691
BIT16_0141581
BIT15_0134331
BIT14_0135131
BIT13_0132661
BIT12_0134231
BIT11_0129901
BIT10_0129871
BIT9_0134541
BIT8_0139531
BIT7_0131831
BIT6_0135321
BIT5_0135431
BIT4_0122761
BIT3_0123101
BIT2_0122901
BIT1_0133791
BIT0_0127211

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_154061
BIT30_136281
BIT29_135701
BIT28_136521
BIT27_134931
BIT26_134631
BIT25_134681
BIT24_134511
BIT23_134391
BIT22_134781
BIT21_134351
BIT20_134361
BIT19_134521
BIT18_134631
BIT17_134691
BIT16_137111
BIT15_144191
BIT14_142641
BIT13_145411
BIT12_143861
BIT11_148061
BIT10_148031
BIT9_143331
BIT8_137561
BIT7_146011
BIT6_141821
BIT5_143221
BIT4_153641
BIT3_154651
BIT2_154541
BIT1_143391
BIT0_148711
BIT31_0122041
BIT30_0139821
BIT29_0140401
BIT28_0139581
BIT27_0141171
BIT26_0141471
BIT25_0141421
BIT24_0141591
BIT23_0141711
BIT22_0141321
BIT21_0141751
BIT20_0141741
BIT19_0141581
BIT18_0141471
BIT17_0141411
BIT16_0138991
BIT15_0131911
BIT14_0133461
BIT13_0130691
BIT12_0132241
BIT11_0128041
BIT10_0128071
BIT9_0132771
BIT8_0138541
BIT7_0130091
BIT6_0134281
BIT5_0132881
BIT4_0122461
BIT3_0121451
BIT2_0121561
BIT1_0132711
BIT0_0127391

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins62062100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT30_121081
BIT29_110961
BIT28_118471
BIT27_111111
BIT26_118291
BIT25_111701
BIT24_118841
BIT23_112141
BIT22_118351
BIT21_112671
BIT20_118631
BIT19_112421
BIT18_118951
BIT17_113051
BIT16_119021
BIT15_114281
BIT14_120101
BIT13_114681
BIT12_120841
BIT11_116341
BIT10_120491
BIT9_117561
BIT8_123081
BIT7_117731
BIT6_124271
BIT5_120351
BIT4_126081
BIT3_124481
BIT2_129101
BIT1_125951
BIT0_130161
BIT30_0155021
BIT29_0165141
BIT28_0157631
BIT27_0164991
BIT26_0157811
BIT25_0164401
BIT24_0157261
BIT23_0163961
BIT22_0157751
BIT21_0163431
BIT20_0157471
BIT19_0163681
BIT18_0157151
BIT17_0163051
BIT16_0157081
BIT15_0161821
BIT14_0156001
BIT13_0161421
BIT12_0155261
BIT11_0159761
BIT10_0155611
BIT9_0158541
BIT8_0153021
BIT7_0158371
BIT6_0151831
BIT5_0155751
BIT4_0150021
BIT3_0151621
BIT2_0147001
BIT1_0150151
BIT0_0145941

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29091
auto_ZEROauto_NON_ZERO32741
auto_NON_ZEROauto_ZERO30951
auto_NON_ZEROauto_NON_ZERO83321

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp51.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp51.html new file mode 100644 index 00000000..672b4f51 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp51.html @@ -0,0 +1,4061 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_stype + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_stype
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_stype +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+3 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_sb_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_sh_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_sw_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sb_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sb_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2190219100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sb_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_imms_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_imms_toggle24024100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sb_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sh_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sh_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2190219100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_imms_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_imms_toggle24024100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sh_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sw_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sw_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2190219100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sw_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_imms_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_imms_toggle24024100.00100110
cp_align_halfword00010
cp_align_word00010

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sw_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]11
auto[1]22911
auto[2]265231
auto[3]24011
auto[4]23471
auto[5]22001
auto[6]22361
auto[7]22751
auto[8]21991
auto[9]20511
auto[10]19091
auto[11]20161
auto[12]21581
auto[13]21101
auto[14]20571
auto[15]21031
auto[16]23071
auto[17]23251
auto[18]21661
auto[19]22821
auto[20]21931
auto[21]23411
auto[22]21481
auto[23]20001
auto[24]22821
auto[25]20811
auto[26]21941
auto[27]21131
auto[28]23021
auto[29]21921
auto[30]19961
auto[31]21401

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]28351
auto[1]28321
auto[2]27961
auto[3]28291
auto[4]28051
auto[5]29131
auto[6]28811
auto[7]28311
auto[8]28551
auto[9]28331
auto[10]28501
auto[11]29411
auto[12]28271
auto[13]28621
auto[14]29691
auto[15]28011
auto[16]28521
auto[17]29331
auto[18]28231
auto[19]28531
auto[20]29431
auto[21]27981
auto[22]28561
auto[23]29031
auto[24]28571
auto[25]28581
auto[26]29871
auto[27]29561
auto[28]29081
auto[29]29271
auto[30]29061
auto[31]29191

+
+
+Summary for Variable cp_imms_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imms_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO7511
auto_POSITIVE452311
auto_NEGATIVE459571

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1919351
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1348581
BIT15_1689861
BIT14_1337351
BIT13_1584511
BIT12_1516591
BIT11_1460081
BIT10_1460321
BIT9_1460451
BIT8_1454971
BIT7_1460931
BIT6_1456601
BIT5_1460651
BIT4_1458841
BIT3_1464341
BIT2_1463081
BIT1_1457121
BIT0_1462781
BIT31_041
BIT30_0919381
BIT29_0919381
BIT28_0919381
BIT27_0919381
BIT26_0919381
BIT25_0919381
BIT24_0919381
BIT23_0919381
BIT22_0919381
BIT21_0919381
BIT20_0919381
BIT19_0919381
BIT18_0919381
BIT17_0919381
BIT16_0570811
BIT15_0229531
BIT14_0582041
BIT13_0334881
BIT12_0402801
BIT11_0459311
BIT10_0459071
BIT9_0458941
BIT8_0464421
BIT7_0458461
BIT6_0462791
BIT5_0458741
BIT4_0460551
BIT3_0455051
BIT2_0456311
BIT1_0462271
BIT0_0456611

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1319031
BIT30_1149791
BIT29_1148091
BIT28_1149231
BIT27_1141911
BIT26_1142211
BIT25_1138821
BIT24_1138701
BIT23_1140411
BIT22_1140211
BIT21_1139231
BIT20_1141491
BIT19_1141741
BIT18_1142251
BIT17_1139091
BIT16_1172241
BIT15_1245541
BIT14_1216811
BIT13_1269861
BIT12_1220601
BIT11_1261211
BIT10_1263531
BIT9_1237291
BIT8_1187821
BIT7_1286131
BIT6_1251801
BIT5_1256801
BIT4_1329191
BIT3_1339271
BIT2_1338671
BIT1_1263811
BIT0_1266231
BIT31_0600361
BIT30_0769601
BIT29_0771301
BIT28_0770161
BIT27_0777481
BIT26_0777181
BIT25_0780571
BIT24_0780691
BIT23_0778981
BIT22_0779181
BIT21_0780161
BIT20_0777901
BIT19_0777651
BIT18_0777141
BIT17_0780301
BIT16_0747151
BIT15_0673851
BIT14_0702581
BIT13_0649531
BIT12_0698791
BIT11_0658181
BIT10_0655861
BIT9_0682101
BIT8_0731571
BIT7_0633261
BIT6_0667591
BIT5_0662591
BIT4_0590201
BIT3_0580121
BIT2_0580721
BIT1_0655581
BIT0_0653161

+
+
+Summary for Variable cp_imms_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imms_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1459571
BIT10_1461291
BIT9_1459891
BIT8_1461671
BIT7_1460661
BIT6_1463001
BIT5_1462331
BIT4_1466401
BIT3_1453611
BIT2_1461671
BIT1_1459541
BIT0_1453601
BIT11_0459821
BIT10_0458101
BIT9_0459501
BIT8_0457721
BIT7_0458731
BIT6_0456391
BIT5_0457061
BIT4_0452991
BIT3_0465781
BIT2_0457721
BIT1_0459851
BIT0_0465791

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]11
auto[1]6911
auto[2]83631
auto[3]7421
auto[4]7881
auto[5]6871
auto[6]7141
auto[7]6981
auto[8]7301
auto[9]6321
auto[10]6261
auto[11]6331
auto[12]7151
auto[13]6261
auto[14]6691
auto[15]7151
auto[16]7631
auto[17]7201
auto[18]6671
auto[19]7171
auto[20]6841
auto[21]8071
auto[22]7101
auto[23]6431
auto[24]7161
auto[25]6941
auto[26]6371
auto[27]6871
auto[28]6941
auto[29]6571
auto[30]6661
auto[31]6881

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]9051
auto[1]9321
auto[2]9181
auto[3]9321
auto[4]9181
auto[5]9001
auto[6]8641
auto[7]8581
auto[8]9221
auto[9]8851
auto[10]8911
auto[11]9201
auto[12]9241
auto[13]9091
auto[14]9521
auto[15]9351
auto[16]8721
auto[17]9431
auto[18]8821
auto[19]9401
auto[20]8901
auto[21]8541
auto[22]9071
auto[23]9481
auto[24]9011
auto[25]8761
auto[26]9671
auto[27]9201
auto[28]9381
auto[29]8881
auto[30]9241
auto[31]9651

+
+
+Summary for Variable cp_imms_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imms_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2111
auto_POSITIVE144101
auto_NEGATIVE145591

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1291791
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1111201
BIT15_1218021
BIT14_1106031
BIT13_1185891
BIT12_1164021
BIT11_1145561
BIT10_1146691
BIT9_1146321
BIT8_1144541
BIT7_1144791
BIT6_1144981
BIT5_1146531
BIT4_1144661
BIT3_1147881
BIT2_1145881
BIT1_1147031
BIT0_1145981
BIT31_011
BIT30_0291791
BIT29_0291791
BIT28_0291791
BIT27_0291791
BIT26_0291791
BIT25_0291791
BIT24_0291791
BIT23_0291791
BIT22_0291791
BIT21_0291791
BIT20_0291791
BIT19_0291791
BIT18_0291791
BIT17_0291791
BIT16_0180601
BIT15_073781
BIT14_0185771
BIT13_0105911
BIT12_0127781
BIT11_0146241
BIT10_0145111
BIT9_0145481
BIT8_0147261
BIT7_0147011
BIT6_0146821
BIT5_0145271
BIT4_0147141
BIT3_0143921
BIT2_0145921
BIT1_0144771
BIT0_0145821

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1101621
BIT30_146921
BIT29_147351
BIT28_147131
BIT27_144471
BIT26_144781
BIT25_144041
BIT24_143581
BIT23_144301
BIT22_143831
BIT21_144281
BIT20_144901
BIT19_144841
BIT18_144761
BIT17_144231
BIT16_156131
BIT15_178751
BIT14_169301
BIT13_186251
BIT12_170181
BIT11_182981
BIT10_183871
BIT9_175681
BIT8_158991
BIT7_190391
BIT6_179031
BIT5_182381
BIT4_1104591
BIT3_1108411
BIT2_1106171
BIT1_184051
BIT0_185971
BIT31_0190181
BIT30_0244881
BIT29_0244451
BIT28_0244671
BIT27_0247331
BIT26_0247021
BIT25_0247761
BIT24_0248221
BIT23_0247501
BIT22_0247971
BIT21_0247521
BIT20_0246901
BIT19_0246961
BIT18_0247041
BIT17_0247571
BIT16_0235671
BIT15_0213051
BIT14_0222501
BIT13_0205551
BIT12_0221621
BIT11_0208821
BIT10_0207931
BIT9_0216121
BIT8_0232811
BIT7_0201411
BIT6_0212771
BIT5_0209421
BIT4_0187211
BIT3_0183391
BIT2_0185631
BIT1_0207751
BIT0_0205831

+
+
+Summary for Variable cp_imms_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imms_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1145591
BIT10_1147121
BIT9_1145641
BIT8_1146641
BIT7_1146431
BIT6_1147641
BIT5_1145151
BIT4_1148801
BIT3_1144701
BIT2_1144091
BIT1_1146961
BIT0_1145981
BIT11_0146211
BIT10_0144681
BIT9_0146161
BIT8_0145161
BIT7_0145371
BIT6_0144161
BIT5_0146651
BIT4_0143001
BIT3_0147101
BIT2_0147711
BIT1_0144841
BIT0_0145821

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]11
auto[1]2981
auto[2]102841
auto[3]3391
auto[4]455471
auto[5]392761
auto[6]235201
auto[7]381671
auto[8]363971
auto[9]276991
auto[10]227861
auto[11]193041
auto[12]204741
auto[13]487901
auto[14]306091
auto[15]401041
auto[16]390701
auto[17]437631
auto[18]630121
auto[19]594391
auto[20]778891
auto[21]407701
auto[22]227181
auto[23]537841
auto[24]1406031
auto[25]342521
auto[26]428081
auto[27]305051
auto[28]522871
auto[29]292031
auto[30]344021
auto[31]382711

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]4081
auto[1]7161
auto[2]373231
auto[3]27151
auto[4]331681
auto[5]276521
auto[6]581991
auto[7]328241
auto[8]361901
auto[9]348551
auto[10]300541
auto[11]284381
auto[12]246821
auto[13]315631
auto[14]329941
auto[15]301021
auto[16]334321
auto[17]376341
auto[18]607611
auto[19]413341
auto[20]642051
auto[21]593501
auto[22]368681
auto[23]413111
auto[24]690781
auto[25]548171
auto[26]418401
auto[27]327001
auto[28]359641
auto[29]756641
auto[30]374521
auto[31]420781

+
+
+Summary for Variable cp_imms_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imms_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2415821
auto_POSITIVE8294131
auto_NEGATIVE1353761

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_112063701
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_18001
BIT16_148571
BIT15_112009111
BIT14_168101
BIT13_16883681
BIT12_15760481
BIT11_111435421
BIT10_110702671
BIT9_11868261
BIT8_11085861
BIT7_14550491
BIT6_1787981
BIT5_1127971
BIT4_111070801
BIT3_110628821
BIT2_11447821
BIT1_1989581
BIT0_163291
BIT31_011
BIT30_012063701
BIT29_012063701
BIT28_012063701
BIT27_012063701
BIT26_012063701
BIT25_012063701
BIT24_012063701
BIT23_012063701
BIT22_012063701
BIT21_012063701
BIT20_012063701
BIT19_012063701
BIT18_012063701
BIT17_012055711
BIT16_012015141
BIT15_054601
BIT14_011995611
BIT13_05180031
BIT12_06303231
BIT11_0628291
BIT10_01361041
BIT9_010195451
BIT8_010977851
BIT7_07513221
BIT6_011275731
BIT5_011935741
BIT4_0992911
BIT3_01434891
BIT2_010615891
BIT1_011074131
BIT0_012000421

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_14483961
BIT30_11565811
BIT29_11544941
BIT28_11534951
BIT27_11515751
BIT26_11440981
BIT25_11443661
BIT24_11484581
BIT23_11518651
BIT22_11496011
BIT21_11450441
BIT20_11477361
BIT19_11412801
BIT18_11530421
BIT17_11513941
BIT16_11559511
BIT15_11833251
BIT14_14132251
BIT13_13111731
BIT12_12739721
BIT11_14124221
BIT10_14265371
BIT9_13518781
BIT8_12077681
BIT7_12273561
BIT6_12178561
BIT5_12098691
BIT4_14042611
BIT3_14075631
BIT2_14061351
BIT1_12568911
BIT0_13616931
BIT31_07579751
BIT30_010497901
BIT29_010518771
BIT28_010528761
BIT27_010547961
BIT26_010622731
BIT25_010620051
BIT24_010579131
BIT23_010545061
BIT22_010567701
BIT21_010613271
BIT20_010586351
BIT19_010650911
BIT18_010533291
BIT17_010549771
BIT16_010504201
BIT15_010230461
BIT14_07931461
BIT13_08951981
BIT12_09323991
BIT11_07939491
BIT10_07798341
BIT9_08544931
BIT8_09986031
BIT7_09790151
BIT6_09885151
BIT5_09965021
BIT4_08021101
BIT3_07988081
BIT2_08002361
BIT1_09494801
BIT0_08446781

+
+
+Summary for Variable cp_imms_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imms_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_11353761
BIT10_1869851
BIT9_11192011
BIT8_11076051
BIT7_11462261
BIT6_1760301
BIT5_12062671
BIT4_11143821
BIT3_16237821
BIT2_16274941
BIT1_1990991
BIT0_163291
BIT11_010709951
BIT10_011193861
BIT9_010871701
BIT8_010987661
BIT7_010601451
BIT6_011303411
BIT5_010001041
BIT4_010919891
BIT3_05825891
BIT2_05788771
BIT1_011072721
BIT0_012000421

+
+
+Summary for Variable cp_align_halfword +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_halfword +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED0Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Variable cp_align_word +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins000

+
+User Defined Bins for cp_align_word +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
UNALIGNED_10Excluded
UNALIGNED_20Excluded
UNALIGNED_30Excluded
ALIGNED0Excluded
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp52.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp52.html new file mode 100644 index 00000000..5cc72c0c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp52.html @@ -0,0 +1,1193 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_lh + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_lh
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_lh +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.83 99.831 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_lh_cg 99.831 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_lh_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
99.831 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_lh_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables161116099.83

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_lh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rd_value303100.00100110
cp_imm_value202100.00100110
cp_c_rs1808100.00100118
cp_c_rd808100.00100118
cp_c_rd_rs1_hazard808100.00100110
cp_rs1_toggle6416398.44 100110
cp_rd_toggle64064100.00100110
cp_imm_toggle202100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO1301

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO411
auto_POSITIVE511
auto_NEGATIVE391

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO631
auto_NON_ZERO681

+
+
+Summary for Variable cp_c_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]191
auto[1]221
auto[2]121
auto[3]81
auto[4]301
auto[5]141
auto[6]121
auto[7]141

+
+
+Summary for Variable cp_c_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]111
auto[1]181
auto[2]101
auto[3]161
auto[4]151
auto[5]251
auto[6]241
auto[7]121

+
+
+Summary for Variable cp_c_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins808100.00

+
+User Defined Bins for cp_c_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_011
RD_111
RD_211
RD_321
RD_411
RD_511
RD_611
RD_711

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins6416398.44

+
+User Defined Bins for cp_rs1_toggle +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
BIT0_1011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11301
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_1471
BIT15_11041
BIT14_1491
BIT13_1641
BIT12_1671
BIT11_1491
BIT10_1621
BIT9_1501
BIT8_1691
BIT7_1641
BIT6_1541
BIT5_1521
BIT4_1491
BIT3_1581
BIT2_1621
BIT1_1561
BIT31_011
BIT30_01301
BIT29_01301
BIT28_01301
BIT27_01301
BIT26_01301
BIT25_01301
BIT24_01301
BIT23_01301
BIT22_01301
BIT21_01301
BIT20_01301
BIT19_01301
BIT18_01301
BIT17_01301
BIT16_0841
BIT15_0271
BIT14_0821
BIT13_0671
BIT12_0641
BIT11_0821
BIT10_0691
BIT9_0811
BIT8_0621
BIT7_0671
BIT6_0771
BIT5_0791
BIT4_0821
BIT3_0731
BIT2_0691
BIT1_0751
BIT0_01311

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1391
BIT30_1391
BIT29_1391
BIT28_1391
BIT27_1391
BIT26_1391
BIT25_1391
BIT24_1391
BIT23_1391
BIT22_1391
BIT21_1391
BIT20_1391
BIT19_1391
BIT18_1391
BIT17_1391
BIT16_1391
BIT15_1391
BIT14_1481
BIT13_1331
BIT12_1391
BIT11_1371
BIT10_1461
BIT9_1341
BIT8_1311
BIT7_1491
BIT6_1381
BIT5_1391
BIT4_1381
BIT3_1341
BIT2_1381
BIT1_1351
BIT0_1561
BIT31_0921
BIT30_0921
BIT29_0921
BIT28_0921
BIT27_0921
BIT26_0921
BIT25_0921
BIT24_0921
BIT23_0921
BIT22_0921
BIT21_0921
BIT20_0921
BIT19_0921
BIT18_0921
BIT17_0921
BIT16_0921
BIT15_0921
BIT14_0831
BIT13_0981
BIT12_0921
BIT11_0941
BIT10_0851
BIT9_0971
BIT8_01001
BIT7_0821
BIT6_0931
BIT5_0921
BIT4_0931
BIT3_0971
BIT2_0931
BIT1_0961
BIT0_0751

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
BIT0_1681
BIT0_0631

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp53.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp53.html new file mode 100644 index 00000000..59a9d3aa --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp53.html @@ -0,0 +1,3431 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zb_rstype_count + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zb_rstype_count
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zb_rstype_count +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+3 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbb_clz_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_cpop_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_ctz_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_clz_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1760176100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle12012100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_clz_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_cpop_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1760176100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle12012100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_cpop_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_ctz_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1760176100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs32032100.001001132
cp_rd32032100.001001132
cp_rd_rs_hazard32032100.00100110
cp_rs_value202100.00100110
cp_rd_value202100.00100110
cp_rs_toggle64064100.00100110
cp_rd_toggle12012100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_ctz_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs00010

+
+
+
+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21831
auto[1]5051
auto[2]5221
auto[3]4711
auto[4]4801
auto[5]4721
auto[6]4851
auto[7]4771
auto[8]4891
auto[9]4971
auto[10]4811
auto[11]5151
auto[12]4941
auto[13]4621
auto[14]5441
auto[15]4571
auto[16]5191
auto[17]4971
auto[18]5211
auto[19]5211
auto[20]5201
auto[21]5221
auto[22]5051
auto[23]4781
auto[24]5251
auto[25]5551
auto[26]4911
auto[27]4591
auto[28]4881
auto[29]4781
auto[30]5201
auto[31]4951

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22281
auto[1]4941
auto[2]4211
auto[3]5521
auto[4]5131
auto[5]5041
auto[6]5081
auto[7]5041
auto[8]4911
auto[9]4571
auto[10]5321
auto[11]5501
auto[12]4771
auto[13]5121
auto[14]5361
auto[15]4691
auto[16]4911
auto[17]4961
auto[18]4531
auto[19]4891
auto[20]4711
auto[21]4821
auto[22]4951
auto[23]5371
auto[24]4851
auto[25]5511
auto[26]5211
auto[27]4571
auto[28]4861
auto[29]4381
auto[30]4961
auto[31]5321

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016941
RD_01131
RD_02101
RD_03111
RD_04131
RD_05161
RD_06201
RD_07211
RD_08131
RD_09161
RD_0a121
RD_0b141
RD_0c121
RD_0d151
RD_0e141
RD_0f71
RD_10131
RD_11201
RD_12171
RD_13181
RD_14151
RD_15171
RD_16101
RD_17231
RD_18141
RD_19161
RD_1a151
RD_1b211
RD_1c141
RD_1d201
RD_1e161
RD_1f171

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO69811
auto_NON_ZERO106471

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO48971
auto_NON_ZERO127311

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_148971
BIT30_131331
BIT29_131471
BIT28_131101
BIT27_130441
BIT26_130211
BIT25_130091
BIT24_129701
BIT23_130051
BIT22_130091
BIT21_130171
BIT20_130291
BIT19_130331
BIT18_130961
BIT17_130501
BIT16_132451
BIT15_139661
BIT14_138951
BIT13_140321
BIT12_139001
BIT11_143221
BIT10_143951
BIT9_139451
BIT8_134181
BIT7_141961
BIT6_136261
BIT5_138881
BIT4_149501
BIT3_149681
BIT2_149301
BIT1_139091
BIT0_143721
BIT31_0127311
BIT30_0144951
BIT29_0144811
BIT28_0145181
BIT27_0145841
BIT26_0146071
BIT25_0146191
BIT24_0146581
BIT23_0146231
BIT22_0146191
BIT21_0146111
BIT20_0145991
BIT19_0145951
BIT18_0145321
BIT17_0145781
BIT16_0143831
BIT15_0136621
BIT14_0137331
BIT13_0135961
BIT12_0137281
BIT11_0133061
BIT10_0132331
BIT9_0136831
BIT8_0142101
BIT7_0134321
BIT6_0140021
BIT5_0137401
BIT4_0126781
BIT3_0126601
BIT2_0126981
BIT1_0137191
BIT0_0132561

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_169811
BIT4_139231
BIT3_132211
BIT2_126261
BIT1_129781
BIT0_134311
BIT5_0106471
BIT4_0137051
BIT3_0144071
BIT2_0150021
BIT1_0146501
BIT0_0141971

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21071
auto[1]5431
auto[2]4781
auto[3]4951
auto[4]5601
auto[5]5261
auto[6]5301
auto[7]4961
auto[8]4921
auto[9]5531
auto[10]4511
auto[11]4971
auto[12]5101
auto[13]5661
auto[14]4571
auto[15]5071
auto[16]4991
auto[17]4991
auto[18]5161
auto[19]4831
auto[20]5091
auto[21]5011
auto[22]4791
auto[23]5131
auto[24]4831
auto[25]5291
auto[26]4801
auto[27]5061
auto[28]5111
auto[29]4901
auto[30]4791
auto[31]5221

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21351
auto[1]5491
auto[2]4861
auto[3]5211
auto[4]4901
auto[5]5061
auto[6]5111
auto[7]5031
auto[8]4941
auto[9]4861
auto[10]5091
auto[11]4791
auto[12]5251
auto[13]4891
auto[14]4691
auto[15]5121
auto[16]5011
auto[17]5191
auto[18]5281
auto[19]4771
auto[20]5631
auto[21]5051
auto[22]5111
auto[23]5151
auto[24]4881
auto[25]5141
auto[26]4651
auto[27]5491
auto[28]4701
auto[29]5091
auto[30]4921
auto[31]4971

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016071
RD_01231
RD_02231
RD_03131
RD_04131
RD_05191
RD_06221
RD_0791
RD_08111
RD_09261
RD_0a81
RD_0b211
RD_0c221
RD_0d151
RD_0e101
RD_0f141
RD_10121
RD_11201
RD_12201
RD_13221
RD_14211
RD_15191
RD_16171
RD_17181
RD_1881
RD_19251
RD_1a171
RD_1b131
RD_1c171
RD_1d131
RD_1e121
RD_1f191

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68891
auto_NON_ZERO108781

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68891
auto_NON_ZERO108781

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149391
BIT30_131671
BIT29_131631
BIT28_131211
BIT27_130681
BIT26_130671
BIT25_129871
BIT24_130491
BIT23_130441
BIT22_130571
BIT21_130351
BIT20_130411
BIT19_130491
BIT18_130191
BIT17_130511
BIT16_132211
BIT15_139811
BIT14_138201
BIT13_140741
BIT12_139631
BIT11_143581
BIT10_144321
BIT9_139291
BIT8_133631
BIT7_142681
BIT6_137111
BIT5_140171
BIT4_150371
BIT3_151291
BIT2_150801
BIT1_140201
BIT0_144681
BIT31_0128281
BIT30_0146001
BIT29_0146041
BIT28_0146461
BIT27_0146991
BIT26_0147001
BIT25_0147801
BIT24_0147181
BIT23_0147231
BIT22_0147101
BIT21_0147321
BIT20_0147261
BIT19_0147181
BIT18_0147481
BIT17_0147161
BIT16_0145461
BIT15_0137861
BIT14_0139471
BIT13_0136931
BIT12_0138041
BIT11_0134091
BIT10_0133351
BIT9_0138381
BIT8_0144041
BIT7_0134991
BIT6_0140561
BIT5_0137501
BIT4_0127301
BIT3_0126381
BIT2_0126871
BIT1_0137471
BIT0_0132991

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_15801
BIT4_123701
BIT3_141701
BIT2_135231
BIT1_142331
BIT0_163301
BIT5_0171871
BIT4_0153971
BIT3_0135971
BIT2_0142441
BIT1_0135341
BIT0_0114371

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20311
auto[1]5091
auto[2]5461
auto[3]4991
auto[4]4921
auto[5]5451
auto[6]5141
auto[7]5101
auto[8]4851
auto[9]5091
auto[10]5091
auto[11]5011
auto[12]4451
auto[13]5021
auto[14]4561
auto[15]4771
auto[16]5011
auto[17]4851
auto[18]4901
auto[19]4881
auto[20]5491
auto[21]5051
auto[22]4971
auto[23]5341
auto[24]4711
auto[25]5221
auto[26]4871
auto[27]4781
auto[28]4991
auto[29]4691
auto[30]5111
auto[31]4781

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20821
auto[1]5261
auto[2]4911
auto[3]5461
auto[4]4541
auto[5]4241
auto[6]5161
auto[7]5111
auto[8]5001
auto[9]5111
auto[10]5161
auto[11]4551
auto[12]5161
auto[13]4771
auto[14]5351
auto[15]5201
auto[16]5041
auto[17]5211
auto[18]4601
auto[19]4821
auto[20]4781
auto[21]4881
auto[22]4701
auto[23]4561
auto[24]4921
auto[25]5371
auto[26]5091
auto[27]5231
auto[28]4721
auto[29]5361
auto[30]4901
auto[31]4961

+
+
+Summary for Variable cp_rd_rs_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015511
RD_01251
RD_02141
RD_03151
RD_04171
RD_05131
RD_06141
RD_07171
RD_08141
RD_09141
RD_0a151
RD_0b91
RD_0c171
RD_0d141
RD_0e181
RD_0f81
RD_10151
RD_11221
RD_12121
RD_1381
RD_14221
RD_15131
RD_16131
RD_17121
RD_18171
RD_19231
RD_1a191
RD_1b201
RD_1c151
RD_1d181
RD_1e181
RD_1f131

+
+
+Summary for Variable cp_rs_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO66631
auto_NON_ZERO108311

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO44051
auto_NON_ZERO130891

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_149041
BIT30_131371
BIT29_131841
BIT28_131751
BIT27_131161
BIT26_130381
BIT25_130531
BIT24_130781
BIT23_130391
BIT22_130441
BIT21_130081
BIT20_130231
BIT19_130391
BIT18_130541
BIT17_130341
BIT16_132511
BIT15_140151
BIT14_138671
BIT13_140801
BIT12_139941
BIT11_144031
BIT10_144041
BIT9_138581
BIT8_133871
BIT7_142531
BIT6_137391
BIT5_139531
BIT4_150111
BIT3_150281
BIT2_150031
BIT1_138971
BIT0_144051
BIT31_0125901
BIT30_0143571
BIT29_0143101
BIT28_0143191
BIT27_0143781
BIT26_0144561
BIT25_0144411
BIT24_0144161
BIT23_0144551
BIT22_0144501
BIT21_0144861
BIT20_0144711
BIT19_0144551
BIT18_0144401
BIT17_0144601
BIT16_0142431
BIT15_0134791
BIT14_0136271
BIT13_0134141
BIT12_0135001
BIT11_0130911
BIT10_0130901
BIT9_0136361
BIT8_0141071
BIT7_0132411
BIT6_0137551
BIT5_0135411
BIT4_0124831
BIT3_0124661
BIT2_0124911
BIT1_0135971
BIT0_0130891

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_166631
BIT4_16401
BIT3_110801
BIT2_117661
BIT1_132981
BIT0_133231
BIT5_0108311
BIT4_0168541
BIT3_0164141
BIT2_0157281
BIT1_0141961
BIT0_0141711

+
+
+Summary for Cross cross_rd_rs +
+
+Samples crossed: cp_rd cp_rs
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp54.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp54.html new file mode 100644 index 00000000..1c22ecd0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp54.html @@ -0,0 +1,5657 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_shift + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_shift
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_shift +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+3 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_slli_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_srai_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_srli_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_slli_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_slli_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2600260100.00
Crosses64064100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_slli_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value32032100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_slli_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value64064100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_srai_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_srai_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2600260100.00
Crosses64064100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_srai_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value32032100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_srai_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value64064100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_srli_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_srli_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2600260100.00
Crosses64064100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_srli_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value32032100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_srli_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]20981
auto[1]5691
auto[2]6111
auto[3]5981
auto[4]5851
auto[5]5761
auto[6]5721
auto[7]5661
auto[8]5391
auto[9]5021
auto[10]5921
auto[11]5511
auto[12]5971
auto[13]5931
auto[14]5641
auto[15]7361
auto[16]6201
auto[17]5551
auto[18]5361
auto[19]5211
auto[20]7111
auto[21]5611
auto[22]5831
auto[23]5851
auto[24]6171
auto[25]5481
auto[26]6411
auto[27]5461
auto[28]5651
auto[29]6141
auto[30]5931
auto[31]5451

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21611
auto[1]6181
auto[2]5331
auto[3]6341
auto[4]5991
auto[5]5751
auto[6]6041
auto[7]6191
auto[8]5581
auto[9]5381
auto[10]5951
auto[11]5771
auto[12]7091
auto[13]5781
auto[14]5881
auto[15]4791
auto[16]5261
auto[17]5141
auto[18]5631
auto[19]5761
auto[20]6051
auto[21]5561
auto[22]5431
auto[23]5791
auto[24]5521
auto[25]6511
auto[26]5861
auto[27]6111
auto[28]5861
auto[29]6141
auto[30]5731
auto[31]5901

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015721
RD_0121
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0821
RD_0911
RD_0a11
RD_0b21
RD_0c31
RD_0d11
RD_0e11
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1921
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO75361
auto_NON_ZERO126541

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_immi_value +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_006471
SHAMT_016121
SHAMT_026981
SHAMT_036151
SHAMT_046651
SHAMT_056901
SHAMT_065841
SHAMT_075861
SHAMT_086281
SHAMT_096211
SHAMT_0a6151
SHAMT_0b5891
SHAMT_0c6591
SHAMT_0d5981
SHAMT_0e6151
SHAMT_0f6311
SHAMT_106601
SHAMT_116401
SHAMT_127601
SHAMT_136401
SHAMT_146671
SHAMT_156151
SHAMT_166161
SHAMT_176231
SHAMT_186131
SHAMT_196361
SHAMT_1a5501
SHAMT_1b6871
SHAMT_1c5981
SHAMT_1d5941
SHAMT_1e6061
SHAMT_1f6321

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO89371
auto_NON_ZERO112531

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_160121
BIT30_137101
BIT29_137211
BIT28_137041
BIT27_136361
BIT26_136771
BIT25_136141
BIT24_135291
BIT23_136811
BIT22_137051
BIT21_136791
BIT20_136461
BIT19_137601
BIT18_136451
BIT17_135411
BIT16_137361
BIT15_148751
BIT14_146691
BIT13_150591
BIT12_147221
BIT11_151761
BIT10_151861
BIT9_144271
BIT8_139301
BIT7_149921
BIT6_142371
BIT5_143201
BIT4_159331
BIT3_158681
BIT2_157361
BIT1_143521
BIT0_150131
BIT31_0141781
BIT30_0164801
BIT29_0164691
BIT28_0164861
BIT27_0165541
BIT26_0165131
BIT25_0165761
BIT24_0166611
BIT23_0165091
BIT22_0164851
BIT21_0165111
BIT20_0165441
BIT19_0164301
BIT18_0165451
BIT17_0166491
BIT16_0164541
BIT15_0153151
BIT14_0155211
BIT13_0151311
BIT12_0154681
BIT11_0150141
BIT10_0150041
BIT9_0157631
BIT8_0162601
BIT7_0151981
BIT6_0159531
BIT5_0158701
BIT4_0142571
BIT3_0143221
BIT2_0144541
BIT1_0158381
BIT0_0151771

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_143931
BIT30_142241
BIT29_139691
BIT28_138801
BIT27_139451
BIT26_136681
BIT25_135361
BIT24_134761
BIT23_133461
BIT22_131701
BIT21_130311
BIT20_130451
BIT19_128721
BIT18_129701
BIT17_126841
BIT16_126061
BIT15_124781
BIT14_122841
BIT13_120511
BIT12_120241
BIT11_118221
BIT10_117021
BIT9_115221
BIT8_114691
BIT7_112481
BIT6_111651
BIT5_19981
BIT4_18591
BIT3_16301
BIT2_14901
BIT1_13021
BIT0_11531
BIT31_0157971
BIT30_0159661
BIT29_0162211
BIT28_0163101
BIT27_0162451
BIT26_0165221
BIT25_0166541
BIT24_0167141
BIT23_0168441
BIT22_0170201
BIT21_0171591
BIT20_0171451
BIT19_0173181
BIT18_0172201
BIT17_0175061
BIT16_0175841
BIT15_0177121
BIT14_0179061
BIT13_0181391
BIT12_0181661
BIT11_0183681
BIT10_0184881
BIT9_0186681
BIT8_0187211
BIT7_0189421
BIT6_0190251
BIT5_0191921
BIT4_0193311
BIT3_0195601
BIT2_0197001
BIT1_0198881
BIT0_0200371

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins64064100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_POSITIVE , auto_NEGATIVE][SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f]--Excluded(64 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROSHAMT_002571
auto_ZEROSHAMT_012431
auto_ZEROSHAMT_022471
auto_ZEROSHAMT_032311
auto_ZEROSHAMT_042481
auto_ZEROSHAMT_052511
auto_ZEROSHAMT_062331
auto_ZEROSHAMT_072201
auto_ZEROSHAMT_082381
auto_ZEROSHAMT_092581
auto_ZEROSHAMT_0a2221
auto_ZEROSHAMT_0b2351
auto_ZEROSHAMT_0c2281
auto_ZEROSHAMT_0d2221
auto_ZEROSHAMT_0e2331
auto_ZEROSHAMT_0f2261
auto_ZEROSHAMT_102511
auto_ZEROSHAMT_112491
auto_ZEROSHAMT_122231
auto_ZEROSHAMT_132321
auto_ZEROSHAMT_142491
auto_ZEROSHAMT_152331
auto_ZEROSHAMT_162431
auto_ZEROSHAMT_172191
auto_ZEROSHAMT_182341
auto_ZEROSHAMT_192341
auto_ZEROSHAMT_1a2091
auto_ZEROSHAMT_1b2711
auto_ZEROSHAMT_1c2481
auto_ZEROSHAMT_1d2261
auto_ZEROSHAMT_1e2061
auto_ZEROSHAMT_1f2171
auto_NON_ZEROSHAMT_003901
auto_NON_ZEROSHAMT_013691
auto_NON_ZEROSHAMT_024511
auto_NON_ZEROSHAMT_033841
auto_NON_ZEROSHAMT_044171
auto_NON_ZEROSHAMT_054391
auto_NON_ZEROSHAMT_063511
auto_NON_ZEROSHAMT_073661
auto_NON_ZEROSHAMT_083901
auto_NON_ZEROSHAMT_093631
auto_NON_ZEROSHAMT_0a3931
auto_NON_ZEROSHAMT_0b3541
auto_NON_ZEROSHAMT_0c4311
auto_NON_ZEROSHAMT_0d3761
auto_NON_ZEROSHAMT_0e3821
auto_NON_ZEROSHAMT_0f4051
auto_NON_ZEROSHAMT_104091
auto_NON_ZEROSHAMT_113911
auto_NON_ZEROSHAMT_125371
auto_NON_ZEROSHAMT_134081
auto_NON_ZEROSHAMT_144181
auto_NON_ZEROSHAMT_153821
auto_NON_ZEROSHAMT_163731
auto_NON_ZEROSHAMT_174041
auto_NON_ZEROSHAMT_183791
auto_NON_ZEROSHAMT_194021
auto_NON_ZEROSHAMT_1a3411
auto_NON_ZEROSHAMT_1b4161
auto_NON_ZEROSHAMT_1c3501
auto_NON_ZEROSHAMT_1d3681
auto_NON_ZEROSHAMT_1e4001
auto_NON_ZEROSHAMT_1f4151

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22131
auto[1]6861
auto[2]5201
auto[3]5871
auto[4]5581
auto[5]5891
auto[6]6021
auto[7]5861
auto[8]5951
auto[9]5141
auto[10]5771
auto[11]5391
auto[12]5501
auto[13]5561
auto[14]6371
auto[15]5581
auto[16]5501
auto[17]6021
auto[18]6001
auto[19]5831
auto[20]5971
auto[21]5601
auto[22]5531
auto[23]5751
auto[24]6541
auto[25]6341
auto[26]6091
auto[27]5971
auto[28]6241
auto[29]6541
auto[30]5741
auto[31]5591

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21741
auto[1]6401
auto[2]5101
auto[3]6271
auto[4]5821
auto[5]5501
auto[6]6471
auto[7]6091
auto[8]5901
auto[9]5641
auto[10]5361
auto[11]5551
auto[12]5801
auto[13]6681
auto[14]5411
auto[15]5151
auto[16]6011
auto[17]5841
auto[18]5881
auto[19]5411
auto[20]5691
auto[21]5661
auto[22]5741
auto[23]5761
auto[24]5361
auto[25]6431
auto[26]5741
auto[27]7751
auto[28]5841
auto[29]6711
auto[30]6021
auto[31]5201

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015691
RD_01251
RD_02211
RD_03171
RD_04141
RD_05151
RD_06201
RD_07201
RD_0811
RD_0921
RD_0a41
RD_0b11
RD_0c11
RD_0d11
RD_0e21
RD_0f11
RD_10131
RD_11261
RD_12151
RD_13171
RD_14211
RD_15111
RD_16211
RD_17211
RD_18241
RD_19211
RD_1a211
RD_1b161
RD_1c231
RD_1d191
RD_1e201
RD_1f131

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO77621
auto_NON_ZERO126301

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_immi_value +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_006941
SHAMT_016441
SHAMT_026111
SHAMT_036091
SHAMT_047561
SHAMT_056481
SHAMT_066211
SHAMT_076311
SHAMT_085781
SHAMT_097381
SHAMT_0a6271
SHAMT_0b6371
SHAMT_0c5711
SHAMT_0d6101
SHAMT_0e6351
SHAMT_0f7251
SHAMT_106101
SHAMT_116451
SHAMT_127291
SHAMT_136591
SHAMT_145841
SHAMT_156511
SHAMT_165671
SHAMT_176221
SHAMT_186051
SHAMT_196091
SHAMT_1a5981
SHAMT_1b6181
SHAMT_1c6321
SHAMT_1d5941
SHAMT_1e6511
SHAMT_1f6831

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO116111
auto_NON_ZERO87811

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_159571
BIT30_137921
BIT29_137511
BIT28_137901
BIT27_136921
BIT26_137961
BIT25_136741
BIT24_136571
BIT23_136791
BIT22_136951
BIT21_136831
BIT20_137381
BIT19_137031
BIT18_138341
BIT17_136821
BIT16_138811
BIT15_148111
BIT14_146061
BIT13_148671
BIT12_147811
BIT11_151111
BIT10_151901
BIT9_145761
BIT8_141131
BIT7_149541
BIT6_144491
BIT5_144861
BIT4_158251
BIT3_158831
BIT2_158591
BIT1_145451
BIT0_152761
BIT31_0144351
BIT30_0166001
BIT29_0166411
BIT28_0166021
BIT27_0167001
BIT26_0165961
BIT25_0167181
BIT24_0167351
BIT23_0167131
BIT22_0166971
BIT21_0167091
BIT20_0166541
BIT19_0166891
BIT18_0165581
BIT17_0167101
BIT16_0165111
BIT15_0155811
BIT14_0157861
BIT13_0155251
BIT12_0156111
BIT11_0152811
BIT10_0152021
BIT9_0158161
BIT8_0162791
BIT7_0154381
BIT6_0159431
BIT5_0159061
BIT4_0145671
BIT3_0145091
BIT2_0145331
BIT1_0158471
BIT0_0151161

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_159571
BIT30_158921
BIT29_158531
BIT28_157591
BIT27_156961
BIT26_156211
BIT25_155141
BIT24_154881
BIT23_153981
BIT22_153181
BIT21_152351
BIT20_151651
BIT19_150991
BIT18_150281
BIT17_149501
BIT16_148701
BIT15_147791
BIT14_147581
BIT13_147611
BIT12_147691
BIT11_147111
BIT10_145851
BIT9_146611
BIT8_145661
BIT7_146211
BIT6_145981
BIT5_146201
BIT4_145231
BIT3_145131
BIT2_143661
BIT1_144041
BIT0_145821
BIT31_0144351
BIT30_0145001
BIT29_0145391
BIT28_0146331
BIT27_0146961
BIT26_0147711
BIT25_0148781
BIT24_0149041
BIT23_0149941
BIT22_0150741
BIT21_0151571
BIT20_0152271
BIT19_0152931
BIT18_0153641
BIT17_0154421
BIT16_0155221
BIT15_0156131
BIT14_0156341
BIT13_0156311
BIT12_0156231
BIT11_0156811
BIT10_0158071
BIT9_0157311
BIT8_0158261
BIT7_0157711
BIT6_0157941
BIT5_0157721
BIT4_0158691
BIT3_0158791
BIT2_0160261
BIT1_0159881
BIT0_0158101

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins64064100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_POSITIVE , auto_NEGATIVE][SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f]--Excluded(64 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROSHAMT_002851
auto_ZEROSHAMT_012351
auto_ZEROSHAMT_022251
auto_ZEROSHAMT_032381
auto_ZEROSHAMT_042921
auto_ZEROSHAMT_052371
auto_ZEROSHAMT_062471
auto_ZEROSHAMT_072261
auto_ZEROSHAMT_082221
auto_ZEROSHAMT_093141
auto_ZEROSHAMT_0a2431
auto_ZEROSHAMT_0b2181
auto_ZEROSHAMT_0c2201
auto_ZEROSHAMT_0d2231
auto_ZEROSHAMT_0e2121
auto_ZEROSHAMT_0f2401
auto_ZEROSHAMT_102141
auto_ZEROSHAMT_112561
auto_ZEROSHAMT_122371
auto_ZEROSHAMT_132521
auto_ZEROSHAMT_142271
auto_ZEROSHAMT_152401
auto_ZEROSHAMT_162121
auto_ZEROSHAMT_172421
auto_ZEROSHAMT_182551
auto_ZEROSHAMT_192461
auto_ZEROSHAMT_1a2521
auto_ZEROSHAMT_1b2191
auto_ZEROSHAMT_1c2571
auto_ZEROSHAMT_1d2291
auto_ZEROSHAMT_1e2681
auto_ZEROSHAMT_1f2791
auto_NON_ZEROSHAMT_004091
auto_NON_ZEROSHAMT_014091
auto_NON_ZEROSHAMT_023861
auto_NON_ZEROSHAMT_033711
auto_NON_ZEROSHAMT_044641
auto_NON_ZEROSHAMT_054111
auto_NON_ZEROSHAMT_063741
auto_NON_ZEROSHAMT_074051
auto_NON_ZEROSHAMT_083561
auto_NON_ZEROSHAMT_094241
auto_NON_ZEROSHAMT_0a3841
auto_NON_ZEROSHAMT_0b4191
auto_NON_ZEROSHAMT_0c3511
auto_NON_ZEROSHAMT_0d3871
auto_NON_ZEROSHAMT_0e4231
auto_NON_ZEROSHAMT_0f4851
auto_NON_ZEROSHAMT_103961
auto_NON_ZEROSHAMT_113891
auto_NON_ZEROSHAMT_124921
auto_NON_ZEROSHAMT_134071
auto_NON_ZEROSHAMT_143571
auto_NON_ZEROSHAMT_154111
auto_NON_ZEROSHAMT_163551
auto_NON_ZEROSHAMT_173801
auto_NON_ZEROSHAMT_183501
auto_NON_ZEROSHAMT_193631
auto_NON_ZEROSHAMT_1a3461
auto_NON_ZEROSHAMT_1b3991
auto_NON_ZEROSHAMT_1c3751
auto_NON_ZEROSHAMT_1d3651
auto_NON_ZEROSHAMT_1e3831
auto_NON_ZEROSHAMT_1f4041

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]23121
auto[1]5991
auto[2]62921
auto[3]5661
auto[4]56211
auto[5]60381
auto[6]129951
auto[7]72891
auto[8]5651
auto[9]6061
auto[10]5421
auto[11]5771
auto[12]5751
auto[13]5681
auto[14]5711
auto[15]5701
auto[16]59441
auto[17]74991
auto[18]57811
auto[19]112061
auto[20]184791
auto[21]201081
auto[22]104931
auto[23]76601
auto[24]58291
auto[25]140121
auto[26]115691
auto[27]59021
auto[28]43191
auto[29]303351
auto[30]97551
auto[31]57281

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22761
auto[1]6871
auto[2]62141
auto[3]6421
auto[4]56541
auto[5]59591
auto[6]128451
auto[7]73431
auto[8]6991
auto[9]6651
auto[10]5801
auto[11]5701
auto[12]5921
auto[13]5861
auto[14]5191
auto[15]6011
auto[16]59681
auto[17]75271
auto[18]58171
auto[19]111191
auto[20]184831
auto[21]200441
auto[22]105361
auto[23]76511
auto[24]57681
auto[25]140001
auto[26]116171
auto[27]59041
auto[28]43521
auto[29]303731
auto[30]97241
auto[31]55901

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016871
RD_01201
RD_0256921
RD_03121
RD_0451061
RD_0553931
RD_06122731
RD_0767351
RD_0811
RD_0931
RD_0a11
RD_0b21
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1054021
RD_1169131
RD_1252191
RD_13105671
RD_14179331
RD_15195161
RD_1699291
RD_1771141
RD_1852071
RD_19134701
RD_1a109981
RD_1b53541
RD_1c37911
RD_1d297331
RD_1e91401
RD_1f50331

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO80731
auto_NON_ZERO2128321

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_immi_value +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_006781
SHAMT_016201
SHAMT_026741
SHAMT_036721
SHAMT_046051
SHAMT_055971
SHAMT_066471
SHAMT_076291
SHAMT_086731
SHAMT_097681
SHAMT_0a6861
SHAMT_0b6221
SHAMT_0c5931
SHAMT_0d6231
SHAMT_0e5711
SHAMT_0f6551
SHAMT_106391
SHAMT_117071
SHAMT_126221
SHAMT_135661
SHAMT_146351
SHAMT_157021
SHAMT_166031
SHAMT_176211
SHAMT_185991
SHAMT_196831
SHAMT_1a8361
SHAMT_1b6621
SHAMT_1c6251
SHAMT_1d6521
SHAMT_1e6311
SHAMT_1f2008091

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO554651
auto_NON_ZERO1654401

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11627011
BIT30_138701
BIT29_137871
BIT28_138831
BIT27_138171
BIT26_136121
BIT25_135631
BIT24_136731
BIT23_135611
BIT22_135491
BIT21_136701
BIT20_135841
BIT19_136141
BIT18_136201
BIT17_135511
BIT16_139091
BIT15_148851
BIT14_147551
BIT13_150811
BIT12_146781
BIT11_153391
BIT10_154791
BIT9_146291
BIT8_140031
BIT7_149901
BIT6_143341
BIT5_144611
BIT4_159381
BIT3_11070541
BIT2_1751501
BIT1_11974551
BIT0_11701931
BIT31_0582041
BIT30_02170351
BIT29_02171181
BIT28_02170221
BIT27_02170881
BIT26_02172931
BIT25_02173421
BIT24_02172321
BIT23_02173441
BIT22_02173561
BIT21_02172351
BIT20_02173211
BIT19_02172911
BIT18_02172851
BIT17_02173541
BIT16_02169961
BIT15_02160201
BIT14_02161501
BIT13_02158241
BIT12_02162271
BIT11_02155661
BIT10_02154261
BIT9_02162761
BIT8_02169021
BIT7_02159151
BIT6_02165711
BIT5_02164441
BIT4_02149671
BIT3_01138511
BIT2_01457551
BIT1_0234501
BIT0_0507121

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_11841
BIT30_13021
BIT29_13941
BIT28_15181
BIT27_16281
BIT26_17361
BIT25_18831
BIT24_19771
BIT23_111171
BIT22_113111
BIT21_113841
BIT20_114521
BIT19_115711
BIT18_117001
BIT17_117151
BIT16_117991
BIT15_120761
BIT14_121241
BIT13_123391
BIT12_124761
BIT11_125401
BIT10_127841
BIT9_129461
BIT8_129721
BIT7_132901
BIT6_135081
BIT5_135351
BIT4_138051
BIT3_139731
BIT2_140591
BIT1_142001
BIT0_11610631
BIT31_02207211
BIT30_02206031
BIT29_02205111
BIT28_02203871
BIT27_02202771
BIT26_02201691
BIT25_02200221
BIT24_02199281
BIT23_02197881
BIT22_02195941
BIT21_02195211
BIT20_02194531
BIT19_02193341
BIT18_02192051
BIT17_02191901
BIT16_02191061
BIT15_02188291
BIT14_02187811
BIT13_02185661
BIT12_02184291
BIT11_02183651
BIT10_02181211
BIT9_02179591
BIT8_02179331
BIT7_02176151
BIT6_02173971
BIT5_02173701
BIT4_02171001
BIT3_02169321
BIT2_02168461
BIT1_02167051
BIT0_0598421

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins64064100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_POSITIVE , auto_NEGATIVE][SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f]--Excluded(64 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROSHAMT_002721
auto_ZEROSHAMT_012311
auto_ZEROSHAMT_022831
auto_ZEROSHAMT_032611
auto_ZEROSHAMT_042471
auto_ZEROSHAMT_052411
auto_ZEROSHAMT_062411
auto_ZEROSHAMT_072311
auto_ZEROSHAMT_082611
auto_ZEROSHAMT_092781
auto_ZEROSHAMT_0a2511
auto_ZEROSHAMT_0b2351
auto_ZEROSHAMT_0c2141
auto_ZEROSHAMT_0d2441
auto_ZEROSHAMT_0e2181
auto_ZEROSHAMT_0f2701
auto_ZEROSHAMT_102501
auto_ZEROSHAMT_112651
auto_ZEROSHAMT_122211
auto_ZEROSHAMT_132281
auto_ZEROSHAMT_142521
auto_ZEROSHAMT_152521
auto_ZEROSHAMT_162221
auto_ZEROSHAMT_172381
auto_ZEROSHAMT_182341
auto_ZEROSHAMT_192341
auto_ZEROSHAMT_1a4191
auto_ZEROSHAMT_1b2551
auto_ZEROSHAMT_1c2631
auto_ZEROSHAMT_1d2521
auto_ZEROSHAMT_1e2371
auto_ZEROSHAMT_1f2731
auto_NON_ZEROSHAMT_004061
auto_NON_ZEROSHAMT_013891
auto_NON_ZEROSHAMT_023911
auto_NON_ZEROSHAMT_034111
auto_NON_ZEROSHAMT_043581
auto_NON_ZEROSHAMT_053561
auto_NON_ZEROSHAMT_064061
auto_NON_ZEROSHAMT_073981
auto_NON_ZEROSHAMT_084121
auto_NON_ZEROSHAMT_094901
auto_NON_ZEROSHAMT_0a4351
auto_NON_ZEROSHAMT_0b3871
auto_NON_ZEROSHAMT_0c3791
auto_NON_ZEROSHAMT_0d3791
auto_NON_ZEROSHAMT_0e3531
auto_NON_ZEROSHAMT_0f3851
auto_NON_ZEROSHAMT_103891
auto_NON_ZEROSHAMT_114421
auto_NON_ZEROSHAMT_124011
auto_NON_ZEROSHAMT_133381
auto_NON_ZEROSHAMT_143831
auto_NON_ZEROSHAMT_154501
auto_NON_ZEROSHAMT_163811
auto_NON_ZEROSHAMT_173831
auto_NON_ZEROSHAMT_183651
auto_NON_ZEROSHAMT_194491
auto_NON_ZEROSHAMT_1a4171
auto_NON_ZEROSHAMT_1b4071
auto_NON_ZEROSHAMT_1c3621
auto_NON_ZEROSHAMT_1d4001
auto_NON_ZEROSHAMT_1e3941
auto_NON_ZEROSHAMT_1f2005361

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp55.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp55.html new file mode 100644 index 00000000..4be8d67d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp55.html @@ -0,0 +1,710 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ci_li + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ci_li
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ci_li +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_li_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_li_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_li_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1070107100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_li_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd31031100.001001132
cp_rd_toggle64064100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_rd +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RD_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]13991
auto[2]64471
auto[3]57761
auto[4]59591
auto[5]67781
auto[6]148471
auto[7]70431
auto[8]87161
auto[9]81551
auto[10]117101
auto[11]93021
auto[12]79131
auto[13]91961
auto[14]115771
auto[15]97661
auto[16]67541
auto[17]85071
auto[18]62861
auto[19]112051
auto[20]178441
auto[21]204791
auto[22]119261
auto[23]86731
auto[24]70861
auto[25]156641
auto[26]125971
auto[27]62831
auto[28]55301
auto[29]315311
auto[30]100511
auto[31]75401

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_169811
BIT30_169811
BIT29_169811
BIT28_169811
BIT27_169811
BIT26_169811
BIT25_169811
BIT24_169811
BIT23_169811
BIT22_169811
BIT21_169811
BIT20_169811
BIT19_169811
BIT18_169811
BIT17_169811
BIT16_169811
BIT15_169811
BIT14_169811
BIT13_169811
BIT12_169811
BIT11_169811
BIT10_169811
BIT9_169811
BIT8_169811
BIT7_169811
BIT6_169811
BIT5_169811
BIT4_195701
BIT3_1169131
BIT2_1167861
BIT1_1767401
BIT0_1791671
BIT31_03068851
BIT30_03068851
BIT29_03068851
BIT28_03068851
BIT27_03068851
BIT26_03068851
BIT25_03068851
BIT24_03068851
BIT23_03068851
BIT22_03068851
BIT21_03068851
BIT20_03068851
BIT19_03068851
BIT18_03068851
BIT17_03068851
BIT16_03068851
BIT15_03068851
BIT14_03068851
BIT13_03068851
BIT12_03068851
BIT11_03068851
BIT10_03068851
BIT9_03068851
BIT8_03068851
BIT7_03068851
BIT6_03068851
BIT5_03068851
BIT4_03042961
BIT3_02969531
BIT2_02970801
BIT1_02371261
BIT0_02346991

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_169811
BIT4_195701
BIT3_1169131
BIT2_1167861
BIT1_1767401
BIT0_1791671
BIT5_03068851
BIT4_03042961
BIT3_02969531
BIT2_02970801
BIT1_02371261
BIT0_02346991

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp56.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp56.html new file mode 100644 index 00000000..dc05cfba --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp56.html @@ -0,0 +1,7655 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_btype + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_btype
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_btype +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+6 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_beq_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_bge_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_bgeu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_blt_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_bltu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_bne_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_beq_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_beq_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2210221100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_beq_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_immb_value303100.00100110
cp_branch_taken202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_immb_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_beq_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_bge_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_bge_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2210221100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_bge_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_immb_value303100.00100110
cp_branch_taken202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_immb_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_bge_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_bgeu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2210221100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_immb_value303100.00100110
cp_branch_taken202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_immb_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_bgeu_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_blt_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_blt_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2210221100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_blt_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_immb_value303100.00100110
cp_branch_taken202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_immb_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_blt_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_bltu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2210221100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_immb_value303100.00100110
cp_branch_taken202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_immb_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_bltu_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_bne_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_bne_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2210221100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_bne_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_immb_value303100.00100110
cp_branch_taken202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_immb_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_bne_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2541
auto[1]2121
auto[2]42491
auto[3]2081
auto[4]43421
auto[5]76401
auto[6]133611
auto[7]67461
auto[8]78961
auto[9]62151
auto[10]114881
auto[11]73151
auto[12]62091
auto[13]82421
auto[14]116131
auto[15]87181
auto[16]44711
auto[17]74641
auto[18]46271
auto[19]117811
auto[20]196291
auto[21]238491
auto[22]117171
auto[23]73301
auto[24]52971
auto[25]155861
auto[26]128051
auto[27]41121
auto[28]23751
auto[29]383011
auto[30]91291
auto[31]49181

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1471
auto[1]2521
auto[2]123021
auto[3]2141
auto[4]56391
auto[5]47671
auto[6]138331
auto[7]75931
auto[8]135461
auto[9]74691
auto[10]88931
auto[11]94081
auto[12]57511
auto[13]99961
auto[14]79261
auto[15]22091
auto[16]97401
auto[17]80311
auto[18]404151
auto[19]19901
auto[20]136141
auto[21]59261
auto[22]60771
auto[23]108821
auto[24]107621
auto[25]102921
auto[26]53531
auto[27]95321
auto[28]119121
auto[29]67041
auto[30]70751
auto[31]198491

+
+
+Summary for Variable cp_immb_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immb_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE2880811
auto_NEGATIVE171

+
+
+Summary for Variable cp_branch_taken +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_branch_taken +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
TAKEN2086051
NOT_TAKEN794941

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_12813261
BIT30_111941
BIT29_111801
BIT28_112111
BIT27_111731
BIT26_111681
BIT25_111431
BIT24_111471
BIT23_111261
BIT22_111451
BIT21_111321
BIT20_111441
BIT19_111701
BIT18_111411
BIT17_111451
BIT16_112441
BIT15_115241
BIT14_116061
BIT13_117661
BIT12_114441
BIT11_118431
BIT10_119661
BIT9_115781
BIT8_114021
BIT7_117231
BIT6_113871
BIT5_115621
BIT4_121091
BIT3_11333791
BIT2_11498871
BIT1_12807261
BIT0_12808111
BIT31_067731
BIT30_02869051
BIT29_02869191
BIT28_02868881
BIT27_02869261
BIT26_02869311
BIT25_02869561
BIT24_02869521
BIT23_02869731
BIT22_02869541
BIT21_02869671
BIT20_02869551
BIT19_02869291
BIT18_02869581
BIT17_02869541
BIT16_02868551
BIT15_02865751
BIT14_02864931
BIT13_02863331
BIT12_02866551
BIT11_02862561
BIT10_02861331
BIT9_02865211
BIT8_02866971
BIT7_02863761
BIT6_02867121
BIT5_02865371
BIT4_02859901
BIT3_01547201
BIT2_01382121
BIT1_073731
BIT0_072881

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_12813821
BIT30_113751
BIT29_112151
BIT28_111801
BIT27_111741
BIT26_111641
BIT25_111691
BIT24_111461
BIT23_111141
BIT22_111531
BIT21_111331
BIT20_111631
BIT19_111621
BIT18_111651
BIT17_111651
BIT16_112771
BIT15_115131
BIT14_115311
BIT13_117221
BIT12_114761
BIT11_118551
BIT10_119181
BIT9_116171
BIT8_114751
BIT7_118131
BIT6_115171
BIT5_115851
BIT4_120921
BIT3_12073271
BIT2_1759711
BIT1_12807791
BIT0_12809031
BIT31_067171
BIT30_02867241
BIT29_02868841
BIT28_02869191
BIT27_02869251
BIT26_02869351
BIT25_02869301
BIT24_02869531
BIT23_02869851
BIT22_02869461
BIT21_02869661
BIT20_02869361
BIT19_02869371
BIT18_02869341
BIT17_02869341
BIT16_02868221
BIT15_02865861
BIT14_02865681
BIT13_02863771
BIT12_02866231
BIT11_02862441
BIT10_02861811
BIT9_02864821
BIT8_02866241
BIT7_02862861
BIT6_02865821
BIT5_02865141
BIT4_02860071
BIT3_0807721
BIT2_02121281
BIT1_073201
BIT0_071961

+
+
+Summary for Variable cp_immb_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_immb_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1171
BIT10_1171
BIT9_1171
BIT8_1171
BIT7_1421
BIT6_11381
BIT5_110131
BIT4_1766431
BIT3_1770191
BIT2_12815331
BIT1_11409101
BIT0_11687781
BIT11_02880821
BIT10_02880821
BIT9_02880821
BIT8_02880821
BIT7_02880571
BIT6_02879611
BIT5_02870861
BIT4_02114561
BIT3_02110801
BIT2_065661
BIT1_01471891
BIT0_01193211

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1901
auto[1]1921
auto[2]1961
auto[3]1951
auto[4]1911
auto[5]1661
auto[6]2491
auto[7]2241
auto[8]1751
auto[9]1881
auto[10]2131
auto[11]1831
auto[12]2061
auto[13]1891
auto[14]2011
auto[15]2911
auto[16]2301
auto[17]3311
auto[18]1951
auto[19]2001
auto[20]1831
auto[21]1901
auto[22]1891
auto[23]2081
auto[24]1841
auto[25]2111
auto[26]2121
auto[27]1841
auto[28]2581
auto[29]2271
auto[30]2101
auto[31]2071

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2001
auto[1]2081
auto[2]1861
auto[3]2141
auto[4]2151
auto[5]1801
auto[6]1931
auto[7]2001
auto[8]2951
auto[9]1961
auto[10]2091
auto[11]2101
auto[12]3351
auto[13]1701
auto[14]2181
auto[15]2111
auto[16]1801
auto[17]1951
auto[18]1701
auto[19]1691
auto[20]2381
auto[21]1651
auto[22]2231
auto[23]1911
auto[24]1941
auto[25]2471
auto[26]1941
auto[27]2111
auto[28]1751
auto[29]1861
auto[30]2031
auto[31]2871

+
+
+Summary for Variable cp_immb_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immb_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE66601
auto_NEGATIVE71

+
+
+Summary for Variable cp_branch_taken +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_branch_taken +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
TAKEN39101
NOT_TAKEN27581

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_121231
BIT30_112851
BIT29_111741
BIT28_112331
BIT27_111951
BIT26_111451
BIT25_111901
BIT24_112271
BIT23_111291
BIT22_111511
BIT21_111501
BIT20_111471
BIT19_112071
BIT18_111611
BIT17_111921
BIT16_112281
BIT15_115741
BIT14_115731
BIT13_117531
BIT12_114391
BIT11_118691
BIT10_118141
BIT9_115641
BIT8_114291
BIT7_117961
BIT6_114631
BIT5_115021
BIT4_120381
BIT3_120081
BIT2_120251
BIT1_114341
BIT0_118201
BIT31_045451
BIT30_053831
BIT29_054941
BIT28_054351
BIT27_054731
BIT26_055231
BIT25_054781
BIT24_054411
BIT23_055391
BIT22_055171
BIT21_055181
BIT20_055211
BIT19_054611
BIT18_055071
BIT17_054761
BIT16_054401
BIT15_050941
BIT14_050951
BIT13_049151
BIT12_052291
BIT11_047991
BIT10_048541
BIT9_051041
BIT8_052391
BIT7_048721
BIT6_052051
BIT5_051661
BIT4_046301
BIT3_046601
BIT2_046431
BIT1_052341
BIT0_048481

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_122201
BIT30_113401
BIT29_113641
BIT28_113791
BIT27_113361
BIT26_112371
BIT25_112401
BIT24_113181
BIT23_111961
BIT22_111871
BIT21_113101
BIT20_112051
BIT19_112131
BIT18_112241
BIT17_112001
BIT16_113721
BIT15_117281
BIT14_116931
BIT13_119091
BIT12_116341
BIT11_120261
BIT10_119521
BIT9_116361
BIT8_113971
BIT7_117511
BIT6_114601
BIT5_115731
BIT4_122561
BIT3_120881
BIT2_122051
BIT1_116361
BIT0_118471
BIT31_044481
BIT30_053281
BIT29_053041
BIT28_052891
BIT27_053321
BIT26_054311
BIT25_054281
BIT24_053501
BIT23_054721
BIT22_054811
BIT21_053581
BIT20_054631
BIT19_054551
BIT18_054441
BIT17_054681
BIT16_052961
BIT15_049401
BIT14_049751
BIT13_047591
BIT12_050341
BIT11_046421
BIT10_047161
BIT9_050321
BIT8_052711
BIT7_049171
BIT6_052081
BIT5_050951
BIT4_044121
BIT3_045801
BIT2_044631
BIT1_050321
BIT0_048211

+
+
+Summary for Variable cp_immb_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_immb_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_171
BIT10_171
BIT9_171
BIT8_171
BIT7_1181
BIT6_11371
BIT5_110481
BIT4_127081
BIT3_128681
BIT2_132871
BIT1_134781
BIT0_124881
BIT11_066611
BIT10_066611
BIT9_066611
BIT8_066611
BIT7_066501
BIT6_065311
BIT5_056201
BIT4_039601
BIT3_038001
BIT2_033811
BIT1_031901
BIT0_041801

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1971
auto[1]1761
auto[2]1911
auto[3]2581
auto[4]1861
auto[5]1891
auto[6]1841
auto[7]1971
auto[8]1851
auto[9]1901
auto[10]7541
auto[11]1881
auto[12]2091
auto[13]2141
auto[14]1921
auto[15]2001
auto[16]1731
auto[17]2051
auto[18]1801
auto[19]1721
auto[20]2821
auto[21]1971
auto[22]2021
auto[23]2111
auto[24]2001
auto[25]2271
auto[26]2141
auto[27]1791
auto[28]2591
auto[29]1891
auto[30]1721
auto[31]1731

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2171
auto[1]2461
auto[2]2041
auto[3]1811
auto[4]1751
auto[5]1901
auto[6]1951
auto[7]1801
auto[8]1801
auto[9]1801
auto[10]2161
auto[11]7871
auto[12]1881
auto[13]2031
auto[14]1941
auto[15]2561
auto[16]1671
auto[17]1611
auto[18]2271
auto[19]1801
auto[20]2081
auto[21]1791
auto[22]1911
auto[23]1881
auto[24]2271
auto[25]2271
auto[26]2021
auto[27]2101
auto[28]2021
auto[29]1671
auto[30]2141
auto[31]2031

+
+
+Summary for Variable cp_immb_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immb_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO171
auto_POSITIVE69191
auto_NEGATIVE91

+
+
+Summary for Variable cp_branch_taken +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_branch_taken +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
TAKEN38351
NOT_TAKEN31101

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_128021
BIT30_113601
BIT29_113551
BIT28_113421
BIT27_113001
BIT26_113801
BIT25_113241
BIT24_113081
BIT23_112851
BIT22_113141
BIT21_113491
BIT20_113201
BIT19_113201
BIT18_113281
BIT17_113411
BIT16_114321
BIT15_118491
BIT14_120671
BIT13_124451
BIT12_117941
BIT11_120801
BIT10_120891
BIT9_117401
BIT8_115421
BIT7_121611
BIT6_118451
BIT5_118081
BIT4_124871
BIT3_124941
BIT2_124541
BIT1_116421
BIT0_117711
BIT31_041431
BIT30_055851
BIT29_055901
BIT28_056031
BIT27_056451
BIT26_055651
BIT25_056211
BIT24_056371
BIT23_056601
BIT22_056311
BIT21_055961
BIT20_056251
BIT19_056251
BIT18_056171
BIT17_056041
BIT16_055131
BIT15_050961
BIT14_048781
BIT13_045001
BIT12_051511
BIT11_048651
BIT10_048561
BIT9_052051
BIT8_054031
BIT7_047841
BIT6_051001
BIT5_051371
BIT4_044581
BIT3_044511
BIT2_044911
BIT1_053031
BIT0_051741

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_126651
BIT30_111761
BIT29_111681
BIT28_111961
BIT27_112071
BIT26_112181
BIT25_111641
BIT24_111901
BIT23_111611
BIT22_112071
BIT21_112471
BIT20_112451
BIT19_112611
BIT18_112611
BIT17_111821
BIT16_112871
BIT15_116721
BIT14_119971
BIT13_122111
BIT12_117131
BIT11_118421
BIT10_118631
BIT9_116221
BIT8_114201
BIT7_123031
BIT6_115101
BIT5_120721
BIT4_127301
BIT3_120801
BIT2_126881
BIT1_115931
BIT0_116891
BIT31_042801
BIT30_057691
BIT29_057771
BIT28_057491
BIT27_057381
BIT26_057271
BIT25_057811
BIT24_057551
BIT23_057841
BIT22_057381
BIT21_056981
BIT20_057001
BIT19_056841
BIT18_056841
BIT17_057631
BIT16_056581
BIT15_052731
BIT14_049481
BIT13_047341
BIT12_052321
BIT11_051031
BIT10_050821
BIT9_053231
BIT8_055251
BIT7_046421
BIT6_054351
BIT5_048731
BIT4_042151
BIT3_048651
BIT2_042571
BIT1_053521
BIT0_052561

+
+
+Summary for Variable cp_immb_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_immb_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_191
BIT10_191
BIT9_191
BIT8_191
BIT7_1231
BIT6_11361
BIT5_110451
BIT4_126631
BIT3_133681
BIT2_136931
BIT1_132351
BIT0_129711
BIT11_069361
BIT10_069361
BIT9_069361
BIT8_069361
BIT7_069221
BIT6_068091
BIT5_059001
BIT4_042821
BIT3_035771
BIT2_032521
BIT1_037101
BIT0_039741

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1901
auto[1]2141
auto[2]1751
auto[3]2281
auto[4]1791
auto[5]2061
auto[6]1991
auto[7]2001
auto[8]2011
auto[9]1931
auto[10]2041
auto[11]2491
auto[12]2211
auto[13]2111
auto[14]2981
auto[15]1791
auto[16]1881
auto[17]1831
auto[18]1771
auto[19]2021
auto[20]1931
auto[21]2081
auto[22]1841
auto[23]2191
auto[24]2021
auto[25]1891
auto[26]2241
auto[27]1841
auto[28]2101
auto[29]1721
auto[30]1711
auto[31]2061

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]3091
auto[1]2001
auto[2]1931
auto[3]2401
auto[4]1741
auto[5]1861
auto[6]2011
auto[7]1721
auto[8]1851
auto[9]2861
auto[10]2051
auto[11]1891
auto[12]1811
auto[13]1961
auto[14]1681
auto[15]1791
auto[16]2121
auto[17]2051
auto[18]2041
auto[19]1921
auto[20]1981
auto[21]2201
auto[22]1921
auto[23]1711
auto[24]2201
auto[25]2021
auto[26]1901
auto[27]1881
auto[28]1761
auto[29]2101
auto[30]2211
auto[31]1941

+
+
+Summary for Variable cp_immb_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immb_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE64151
auto_NEGATIVE431

+
+
+Summary for Variable cp_branch_taken +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_branch_taken +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
TAKEN28381
NOT_TAKEN36211

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_120901
BIT30_112671
BIT29_112191
BIT28_112651
BIT27_112311
BIT26_112281
BIT25_112221
BIT24_111951
BIT23_112071
BIT22_112021
BIT21_112301
BIT20_112691
BIT19_112191
BIT18_112711
BIT17_112211
BIT16_113271
BIT15_116421
BIT14_115931
BIT13_117531
BIT12_115291
BIT11_118641
BIT10_118471
BIT9_116771
BIT8_114541
BIT7_118341
BIT6_114911
BIT5_114601
BIT4_120971
BIT3_119821
BIT2_119921
BIT1_115121
BIT0_117031
BIT31_043691
BIT30_051921
BIT29_052401
BIT28_051941
BIT27_052281
BIT26_052311
BIT25_052371
BIT24_052641
BIT23_052521
BIT22_052571
BIT21_052291
BIT20_051901
BIT19_052401
BIT18_051881
BIT17_052381
BIT16_051321
BIT15_048171
BIT14_048661
BIT13_047061
BIT12_049301
BIT11_045951
BIT10_046121
BIT9_047821
BIT8_050051
BIT7_046251
BIT6_049681
BIT5_049991
BIT4_043621
BIT3_044771
BIT2_044671
BIT1_049471
BIT0_047561

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_119761
BIT30_111831
BIT29_111781
BIT28_111951
BIT27_111531
BIT26_111851
BIT25_111851
BIT24_111721
BIT23_111361
BIT22_111291
BIT21_110921
BIT20_112471
BIT19_111921
BIT18_112241
BIT17_111891
BIT16_112011
BIT15_116301
BIT14_114511
BIT13_117691
BIT12_114771
BIT11_118411
BIT10_118301
BIT9_116021
BIT8_113801
BIT7_117051
BIT6_113731
BIT5_114731
BIT4_120821
BIT3_120601
BIT2_120211
BIT1_114401
BIT0_117561
BIT31_044831
BIT30_052761
BIT29_052811
BIT28_052641
BIT27_053061
BIT26_052741
BIT25_052741
BIT24_052871
BIT23_053231
BIT22_053301
BIT21_053671
BIT20_052121
BIT19_052671
BIT18_052351
BIT17_052701
BIT16_052581
BIT15_048291
BIT14_050081
BIT13_046901
BIT12_049821
BIT11_046181
BIT10_046291
BIT9_048571
BIT8_050791
BIT7_047541
BIT6_050861
BIT5_049861
BIT4_043771
BIT3_043991
BIT2_044381
BIT1_050191
BIT0_047031

+
+
+Summary for Variable cp_immb_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_immb_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1431
BIT10_1431
BIT9_1431
BIT8_1431
BIT7_1561
BIT6_11691
BIT5_110621
BIT4_128381
BIT3_129761
BIT2_132461
BIT1_132871
BIT0_124791
BIT11_064161
BIT10_064161
BIT9_064161
BIT8_064161
BIT7_064031
BIT6_062901
BIT5_053971
BIT4_036211
BIT3_034831
BIT2_032131
BIT1_031721
BIT0_039801

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2041
auto[1]2341
auto[2]2691
auto[3]1611
auto[4]1781
auto[5]1951
auto[6]2371
auto[7]2011
auto[8]1801
auto[9]3261
auto[10]2021
auto[11]1731
auto[12]1781
auto[13]1911
auto[14]2041
auto[15]1841
auto[16]2411
auto[17]2331
auto[18]2001
auto[19]1571
auto[20]2061
auto[21]2161
auto[22]1981
auto[23]2851
auto[24]2151
auto[25]2391
auto[26]1811
auto[27]1861
auto[28]2061
auto[29]2141
auto[30]2031
auto[31]1891

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1801
auto[1]2441
auto[2]3061
auto[3]2051
auto[4]1991
auto[5]2291
auto[6]1801
auto[7]1831
auto[8]1961
auto[9]2021
auto[10]2151
auto[11]1981
auto[12]2311
auto[13]1651
auto[14]2001
auto[15]2111
auto[16]2051
auto[17]1631
auto[18]3381
auto[19]1951
auto[20]2291
auto[21]1881
auto[22]2111
auto[23]1771
auto[24]1981
auto[25]2041
auto[26]1781
auto[27]2131
auto[28]2191
auto[29]1951
auto[30]1911
auto[31]2381

+
+
+Summary for Variable cp_immb_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immb_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE66371
auto_NEGATIVE481

+
+
+Summary for Variable cp_branch_taken +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_branch_taken +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
TAKEN27821
NOT_TAKEN39041

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_121721
BIT30_112231
BIT29_111971
BIT28_112121
BIT27_111701
BIT26_112051
BIT25_111711
BIT24_111511
BIT23_111051
BIT22_111441
BIT21_111791
BIT20_111741
BIT19_111541
BIT18_111831
BIT17_111481
BIT16_112461
BIT15_115751
BIT14_115901
BIT13_117491
BIT12_114581
BIT11_118721
BIT10_119251
BIT9_116381
BIT8_114601
BIT7_117961
BIT6_114651
BIT5_115821
BIT4_121641
BIT3_120941
BIT2_121561
BIT1_116601
BIT0_117241
BIT31_045141
BIT30_054631
BIT29_054891
BIT28_054741
BIT27_055161
BIT26_054811
BIT25_055151
BIT24_055351
BIT23_055811
BIT22_055421
BIT21_055071
BIT20_055121
BIT19_055321
BIT18_055031
BIT17_055381
BIT16_054401
BIT15_051111
BIT14_050961
BIT13_049371
BIT12_052281
BIT11_048141
BIT10_047611
BIT9_050481
BIT8_052261
BIT7_048901
BIT6_052211
BIT5_051041
BIT4_045221
BIT3_045921
BIT2_045301
BIT1_050261
BIT0_049621

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_120861
BIT30_112131
BIT29_112181
BIT28_112471
BIT27_112181
BIT26_111861
BIT25_111411
BIT24_112071
BIT23_111431
BIT22_111821
BIT21_112361
BIT20_111741
BIT19_111961
BIT18_112041
BIT17_110921
BIT16_112461
BIT15_116171
BIT14_115331
BIT13_117761
BIT12_114811
BIT11_118541
BIT10_118871
BIT9_115971
BIT8_113931
BIT7_117261
BIT6_115121
BIT5_115271
BIT4_121601
BIT3_120621
BIT2_121971
BIT1_116421
BIT0_117331
BIT31_046001
BIT30_054731
BIT29_054681
BIT28_054391
BIT27_054681
BIT26_055001
BIT25_055451
BIT24_054791
BIT23_055431
BIT22_055041
BIT21_054501
BIT20_055121
BIT19_054901
BIT18_054821
BIT17_055941
BIT16_054401
BIT15_050691
BIT14_051531
BIT13_049101
BIT12_052051
BIT11_048321
BIT10_047991
BIT9_050891
BIT8_052931
BIT7_049601
BIT6_051741
BIT5_051591
BIT4_045261
BIT3_046241
BIT2_044891
BIT1_050441
BIT0_049531

+
+
+Summary for Variable cp_immb_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_immb_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1481
BIT10_1481
BIT9_1481
BIT8_1481
BIT7_1611
BIT6_11961
BIT5_110871
BIT4_129271
BIT3_129401
BIT2_135081
BIT1_135471
BIT0_126921
BIT11_066381
BIT10_066381
BIT9_066381
BIT8_066381
BIT7_066251
BIT6_064901
BIT5_055991
BIT4_037591
BIT3_037461
BIT2_031781
BIT1_031391
BIT0_039941

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1761
auto[1]1531
auto[2]76731
auto[3]2281
auto[4]78141
auto[5]85871
auto[6]145301
auto[7]86251
auto[8]25431
auto[9]21171
auto[10]24001
auto[11]28171
auto[12]28551
auto[13]19041
auto[14]24761
auto[15]16081
auto[16]74311
auto[17]91471
auto[18]74661
auto[19]128571
auto[20]200001
auto[21]223731
auto[22]116461
auto[23]86441
auto[24]74791
auto[25]156381
auto[26]133871
auto[27]71511
auto[28]59761
auto[29]317221
auto[30]120091
auto[31]71671

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2002971
auto[1]2061
auto[2]23031
auto[3]2151
auto[4]18681
auto[5]19361
auto[6]17011
auto[7]11401
auto[8]18861
auto[9]26331
auto[10]23191
auto[11]29081
auto[12]23841
auto[13]20671
auto[14]20231
auto[15]23861
auto[16]26011
auto[17]21791
auto[18]19521
auto[19]15891
auto[20]24791
auto[21]21241
auto[22]23701
auto[23]23411
auto[24]22571
auto[25]32001
auto[26]22011
auto[27]23301
auto[28]27801
auto[29]25391
auto[30]24381
auto[31]29471

+
+
+Summary for Variable cp_immb_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immb_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_POSITIVE2665941
auto_NEGATIVE41

+
+
+Summary for Variable cp_branch_taken +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_branch_taken +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
TAKEN1747041
NOT_TAKEN918951

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_120741
BIT30_112501
BIT29_112511
BIT28_112721
BIT27_112411
BIT26_112641
BIT25_112211
BIT24_112121
BIT23_112371
BIT22_112571
BIT21_112561
BIT20_112031
BIT19_112421
BIT18_112121
BIT17_112121
BIT16_112821
BIT15_116321
BIT14_116291
BIT13_118711
BIT12_115031
BIT11_119491
BIT10_118991
BIT9_115781
BIT8_114291
BIT7_117741
BIT6_114331
BIT5_115211
BIT4_120871
BIT3_120941
BIT2_120521
BIT1_1563041
BIT0_12076211
BIT31_02645251
BIT30_02653491
BIT29_02653481
BIT28_02653271
BIT27_02653581
BIT26_02653351
BIT25_02653781
BIT24_02653871
BIT23_02653621
BIT22_02653421
BIT21_02653431
BIT20_02653961
BIT19_02653571
BIT18_02653871
BIT17_02653871
BIT16_02653171
BIT15_02649671
BIT14_02649701
BIT13_02647281
BIT12_02650961
BIT11_02646501
BIT10_02647001
BIT9_02650211
BIT8_02651701
BIT7_02648251
BIT6_02651661
BIT5_02650781
BIT4_02645121
BIT3_02645051
BIT2_02645471
BIT1_02102951
BIT0_0589781

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_120931
BIT30_112071
BIT29_111441
BIT28_111771
BIT27_111861
BIT26_111751
BIT25_111501
BIT24_111181
BIT23_111331
BIT22_111271
BIT21_111451
BIT20_111341
BIT19_111511
BIT18_111391
BIT17_111651
BIT16_112301
BIT15_116361
BIT14_114971
BIT13_117771
BIT12_114191
BIT11_118531
BIT10_118321
BIT9_115171
BIT8_112591
BIT7_117641
BIT6_114561
BIT5_114671
BIT4_120431
BIT3_120771
BIT2_121241
BIT1_1613981
BIT0_1615801
BIT31_02645061
BIT30_02653921
BIT29_02654551
BIT28_02654221
BIT27_02654131
BIT26_02654241
BIT25_02654491
BIT24_02654811
BIT23_02654661
BIT22_02654721
BIT21_02654541
BIT20_02654651
BIT19_02654481
BIT18_02654601
BIT17_02654341
BIT16_02653691
BIT15_02649631
BIT14_02651021
BIT13_02648221
BIT12_02651801
BIT11_02647461
BIT10_02647671
BIT9_02650821
BIT8_02653401
BIT7_02648351
BIT6_02651431
BIT5_02651321
BIT4_02645561
BIT3_02645221
BIT2_02644751
BIT1_02052011
BIT0_02050191

+
+
+Summary for Variable cp_immb_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_immb_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_141
BIT10_141
BIT9_141
BIT8_141
BIT7_1311
BIT6_11491
BIT5_11591211
BIT4_1449051
BIT3_1449981
BIT2_1448061
BIT1_11011961
BIT0_11004031
BIT11_02665951
BIT10_02665951
BIT9_02665951
BIT8_02665951
BIT7_02665681
BIT6_02664501
BIT5_01074781
BIT4_02216941
BIT3_02216011
BIT2_02217931
BIT1_01654031
BIT0_01661961

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp57.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp57.html new file mode 100644 index 00000000..eda27e35 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp57.html @@ -0,0 +1,1406 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3710294322) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_slti_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_slti_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_slti_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1920192100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_slti_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_slti_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value909100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]21371
auto[1]5931
auto[2]6141
auto[3]5451
auto[4]6221
auto[5]5811
auto[6]5951
auto[7]5941
auto[8]6021
auto[9]5811
auto[10]5931
auto[11]5531
auto[12]6091
auto[13]5891
auto[14]5951
auto[15]5731
auto[16]5821
auto[17]6071
auto[18]6491
auto[19]5881
auto[20]5451
auto[21]6331
auto[22]5961
auto[23]5941
auto[24]6541
auto[25]5931
auto[26]4991
auto[27]6421
auto[28]5621
auto[29]5731
auto[30]5891
auto[31]6791

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22211
auto[1]6071
auto[2]5851
auto[3]6181
auto[4]5931
auto[5]5801
auto[6]5841
auto[7]5771
auto[8]5901
auto[9]5781
auto[10]6041
auto[11]5361
auto[12]5511
auto[13]6851
auto[14]5911
auto[15]6901
auto[16]5741
auto[17]5501
auto[18]6071
auto[19]5691
auto[20]5951
auto[21]5911
auto[22]5871
auto[23]5671
auto[24]6271
auto[25]6431
auto[26]5621
auto[27]5871
auto[28]5781
auto[29]5991
auto[30]5721
auto[31]5631

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0015741
RD_01191
RD_02171
RD_03141
RD_04141
RD_05241
RD_06201
RD_07211
RD_08171
RD_09211
RD_0a181
RD_0b151
RD_0c161
RD_0d181
RD_0e241
RD_0f131
RD_10231
RD_11201
RD_12161
RD_13221
RD_14141
RD_15221
RD_16231
RD_17201
RD_18221
RD_19171
RD_1a151
RD_1b161
RD_1c231
RD_1d191
RD_1e161
RD_1f171

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO76281
auto_POSITIVE68211
auto_NEGATIVE61121

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO351
auto_POSITIVE103421
auto_NEGATIVE101841

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_rd_value +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
SLT_096311
SLT_1109301

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161121
BIT30_138401
BIT29_138601
BIT28_138441
BIT27_136981
BIT26_135931
BIT25_135621
BIT24_137181
BIT23_136151
BIT22_136151
BIT21_136641
BIT20_135641
BIT19_136101
BIT18_136511
BIT17_135871
BIT16_139001
BIT15_148961
BIT14_147461
BIT13_150681
BIT12_147881
BIT11_154821
BIT10_155401
BIT9_145841
BIT8_140531
BIT7_151141
BIT6_143661
BIT5_146271
BIT4_160641
BIT3_161401
BIT2_161511
BIT1_146301
BIT0_153351
BIT31_0144491
BIT30_0167211
BIT29_0167011
BIT28_0167171
BIT27_0168631
BIT26_0169681
BIT25_0169991
BIT24_0168431
BIT23_0169461
BIT22_0169461
BIT21_0168971
BIT20_0169971
BIT19_0169511
BIT18_0169101
BIT17_0169741
BIT16_0166611
BIT15_0156651
BIT14_0158151
BIT13_0154931
BIT12_0157731
BIT11_0150791
BIT10_0150211
BIT9_0159771
BIT8_0165081
BIT7_0154471
BIT6_0161951
BIT5_0159341
BIT4_0144971
BIT3_0144211
BIT2_0144101
BIT1_0159311
BIT0_0152261

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1101841
BIT10_1103301
BIT9_1102191
BIT8_1101461
BIT7_1102731
BIT6_1104771
BIT5_1100661
BIT4_1101131
BIT3_1102581
BIT2_1101481
BIT1_1104221
BIT0_1101301
BIT11_0103771
BIT10_0102311
BIT9_0103421
BIT8_0104151
BIT7_0102881
BIT6_0100841
BIT5_0104951
BIT4_0104481
BIT3_0103031
BIT2_0104131
BIT1_0101391
BIT0_0104311

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO261
auto_ZEROauto_POSITIVE38091
auto_ZEROauto_NEGATIVE37931
auto_POSITIVEauto_ZERO21
auto_POSITIVEauto_POSITIVE34001
auto_POSITIVEauto_NEGATIVE34191
auto_NEGATIVEauto_ZERO71
auto_NEGATIVEauto_POSITIVE31331
auto_NEGATIVEauto_NEGATIVE29721

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp58.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp58.html new file mode 100644 index 00000000..0e6b792c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp58.html @@ -0,0 +1,1389 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_itype_slt(withChksum=3515138364) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_sltiu_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sltiu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1910191100.00
Crosses606100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_immi_value303100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_imm1_toggle24024100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sltiu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs100010
cross_rs1_immi_value606100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]23241
auto[1]5341
auto[2]5731
auto[3]5371
auto[4]6171
auto[5]5641
auto[6]5711
auto[7]5511
auto[8]5441
auto[9]6391
auto[10]5821
auto[11]5561
auto[12]5891
auto[13]5761
auto[14]6161
auto[15]5661
auto[16]6301
auto[17]5761
auto[18]5921
auto[19]6261
auto[20]6721
auto[21]5891
auto[22]7061
auto[23]5781
auto[24]5861
auto[25]5881
auto[26]6371
auto[27]5711
auto[28]5751
auto[29]5481
auto[30]6291
auto[31]5851

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]22651
auto[1]5851
auto[2]5781
auto[3]6301
auto[4]5651
auto[5]5981
auto[6]6991
auto[7]5861
auto[8]5911
auto[9]5331
auto[10]5901
auto[11]6201
auto[12]6831
auto[13]5451
auto[14]5891
auto[15]5581
auto[16]5981
auto[17]5721
auto[18]6161
auto[19]5811
auto[20]5821
auto[21]5561
auto[22]5801
auto[23]6251
auto[24]5561
auto[25]5261
auto[26]5511
auto[27]6371
auto[28]5981
auto[29]5821
auto[30]6771
auto[31]5751

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_0016581
RD_01141
RD_02151
RD_03161
RD_04281
RD_05251
RD_06171
RD_07221
RD_08121
RD_09131
RD_0a231
RD_0b201
RD_0c251
RD_0d211
RD_0e91
RD_0f251
RD_10241
RD_11151
RD_12131
RD_13131
RD_14211
RD_15181
RD_16251
RD_17151
RD_18191
RD_19181
RD_1a181
RD_1b241
RD_1c161
RD_1d131
RD_1e201
RD_1f211

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO78961
auto_NON_ZERO127311

+
+
+Summary for Variable cp_immi_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO381
auto_POSITIVE102261
auto_NEGATIVE103631

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for cp_rd_value +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
SLT_053821
SLT_1152451

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_160281
BIT30_137311
BIT29_137721
BIT28_137181
BIT27_135631
BIT26_136381
BIT25_135861
BIT24_135361
BIT23_135881
BIT22_135441
BIT21_135411
BIT20_134711
BIT19_135331
BIT18_135341
BIT17_135721
BIT16_137761
BIT15_147071
BIT14_146331
BIT13_148951
BIT12_145781
BIT11_153111
BIT10_153661
BIT9_145841
BIT8_139101
BIT7_150811
BIT6_143091
BIT5_144671
BIT4_159141
BIT3_159791
BIT2_159011
BIT1_145711
BIT0_152021
BIT31_0145991
BIT30_0168961
BIT29_0168551
BIT28_0169091
BIT27_0170641
BIT26_0169891
BIT25_0170411
BIT24_0170911
BIT23_0170391
BIT22_0170831
BIT21_0170861
BIT20_0171561
BIT19_0170941
BIT18_0170931
BIT17_0170551
BIT16_0168511
BIT15_0159201
BIT14_0159941
BIT13_0157321
BIT12_0160491
BIT11_0153161
BIT10_0152611
BIT9_0160431
BIT8_0167171
BIT7_0155461
BIT6_0163181
BIT5_0161601
BIT4_0147131
BIT3_0146481
BIT2_0147261
BIT1_0160561
BIT0_0154251

+
+
+Summary for Variable cp_imm1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins24024100.00

+
+User Defined Bins for cp_imm1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT11_1103631
BIT10_1102451
BIT9_1103111
BIT8_1101101
BIT7_1102241
BIT6_1104151
BIT5_1104981
BIT4_1104221
BIT3_1103221
BIT2_1103711
BIT1_1102281
BIT0_1102481
BIT11_0102641
BIT10_0103821
BIT9_0103161
BIT8_0105171
BIT7_0104031
BIT6_0102121
BIT5_0101291
BIT4_0102051
BIT3_0103051
BIT2_0102561
BIT1_0103991
BIT0_0103791

+
+
+Summary for Cross cross_rd_rs1 +
+
+Samples crossed: cp_rd cp_rs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_immi_value +
+
+Samples crossed: cp_rs1_value cp_immi_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins606100.00

+
+Automatically Generated Cross Bins for cross_rs1_immi_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_NON_ZERO]--Excluded(2 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_immi_valueCOUNTAT LEAST
auto_ZEROauto_ZERO291
auto_ZEROauto_POSITIVE39131
auto_ZEROauto_NEGATIVE39541
auto_NON_ZEROauto_ZERO91
auto_NON_ZEROauto_POSITIVE63131
auto_NON_ZEROauto_NEGATIVE64091

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp59.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp59.html new file mode 100644 index 00000000..d0431e96 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp59.html @@ -0,0 +1,1057 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=4272396989) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_sext_b_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zcb_sext_h_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_sext_b_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_sext_b_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables75075100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_sext_b_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rsdc808100.00100118
cp_rsdc_value303100.00100110
cp_rs_toggle64064100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_sext_h_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_sext_h_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables75075100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_sext_h_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rsdc808100.00100118
cp_rsdc_value303100.00100110
cp_rs_toggle64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rsdc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rsdc +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13931
auto[1]12691
auto[2]13281
auto[3]12871
auto[4]13521
auto[5]13121
auto[6]13811
auto[7]13151

+
+
+Summary for Variable cp_rsdc_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rsdc_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33871
auto_POSITIVE43341
auto_NEGATIVE29161

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129161
BIT30_123791
BIT29_124031
BIT28_123941
BIT27_123241
BIT26_123281
BIT25_123111
BIT24_123221
BIT23_123641
BIT22_123441
BIT21_123611
BIT20_123521
BIT19_123841
BIT18_123351
BIT17_123851
BIT16_124031
BIT15_127511
BIT14_126781
BIT13_127641
BIT12_127281
BIT11_127321
BIT10_127791
BIT9_127911
BIT8_126451
BIT7_130811
BIT6_130261
BIT5_130631
BIT4_133511
BIT3_133361
BIT2_132811
BIT1_129621
BIT0_132981
BIT31_077211
BIT30_082581
BIT29_082341
BIT28_082431
BIT27_083131
BIT26_083091
BIT25_083261
BIT24_083151
BIT23_082731
BIT22_082931
BIT21_082761
BIT20_082851
BIT19_082531
BIT18_083021
BIT17_082521
BIT16_082341
BIT15_078861
BIT14_079591
BIT13_078731
BIT12_079091
BIT11_079051
BIT10_078581
BIT9_078461
BIT8_079921
BIT7_075561
BIT6_076111
BIT5_075741
BIT4_072861
BIT3_073011
BIT2_073561
BIT1_076751
BIT0_073391

+
+
+
+Summary for Variable cp_rsdc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rsdc +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13111
auto[1]12591
auto[2]12941
auto[3]13551
auto[4]13521
auto[5]13091
auto[6]13361
auto[7]14141

+
+
+Summary for Variable cp_rsdc_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rsdc_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO35951
auto_POSITIVE43051
auto_NEGATIVE27301

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_127301
BIT30_122491
BIT29_122581
BIT28_122761
BIT27_122241
BIT26_122271
BIT25_122371
BIT24_122001
BIT23_122011
BIT22_121871
BIT21_121891
BIT20_122271
BIT19_122011
BIT18_122631
BIT17_122071
BIT16_123871
BIT15_126781
BIT14_125701
BIT13_127101
BIT12_127381
BIT11_126541
BIT10_126981
BIT9_127021
BIT8_126011
BIT7_129921
BIT6_129301
BIT5_130291
BIT4_132911
BIT3_131661
BIT2_132561
BIT1_129251
BIT0_131981
BIT31_079001
BIT30_083811
BIT29_083721
BIT28_083541
BIT27_084061
BIT26_084031
BIT25_083931
BIT24_084301
BIT23_084291
BIT22_084431
BIT21_084411
BIT20_084031
BIT19_084291
BIT18_083671
BIT17_084231
BIT16_082431
BIT15_079521
BIT14_080601
BIT13_079201
BIT12_078921
BIT11_079761
BIT10_079321
BIT9_079281
BIT8_080291
BIT7_076381
BIT6_077001
BIT5_076011
BIT4_073391
BIT3_074641
BIT2_073741
BIT1_077051
BIT0_074321

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6.html new file mode 100644 index 00000000..c2bccf71 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6.html @@ -0,0 +1,4430 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype(withChksum=546157500) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype(withChksum=546157500)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype(withChksum=546157500) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
99.80 99.801 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+21 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zbs_bset_cg 95.831 100 1 64 64
uvma_isacov_pkg.rv32i_and_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_or_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_xor_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_divu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_mul_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_mulhu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_remu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_andn_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_max_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_maxu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_min_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_minu_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_orn_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_rol_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_ror_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbb_xnor_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbc_clmul_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbc_clmulr_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbs_bclr_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zbs_binv_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_bset_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
95.831 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables358135795.45
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value21150.00 100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bset_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_and_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_and_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_and_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_and_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_or_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_or_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_or_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_or_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_xor_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_xor_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_xor_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_xor_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_divu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_divu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_divu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_divu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_mul_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_mul_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_mul_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_mul_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_mulhu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_mulhu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_remu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_remu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_remu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_remu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_andn_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_andn_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_max_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_max_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_maxu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_maxu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_min_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_min_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_minu_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_minu_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_orn_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_orn_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_rol_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_rol_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_ror_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_ror_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbb_xnor_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbb_xnor_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbc_clmul_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmul_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbc_clmulr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbc_clmulr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_bclr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_bclr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zbs_binv_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3580358100.00
Crosses404100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zbs_binv_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value404100.00100110

+
+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp60.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp60.html new file mode 100644 index 00000000..23d8961c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp60.html @@ -0,0 +1,586 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_zcb_sext(withChksum=1540377845) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zcb_not_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zcb_not_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zcb_not_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables74074100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zcb_not_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rsdc808100.00100118
cp_rsdc_value202100.00100110
cp_rs_toggle64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rsdc +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_rsdc +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13141
auto[1]12881
auto[2]13311
auto[3]13621
auto[4]13631
auto[5]12661
auto[6]13031
auto[7]12221

+
+
+Summary for Variable cp_rsdc_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rsdc_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO34181
auto_NON_ZERO70311

+
+
+Summary for Variable cp_rs_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_128551
BIT30_123041
BIT29_123121
BIT28_123451
BIT27_122761
BIT26_122831
BIT25_122721
BIT24_122761
BIT23_122471
BIT22_122851
BIT21_122731
BIT20_122771
BIT19_123041
BIT18_123021
BIT17_122971
BIT16_124351
BIT15_126761
BIT14_126981
BIT13_127221
BIT12_127131
BIT11_126991
BIT10_127241
BIT9_127781
BIT8_126151
BIT7_129811
BIT6_129061
BIT5_129491
BIT4_132071
BIT3_131931
BIT2_132391
BIT1_129361
BIT0_132431
BIT31_075941
BIT30_081451
BIT29_081371
BIT28_081041
BIT27_081731
BIT26_081661
BIT25_081771
BIT24_081731
BIT23_082021
BIT22_081641
BIT21_081761
BIT20_081721
BIT19_081451
BIT18_081471
BIT17_081521
BIT16_080141
BIT15_077731
BIT14_077511
BIT13_077271
BIT12_077361
BIT11_077501
BIT10_077251
BIT9_076711
BIT8_078341
BIT7_074681
BIT6_075431
BIT5_075001
BIT4_072421
BIT3_072561
BIT2_072101
BIT1_075131
BIT0_072061

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp61.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp61.html new file mode 100644 index 00000000..9f689f95 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp61.html @@ -0,0 +1,1194 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_ON(cp_csr.ONLY_READ_CSR)} +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zicsr_csrrwi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zicsr_csrrwi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrwi_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2240224100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrwi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.001001132
cp_csr1820182100.00100110
cp_uimm_toggle10010100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2901
auto[1]2731
auto[2]2451
auto[3]3251
auto[4]2421
auto[5]2401
auto[6]2731
auto[7]2731
auto[8]2641
auto[9]2891
auto[10]2711
auto[11]2701
auto[12]2681
auto[13]2601
auto[14]9611
auto[15]2721
auto[16]2681
auto[17]2631
auto[18]2361
auto[19]2541
auto[20]2551
auto[21]2421
auto[22]2401
auto[23]2691
auto[24]2631
auto[25]2701
auto[26]2821
auto[27]2551
auto[28]2621
auto[29]2341
auto[30]2681
auto[31]2661

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1820182100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
CSR_MVENDORID0Excluded
CSR_MARCHID0Excluded
CSR_MIMPID0Excluded
CSR_MHARTID0Excluded
CSR_MCONFIGPTR0Excluded
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_MSTATUS781
CSR_MISA671
CSR_MIE601
CSR_MTVEC51
CSR_MSTATUSH631
CSR_MCOUNTINHIBIT621
CSR_MHPMEVENT3311
CSR_MHPMEVENT4251
CSR_MHPMEVENT5321
CSR_MHPMEVENT6281
CSR_MHPMEVENT7291
CSR_MHPMEVENT8301
CSR_MHPMEVENT9221
CSR_MHPMEVENT10331
CSR_MHPMEVENT11331
CSR_MHPMEVENT12251
CSR_MHPMEVENT13261
CSR_MHPMEVENT14251
CSR_MHPMEVENT15311
CSR_MHPMEVENT16231
CSR_MHPMEVENT17261
CSR_MHPMEVENT18301
CSR_MHPMEVENT19241
CSR_MHPMEVENT20211
CSR_MHPMEVENT21321
CSR_MHPMEVENT22311
CSR_MHPMEVENT23301
CSR_MHPMEVENT24281
CSR_MHPMEVENT25281
CSR_MHPMEVENT26291
CSR_MHPMEVENT27281
CSR_MHPMEVENT28251
CSR_MHPMEVENT29291
CSR_MHPMEVENT30251
CSR_MHPMEVENT31351
CSR_MSCRATCH41861
CSR_MEPC461
CSR_MCAUSE691
CSR_MTVAL631
CSR_MIP611
CSR_PMPCFG0471
CSR_PMPCFG1481
CSR_PMPCFG2461
CSR_PMPCFG3551
CSR_PMPCFG4531
CSR_PMPCFG5401
CSR_PMPCFG6531
CSR_PMPCFG7491
CSR_PMPCFG8521
CSR_PMPCFG9461
CSR_PMPCFG10531
CSR_PMPCFG11491
CSR_PMPCFG12591
CSR_PMPCFG13401
CSR_PMPCFG14461
CSR_PMPCFG15451
CSR_PMPADDR0171
CSR_PMPADDR1121
CSR_PMPADDR2201
CSR_PMPADDR3121
CSR_PMPADDR4161
CSR_PMPADDR5191
CSR_PMPADDR6171
CSR_PMPADDR7121
CSR_PMPADDR881
CSR_PMPADDR9201
CSR_PMPADDR10151
CSR_PMPADDR11181
CSR_PMPADDR12151
CSR_PMPADDR13181
CSR_PMPADDR14211
CSR_PMPADDR15151
CSR_PMPADDR1611
CSR_PMPADDR17131
CSR_PMPADDR1881
CSR_PMPADDR19101
CSR_PMPADDR20151
CSR_PMPADDR21101
CSR_PMPADDR22161
CSR_PMPADDR23121
CSR_PMPADDR24111
CSR_PMPADDR25171
CSR_PMPADDR26101
CSR_PMPADDR27131
CSR_PMPADDR28111
CSR_PMPADDR29131
CSR_PMPADDR3091
CSR_PMPADDR31101
CSR_PMPADDR3211
CSR_PMPADDR33111
CSR_PMPADDR3471
CSR_PMPADDR35151
CSR_PMPADDR36121
CSR_PMPADDR37141
CSR_PMPADDR38111
CSR_PMPADDR39151
CSR_PMPADDR4081
CSR_PMPADDR41141
CSR_PMPADDR42121
CSR_PMPADDR43161
CSR_PMPADDR44121
CSR_PMPADDR45121
CSR_PMPADDR46191
CSR_PMPADDR47161
CSR_PMPADDR4811
CSR_PMPADDR49141
CSR_PMPADDR50141
CSR_PMPADDR51231
CSR_PMPADDR5291
CSR_PMPADDR53191
CSR_PMPADDR5491
CSR_PMPADDR55171
CSR_PMPADDR5671
CSR_PMPADDR57111
CSR_PMPADDR58141
CSR_PMPADDR5971
CSR_PMPADDR6061
CSR_PMPADDR61121
CSR_PMPADDR62131
CSR_PMPADDR63121
CSR_MCYCLE531
CSR_MINSTRET721
CSR_MHPMCOUNTER3271
CSR_MHPMCOUNTER4291
CSR_MHPMCOUNTER5221
CSR_MHPMCOUNTER6281
CSR_MHPMCOUNTER7241
CSR_MHPMCOUNTER8301
CSR_MHPMCOUNTER9321
CSR_MHPMCOUNTER10301
CSR_MHPMCOUNTER11331
CSR_MHPMCOUNTER12311
CSR_MHPMCOUNTER13351
CSR_MHPMCOUNTER14321
CSR_MHPMCOUNTER15321
CSR_MHPMCOUNTER16241
CSR_MHPMCOUNTER17311
CSR_MHPMCOUNTER18351
CSR_MHPMCOUNTER19321
CSR_MHPMCOUNTER20251
CSR_MHPMCOUNTER21271
CSR_MHPMCOUNTER22241
CSR_MHPMCOUNTER23321
CSR_MHPMCOUNTER24251
CSR_MHPMCOUNTER25281
CSR_MHPMCOUNTER26331
CSR_MHPMCOUNTER27311
CSR_MHPMCOUNTER28331
CSR_MHPMCOUNTER29291
CSR_MHPMCOUNTER30271
CSR_MHPMCOUNTER31351
CSR_MCYCLEH581
CSR_MINSTRETH581
CSR_MHPMCOUNTER3H371
CSR_MHPMCOUNTER4H311
CSR_MHPMCOUNTER5H271
CSR_MHPMCOUNTER6H241
CSR_MHPMCOUNTER7H471
CSR_MHPMCOUNTER8H271
CSR_MHPMCOUNTER9H221
CSR_MHPMCOUNTER10H251
CSR_MHPMCOUNTER11H371
CSR_MHPMCOUNTER12H231
CSR_MHPMCOUNTER13H331
CSR_MHPMCOUNTER14H271
CSR_MHPMCOUNTER15H271
CSR_MHPMCOUNTER16H231
CSR_MHPMCOUNTER17H281
CSR_MHPMCOUNTER18H231
CSR_MHPMCOUNTER19H411
CSR_MHPMCOUNTER20H291
CSR_MHPMCOUNTER21H311
CSR_MHPMCOUNTER22H331
CSR_MHPMCOUNTER23H321
CSR_MHPMCOUNTER24H251
CSR_MHPMCOUNTER25H311
CSR_MHPMCOUNTER26H291
CSR_MHPMCOUNTER27H271
CSR_MHPMCOUNTER28H391
CSR_MHPMCOUNTER29H241
CSR_MHPMCOUNTER30H291
CSR_MHPMCOUNTER31H381

+
+
+Summary for Variable cp_uimm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_uimm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT4_146331
BIT3_145571
BIT2_145871
BIT1_145501
BIT0_146531
BIT4_045101
BIT3_045861
BIT2_045561
BIT1_045931
BIT0_044901

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp62.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp62.html new file mode 100644 index 00000000..709b82cf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp62.html @@ -0,0 +1,2281 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)}
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_csritype::SHAPE{Guard_OFF(cp_csr.ONLY_READ_CSR)} +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32zicsr_csrrci_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zicsr_csrrsi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zicsr_csrrci_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrci_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2290229100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrci_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.001001132
cp_csr1870187100.00100110
cp_uimm_toggle10010100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zicsr_csrrsi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zicsr_csrrsi_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2290229100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zicsr_csrrsi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rd32032100.001001132
cp_csr1870187100.00100110
cp_uimm_toggle10010100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2731
auto[1]2731
auto[2]2241
auto[3]2591
auto[4]2531
auto[5]2491
auto[6]2651
auto[7]2881
auto[8]2571
auto[9]2541
auto[10]2871
auto[11]2991
auto[12]2991
auto[13]2601
auto[14]9721
auto[15]2581
auto[16]2771
auto[17]2531
auto[18]2761
auto[19]2701
auto[20]2611
auto[21]2461
auto[22]2381
auto[23]2451
auto[24]2631
auto[25]2761
auto[26]2841
auto[27]2571
auto[28]2381
auto[29]2781
auto[30]2531
auto[31]2701

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1870187100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_MSTATUS241
CSR_MISA301
CSR_MIE281
CSR_MTVEC251
CSR_MSTATUSH241
CSR_MCOUNTINHIBIT311
CSR_MHPMEVENT3251
CSR_MHPMEVENT4301
CSR_MHPMEVENT5301
CSR_MHPMEVENT6381
CSR_MHPMEVENT7241
CSR_MHPMEVENT8321
CSR_MHPMEVENT9261
CSR_MHPMEVENT10331
CSR_MHPMEVENT11411
CSR_MHPMEVENT12351
CSR_MHPMEVENT13331
CSR_MHPMEVENT14261
CSR_MHPMEVENT15251
CSR_MHPMEVENT16351
CSR_MHPMEVENT17321
CSR_MHPMEVENT18311
CSR_MHPMEVENT19321
CSR_MHPMEVENT20261
CSR_MHPMEVENT21321
CSR_MHPMEVENT22331
CSR_MHPMEVENT23261
CSR_MHPMEVENT24291
CSR_MHPMEVENT25241
CSR_MHPMEVENT26381
CSR_MHPMEVENT27301
CSR_MHPMEVENT28291
CSR_MHPMEVENT29321
CSR_MHPMEVENT30301
CSR_MHPMEVENT31301
CSR_MSCRATCH39791
CSR_MEPC271
CSR_MCAUSE271
CSR_MTVAL331
CSR_MIP341
CSR_PMPCFG0221
CSR_PMPCFG1301
CSR_PMPCFG2251
CSR_PMPCFG3371
CSR_PMPCFG4271
CSR_PMPCFG5361
CSR_PMPCFG6271
CSR_PMPCFG7231
CSR_PMPCFG8331
CSR_PMPCFG9301
CSR_PMPCFG10321
CSR_PMPCFG11351
CSR_PMPCFG12221
CSR_PMPCFG13261
CSR_PMPCFG14291
CSR_PMPCFG15431
CSR_PMPADDR0291
CSR_PMPADDR1261
CSR_PMPADDR2241
CSR_PMPADDR3351
CSR_PMPADDR4251
CSR_PMPADDR5331
CSR_PMPADDR6311
CSR_PMPADDR7341
CSR_PMPADDR8251
CSR_PMPADDR9331
CSR_PMPADDR10281
CSR_PMPADDR11281
CSR_PMPADDR12321
CSR_PMPADDR13241
CSR_PMPADDR14221
CSR_PMPADDR15321
CSR_PMPADDR1611
CSR_PMPADDR17351
CSR_PMPADDR18261
CSR_PMPADDR19251
CSR_PMPADDR20201
CSR_PMPADDR21191
CSR_PMPADDR22261
CSR_PMPADDR23261
CSR_PMPADDR24281
CSR_PMPADDR25231
CSR_PMPADDR26341
CSR_PMPADDR27311
CSR_PMPADDR28291
CSR_PMPADDR29231
CSR_PMPADDR30271
CSR_PMPADDR31261
CSR_PMPADDR3211
CSR_PMPADDR33181
CSR_PMPADDR34241
CSR_PMPADDR35321
CSR_PMPADDR36221
CSR_PMPADDR37291
CSR_PMPADDR38291
CSR_PMPADDR39191
CSR_PMPADDR40221
CSR_PMPADDR41301
CSR_PMPADDR42301
CSR_PMPADDR43301
CSR_PMPADDR44311
CSR_PMPADDR45281
CSR_PMPADDR46251
CSR_PMPADDR47331
CSR_PMPADDR4811
CSR_PMPADDR49221
CSR_PMPADDR50371
CSR_PMPADDR51241
CSR_PMPADDR52281
CSR_PMPADDR53271
CSR_PMPADDR54271
CSR_PMPADDR55261
CSR_PMPADDR56221
CSR_PMPADDR57221
CSR_PMPADDR58121
CSR_PMPADDR59361
CSR_PMPADDR60251
CSR_PMPADDR61251
CSR_PMPADDR62211
CSR_PMPADDR63241
CSR_MCYCLE261
CSR_MINSTRET311
CSR_MHPMCOUNTER3281
CSR_MHPMCOUNTER4271
CSR_MHPMCOUNTER5311
CSR_MHPMCOUNTER6251
CSR_MHPMCOUNTER7301
CSR_MHPMCOUNTER8371
CSR_MHPMCOUNTER9361
CSR_MHPMCOUNTER10311
CSR_MHPMCOUNTER11291
CSR_MHPMCOUNTER12241
CSR_MHPMCOUNTER13271
CSR_MHPMCOUNTER14231
CSR_MHPMCOUNTER15291
CSR_MHPMCOUNTER16331
CSR_MHPMCOUNTER17281
CSR_MHPMCOUNTER18321
CSR_MHPMCOUNTER19341
CSR_MHPMCOUNTER20301
CSR_MHPMCOUNTER21291
CSR_MHPMCOUNTER22301
CSR_MHPMCOUNTER23341
CSR_MHPMCOUNTER24231
CSR_MHPMCOUNTER25321
CSR_MHPMCOUNTER26261
CSR_MHPMCOUNTER27331
CSR_MHPMCOUNTER28331
CSR_MHPMCOUNTER29311
CSR_MHPMCOUNTER30321
CSR_MHPMCOUNTER31321
CSR_MCYCLEH261
CSR_MINSTRETH251
CSR_MHPMCOUNTER3H341
CSR_MHPMCOUNTER4H321
CSR_MHPMCOUNTER5H261
CSR_MHPMCOUNTER6H291
CSR_MHPMCOUNTER7H311
CSR_MHPMCOUNTER8H481
CSR_MHPMCOUNTER9H241
CSR_MHPMCOUNTER10H341
CSR_MHPMCOUNTER11H291
CSR_MHPMCOUNTER12H351
CSR_MHPMCOUNTER13H351
CSR_MHPMCOUNTER14H241
CSR_MHPMCOUNTER15H311
CSR_MHPMCOUNTER16H271
CSR_MHPMCOUNTER17H281
CSR_MHPMCOUNTER18H281
CSR_MHPMCOUNTER19H201
CSR_MHPMCOUNTER20H241
CSR_MHPMCOUNTER21H281
CSR_MHPMCOUNTER22H261
CSR_MHPMCOUNTER23H411
CSR_MHPMCOUNTER24H251
CSR_MHPMCOUNTER25H291
CSR_MHPMCOUNTER26H381
CSR_MHPMCOUNTER27H221
CSR_MHPMCOUNTER28H261
CSR_MHPMCOUNTER29H341
CSR_MHPMCOUNTER30H321
CSR_MHPMCOUNTER31H351
CSR_MVENDORID261
CSR_MARCHID11
CSR_MIMPID11
CSR_MHARTID11
CSR_MCONFIGPTR11

+
+
+Summary for Variable cp_uimm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_uimm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT4_115651
BIT3_115651
BIT2_115631
BIT1_115541
BIT0_116231
BIT4_075901
BIT3_075901
BIT2_075921
BIT1_076011
BIT0_075321

+
+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2951
auto[1]2421
auto[2]2261
auto[3]3011
auto[4]2361
auto[5]2671
auto[6]2741
auto[7]2531
auto[8]2551
auto[9]2481
auto[10]2281
auto[11]2791
auto[12]2521
auto[13]2581
auto[14]9721
auto[15]2531
auto[16]2421
auto[17]2441
auto[18]2541
auto[19]2651
auto[20]2281
auto[21]2311
auto[22]2421
auto[23]2661
auto[24]2591
auto[25]2521
auto[26]2761
auto[27]2581
auto[28]2901
auto[29]2831
auto[30]2491
auto[31]2631

+
+
+Summary for Variable cp_csr +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins1870187100.00

+
+User Defined Bins for cp_csr +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
ONLY_READ_CSR0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
CSR_MSTATUS331
CSR_MISA261
CSR_MIE271
CSR_MTVEC261
CSR_MSTATUSH321
CSR_MCOUNTINHIBIT281
CSR_MHPMEVENT3301
CSR_MHPMEVENT4281
CSR_MHPMEVENT5321
CSR_MHPMEVENT6341
CSR_MHPMEVENT7361
CSR_MHPMEVENT8271
CSR_MHPMEVENT9281
CSR_MHPMEVENT10281
CSR_MHPMEVENT11281
CSR_MHPMEVENT12311
CSR_MHPMEVENT13291
CSR_MHPMEVENT14201
CSR_MHPMEVENT15261
CSR_MHPMEVENT16251
CSR_MHPMEVENT17281
CSR_MHPMEVENT18311
CSR_MHPMEVENT19221
CSR_MHPMEVENT20251
CSR_MHPMEVENT21241
CSR_MHPMEVENT22351
CSR_MHPMEVENT23261
CSR_MHPMEVENT24291
CSR_MHPMEVENT25341
CSR_MHPMEVENT26311
CSR_MHPMEVENT27331
CSR_MHPMEVENT28261
CSR_MHPMEVENT29261
CSR_MHPMEVENT30251
CSR_MHPMEVENT31481
CSR_MSCRATCH39031
CSR_MEPC241
CSR_MCAUSE291
CSR_MTVAL271
CSR_MIP311
CSR_PMPCFG0351
CSR_PMPCFG1331
CSR_PMPCFG2281
CSR_PMPCFG3311
CSR_PMPCFG4271
CSR_PMPCFG5291
CSR_PMPCFG6331
CSR_PMPCFG7311
CSR_PMPCFG8301
CSR_PMPCFG9341
CSR_PMPCFG10261
CSR_PMPCFG11361
CSR_PMPCFG12321
CSR_PMPCFG13271
CSR_PMPCFG14271
CSR_PMPCFG15371
CSR_PMPADDR0271
CSR_PMPADDR1281
CSR_PMPADDR2391
CSR_PMPADDR3291
CSR_PMPADDR4241
CSR_PMPADDR5261
CSR_PMPADDR6281
CSR_PMPADDR7261
CSR_PMPADDR8211
CSR_PMPADDR9371
CSR_PMPADDR10321
CSR_PMPADDR11311
CSR_PMPADDR12361
CSR_PMPADDR13361
CSR_PMPADDR14261
CSR_PMPADDR15311
CSR_PMPADDR1611
CSR_PMPADDR17261
CSR_PMPADDR18221
CSR_PMPADDR19231
CSR_PMPADDR20271
CSR_PMPADDR21181
CSR_PMPADDR22341
CSR_PMPADDR23231
CSR_PMPADDR24191
CSR_PMPADDR25241
CSR_PMPADDR26291
CSR_PMPADDR27301
CSR_PMPADDR28221
CSR_PMPADDR29201
CSR_PMPADDR30251
CSR_PMPADDR31201
CSR_PMPADDR3211
CSR_PMPADDR33321
CSR_PMPADDR34271
CSR_PMPADDR35251
CSR_PMPADDR36251
CSR_PMPADDR37201
CSR_PMPADDR38161
CSR_PMPADDR39241
CSR_PMPADDR40261
CSR_PMPADDR41231
CSR_PMPADDR42291
CSR_PMPADDR43151
CSR_PMPADDR44211
CSR_PMPADDR45281
CSR_PMPADDR46241
CSR_PMPADDR47271
CSR_PMPADDR4811
CSR_PMPADDR49271
CSR_PMPADDR50271
CSR_PMPADDR51371
CSR_PMPADDR52251
CSR_PMPADDR53281
CSR_PMPADDR54241
CSR_PMPADDR55211
CSR_PMPADDR56211
CSR_PMPADDR57231
CSR_PMPADDR58261
CSR_PMPADDR59261
CSR_PMPADDR60291
CSR_PMPADDR61251
CSR_PMPADDR62271
CSR_PMPADDR63251
CSR_MCYCLE331
CSR_MINSTRET241
CSR_MHPMCOUNTER3251
CSR_MHPMCOUNTER4231
CSR_MHPMCOUNTER5241
CSR_MHPMCOUNTER6191
CSR_MHPMCOUNTER7291
CSR_MHPMCOUNTER8341
CSR_MHPMCOUNTER9221
CSR_MHPMCOUNTER10281
CSR_MHPMCOUNTER11181
CSR_MHPMCOUNTER12261
CSR_MHPMCOUNTER13311
CSR_MHPMCOUNTER14231
CSR_MHPMCOUNTER15321
CSR_MHPMCOUNTER16321
CSR_MHPMCOUNTER17171
CSR_MHPMCOUNTER18311
CSR_MHPMCOUNTER19371
CSR_MHPMCOUNTER20311
CSR_MHPMCOUNTER21321
CSR_MHPMCOUNTER22341
CSR_MHPMCOUNTER23421
CSR_MHPMCOUNTER24261
CSR_MHPMCOUNTER25261
CSR_MHPMCOUNTER26301
CSR_MHPMCOUNTER27201
CSR_MHPMCOUNTER28211
CSR_MHPMCOUNTER29371
CSR_MHPMCOUNTER30221
CSR_MHPMCOUNTER31281
CSR_MCYCLEH351
CSR_MINSTRETH261
CSR_MHPMCOUNTER3H281
CSR_MHPMCOUNTER4H331
CSR_MHPMCOUNTER5H331
CSR_MHPMCOUNTER6H321
CSR_MHPMCOUNTER7H281
CSR_MHPMCOUNTER8H341
CSR_MHPMCOUNTER9H241
CSR_MHPMCOUNTER10H311
CSR_MHPMCOUNTER11H281
CSR_MHPMCOUNTER12H351
CSR_MHPMCOUNTER13H291
CSR_MHPMCOUNTER14H241
CSR_MHPMCOUNTER15H241
CSR_MHPMCOUNTER16H361
CSR_MHPMCOUNTER17H341
CSR_MHPMCOUNTER18H291
CSR_MHPMCOUNTER19H301
CSR_MHPMCOUNTER20H331
CSR_MHPMCOUNTER21H331
CSR_MHPMCOUNTER22H291
CSR_MHPMCOUNTER23H331
CSR_MHPMCOUNTER24H281
CSR_MHPMCOUNTER25H301
CSR_MHPMCOUNTER26H261
CSR_MHPMCOUNTER27H311
CSR_MHPMCOUNTER28H421
CSR_MHPMCOUNTER29H301
CSR_MHPMCOUNTER30H191
CSR_MHPMCOUNTER31H251
CSR_MVENDORID211
CSR_MARCHID11
CSR_MIMPID11
CSR_MHARTID11
CSR_MCONFIGPTR11

+
+
+Summary for Variable cp_uimm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins10010100.00

+
+User Defined Bins for cp_uimm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT4_115931
BIT3_115181
BIT2_115161
BIT1_115631
BIT0_115551
BIT4_073481
BIT3_074231
BIT2_074251
BIT1_073781
BIT0_073861

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp63.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp63.html new file mode 100644 index 00000000..4edf47a5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp63.html @@ -0,0 +1,1034 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cb_andi + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cb_andi
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cb_andi +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_andi_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_andi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_andi_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables1210121100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_andi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_imm_value303100.00100110
cp_shamt32032100.00100110
cp_c_rdrs1808100.00100118
cp_rs1_toggle64064100.00100110
cp_imm_toggle12012100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33541
auto_NON_ZERO69081

+
+
+Summary for Variable cp_imm_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_imm_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO1471
auto_POSITIVE50571
auto_NEGATIVE50581

+
+
+Summary for Variable cp_shamt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_shamt +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
SHAMT_320Illegal
SHAMT_330Illegal
SHAMT_340Illegal
SHAMT_350Illegal
SHAMT_360Illegal
SHAMT_370Illegal
SHAMT_380Illegal
SHAMT_390Illegal
SHAMT_400Illegal
SHAMT_410Illegal
SHAMT_420Illegal
SHAMT_430Illegal
SHAMT_440Illegal
SHAMT_450Illegal
SHAMT_460Illegal
SHAMT_470Illegal
SHAMT_480Illegal
SHAMT_490Illegal
SHAMT_500Illegal
SHAMT_510Illegal
SHAMT_520Illegal
SHAMT_530Illegal
SHAMT_540Illegal
SHAMT_550Illegal
SHAMT_560Illegal
SHAMT_570Illegal
SHAMT_580Illegal
SHAMT_590Illegal
SHAMT_600Illegal
SHAMT_610Illegal
SHAMT_620Illegal
SHAMT_630Illegal
ILLEGAL_SHAMT0Illegal

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_01471
SHAMT_11571
SHAMT_21691
SHAMT_32001
SHAMT_41551
SHAMT_51631
SHAMT_61551
SHAMT_71711
SHAMT_81591
SHAMT_91651
SHAMT_101801
SHAMT_111701
SHAMT_121501
SHAMT_131881
SHAMT_141781
SHAMT_151571
SHAMT_161481
SHAMT_171551
SHAMT_181451
SHAMT_191971
SHAMT_201581
SHAMT_211421
SHAMT_221671
SHAMT_231501
SHAMT_241841
SHAMT_251681
SHAMT_261441
SHAMT_271331
SHAMT_281701
SHAMT_291441
SHAMT_301581
SHAMT_311771

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13331
auto[1]12141
auto[2]13281
auto[3]12221
auto[4]12721
auto[5]13351
auto[6]13021
auto[7]12561

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_127261
BIT30_121691
BIT29_121991
BIT28_122391
BIT27_122001
BIT26_121501
BIT25_121391
BIT24_121771
BIT23_121341
BIT22_121471
BIT21_121251
BIT20_121171
BIT19_121421
BIT18_121871
BIT17_121741
BIT16_123361
BIT15_125781
BIT14_125461
BIT13_126061
BIT12_125721
BIT11_125751
BIT10_126211
BIT9_126211
BIT8_125391
BIT7_128511
BIT6_128411
BIT5_129301
BIT4_132701
BIT3_131661
BIT2_131961
BIT1_128301
BIT0_131341
BIT31_075361
BIT30_080931
BIT29_080631
BIT28_080231
BIT27_080621
BIT26_081121
BIT25_081231
BIT24_080851
BIT23_081281
BIT22_081151
BIT21_081371
BIT20_081451
BIT19_081201
BIT18_080751
BIT17_080881
BIT16_079261
BIT15_076841
BIT14_077161
BIT13_076561
BIT12_076901
BIT11_076871
BIT10_076411
BIT9_076411
BIT8_077231
BIT7_074111
BIT6_074211
BIT5_073321
BIT4_069921
BIT3_070961
BIT2_070661
BIT1_074321
BIT0_071281

+
+
+Summary for Variable cp_imm_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins12012100.00

+
+User Defined Bins for cp_imm_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT5_150581
BIT4_175981
BIT3_176831
BIT2_176411
BIT1_177091
BIT0_176951
BIT5_052041
BIT4_026641
BIT3_025791
BIT2_026211
BIT1_025531
BIT0_025671

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp64.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp64.html new file mode 100644 index 00000000..ad16aff7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp64.html @@ -0,0 +1,1785 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cr_add + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cr_add
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cr_add +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_add_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_add_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_add_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2940294100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_c_rdrs131031100.001001132
cp_rs231031100.001001132
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rd_rs2_hazard31031100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32c_add_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rdrs1_rs200010

+
+
+
+
+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RDRS1_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]4261
auto[2]4121
auto[3]5011
auto[4]4401
auto[5]3831
auto[6]4791
auto[7]4091
auto[8]4231
auto[9]3861
auto[10]4381
auto[11]9601
auto[12]4171
auto[13]4161
auto[14]4121
auto[15]4311
auto[16]4421
auto[17]4601
auto[18]4091
auto[19]4481
auto[20]3921
auto[21]4061
auto[22]4331
auto[23]3971
auto[24]4131
auto[25]4301
auto[26]3981
auto[27]4521
auto[28]4681
auto[29]4431
auto[30]4231
auto[31]4191

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RS2_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]4651
auto[2]4701
auto[3]4301
auto[4]4701
auto[5]4761
auto[6]4851
auto[7]4591
auto[8]4281
auto[9]4701
auto[10]4481
auto[11]5121
auto[12]10191
auto[13]4721
auto[14]5391
auto[15]4291
auto[16]4821
auto[17]4791
auto[18]4411
auto[19]4751
auto[20]4791
auto[21]4821
auto[22]5111
auto[23]4681
auto[24]4701
auto[25]4761
auto[26]4471
auto[27]4681
auto[28]4451
auto[29]4611
auto[30]4521
auto[31]4561

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO57861
auto_POSITIVE49361
auto_NEGATIVE43421

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO46391
auto_POSITIVE56561
auto_NEGATIVE47691

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO26421
auto_POSITIVE63191
auto_NEGATIVE61031

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins31031100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_01481
RD_02571
RD_03721
RD_04631
RD_05541
RD_06701
RD_07651
RD_08541
RD_09461
RD_0a481
RD_0b741
RD_0c621
RD_0d581
RD_0e731
RD_0f701
RD_10741
RD_11621
RD_12551
RD_13661
RD_14561
RD_15721
RD_16791
RD_17621
RD_18671
RD_19651
RD_1a621
RD_1b581
RD_1c581
RD_1d511
RD_1e441
RD_1f641

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_143421
BIT30_128761
BIT29_129091
BIT28_129301
BIT27_127781
BIT26_128131
BIT25_127781
BIT24_127931
BIT23_127791
BIT22_127921
BIT21_127641
BIT20_128011
BIT19_127731
BIT18_127991
BIT17_128261
BIT16_130251
BIT15_132931
BIT14_136801
BIT13_137401
BIT12_134761
BIT11_132721
BIT10_133331
BIT9_132971
BIT8_131291
BIT7_135551
BIT6_138831
BIT5_134971
BIT4_138121
BIT3_138761
BIT2_138311
BIT1_136401
BIT0_139851
BIT31_0107221
BIT30_0121881
BIT29_0121551
BIT28_0121341
BIT27_0122861
BIT26_0122511
BIT25_0122861
BIT24_0122711
BIT23_0122851
BIT22_0122721
BIT21_0123001
BIT20_0122631
BIT19_0122911
BIT18_0122651
BIT17_0122381
BIT16_0120391
BIT15_0117711
BIT14_0113841
BIT13_0113241
BIT12_0115881
BIT11_0117921
BIT10_0117311
BIT9_0117671
BIT8_0119351
BIT7_0115091
BIT6_0111811
BIT5_0115671
BIT4_0112521
BIT3_0111881
BIT2_0112331
BIT1_0114241
BIT0_0110791

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_147691
BIT30_129281
BIT29_129411
BIT28_129341
BIT27_128031
BIT26_127741
BIT25_127781
BIT24_127771
BIT23_127381
BIT22_127571
BIT21_127241
BIT20_127361
BIT19_128101
BIT18_127781
BIT17_127781
BIT16_130141
BIT15_136761
BIT14_136621
BIT13_138891
BIT12_136111
BIT11_141631
BIT10_142341
BIT9_137401
BIT8_131941
BIT7_140611
BIT6_139831
BIT5_140861
BIT4_152341
BIT3_148571
BIT2_153241
BIT1_137611
BIT0_142011
BIT31_0102951
BIT30_0121361
BIT29_0121231
BIT28_0121301
BIT27_0122611
BIT26_0122901
BIT25_0122861
BIT24_0122871
BIT23_0123261
BIT22_0123071
BIT21_0123401
BIT20_0123281
BIT19_0122541
BIT18_0122861
BIT17_0122861
BIT16_0120501
BIT15_0113881
BIT14_0114021
BIT13_0111751
BIT12_0114531
BIT11_0109011
BIT10_0108301
BIT9_0113241
BIT8_0118701
BIT7_0110031
BIT6_0110811
BIT5_0109781
BIT4_098301
BIT3_0102071
BIT2_097401
BIT1_0113031
BIT0_0108631

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161031
BIT30_137461
BIT29_137921
BIT28_137591
BIT27_135991
BIT26_136011
BIT25_135911
BIT24_136061
BIT23_135511
BIT22_135551
BIT21_135531
BIT20_135761
BIT19_136241
BIT18_135891
BIT17_136731
BIT16_140421
BIT15_146931
BIT14_150791
BIT13_153241
BIT12_148481
BIT11_151091
BIT10_151551
BIT9_147431
BIT8_144271
BIT7_155951
BIT6_146381
BIT5_154481
BIT4_162131
BIT3_158621
BIT2_161241
BIT1_152771
BIT0_148861
BIT31_089611
BIT30_0113181
BIT29_0112721
BIT28_0113051
BIT27_0114651
BIT26_0114631
BIT25_0114731
BIT24_0114581
BIT23_0115131
BIT22_0115091
BIT21_0115111
BIT20_0114881
BIT19_0114401
BIT18_0114751
BIT17_0113911
BIT16_0110221
BIT15_0103711
BIT14_099851
BIT13_097401
BIT12_0102161
BIT11_099551
BIT10_099091
BIT9_0103211
BIT8_0106371
BIT7_094691
BIT6_0104261
BIT5_096161
BIT4_088511
BIT3_092021
BIT2_089401
BIT1_097871
BIT0_0101781

+
+
+Summary for Cross cross_rdrs1_rs2 +
+
+Samples crossed: cp_c_rdrs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rdrs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp65.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp65.html new file mode 100644 index 00000000..13d2a0da --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp65.html @@ -0,0 +1,2729 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3632366547) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_sra_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sra_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sra_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3900390100.00
Crosses96096100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sra_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value32032100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sra_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value96096100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6211
auto[1]6071
auto[2]6391
auto[3]6021
auto[4]6301
auto[5]6121
auto[6]7251
auto[7]6381
auto[8]6271
auto[9]6051
auto[10]6391
auto[11]6151
auto[12]7221
auto[13]6321
auto[14]6381
auto[15]6501
auto[16]5581
auto[17]6201
auto[18]6311
auto[19]6261
auto[20]6101
auto[21]7251
auto[22]5961
auto[23]7301
auto[24]6441
auto[25]6291
auto[26]6541
auto[27]5891
auto[28]7581
auto[29]6281
auto[30]6091
auto[31]6091

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6641
auto[1]6041
auto[2]6111
auto[3]6421
auto[4]6271
auto[5]6731
auto[6]6691
auto[7]7681
auto[8]6291
auto[9]6051
auto[10]6291
auto[11]6031
auto[12]6421
auto[13]6251
auto[14]6221
auto[15]6231
auto[16]6181
auto[17]6721
auto[18]5991
auto[19]8341
auto[20]6421
auto[21]5851
auto[22]6061
auto[23]6661
auto[24]5971
auto[25]6271
auto[26]5941
auto[27]5881
auto[28]6471
auto[29]6041
auto[30]7031
auto[31]6001

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6751
auto[1]6731
auto[2]6141
auto[3]7231
auto[4]6081
auto[5]7071
auto[6]6741
auto[7]6511
auto[8]6561
auto[9]5811
auto[10]6061
auto[11]6491
auto[12]6571
auto[13]6201
auto[14]6311
auto[15]5721
auto[16]5611
auto[17]6521
auto[18]6361
auto[19]5771
auto[20]6151
auto[21]5921
auto[22]5721
auto[23]6881
auto[24]6131
auto[25]7491
auto[26]6821
auto[27]6411
auto[28]6931
auto[29]6051
auto[30]6181
auto[31]6271

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00811
RD_01741
RD_02761
RD_03671
RD_04681
RD_05751
RD_06801
RD_07581
RD_08581
RD_09551
RD_0a661
RD_0b711
RD_0c611
RD_0d741
RD_0e691
RD_0f661
RD_10581
RD_11641
RD_12601
RD_13681
RD_14641
RD_15661
RD_16531
RD_17821
RD_18681
RD_19681
RD_1a671
RD_1b571
RD_1c841
RD_1d681
RD_1e511
RD_1f721

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00711
RD_01681
RD_02821
RD_03651
RD_04681
RD_05661
RD_06741
RD_07671
RD_08651
RD_09541
RD_0a631
RD_0b771
RD_0c701
RD_0d631
RD_0e671
RD_0f631
RD_10591
RD_11671
RD_12571
RD_13621
RD_14741
RD_15621
RD_16561
RD_17911
RD_18681
RD_19601
RD_1a641
RD_1b611
RD_1c741
RD_1d681
RD_1e551
RD_1f721

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71611
auto_POSITIVE69701
auto_NEGATIVE62871

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2_value +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_0094361
SHAMT_0115531
SHAMT_023431
SHAMT_032811
SHAMT_042781
SHAMT_052001
SHAMT_062441
SHAMT_071881
SHAMT_083401
SHAMT_091941
SHAMT_0a2191
SHAMT_0b1761
SHAMT_0c2361
SHAMT_0d1791
SHAMT_0e2301
SHAMT_0f2281
SHAMT_103941
SHAMT_112081
SHAMT_121801
SHAMT_131541
SHAMT_142551
SHAMT_151831
SHAMT_161931
SHAMT_171711
SHAMT_182721
SHAMT_191781
SHAMT_1a2201
SHAMT_1b2141
SHAMT_1c14701
SHAMT_1d2411
SHAMT_1e3671
SHAMT_1f13931

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO97701
auto_POSITIVE43611
auto_NEGATIVE62871

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162871
BIT30_140161
BIT29_139171
BIT28_139861
BIT27_138551
BIT26_138761
BIT25_137741
BIT24_138021
BIT23_137281
BIT22_138011
BIT21_137841
BIT20_137631
BIT19_137911
BIT18_137971
BIT17_137731
BIT16_139621
BIT15_148961
BIT14_146821
BIT13_150041
BIT12_148711
BIT11_153331
BIT10_154271
BIT9_149351
BIT8_143621
BIT7_153811
BIT6_146041
BIT5_147471
BIT4_160991
BIT3_162381
BIT2_162641
BIT1_148981
BIT0_155951
BIT31_0141311
BIT30_0164021
BIT29_0165011
BIT28_0164321
BIT27_0165631
BIT26_0165421
BIT25_0166441
BIT24_0166161
BIT23_0166901
BIT22_0166171
BIT21_0166341
BIT20_0166551
BIT19_0166271
BIT18_0166211
BIT17_0166451
BIT16_0164561
BIT15_0155221
BIT14_0157361
BIT13_0154141
BIT12_0155471
BIT11_0150851
BIT10_0149911
BIT9_0154831
BIT8_0160561
BIT7_0150371
BIT6_0158141
BIT5_0156711
BIT4_0143191
BIT3_0141801
BIT2_0141541
BIT1_0155201
BIT0_0148231

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164151
BIT30_141771
BIT29_141421
BIT28_140701
BIT27_140261
BIT26_140401
BIT25_139941
BIT24_139491
BIT23_140151
BIT22_139061
BIT21_138871
BIT20_138421
BIT19_139061
BIT18_140321
BIT17_138761
BIT16_142111
BIT15_149171
BIT14_148871
BIT13_151271
BIT12_149051
BIT11_154921
BIT10_155061
BIT9_148221
BIT8_142681
BIT7_151581
BIT6_145271
BIT5_146971
BIT4_160931
BIT3_161571
BIT2_160561
BIT1_148011
BIT0_157411
BIT31_0140031
BIT30_0162411
BIT29_0162761
BIT28_0163481
BIT27_0163921
BIT26_0163781
BIT25_0164241
BIT24_0164691
BIT23_0164031
BIT22_0165121
BIT21_0165311
BIT20_0165761
BIT19_0165121
BIT18_0163861
BIT17_0165421
BIT16_0162071
BIT15_0155011
BIT14_0155311
BIT13_0152911
BIT12_0155131
BIT11_0149261
BIT10_0149121
BIT9_0155961
BIT8_0161501
BIT7_0152601
BIT6_0158911
BIT5_0157211
BIT4_0143251
BIT3_0142611
BIT2_0143621
BIT1_0156171
BIT0_0146771

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162871
BIT30_153711
BIT29_151251
BIT28_151451
BIT27_150511
BIT26_149861
BIT25_149331
BIT24_148561
BIT23_148461
BIT22_147881
BIT21_147791
BIT20_147221
BIT19_147501
BIT18_146781
BIT17_146461
BIT16_146451
BIT15_150391
BIT14_149311
BIT13_150661
BIT12_149761
BIT11_151841
BIT10_152031
BIT9_150271
BIT8_147541
BIT7_151581
BIT6_148481
BIT5_149471
BIT4_153391
BIT3_155021
BIT2_152631
BIT1_147981
BIT0_148771
BIT31_0141311
BIT30_0150471
BIT29_0152931
BIT28_0152731
BIT27_0153671
BIT26_0154321
BIT25_0154851
BIT24_0155621
BIT23_0155721
BIT22_0156301
BIT21_0156391
BIT20_0156961
BIT19_0156681
BIT18_0157401
BIT17_0157721
BIT16_0157731
BIT15_0153791
BIT14_0154871
BIT13_0153521
BIT12_0154421
BIT11_0152341
BIT10_0152151
BIT9_0153911
BIT8_0156641
BIT7_0152601
BIT6_0155701
BIT5_0154711
BIT4_0150791
BIT3_0149161
BIT2_0151551
BIT1_0156201
BIT0_0155411

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins96096100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_NON_ZERO][SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f]--Excluded(32 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROSHAMT_0041021
auto_ZEROSHAMT_014811
auto_ZEROSHAMT_02901
auto_ZEROSHAMT_03731
auto_ZEROSHAMT_04801
auto_ZEROSHAMT_05501
auto_ZEROSHAMT_06551
auto_ZEROSHAMT_07561
auto_ZEROSHAMT_081071
auto_ZEROSHAMT_09501
auto_ZEROSHAMT_0a551
auto_ZEROSHAMT_0b491
auto_ZEROSHAMT_0c651
auto_ZEROSHAMT_0d491
auto_ZEROSHAMT_0e721
auto_ZEROSHAMT_0f581
auto_ZEROSHAMT_101191
auto_ZEROSHAMT_11471
auto_ZEROSHAMT_12411
auto_ZEROSHAMT_13441
auto_ZEROSHAMT_14771
auto_ZEROSHAMT_15451
auto_ZEROSHAMT_16401
auto_ZEROSHAMT_17381
auto_ZEROSHAMT_18971
auto_ZEROSHAMT_19461
auto_ZEROSHAMT_1a561
auto_ZEROSHAMT_1b601
auto_ZEROSHAMT_1c4181
auto_ZEROSHAMT_1d771
auto_ZEROSHAMT_1e1011
auto_ZEROSHAMT_1f3631
auto_POSITIVESHAMT_0028651
auto_POSITIVESHAMT_016081
auto_POSITIVESHAMT_021461
auto_POSITIVESHAMT_031181
auto_POSITIVESHAMT_041011
auto_POSITIVESHAMT_05741
auto_POSITIVESHAMT_06921
auto_POSITIVESHAMT_07681
auto_POSITIVESHAMT_081231
auto_POSITIVESHAMT_09781
auto_POSITIVESHAMT_0a751
auto_POSITIVESHAMT_0b691
auto_POSITIVESHAMT_0c921
auto_POSITIVESHAMT_0d791
auto_POSITIVESHAMT_0e741
auto_POSITIVESHAMT_0f841
auto_POSITIVESHAMT_101421
auto_POSITIVESHAMT_11811
auto_POSITIVESHAMT_12721
auto_POSITIVESHAMT_13561
auto_POSITIVESHAMT_14881
auto_POSITIVESHAMT_15801
auto_POSITIVESHAMT_16771
auto_POSITIVESHAMT_17611
auto_POSITIVESHAMT_18921
auto_POSITIVESHAMT_19771
auto_POSITIVESHAMT_1a891
auto_POSITIVESHAMT_1b961
auto_POSITIVESHAMT_1c5531
auto_POSITIVESHAMT_1d871
auto_POSITIVESHAMT_1e1161
auto_POSITIVESHAMT_1f4571
auto_NEGATIVESHAMT_0024691
auto_NEGATIVESHAMT_014641
auto_NEGATIVESHAMT_021071
auto_NEGATIVESHAMT_03901
auto_NEGATIVESHAMT_04971
auto_NEGATIVESHAMT_05761
auto_NEGATIVESHAMT_06971
auto_NEGATIVESHAMT_07641
auto_NEGATIVESHAMT_081101
auto_NEGATIVESHAMT_09661
auto_NEGATIVESHAMT_0a891
auto_NEGATIVESHAMT_0b581
auto_NEGATIVESHAMT_0c791
auto_NEGATIVESHAMT_0d511
auto_NEGATIVESHAMT_0e841
auto_NEGATIVESHAMT_0f861
auto_NEGATIVESHAMT_101331
auto_NEGATIVESHAMT_11801
auto_NEGATIVESHAMT_12671
auto_NEGATIVESHAMT_13541
auto_NEGATIVESHAMT_14901
auto_NEGATIVESHAMT_15581
auto_NEGATIVESHAMT_16761
auto_NEGATIVESHAMT_17721
auto_NEGATIVESHAMT_18831
auto_NEGATIVESHAMT_19551
auto_NEGATIVESHAMT_1a751
auto_NEGATIVESHAMT_1b581
auto_NEGATIVESHAMT_1c4991
auto_NEGATIVESHAMT_1d771
auto_NEGATIVESHAMT_1e1501
auto_NEGATIVESHAMT_1f5731

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp66.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp66.html new file mode 100644 index 00000000..1aef57b6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp66.html @@ -0,0 +1,5047 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype_shift(withChksum=3970699745) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_sll_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_srl_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sll_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sll_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3880388100.00
Crosses64064100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sll_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value32032100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sll_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value64064100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_srl_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_srl_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3880388100.00
Crosses64064100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_srl_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value202100.00100110
cp_rs2_value32032100.00100110
cp_rd_value202100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_srl_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6781
auto[1]6831
auto[2]6221
auto[3]5991
auto[4]6601
auto[5]6021
auto[6]6131
auto[7]6481
auto[8]6321
auto[9]6471
auto[10]6101
auto[11]6491
auto[12]6191
auto[13]6251
auto[14]5771
auto[15]6151
auto[16]6481
auto[17]6291
auto[18]6731
auto[19]7791
auto[20]6371
auto[21]6651
auto[22]6521
auto[23]6241
auto[24]5761
auto[25]5951
auto[26]6531
auto[27]6481
auto[28]7311
auto[29]5951
auto[30]5681
auto[31]5891

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6101
auto[1]5881
auto[2]6551
auto[3]6411
auto[4]5951
auto[5]6241
auto[6]6661
auto[7]6321
auto[8]7381
auto[9]6031
auto[10]6631
auto[11]6271
auto[12]6001
auto[13]5871
auto[14]6791
auto[15]5891
auto[16]6551
auto[17]6391
auto[18]6421
auto[19]6251
auto[20]6231
auto[21]6701
auto[22]5951
auto[23]6331
auto[24]7731
auto[25]6191
auto[26]6241
auto[27]6161
auto[28]6181
auto[29]6311
auto[30]6581
auto[31]6231

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6801
auto[1]6401
auto[2]6021
auto[3]6721
auto[4]5671
auto[5]6211
auto[6]6221
auto[7]6021
auto[8]5861
auto[9]6711
auto[10]5941
auto[11]5881
auto[12]7411
auto[13]7631
auto[14]6771
auto[15]6381
auto[16]5881
auto[17]5751
auto[18]6511
auto[19]6231
auto[20]6451
auto[21]6231
auto[22]5711
auto[23]6941
auto[24]6001
auto[25]6041
auto[26]6931
auto[27]6561
auto[28]6031
auto[29]6181
auto[30]7021
auto[31]6311

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01691
RD_02751
RD_03771
RD_04591
RD_05681
RD_06591
RD_07601
RD_08701
RD_09821
RD_0a681
RD_0b511
RD_0c741
RD_0d601
RD_0e751
RD_0f661
RD_10651
RD_11661
RD_12861
RD_13741
RD_14691
RD_15691
RD_16621
RD_17521
RD_18491
RD_19721
RD_1a661
RD_1b741
RD_1c771
RD_1d611
RD_1e691
RD_1f661

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00741
RD_01681
RD_02781
RD_03741
RD_04581
RD_05731
RD_06581
RD_07641
RD_08761
RD_09761
RD_0a681
RD_0b571
RD_0c791
RD_0d661
RD_0e851
RD_0f631
RD_10601
RD_11671
RD_12781
RD_13801
RD_14691
RD_15591
RD_16641
RD_17491
RD_18501
RD_19641
RD_1a661
RD_1b631
RD_1c701
RD_1d601
RD_1e781
RD_1f751

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO70551
auto_NON_ZERO132861

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2_value +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_0091801
SHAMT_0115001
SHAMT_024011
SHAMT_032481
SHAMT_043721
SHAMT_052091
SHAMT_062311
SHAMT_071911
SHAMT_083041
SHAMT_092311
SHAMT_0a2521
SHAMT_0b1881
SHAMT_0c2691
SHAMT_0d1711
SHAMT_0e2181
SHAMT_0f2231
SHAMT_103411
SHAMT_111551
SHAMT_122351
SHAMT_131531
SHAMT_142891
SHAMT_151861
SHAMT_162131
SHAMT_172101
SHAMT_183031
SHAMT_191711
SHAMT_1a2341
SHAMT_1b1941
SHAMT_1c14311
SHAMT_1d2121
SHAMT_1e3581
SHAMT_1f14681

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO81201
auto_NON_ZERO122211

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162711
BIT30_141041
BIT29_140561
BIT28_141071
BIT27_139541
BIT26_139191
BIT25_139181
BIT24_139011
BIT23_139071
BIT22_139111
BIT21_139051
BIT20_139271
BIT19_138711
BIT18_139281
BIT17_138991
BIT16_142011
BIT15_149231
BIT14_148451
BIT13_151801
BIT12_148711
BIT11_154701
BIT10_154471
BIT9_149271
BIT8_143441
BIT7_152471
BIT6_146391
BIT5_147631
BIT4_161031
BIT3_162161
BIT2_161791
BIT1_150181
BIT0_157351
BIT31_0140701
BIT30_0162371
BIT29_0162851
BIT28_0162341
BIT27_0163871
BIT26_0164221
BIT25_0164231
BIT24_0164401
BIT23_0164341
BIT22_0164301
BIT21_0164361
BIT20_0164141
BIT19_0164701
BIT18_0164131
BIT17_0164421
BIT16_0161401
BIT15_0154181
BIT14_0154961
BIT13_0151611
BIT12_0154701
BIT11_0148711
BIT10_0148941
BIT9_0154141
BIT8_0159971
BIT7_0150941
BIT6_0157021
BIT5_0155781
BIT4_0142381
BIT3_0141251
BIT2_0141621
BIT1_0153231
BIT0_0146061

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161971
BIT30_140371
BIT29_140201
BIT28_140301
BIT27_138911
BIT26_139081
BIT25_138171
BIT24_138311
BIT23_138271
BIT22_138491
BIT21_138221
BIT20_139131
BIT19_139041
BIT18_139331
BIT17_138721
BIT16_140521
BIT15_149541
BIT14_148521
BIT13_152031
BIT12_149301
BIT11_154941
BIT10_155401
BIT9_148701
BIT8_144151
BIT7_153311
BIT6_146701
BIT5_148821
BIT4_161531
BIT3_162271
BIT2_162511
BIT1_150171
BIT0_157101
BIT31_0141441
BIT30_0163041
BIT29_0163211
BIT28_0163111
BIT27_0164501
BIT26_0164331
BIT25_0165241
BIT24_0165101
BIT23_0165141
BIT22_0164921
BIT21_0165191
BIT20_0164281
BIT19_0164371
BIT18_0164081
BIT17_0164691
BIT16_0162891
BIT15_0153871
BIT14_0154891
BIT13_0151381
BIT12_0154111
BIT11_0148471
BIT10_0148011
BIT9_0154711
BIT8_0159261
BIT7_0150101
BIT6_0156711
BIT5_0154591
BIT4_0141881
BIT3_0141141
BIT2_0140901
BIT1_0153241
BIT0_0146311

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153911
BIT30_139751
BIT29_138551
BIT28_137911
BIT27_134511
BIT26_132791
BIT25_132691
BIT24_132181
BIT23_131791
BIT22_131541
BIT21_130831
BIT20_129861
BIT19_129641
BIT18_128891
BIT17_129871
BIT16_129721
BIT15_132401
BIT14_130451
BIT13_132401
BIT12_130501
BIT11_132771
BIT10_130921
BIT9_127291
BIT8_125151
BIT7_127861
BIT6_124411
BIT5_125551
BIT4_130161
BIT3_129161
BIT2_127541
BIT1_124221
BIT0_121111
BIT31_0149501
BIT30_0163661
BIT29_0164861
BIT28_0165501
BIT27_0168901
BIT26_0170621
BIT25_0170721
BIT24_0171231
BIT23_0171621
BIT22_0171871
BIT21_0172581
BIT20_0173551
BIT19_0173771
BIT18_0174521
BIT17_0173541
BIT16_0173691
BIT15_0171011
BIT14_0172961
BIT13_0171011
BIT12_0172911
BIT11_0170641
BIT10_0172491
BIT9_0176121
BIT8_0178261
BIT7_0175551
BIT6_0179001
BIT5_0177861
BIT4_0173251
BIT3_0174251
BIT2_0175871
BIT1_0179191
BIT0_0182301

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins64064100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_POSITIVE , auto_NEGATIVE][SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f]--Excluded(64 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROSHAMT_0038851
auto_ZEROSHAMT_014321
auto_ZEROSHAMT_021311
auto_ZEROSHAMT_03781
auto_ZEROSHAMT_04931
auto_ZEROSHAMT_05581
auto_ZEROSHAMT_06641
auto_ZEROSHAMT_07421
auto_ZEROSHAMT_08751
auto_ZEROSHAMT_09681
auto_ZEROSHAMT_0a621
auto_ZEROSHAMT_0b651
auto_ZEROSHAMT_0c811
auto_ZEROSHAMT_0d461
auto_ZEROSHAMT_0e541
auto_ZEROSHAMT_0f561
auto_ZEROSHAMT_101061
auto_ZEROSHAMT_11421
auto_ZEROSHAMT_12721
auto_ZEROSHAMT_13391
auto_ZEROSHAMT_14551
auto_ZEROSHAMT_15441
auto_ZEROSHAMT_16561
auto_ZEROSHAMT_17611
auto_ZEROSHAMT_181001
auto_ZEROSHAMT_19401
auto_ZEROSHAMT_1a681
auto_ZEROSHAMT_1b541
auto_ZEROSHAMT_1c4611
auto_ZEROSHAMT_1d581
auto_ZEROSHAMT_1e951
auto_ZEROSHAMT_1f4141
auto_NON_ZEROSHAMT_0052951
auto_NON_ZEROSHAMT_0110681
auto_NON_ZEROSHAMT_022701
auto_NON_ZEROSHAMT_031701
auto_NON_ZEROSHAMT_042791
auto_NON_ZEROSHAMT_051511
auto_NON_ZEROSHAMT_061671
auto_NON_ZEROSHAMT_071491
auto_NON_ZEROSHAMT_082291
auto_NON_ZEROSHAMT_091631
auto_NON_ZEROSHAMT_0a1901
auto_NON_ZEROSHAMT_0b1231
auto_NON_ZEROSHAMT_0c1881
auto_NON_ZEROSHAMT_0d1251
auto_NON_ZEROSHAMT_0e1641
auto_NON_ZEROSHAMT_0f1671
auto_NON_ZEROSHAMT_102351
auto_NON_ZEROSHAMT_111131
auto_NON_ZEROSHAMT_121631
auto_NON_ZEROSHAMT_131141
auto_NON_ZEROSHAMT_142341
auto_NON_ZEROSHAMT_151421
auto_NON_ZEROSHAMT_161571
auto_NON_ZEROSHAMT_171491
auto_NON_ZEROSHAMT_182031
auto_NON_ZEROSHAMT_191311
auto_NON_ZEROSHAMT_1a1661
auto_NON_ZEROSHAMT_1b1401
auto_NON_ZEROSHAMT_1c9701
auto_NON_ZEROSHAMT_1d1541
auto_NON_ZEROSHAMT_1e2631
auto_NON_ZEROSHAMT_1f10541

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6021
auto[1]6411
auto[2]5611
auto[3]6181
auto[4]6101
auto[5]6011
auto[6]6541
auto[7]6891
auto[8]6221
auto[9]6351
auto[10]5851
auto[11]5931
auto[12]6251
auto[13]6831
auto[14]5951
auto[15]6241
auto[16]6491
auto[17]6751
auto[18]6191
auto[19]6171
auto[20]6081
auto[21]6461
auto[22]6341
auto[23]6591
auto[24]7351
auto[25]6141
auto[26]6241
auto[27]6081
auto[28]6431
auto[29]6361
auto[30]6901
auto[31]6741

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6771
auto[1]6371
auto[2]7081
auto[3]5671
auto[4]6011
auto[5]6111
auto[6]6181
auto[7]5761
auto[8]6841
auto[9]6851
auto[10]6511
auto[11]6261
auto[12]6561
auto[13]5971
auto[14]6671
auto[15]6391
auto[16]5771
auto[17]6291
auto[18]6661
auto[19]6071
auto[20]6211
auto[21]5841
auto[22]6441
auto[23]6461
auto[24]6821
auto[25]7041
auto[26]6241
auto[27]6041
auto[28]6321
auto[29]5961
auto[30]6431
auto[31]6101

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6341
auto[1]6301
auto[2]6391
auto[3]6051
auto[4]6681
auto[5]6461
auto[6]6521
auto[7]6021
auto[8]5851
auto[9]6061
auto[10]6611
auto[11]6171
auto[12]6511
auto[13]6151
auto[14]6261
auto[15]5801
auto[16]6311
auto[17]6661
auto[18]5941
auto[19]6921
auto[20]6091
auto[21]5541
auto[22]6511
auto[23]6251
auto[24]6521
auto[25]6721
auto[26]6801
auto[27]6381
auto[28]7231
auto[29]6201
auto[30]6091
auto[31]6361

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00561
RD_01681
RD_02571
RD_03661
RD_04781
RD_05701
RD_06731
RD_07751
RD_08601
RD_09671
RD_0a601
RD_0b691
RD_0c821
RD_0d671
RD_0e601
RD_0f651
RD_10651
RD_11751
RD_12801
RD_13711
RD_14621
RD_15531
RD_16671
RD_17631
RD_18701
RD_19741
RD_1a761
RD_1b611
RD_1c731
RD_1d661
RD_1e741
RD_1f641

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00651
RD_01721
RD_02631
RD_03631
RD_04711
RD_05751
RD_06911
RD_07591
RD_08571
RD_09691
RD_0a761
RD_0b741
RD_0c841
RD_0d711
RD_0e671
RD_0f681
RD_10601
RD_11801
RD_12781
RD_13721
RD_14631
RD_15621
RD_16611
RD_17761
RD_18781
RD_19731
RD_1a671
RD_1b711
RD_1c771
RD_1d691
RD_1e781
RD_1f451

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO69651
auto_NON_ZERO133041

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rs2_value +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
SHAMT_0093121
SHAMT_0115221
SHAMT_023421
SHAMT_032801
SHAMT_043141
SHAMT_051831
SHAMT_062291
SHAMT_071931
SHAMT_083501
SHAMT_092071
SHAMT_0a2401
SHAMT_0b1971
SHAMT_0c2571
SHAMT_0d1571
SHAMT_0e2181
SHAMT_0f2231
SHAMT_103641
SHAMT_111951
SHAMT_121891
SHAMT_131521
SHAMT_142531
SHAMT_151701
SHAMT_161911
SHAMT_171851
SHAMT_182641
SHAMT_191661
SHAMT_1a2271
SHAMT_1b1971
SHAMT_1c13861
SHAMT_1d2291
SHAMT_1e3411
SHAMT_1f15361

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO94771
auto_NON_ZERO107921

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163961
BIT30_141181
BIT29_140891
BIT28_141871
BIT27_140381
BIT26_139491
BIT25_138871
BIT24_140151
BIT23_138301
BIT22_139101
BIT21_139461
BIT20_138951
BIT19_138871
BIT18_138581
BIT17_138431
BIT16_141481
BIT15_150781
BIT14_150471
BIT13_154001
BIT12_150101
BIT11_157151
BIT10_157301
BIT9_148151
BIT8_142701
BIT7_153691
BIT6_146231
BIT5_148981
BIT4_162591
BIT3_162301
BIT2_162621
BIT1_148981
BIT0_156511
BIT31_0138731
BIT30_0161511
BIT29_0161801
BIT28_0160821
BIT27_0162311
BIT26_0163201
BIT25_0163821
BIT24_0162541
BIT23_0164391
BIT22_0163591
BIT21_0163231
BIT20_0163741
BIT19_0163821
BIT18_0164111
BIT17_0164261
BIT16_0161211
BIT15_0151911
BIT14_0152221
BIT13_0148691
BIT12_0152591
BIT11_0145541
BIT10_0145391
BIT9_0154541
BIT8_0159991
BIT7_0149001
BIT6_0156461
BIT5_0153711
BIT4_0140101
BIT3_0140391
BIT2_0140071
BIT1_0153711
BIT0_0146181

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161841
BIT30_140881
BIT29_140481
BIT28_141431
BIT27_139821
BIT26_139441
BIT25_138461
BIT24_139471
BIT23_139071
BIT22_139111
BIT21_139681
BIT20_139401
BIT19_138991
BIT18_139051
BIT17_138671
BIT16_140761
BIT15_149571
BIT14_149251
BIT13_151741
BIT12_149781
BIT11_154521
BIT10_154671
BIT9_148441
BIT8_142911
BIT7_152881
BIT6_147151
BIT5_148461
BIT4_160451
BIT3_161951
BIT2_160651
BIT1_149401
BIT0_157921
BIT31_0140851
BIT30_0161811
BIT29_0162211
BIT28_0161261
BIT27_0162871
BIT26_0163251
BIT25_0164231
BIT24_0163221
BIT23_0163621
BIT22_0163581
BIT21_0163011
BIT20_0163291
BIT19_0163701
BIT18_0163641
BIT17_0164021
BIT16_0161931
BIT15_0153121
BIT14_0153441
BIT13_0150951
BIT12_0152911
BIT11_0148171
BIT10_0148021
BIT9_0154251
BIT8_0159781
BIT7_0149811
BIT6_0155541
BIT5_0154231
BIT4_0142241
BIT3_0140741
BIT2_0142041
BIT1_0153291
BIT0_0144771

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_125111
BIT30_120831
BIT29_120631
BIT28_120991
BIT27_121861
BIT26_121001
BIT25_121261
BIT24_122491
BIT23_122291
BIT22_122081
BIT21_123641
BIT20_123741
BIT19_124531
BIT18_123371
BIT17_124101
BIT16_126081
BIT15_130801
BIT14_132081
BIT13_133941
BIT12_132771
BIT11_135591
BIT10_136181
BIT9_134521
BIT8_130981
BIT7_135301
BIT6_133131
BIT5_135261
BIT4_140981
BIT3_147061
BIT2_146061
BIT1_141851
BIT0_151721
BIT31_0177581
BIT30_0181861
BIT29_0182061
BIT28_0181701
BIT27_0180831
BIT26_0181691
BIT25_0181431
BIT24_0180201
BIT23_0180401
BIT22_0180611
BIT21_0179051
BIT20_0178951
BIT19_0178161
BIT18_0179321
BIT17_0178591
BIT16_0176611
BIT15_0171891
BIT14_0170611
BIT13_0168751
BIT12_0169921
BIT11_0167101
BIT10_0166511
BIT9_0168171
BIT8_0171711
BIT7_0167391
BIT6_0169561
BIT5_0167431
BIT4_0161711
BIT3_0155631
BIT2_0156631
BIT1_0160841
BIT0_0150971

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins64064100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_POSITIVE , auto_NEGATIVE][SHAMT_00 , SHAMT_01 , SHAMT_02 , SHAMT_03 , SHAMT_04 , SHAMT_05 , SHAMT_06 , SHAMT_07 , SHAMT_08 , SHAMT_09 , SHAMT_0a , SHAMT_0b , SHAMT_0c , SHAMT_0d , SHAMT_0e , SHAMT_0f , SHAMT_10 , SHAMT_11 , SHAMT_12 , SHAMT_13 , SHAMT_14 , SHAMT_15 , SHAMT_16 , SHAMT_17 , SHAMT_18 , SHAMT_19 , SHAMT_1a , SHAMT_1b , SHAMT_1c , SHAMT_1d , SHAMT_1e , SHAMT_1f]--Excluded(64 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROSHAMT_0039771
auto_ZEROSHAMT_014221
auto_ZEROSHAMT_02881
auto_ZEROSHAMT_03591
auto_ZEROSHAMT_04801
auto_ZEROSHAMT_05571
auto_ZEROSHAMT_06621
auto_ZEROSHAMT_07591
auto_ZEROSHAMT_08931
auto_ZEROSHAMT_09521
auto_ZEROSHAMT_0a601
auto_ZEROSHAMT_0b461
auto_ZEROSHAMT_0c701
auto_ZEROSHAMT_0d431
auto_ZEROSHAMT_0e601
auto_ZEROSHAMT_0f661
auto_ZEROSHAMT_10941
auto_ZEROSHAMT_11561
auto_ZEROSHAMT_12431
auto_ZEROSHAMT_13421
auto_ZEROSHAMT_14771
auto_ZEROSHAMT_15401
auto_ZEROSHAMT_16521
auto_ZEROSHAMT_17471
auto_ZEROSHAMT_18971
auto_ZEROSHAMT_19331
auto_ZEROSHAMT_1a721
auto_ZEROSHAMT_1b471
auto_ZEROSHAMT_1c4121
auto_ZEROSHAMT_1d661
auto_ZEROSHAMT_1e931
auto_ZEROSHAMT_1f4001
auto_NON_ZEROSHAMT_0053351
auto_NON_ZEROSHAMT_0111001
auto_NON_ZEROSHAMT_022541
auto_NON_ZEROSHAMT_032211
auto_NON_ZEROSHAMT_042341
auto_NON_ZEROSHAMT_051261
auto_NON_ZEROSHAMT_061671
auto_NON_ZEROSHAMT_071341
auto_NON_ZEROSHAMT_082571
auto_NON_ZEROSHAMT_091551
auto_NON_ZEROSHAMT_0a1801
auto_NON_ZEROSHAMT_0b1511
auto_NON_ZEROSHAMT_0c1871
auto_NON_ZEROSHAMT_0d1141
auto_NON_ZEROSHAMT_0e1581
auto_NON_ZEROSHAMT_0f1571
auto_NON_ZEROSHAMT_102701
auto_NON_ZEROSHAMT_111391
auto_NON_ZEROSHAMT_121461
auto_NON_ZEROSHAMT_131101
auto_NON_ZEROSHAMT_141761
auto_NON_ZEROSHAMT_151301
auto_NON_ZEROSHAMT_161391
auto_NON_ZEROSHAMT_171381
auto_NON_ZEROSHAMT_181671
auto_NON_ZEROSHAMT_191331
auto_NON_ZEROSHAMT_1a1551
auto_NON_ZEROSHAMT_1b1501
auto_NON_ZEROSHAMT_1c9741
auto_NON_ZEROSHAMT_1d1631
auto_NON_ZEROSHAMT_1e2481
auto_NON_ZEROSHAMT_1f11361

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp67.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp67.html new file mode 100644 index 00000000..c64c1e2f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp67.html @@ -0,0 +1,1277 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_cr_j + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_cr_j
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_cr_j +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+2 Instances: +
+ + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_jalr_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_jr_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_jalr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_jalr_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables97097100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_jalr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_c_rdrs131031100.001001132
cp_rs1_value202100.00100110
cp_rs1_toggle64064100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_jr_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_jr_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables97097100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_jr_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_c_rdrs131031100.001001132
cp_rs1_value202100.00100110
cp_rs1_toggle64064100.00100110

+
+
+
+
+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RDRS1_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]81
auto[2]31
auto[3]141
auto[4]91
auto[5]81
auto[6]41
auto[7]181
auto[8]121
auto[9]311
auto[10]171
auto[11]61
auto[12]161
auto[13]71
auto[14]51
auto[15]41
auto[16]91
auto[17]111
auto[18]31
auto[19]101
auto[20]61
auto[21]31
auto[22]31
auto[23]11
auto[24]61
auto[25]91
auto[26]31
auto[27]151
auto[28]191
auto[29]121
auto[30]101
auto[31]201

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO3011

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_13011
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_111
BIT15_111
BIT14_111
BIT13_1321
BIT12_1321
BIT11_1821
BIT10_1981
BIT9_11521
BIT8_11781
BIT7_11441
BIT6_11281
BIT5_11551
BIT4_11371
BIT3_11421
BIT2_11351
BIT1_11211
BIT0_11311
BIT31_011
BIT30_03011
BIT29_03011
BIT28_03011
BIT27_03011
BIT26_03011
BIT25_03011
BIT24_03011
BIT23_03011
BIT22_03011
BIT21_03011
BIT20_03011
BIT19_03011
BIT18_03011
BIT17_03011
BIT16_03011
BIT15_03011
BIT14_03011
BIT13_02701
BIT12_02701
BIT11_02201
BIT10_02041
BIT9_01501
BIT8_01241
BIT7_01581
BIT6_01741
BIT5_01471
BIT4_01651
BIT3_01601
BIT2_01671
BIT1_01811
BIT0_01711

+
+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins31031100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
RDRS1_NOT_ZERO0Excluded
[auto[0]]0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[1]961
auto[2]81
auto[3]101
auto[4]151
auto[5]171
auto[6]51
auto[7]21
auto[8]121
auto[9]91
auto[10]91
auto[11]101
auto[12]71
auto[13]111
auto[14]41
auto[15]31
auto[16]41
auto[17]151
auto[18]91
auto[19]221
auto[20]61
auto[21]81
auto[22]141
auto[23]71
auto[24]11
auto[25]51
auto[26]31
auto[27]51
auto[28]11
auto[29]341
auto[30]81
auto[31]11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO11
auto_NON_ZERO3601

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_13601
BIT30_111
BIT29_111
BIT28_111
BIT27_111
BIT26_111
BIT25_111
BIT24_111
BIT23_111
BIT22_111
BIT21_111
BIT20_111
BIT19_111
BIT18_111
BIT17_111
BIT16_151
BIT15_1111
BIT14_1391
BIT13_1781
BIT12_1881
BIT11_11241
BIT10_11151
BIT9_11471
BIT8_12041
BIT7_11741
BIT6_11701
BIT5_11891
BIT4_11571
BIT3_12111
BIT2_11701
BIT1_11411
BIT0_11311
BIT31_011
BIT30_03601
BIT29_03601
BIT28_03601
BIT27_03601
BIT26_03601
BIT25_03601
BIT24_03601
BIT23_03601
BIT22_03601
BIT21_03601
BIT20_03601
BIT19_03601
BIT18_03601
BIT17_03601
BIT16_03561
BIT15_03501
BIT14_03221
BIT13_02831
BIT12_02731
BIT11_02371
BIT10_02461
BIT9_02141
BIT8_01571
BIT7_01871
BIT6_01911
BIT5_01721
BIT4_02041
BIT3_01501
BIT2_01911
BIT1_02201
BIT0_02301

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp68.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp68.html new file mode 100644 index 00000000..5fa38b9b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp68.html @@ -0,0 +1,968 @@ + + + + + +Unified Coverage Report :: Group :: uvma_obi_memory_pkg::cg_obi + + + + + + + + + + +
+ +
Group : uvma_obi_memory_pkg::cg_obi
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_obi_memory_pkg::cg_obi +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
41.67 41.671 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/comps/uvma_obi_memory_cov_model.sv
+
+3 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_obi_memory_pkg.obi_cg 41.671 100 1 64 64
uvma_obi_memory_pkg.obi_cg_(1) 41.671 100 1 64 64
uvma_obi_memory_pkg.obi_cg_(2) 41.671 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.obi_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
41.671 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.obi_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables149541.67

+
+Variables for Group Instance uvma_obi_memory_pkg.obi_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
we21150.00 100110
memtype42250.00 100114
prot65116.67 100118
err21150.00 100112

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.obi_cg_(1) +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
41.671 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.obi_cg_(1) + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables149541.67

+
+Variables for Group Instance uvma_obi_memory_pkg.obi_cg_(1) + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
we21150.00 100110
memtype42250.00 100114
prot65116.67 100118
err21150.00 100112

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.obi_cg_(2) +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
41.671 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.obi_cg_(2) + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables149541.67

+
+Variables for Group Instance uvma_obi_memory_pkg.obi_cg_(2) + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
we21150.00 100110
memtype42250.00 100114
prot65116.67 100118
err21150.00 100112

+
+
+
+
+
+
+Summary for Variable we +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins21150.00

+
+User Defined Bins for we +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
WRITE011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
READ158716601

+
+
+Summary for Variable memtype +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins42250.00

+
+Automatically Generated Bins for memtype +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[1]]011
[auto[3]]011

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]4531
auto[2]158712071

+
+
+Summary for Variable prot +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins65116.67

+
+Automatically Generated Bins for prot +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[0] - auto[3]]----4
[auto[7]]011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + +
NAMECOUNTSTATUS
IGN_RSVD_PRIV0Excluded
[auto[4] - auto[5]]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[6]158716601

+
+
+Summary for Variable err +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins21150.00

+
+Automatically Generated Bins for err +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[1]]011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[0]158716601

+
+
+
+Summary for Variable we +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins21150.00

+
+User Defined Bins for we +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
WRITE011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
READ13844781

+
+
+Summary for Variable memtype +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins42250.00

+
+Automatically Generated Bins for memtype +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[1]]011
[auto[3]]011

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]2151
auto[2]13842631

+
+
+Summary for Variable prot +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins65116.67

+
+Automatically Generated Bins for prot +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[0] - auto[3]]----4
[auto[6]]011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + +
NAMECOUNTSTATUS
IGN_RSVD_PRIV0Excluded
[auto[4] - auto[5]]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[7]13844781

+
+
+Summary for Variable err +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins21150.00

+
+Automatically Generated Bins for err +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[1]]011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[0]13844781

+
+
+
+Summary for Variable we +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins21150.00

+
+User Defined Bins for we +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
READ011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
WRITE14061931

+
+
+Summary for Variable memtype +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins42250.00

+
+Automatically Generated Bins for memtype +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[1]]011
[auto[3]]011

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]1981
auto[2]14059951

+
+
+Summary for Variable prot +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins65116.67

+
+Automatically Generated Bins for prot +
+
+Uncovered bins +
+ + + + + + + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[0] - auto[3]]----4
[auto[6]]011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + +
NAMECOUNTSTATUS
IGN_RSVD_PRIV0Excluded
[auto[4] - auto[5]]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[7]14061931

+
+
+Summary for Variable err +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins21150.00

+
+Automatically Generated Bins for err +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
[auto[1]]011

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto[0]14061931

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp69.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp69.html new file mode 100644 index 00000000..85f610cb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp69.html @@ -0,0 +1,1785 @@ + + + + + +Unified Coverage Report :: Group :: uvma_obi_memory_pkg::cg_obi_delay + + + + + + + + + + +
+ +
Group : uvma_obi_memory_pkg::cg_obi_delay
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_obi_memory_pkg::cg_obi_delay +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
84.03 84.031 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_obi_memory/src/comps/uvma_obi_memory_cov_model.sv
+
+6 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_obi_memory_pkg.rd_delay_cg_(1) 81.251 100 1 64 64
uvma_obi_memory_pkg.wr_delay_cg_(1) 81.251 100 1 64 64
uvma_obi_memory_pkg.rd_delay_cg 83.331 100 1 64 64
uvma_obi_memory_pkg.wr_delay_cg 83.331 100 1 64 64
uvma_obi_memory_pkg.rd_delay_cg_(2) 87.501 100 1 64 64
uvma_obi_memory_pkg.wr_delay_cg_(2) 87.501 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.rd_delay_cg_(1) +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
81.251 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables808100.00
Crosses169743.75

+
+Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
req_to_gnt404100.00100110
rready_to_rvalid404100.00100110

+
+Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(1) + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
dly_cross169743.75 100110

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.wr_delay_cg_(1) +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
81.251 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables808100.00
Crosses169743.75

+
+Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
req_to_gnt404100.00100110
rready_to_rvalid404100.00100110

+
+Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(1) + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
dly_cross169743.75 100110

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.rd_delay_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
83.331 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables808100.00
Crosses168850.00

+
+Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
req_to_gnt404100.00100110
rready_to_rvalid404100.00100110

+
+Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
dly_cross168850.00 100110

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.wr_delay_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
83.331 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables808100.00
Crosses168850.00

+
+Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
req_to_gnt404100.00100110
rready_to_rvalid404100.00100110

+
+Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
dly_cross168850.00 100110

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.rd_delay_cg_(2) +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
87.501 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables808100.00
Crosses1661062.50

+
+Variables for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
req_to_gnt404100.00100110
rready_to_rvalid404100.00100110

+
+Crosses for Group Instance uvma_obi_memory_pkg.rd_delay_cg_(2) + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
dly_cross1661062.50 100110

+
+
+ +
+
+
+Group Instance : uvma_obi_memory_pkg.wr_delay_cg_(2) +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
87.501 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables808100.00
Crosses1661062.50

+
+Variables for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
req_to_gnt404100.00100110
rready_to_rvalid404100.00100110

+
+Crosses for Group Instance uvma_obi_memory_pkg.wr_delay_cg_(2) + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
dly_cross1661062.50 100110

+
+
+
+
+
+
+Summary for Variable req_to_gnt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for req_to_gnt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04468951
dly_14341
dly_226751
dly_32342951

+
+
+Summary for Variable rready_to_rvalid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for rready_to_rvalid +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04468951
dly_14669271
dly_21504671
dly_3787401

+
+
+Summary for Cross dly_cross +
+
+Samples crossed: req_to_gnt rready_to_rvalid
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins169743.75 9

+
+Automatically Generated Cross Bins for dly_cross +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEASTNUMBER
[dly_0][dly_1 , dly_2 , dly_3]----3
[dly_1][dly_0]011
[dly_1][dly_2 , dly_3]----2
[dly_2][dly_0]011
[dly_2][dly_3]011
[dly_3][dly_0]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEAST
dly_0dly_04468951
dly_1dly_14341
dly_2dly_13511
dly_2dly_223241
dly_3dly_12222891
dly_3dly_248101
dly_3dly_317521

+
+
+
+Summary for Variable req_to_gnt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for req_to_gnt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04468951
dly_14341
dly_226751
dly_32342951

+
+
+Summary for Variable rready_to_rvalid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for rready_to_rvalid +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04468951
dly_14669271
dly_21504671
dly_3787401

+
+
+Summary for Cross dly_cross +
+
+Samples crossed: req_to_gnt rready_to_rvalid
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins169743.75 9

+
+Automatically Generated Cross Bins for dly_cross +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEASTNUMBER
[dly_0][dly_1 , dly_2 , dly_3]----3
[dly_1][dly_0]011
[dly_1][dly_2 , dly_3]----2
[dly_2][dly_0]011
[dly_2][dly_3]011
[dly_3][dly_0]011

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEAST
dly_0dly_04468951
dly_1dly_14341
dly_2dly_13511
dly_2dly_223241
dly_3dly_12222891
dly_3dly_248101
dly_3dly_317521

+
+
+
+Summary for Variable req_to_gnt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for req_to_gnt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_0109287781
dly_15445271
dly_25540991
dly_39293211

+
+
+Summary for Variable rready_to_rvalid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for rready_to_rvalid +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_0109287781
dly_111263291
dly_216209661
dly_35461721

+
+
+Summary for Cross dly_cross +
+
+Samples crossed: req_to_gnt rready_to_rvalid
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins168850.00 8

+
+Automatically Generated Cross Bins for dly_cross +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEASTNUMBER
[dly_0][dly_1 , dly_2 , dly_3]----3
[dly_1][dly_0]011
[dly_1][dly_2 , dly_3]----2
[dly_2 , dly_3][dly_0]----2

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEAST
dly_0dly_0109287781
dly_1dly_15445271
dly_2dly_1831391
dly_2dly_24701851
dly_2dly_31501
dly_3dly_12680651
dly_3dly_22782731
dly_3dly_3963931

+
+
+
+Summary for Variable req_to_gnt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for req_to_gnt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_0109287781
dly_15445271
dly_25540991
dly_39293211

+
+
+Summary for Variable rready_to_rvalid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for rready_to_rvalid +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_0109287781
dly_111263291
dly_216209661
dly_35461721

+
+
+Summary for Cross dly_cross +
+
+Samples crossed: req_to_gnt rready_to_rvalid
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins168850.00 8

+
+Automatically Generated Cross Bins for dly_cross +
+
+Uncovered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEASTNUMBER
[dly_0][dly_1 , dly_2 , dly_3]----3
[dly_1][dly_0]011
[dly_1][dly_2 , dly_3]----2
[dly_2 , dly_3][dly_0]----2

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEAST
dly_0dly_0109287781
dly_1dly_15445271
dly_2dly_1831391
dly_2dly_24701851
dly_2dly_31501
dly_3dly_12680651
dly_3dly_22782731
dly_3dly_3963931

+
+
+
+Summary for Variable req_to_gnt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for req_to_gnt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04452041
dly_172081
dly_2102811
dly_32436951

+
+
+Summary for Variable rready_to_rvalid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for rready_to_rvalid +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04452041
dly_16571761
dly_21104001
dly_3517551

+
+
+Summary for Cross dly_cross +
+
+Samples crossed: req_to_gnt rready_to_rvalid
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins1661062.50 6

+
+Automatically Generated Cross Bins for dly_cross +
+
+Uncovered bins +
+ + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEASTNUMBER
[dly_0][dly_1 , dly_2 , dly_3]----3
[dly_1 , dly_2 , dly_3][dly_0]----3

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEAST
dly_0dly_04452041
dly_1dly_138211
dly_1dly_28861
dly_1dly_38171
dly_2dly_11661
dly_2dly_242111
dly_2dly_321331
dly_3dly_12219431
dly_3dly_294761
dly_3dly_337901

+
+
+
+Summary for Variable req_to_gnt +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for req_to_gnt +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04452041
dly_172081
dly_2102811
dly_32436951

+
+
+Summary for Variable rready_to_rvalid +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for rready_to_rvalid +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
dly_04452041
dly_16571761
dly_21104001
dly_3517551

+
+
+Summary for Cross dly_cross +
+
+Samples crossed: req_to_gnt rready_to_rvalid
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins1661062.50 6

+
+Automatically Generated Cross Bins for dly_cross +
+
+Uncovered bins +
+ + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEASTNUMBER
[dly_0][dly_1 , dly_2 , dly_3]----3
[dly_1 , dly_2 , dly_3][dly_0]----3

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
req_to_gntrready_to_rvalidCOUNTAT LEAST
dly_0dly_04452041
dly_1dly_138211
dly_1dly_28861
dly_1dly_38171
dly_2dly_11661
dly_2dly_242111
dly_2dly_321331
dly_3dly_12219431
dly_3dly_294761
dly_3dly_337901

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_1.html new file mode 100644 index 00000000..d27de28b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_1.html @@ -0,0 +1,8351 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_divu_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5731
auto[1]5351
auto[2]5631
auto[3]5631
auto[4]5411
auto[5]5531
auto[6]5471
auto[7]5301
auto[8]5391
auto[9]5661
auto[10]5641
auto[11]5621
auto[12]5771
auto[13]5441
auto[14]5741
auto[15]5571
auto[16]5571
auto[17]5371
auto[18]5801
auto[19]5561
auto[20]6011
auto[21]5331
auto[22]5541
auto[23]5281
auto[24]5571
auto[25]5631
auto[26]5361
auto[27]5791
auto[28]5231
auto[29]5731
auto[30]5731
auto[31]5401

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5861
auto[1]5651
auto[2]5301
auto[3]5561
auto[4]5641
auto[5]5441
auto[6]5401
auto[7]5631
auto[8]5451
auto[9]5211
auto[10]5611
auto[11]5671
auto[12]5391
auto[13]5381
auto[14]5571
auto[15]5701
auto[16]5641
auto[17]5241
auto[18]5481
auto[19]5921
auto[20]5351
auto[21]5591
auto[22]5321
auto[23]5931
auto[24]5951
auto[25]5391
auto[26]5541
auto[27]5661
auto[28]5561
auto[29]5371
auto[30]5781
auto[31]5601

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6231
auto[1]6081
auto[2]5141
auto[3]6141
auto[4]5191
auto[5]5331
auto[6]5591
auto[7]5531
auto[8]5421
auto[9]5301
auto[10]5471
auto[11]5461
auto[12]5491
auto[13]5331
auto[14]6221
auto[15]5431
auto[16]5601
auto[17]5681
auto[18]5581
auto[19]5401
auto[20]5401
auto[21]5431
auto[22]4801
auto[23]5181
auto[24]5431
auto[25]5671
auto[26]5791
auto[27]5961
auto[28]5731
auto[29]5101
auto[30]5801
auto[31]5881

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01591
RD_02661
RD_03691
RD_04601
RD_05641
RD_06641
RD_07671
RD_08541
RD_09551
RD_0a651
RD_0b601
RD_0c651
RD_0d681
RD_0e691
RD_0f771
RD_10611
RD_11741
RD_12801
RD_13711
RD_14591
RD_15711
RD_16601
RD_17581
RD_18741
RD_19561
RD_1a751
RD_1b771
RD_1c631
RD_1d711
RD_1e831
RD_1f741

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00781
RD_01621
RD_02651
RD_03711
RD_04671
RD_05601
RD_06641
RD_07631
RD_08591
RD_09571
RD_0a721
RD_0b601
RD_0c551
RD_0d651
RD_0e681
RD_0f711
RD_10611
RD_11681
RD_12771
RD_13621
RD_14671
RD_15701
RD_16591
RD_17561
RD_18711
RD_19571
RD_1a791
RD_1b741
RD_1c661
RD_1d651
RD_1e811
RD_1f671

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62591
auto_NON_ZERO115191

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60841
auto_NON_ZERO116941

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins21150.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Uncovered bins +
+ + + + + + + +
NAMECOUNTAT LEASTNUMBER
auto_ZERO011

+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + +
NAMECOUNTAT LEAST
auto_NON_ZERO177781

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153961
BIT30_135191
BIT29_135211
BIT28_135241
BIT27_134401
BIT26_134391
BIT25_133871
BIT24_133951
BIT23_134491
BIT22_133581
BIT21_133391
BIT20_134101
BIT19_134271
BIT18_134281
BIT17_134421
BIT16_136191
BIT15_143691
BIT14_142641
BIT13_145431
BIT12_143251
BIT11_147801
BIT10_147841
BIT9_143111
BIT8_137331
BIT7_146831
BIT6_141021
BIT5_142331
BIT4_154641
BIT3_154211
BIT2_153671
BIT1_141831
BIT0_150221
BIT31_0123821
BIT30_0142591
BIT29_0142571
BIT28_0142541
BIT27_0143381
BIT26_0143391
BIT25_0143911
BIT24_0143831
BIT23_0143291
BIT22_0144201
BIT21_0144391
BIT20_0143681
BIT19_0143511
BIT18_0143501
BIT17_0143361
BIT16_0141591
BIT15_0134091
BIT14_0135141
BIT13_0132351
BIT12_0134531
BIT11_0129981
BIT10_0129941
BIT9_0134671
BIT8_0140451
BIT7_0130951
BIT6_0136761
BIT5_0135451
BIT4_0123141
BIT3_0123571
BIT2_0124111
BIT1_0135951
BIT0_0127561

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_154491
BIT30_136611
BIT29_136271
BIT28_136591
BIT27_134941
BIT26_135281
BIT25_134581
BIT24_135321
BIT23_135071
BIT22_134581
BIT21_134821
BIT20_134721
BIT19_135121
BIT18_135701
BIT17_135081
BIT16_136601
BIT15_145151
BIT14_143171
BIT13_146511
BIT12_143171
BIT11_148351
BIT10_148941
BIT9_143641
BIT8_138281
BIT7_147261
BIT6_141511
BIT5_143581
BIT4_154941
BIT3_155451
BIT2_154161
BIT1_143631
BIT0_150091
BIT31_0123291
BIT30_0141171
BIT29_0141511
BIT28_0141191
BIT27_0142841
BIT26_0142501
BIT25_0143201
BIT24_0142461
BIT23_0142711
BIT22_0143201
BIT21_0142961
BIT20_0143061
BIT19_0142661
BIT18_0142081
BIT17_0142701
BIT16_0141181
BIT15_0132631
BIT14_0134611
BIT13_0131271
BIT12_0134611
BIT11_0129431
BIT10_0128841
BIT9_0134141
BIT8_0139501
BIT7_0130521
BIT6_0136271
BIT5_0134201
BIT4_0122841
BIT3_0122331
BIT2_0123621
BIT1_0134151
BIT0_0127691

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161451
BIT30_137491
BIT29_136691
BIT28_145731
BIT27_135701
BIT26_135811
BIT25_135111
BIT24_135971
BIT23_135911
BIT22_135041
BIT21_134911
BIT20_135681
BIT19_135281
BIT18_135601
BIT17_135741
BIT16_139001
BIT15_145061
BIT14_143851
BIT13_146631
BIT12_144681
BIT11_149191
BIT10_149481
BIT9_144591
BIT8_139491
BIT7_147961
BIT6_142791
BIT5_143481
BIT4_156401
BIT3_155981
BIT2_155971
BIT1_151451
BIT0_1112531
BIT31_0116331
BIT30_0140291
BIT29_0141091
BIT28_0132051
BIT27_0142081
BIT26_0141971
BIT25_0142671
BIT24_0141811
BIT23_0141871
BIT22_0142741
BIT21_0142871
BIT20_0142101
BIT19_0142501
BIT18_0142181
BIT17_0142041
BIT16_0138781
BIT15_0132721
BIT14_0133931
BIT13_0131151
BIT12_0133101
BIT11_0128591
BIT10_0128301
BIT9_0133191
BIT8_0138291
BIT7_0129821
BIT6_0134991
BIT5_0134301
BIT4_0121381
BIT3_0121801
BIT2_0121811
BIT1_0126331
BIT0_065251

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29601
auto_ZEROauto_NON_ZERO32991
auto_NON_ZEROauto_ZERO31241
auto_NON_ZEROauto_NON_ZERO83951

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6271
auto[1]7421
auto[2]24471
auto[3]6111
auto[4]31771
auto[5]36551
auto[6]26831
auto[7]23821
auto[8]26301
auto[9]17331
auto[10]23741
auto[11]25621
auto[12]25871
auto[13]19151
auto[14]21801
auto[15]18391
auto[16]24881
auto[17]26871
auto[18]26681
auto[19]27351
auto[20]25111
auto[21]32001
auto[22]21611
auto[23]19831
auto[24]27571
auto[25]26501
auto[26]28841
auto[27]22621
auto[28]26371
auto[29]25451
auto[30]34291
auto[31]26411

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6861
auto[1]6261
auto[2]28001
auto[3]7271
auto[4]21891
auto[5]23411
auto[6]21181
auto[7]15821
auto[8]17621
auto[9]24991
auto[10]23611
auto[11]27061
auto[12]26241
auto[13]19231
auto[14]16711
auto[15]23591
auto[16]30651
auto[17]26381
auto[18]23641
auto[19]20861
auto[20]28381
auto[21]25161
auto[22]28011
auto[23]27171
auto[24]26401
auto[25]36981
auto[26]26721
auto[27]27961
auto[28]32031
auto[29]29781
auto[30]29431
auto[31]34531

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6741
auto[1]6581
auto[2]25181
auto[3]6511
auto[4]31411
auto[5]37011
auto[6]27201
auto[7]23991
auto[8]26741
auto[9]17311
auto[10]25631
auto[11]25191
auto[12]25311
auto[13]18411
auto[14]22321
auto[15]18411
auto[16]24551
auto[17]26641
auto[18]26691
auto[19]27171
auto[20]25451
auto[21]32391
auto[22]22141
auto[23]19721
auto[24]27321
auto[25]27271
auto[26]29161
auto[27]22741
auto[28]26361
auto[29]24181
auto[30]32881
auto[31]25221

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00671
RD_01651
RD_0218861
RD_03711
RD_0425991
RD_0531081
RD_0621141
RD_0717951
RD_0821051
RD_0911731
RD_0a18171
RD_0b20151
RD_0c19441
RD_0d11751
RD_0e16151
RD_0f13181
RD_1019301
RD_1121261
RD_1221011
RD_1321701
RD_1419651
RD_1526561
RD_1616201
RD_1714171
RD_1821671
RD_1920771
RD_1a22711
RD_1b16821
RD_1c20921
RD_1d18681
RD_1e27671
RD_1f20441

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00671
RD_01741
RD_02601
RD_03561
RD_04731
RD_05621
RD_06541
RD_07681
RD_0871
RD_09141
RD_0a141
RD_0b131
RD_0c181
RD_0d101
RD_0e111
RD_0f151
RD_10611
RD_11731
RD_12661
RD_13621
RD_14761
RD_15631
RD_16731
RD_17601
RD_18631
RD_19761
RD_1a721
RD_1b771
RD_1c571
RD_1d631
RD_1e751
RD_1f711

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO87891
auto_NON_ZERO675931

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO69301
auto_NON_ZERO694521

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO160961
auto_NON_ZERO602861

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161011
BIT30_139101
BIT29_138901
BIT28_139451
BIT27_138341
BIT26_137971
BIT25_136831
BIT24_137191
BIT23_137711
BIT22_138571
BIT21_138511
BIT20_138781
BIT19_138671
BIT18_139561
BIT17_138331
BIT16_140051
BIT15_149681
BIT14_148161
BIT13_150511
BIT12_149121
BIT11_154531
BIT10_155271
BIT9_148291
BIT8_143871
BIT7_1245541
BIT6_1261641
BIT5_1381351
BIT4_1335011
BIT3_1153021
BIT2_1110181
BIT1_1561201
BIT0_1516881
BIT31_0702811
BIT30_0724721
BIT29_0724921
BIT28_0724371
BIT27_0725481
BIT26_0725851
BIT25_0726991
BIT24_0726631
BIT23_0726111
BIT22_0725251
BIT21_0725311
BIT20_0725041
BIT19_0725151
BIT18_0724261
BIT17_0725491
BIT16_0723771
BIT15_0714141
BIT14_0715661
BIT13_0713311
BIT12_0714701
BIT11_0709291
BIT10_0708551
BIT9_0715531
BIT8_0719951
BIT7_0518281
BIT6_0502181
BIT5_0382471
BIT4_0428811
BIT3_0610801
BIT2_0653641
BIT1_0202621
BIT0_0246941

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161281
BIT30_139971
BIT29_139921
BIT28_139791
BIT27_139721
BIT26_139811
BIT25_138451
BIT24_138441
BIT23_139311
BIT22_139251
BIT21_138791
BIT20_138241
BIT19_140031
BIT18_137791
BIT17_137891
BIT16_140821
BIT15_151041
BIT14_149111
BIT13_151481
BIT12_149541
BIT11_153921
BIT10_154061
BIT9_148821
BIT8_143101
BIT7_152891
BIT6_145721
BIT5_147701
BIT4_160261
BIT3_160891
BIT2_160531
BIT1_1608371
BIT0_1618571
BIT31_0702541
BIT30_0723851
BIT29_0723901
BIT28_0724031
BIT27_0724101
BIT26_0724011
BIT25_0725371
BIT24_0725381
BIT23_0724511
BIT22_0724571
BIT21_0725031
BIT20_0725581
BIT19_0723791
BIT18_0726031
BIT17_0725931
BIT16_0723001
BIT15_0712781
BIT14_0714711
BIT13_0712341
BIT12_0714281
BIT11_0709901
BIT10_0709761
BIT9_0715001
BIT8_0720721
BIT7_0710931
BIT6_0718101
BIT5_0716121
BIT4_0703561
BIT3_0702931
BIT2_0703291
BIT1_0155451
BIT0_0145251

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_123881
BIT30_111501
BIT29_111481
BIT28_111481
BIT27_110991
BIT26_111011
BIT25_110691
BIT24_110441
BIT23_110641
BIT22_111011
BIT21_110471
BIT20_110761
BIT19_111121
BIT18_110971
BIT17_110501
BIT16_111881
BIT15_115591
BIT14_115411
BIT13_116771
BIT12_115921
BIT11_118551
BIT10_118411
BIT9_115481
BIT8_113511
BIT7_118001
BIT6_114541
BIT5_115321
BIT4_122261
BIT3_122891
BIT2_122461
BIT1_1528331
BIT0_1480861
BIT31_0739941
BIT30_0752321
BIT29_0752341
BIT28_0752341
BIT27_0752831
BIT26_0752811
BIT25_0753131
BIT24_0753381
BIT23_0753181
BIT22_0752811
BIT21_0753351
BIT20_0753061
BIT19_0752701
BIT18_0752851
BIT17_0753321
BIT16_0751941
BIT15_0748231
BIT14_0748411
BIT13_0747051
BIT12_0747901
BIT11_0745271
BIT10_0745411
BIT9_0748341
BIT8_0750311
BIT7_0745821
BIT6_0749281
BIT5_0748501
BIT4_0741561
BIT3_0740931
BIT2_0741361
BIT1_0235491
BIT0_0282961

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO32511
auto_ZEROauto_NON_ZERO55381
auto_NON_ZEROauto_ZERO36791
auto_NON_ZEROauto_NON_ZERO639141

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6411
auto[1]7291
auto[2]6481
auto[3]6501
auto[4]6041
auto[5]6861
auto[6]6751
auto[7]6151
auto[8]5891
auto[9]6001
auto[10]5621
auto[11]5781
auto[12]5791
auto[13]5721
auto[14]5521
auto[15]5631
auto[16]6381
auto[17]6251
auto[18]7301
auto[19]6441
auto[20]6291
auto[21]6431
auto[22]6221
auto[23]6191
auto[24]6421
auto[25]6601
auto[26]6181
auto[27]6411
auto[28]6381
auto[29]6101
auto[30]6131
auto[31]6461

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6141
auto[1]7361
auto[2]6231
auto[3]6231
auto[4]6211
auto[5]6371
auto[6]6441
auto[7]5931
auto[8]6411
auto[9]5541
auto[10]5961
auto[11]5921
auto[12]5961
auto[13]5641
auto[14]5661
auto[15]5661
auto[16]6171
auto[17]6261
auto[18]6721
auto[19]6091
auto[20]6301
auto[21]7231
auto[22]5901
auto[23]6551
auto[24]6201
auto[25]6151
auto[26]6731
auto[27]6671
auto[28]6521
auto[29]6391
auto[30]6511
auto[31]6561

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7091
auto[1]6231
auto[2]6021
auto[3]6741
auto[4]6141
auto[5]6341
auto[6]6471
auto[7]6111
auto[8]6131
auto[9]5581
auto[10]5411
auto[11]5791
auto[12]6131
auto[13]5961
auto[14]6211
auto[15]5301
auto[16]6441
auto[17]6581
auto[18]7121
auto[19]6031
auto[20]6581
auto[21]7041
auto[22]6271
auto[23]6151
auto[24]6171
auto[25]7141
auto[26]6451
auto[27]6121
auto[28]6471
auto[29]6401
auto[30]5971
auto[31]6031

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01531
RD_02731
RD_03701
RD_04691
RD_05621
RD_06691
RD_07701
RD_08171
RD_09221
RD_0a191
RD_0b131
RD_0c161
RD_0d171
RD_0e181
RD_0f151
RD_10771
RD_11631
RD_12671
RD_13601
RD_14601
RD_15691
RD_16581
RD_17601
RD_18721
RD_19971
RD_1a641
RD_1b521
RD_1c661
RD_1d681
RD_1e461
RD_1f641

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00751
RD_01551
RD_02571
RD_03691
RD_04661
RD_05641
RD_06781
RD_07631
RD_08101
RD_09101
RD_0a111
RD_0b171
RD_0c171
RD_0d151
RD_0e201
RD_0f161
RD_10841
RD_11641
RD_12671
RD_13591
RD_14711
RD_15761
RD_16601
RD_17581
RD_18691
RD_19841
RD_1a611
RD_1b531
RD_1c611
RD_1d691
RD_1e681
RD_1f611

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68801
auto_NON_ZERO131811

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO67241
auto_NON_ZERO133371

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO30301
auto_NON_ZERO170311

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163101
BIT30_140731
BIT29_140531
BIT28_140591
BIT27_139421
BIT26_139141
BIT25_138891
BIT24_138841
BIT23_138171
BIT22_139501
BIT21_139481
BIT20_139741
BIT19_139441
BIT18_140121
BIT17_138391
BIT16_141261
BIT15_150361
BIT14_148031
BIT13_152141
BIT12_150191
BIT11_154431
BIT10_155011
BIT9_149181
BIT8_142881
BIT7_152931
BIT6_146281
BIT5_148681
BIT4_162071
BIT3_161931
BIT2_162261
BIT1_148791
BIT0_155721
BIT31_0137511
BIT30_0159881
BIT29_0160081
BIT28_0160021
BIT27_0161191
BIT26_0161471
BIT25_0161721
BIT24_0161771
BIT23_0162441
BIT22_0161111
BIT21_0161131
BIT20_0160871
BIT19_0161171
BIT18_0160491
BIT17_0162221
BIT16_0159351
BIT15_0150251
BIT14_0152581
BIT13_0148471
BIT12_0150421
BIT11_0146181
BIT10_0145601
BIT9_0151431
BIT8_0157731
BIT7_0147681
BIT6_0154331
BIT5_0151931
BIT4_0138541
BIT3_0138681
BIT2_0138351
BIT1_0151821
BIT0_0144891

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163711
BIT30_142181
BIT29_141601
BIT28_142621
BIT27_141131
BIT26_140951
BIT25_139581
BIT24_140591
BIT23_139561
BIT22_141841
BIT21_141371
BIT20_140921
BIT19_141741
BIT18_141911
BIT17_140201
BIT16_142841
BIT15_151571
BIT14_150561
BIT13_152211
BIT12_151821
BIT11_156421
BIT10_156431
BIT9_149871
BIT8_143991
BIT7_153761
BIT6_147141
BIT5_148201
BIT4_162831
BIT3_164021
BIT2_163551
BIT1_149931
BIT0_156831
BIT31_0136901
BIT30_0158431
BIT29_0159011
BIT28_0157991
BIT27_0159481
BIT26_0159661
BIT25_0161031
BIT24_0160021
BIT23_0161051
BIT22_0158771
BIT21_0159241
BIT20_0159691
BIT19_0158871
BIT18_0158701
BIT17_0160411
BIT16_0157771
BIT15_0149041
BIT14_0150051
BIT13_0148401
BIT12_0148791
BIT11_0144191
BIT10_0144181
BIT9_0150741
BIT8_0156621
BIT7_0146851
BIT6_0153471
BIT5_0152411
BIT4_0137781
BIT3_0136591
BIT2_0137061
BIT1_0150681
BIT0_0143781

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1102401
BIT30_170711
BIT29_170651
BIT28_171101
BIT27_169121
BIT26_168841
BIT25_167641
BIT24_168231
BIT23_167381
BIT22_169291
BIT21_169121
BIT20_168861
BIT19_169351
BIT18_169791
BIT17_167661
BIT16_171611
BIT15_185321
BIT14_183411
BIT13_187001
BIT12_184651
BIT11_192061
BIT10_192451
BIT9_183841
BIT8_173861
BIT7_187751
BIT6_178571
BIT5_181431
BIT4_1101081
BIT3_1101961
BIT2_1101661
BIT1_182581
BIT0_192781
BIT31_098211
BIT30_0129901
BIT29_0129961
BIT28_0129511
BIT27_0131491
BIT26_0131771
BIT25_0132971
BIT24_0132381
BIT23_0133231
BIT22_0131321
BIT21_0131491
BIT20_0131751
BIT19_0131261
BIT18_0130821
BIT17_0132951
BIT16_0129001
BIT15_0115291
BIT14_0117201
BIT13_0113611
BIT12_0115961
BIT11_0108551
BIT10_0108161
BIT9_0116771
BIT8_0126751
BIT7_0112861
BIT6_0122041
BIT5_0119181
BIT4_099531
BIT3_098651
BIT2_098951
BIT1_0118031
BIT0_0107831

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30301
auto_ZEROauto_NON_ZERO38501
auto_NON_ZEROauto_ZERO36941
auto_NON_ZEROauto_NON_ZERO94871

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6151
auto[1]5621
auto[2]6871
auto[3]6041
auto[4]6491
auto[5]6781
auto[6]6941
auto[7]6501
auto[8]5391
auto[9]5581
auto[10]6151
auto[11]5641
auto[12]5461
auto[13]6021
auto[14]5801
auto[15]5881
auto[16]6781
auto[17]6221
auto[18]7281
auto[19]6101
auto[20]7581
auto[21]6441
auto[22]6451
auto[23]6461
auto[24]6961
auto[25]6161
auto[26]5821
auto[27]5891
auto[28]6021
auto[29]6281
auto[30]6091
auto[31]6821

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6381
auto[1]6411
auto[2]6451
auto[3]6271
auto[4]6141
auto[5]6121
auto[6]6431
auto[7]5981
auto[8]5841
auto[9]6521
auto[10]6091
auto[11]5791
auto[12]6201
auto[13]6161
auto[14]5741
auto[15]6221
auto[16]6281
auto[17]6401
auto[18]6681
auto[19]6221
auto[20]6591
auto[21]6191
auto[22]5541
auto[23]6011
auto[24]6531
auto[25]6381
auto[26]6071
auto[27]6461
auto[28]6111
auto[29]7721
auto[30]5841
auto[31]6901

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6761
auto[1]6861
auto[2]5911
auto[3]6641
auto[4]6281
auto[5]6331
auto[6]7441
auto[7]5831
auto[8]6161
auto[9]5951
auto[10]6441
auto[11]6631
auto[12]5901
auto[13]5851
auto[14]5341
auto[15]4731
auto[16]5921
auto[17]6081
auto[18]5681
auto[19]6431
auto[20]6551
auto[21]7271
auto[22]5731
auto[23]6111
auto[24]6221
auto[25]6271
auto[26]6541
auto[27]6171
auto[28]6231
auto[29]7851
auto[30]6361
auto[31]6201

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00741
RD_01681
RD_02591
RD_03631
RD_04731
RD_05631
RD_06751
RD_07661
RD_08151
RD_09261
RD_0a261
RD_0b171
RD_0c121
RD_0d151
RD_0e91
RD_0f71
RD_10581
RD_11651
RD_12661
RD_13721
RD_14671
RD_15611
RD_16591
RD_17581
RD_18661
RD_19651
RD_1a661
RD_1b571
RD_1c651
RD_1d601
RD_1e751
RD_1f661

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01851
RD_02661
RD_03611
RD_04651
RD_05751
RD_061161
RD_07641
RD_08201
RD_0991
RD_0a181
RD_0b61
RD_0c171
RD_0d151
RD_0e121
RD_0f111
RD_10541
RD_11621
RD_12661
RD_13781
RD_14711
RD_15691
RD_16591
RD_17741
RD_18711
RD_19601
RD_1a651
RD_1b551
RD_1c631
RD_1d1651
RD_1e711
RD_1f681

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO67481
auto_NON_ZERO133181

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO69841
auto_NON_ZERO130821

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO42211
auto_NON_ZERO158451

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164761
BIT30_141211
BIT29_140611
BIT28_141251
BIT27_139371
BIT26_138801
BIT25_138631
BIT24_139351
BIT23_138461
BIT22_138991
BIT21_139251
BIT20_138831
BIT19_138611
BIT18_139811
BIT17_138751
BIT16_142001
BIT15_151661
BIT14_149651
BIT13_153531
BIT12_149861
BIT11_155751
BIT10_156611
BIT9_148191
BIT8_144041
BIT7_152881
BIT6_145291
BIT5_147781
BIT4_163131
BIT3_163391
BIT2_162281
BIT1_148511
BIT0_155791
BIT31_0135901
BIT30_0159451
BIT29_0160051
BIT28_0159411
BIT27_0161291
BIT26_0161861
BIT25_0162031
BIT24_0161311
BIT23_0162201
BIT22_0161671
BIT21_0161411
BIT20_0161831
BIT19_0162051
BIT18_0160851
BIT17_0161911
BIT16_0158661
BIT15_0149001
BIT14_0151011
BIT13_0147131
BIT12_0150801
BIT11_0144911
BIT10_0144051
BIT9_0152471
BIT8_0156621
BIT7_0147781
BIT6_0155371
BIT5_0152881
BIT4_0137531
BIT3_0137271
BIT2_0138381
BIT1_0152151
BIT0_0144871

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162141
BIT30_141561
BIT29_140961
BIT28_141411
BIT27_139931
BIT26_139721
BIT25_138331
BIT24_139151
BIT23_138871
BIT22_138601
BIT21_138671
BIT20_138541
BIT19_139341
BIT18_138691
BIT17_138321
BIT16_140841
BIT15_149681
BIT14_148431
BIT13_151571
BIT12_149921
BIT11_154531
BIT10_154971
BIT9_148141
BIT8_141871
BIT7_151661
BIT6_145481
BIT5_147361
BIT4_160321
BIT3_159991
BIT2_160091
BIT1_148231
BIT0_156591
BIT31_0138521
BIT30_0159101
BIT29_0159701
BIT28_0159251
BIT27_0160731
BIT26_0160941
BIT25_0162331
BIT24_0161511
BIT23_0161791
BIT22_0162061
BIT21_0161991
BIT20_0162121
BIT19_0161321
BIT18_0161971
BIT17_0162341
BIT16_0159821
BIT15_0150981
BIT14_0152231
BIT13_0149091
BIT12_0150741
BIT11_0146131
BIT10_0145691
BIT9_0152521
BIT8_0158791
BIT7_0149001
BIT6_0155181
BIT5_0153301
BIT4_0140341
BIT3_0140671
BIT2_0140571
BIT1_0152431
BIT0_0144071

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_177661
BIT30_158491
BIT29_158071
BIT28_159261
BIT27_157301
BIT26_156741
BIT25_155721
BIT24_156501
BIT23_155831
BIT22_156131
BIT21_156181
BIT20_156491
BIT19_156351
BIT18_156481
BIT17_156031
BIT16_159061
BIT15_167581
BIT14_167281
BIT13_169681
BIT12_166561
BIT11_170941
BIT10_172641
BIT9_166451
BIT8_160431
BIT7_169241
BIT6_162611
BIT5_165581
BIT4_176651
BIT3_177401
BIT2_177171
BIT1_164681
BIT0_171881
BIT31_0123001
BIT30_0142171
BIT29_0142591
BIT28_0141401
BIT27_0143361
BIT26_0143921
BIT25_0144941
BIT24_0144161
BIT23_0144831
BIT22_0144531
BIT21_0144481
BIT20_0144171
BIT19_0144311
BIT18_0144181
BIT17_0144631
BIT16_0141601
BIT15_0133081
BIT14_0133381
BIT13_0130981
BIT12_0134101
BIT11_0129721
BIT10_0128021
BIT9_0134211
BIT8_0140231
BIT7_0131421
BIT6_0138051
BIT5_0135081
BIT4_0124011
BIT3_0123261
BIT2_0123491
BIT1_0135981
BIT0_0128781

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30781
auto_ZEROauto_NON_ZERO36701
auto_NON_ZEROauto_ZERO39061
auto_NON_ZEROauto_NON_ZERO94121

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6111
auto[1]6221
auto[2]5801
auto[3]7181
auto[4]7931
auto[5]5811
auto[6]6861
auto[7]6131
auto[8]6241
auto[9]6121
auto[10]7331
auto[11]7311
auto[12]6561
auto[13]6261
auto[14]6271
auto[15]6151
auto[16]5851
auto[17]7251
auto[18]6561
auto[19]7291
auto[20]5991
auto[21]7311
auto[22]6251
auto[23]6751
auto[24]6391
auto[25]5971
auto[26]6761
auto[27]7671
auto[28]6301
auto[29]6021
auto[30]6891
auto[31]6081

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6691
auto[1]6321
auto[2]6611
auto[3]6241
auto[4]6391
auto[5]7211
auto[6]6251
auto[7]6481
auto[8]6481
auto[9]6371
auto[10]5761
auto[11]5951
auto[12]5841
auto[13]7311
auto[14]6851
auto[15]6501
auto[16]6951
auto[17]6261
auto[18]6541
auto[19]6711
auto[20]6211
auto[21]7141
auto[22]6521
auto[23]6541
auto[24]5681
auto[25]6171
auto[26]6661
auto[27]6291
auto[28]7151
auto[29]8291
auto[30]6361
auto[31]6891

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6591
auto[1]6681
auto[2]5771
auto[3]6541
auto[4]7471
auto[5]6291
auto[6]6451
auto[7]6151
auto[8]7251
auto[9]5931
auto[10]7281
auto[11]6341
auto[12]6911
auto[13]5921
auto[14]5671
auto[15]7791
auto[16]6021
auto[17]6201
auto[18]7141
auto[19]6321
auto[20]6451
auto[21]6171
auto[22]7031
auto[23]6501
auto[24]5831
auto[25]7941
auto[26]6301
auto[27]6091
auto[28]6521
auto[29]8021
auto[30]6131
auto[31]5921

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00831
RD_01671
RD_02501
RD_03641
RD_04791
RD_05671
RD_06741
RD_07751
RD_08601
RD_09661
RD_0a531
RD_0b751
RD_0c711
RD_0d701
RD_0e721
RD_0f651
RD_10561
RD_11571
RD_12901
RD_13681
RD_14691
RD_15661
RD_16621
RD_17751
RD_18691
RD_19711
RD_1a601
RD_1b611
RD_1c651
RD_1d681
RD_1e881
RD_1f581

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00801
RD_01691
RD_02571
RD_03711
RD_04741
RD_05621
RD_06701
RD_07781
RD_08531
RD_09661
RD_0a591
RD_0b761
RD_0c681
RD_0d731
RD_0e801
RD_0f671
RD_10571
RD_11541
RD_12631
RD_13791
RD_14741
RD_15711
RD_16741
RD_17791
RD_18621
RD_19661
RD_1a581
RD_1b561
RD_1c691
RD_1d651
RD_1e931
RD_1f681

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO73561
auto_NON_ZERO136051

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO74251
auto_NON_ZERO135361

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_10.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_10.html new file mode 100644 index 00000000..33cd0a04 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_10.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_minu_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5631
auto[1]5601
auto[2]5391
auto[3]5311
auto[4]5501
auto[5]5231
auto[6]5701
auto[7]5401
auto[8]5281
auto[9]5531
auto[10]5671
auto[11]5151
auto[12]5541
auto[13]5291
auto[14]5441
auto[15]5451
auto[16]5891
auto[17]5221
auto[18]5041
auto[19]5611
auto[20]5121
auto[21]5301
auto[22]5591
auto[23]5461
auto[24]5651
auto[25]5351
auto[26]5361
auto[27]5611
auto[28]5061
auto[29]5321
auto[30]5471
auto[31]5321

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5621
auto[1]5651
auto[2]5751
auto[3]5511
auto[4]5321
auto[5]5221
auto[6]5071
auto[7]5291
auto[8]5881
auto[9]5431
auto[10]5481
auto[11]5251
auto[12]5801
auto[13]5321
auto[14]5081
auto[15]5401
auto[16]5401
auto[17]5671
auto[18]5311
auto[19]5301
auto[20]4751
auto[21]5981
auto[22]6001
auto[23]5551
auto[24]5461
auto[25]5171
auto[26]5441
auto[27]5331
auto[28]5431
auto[29]5381
auto[30]4811
auto[31]5431

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6091
auto[1]6351
auto[2]4881
auto[3]5601
auto[4]5031
auto[5]5041
auto[6]5221
auto[7]5471
auto[8]5141
auto[9]5171
auto[10]5341
auto[11]5261
auto[12]5361
auto[13]5181
auto[14]5581
auto[15]5431
auto[16]5531
auto[17]5881
auto[18]5451
auto[19]5831
auto[20]5111
auto[21]5341
auto[22]5631
auto[23]5431
auto[24]5281
auto[25]5811
auto[26]5371
auto[27]5481
auto[28]5011
auto[29]5211
auto[30]5311
auto[31]5671

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00711
RD_01741
RD_02641
RD_03661
RD_04521
RD_05601
RD_06561
RD_07571
RD_08661
RD_09541
RD_0a741
RD_0b491
RD_0c711
RD_0d731
RD_0e591
RD_0f721
RD_10791
RD_11671
RD_12571
RD_13741
RD_14521
RD_15581
RD_16661
RD_17511
RD_18761
RD_19621
RD_1a731
RD_1b491
RD_1c561
RD_1d581
RD_1e661
RD_1f711

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00871
RD_01811
RD_02681
RD_03661
RD_04461
RD_05591
RD_06521
RD_07641
RD_08721
RD_09591
RD_0a721
RD_0b481
RD_0c691
RD_0d701
RD_0e631
RD_0f751
RD_10711
RD_11631
RD_12601
RD_13741
RD_14521
RD_15671
RD_16641
RD_17581
RD_18791
RD_19601
RD_1a751
RD_1b641
RD_1c561
RD_1d641
RD_1e581
RD_1f711

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61121
auto_NON_ZERO112361

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO59991
auto_NON_ZERO113491

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO91581
auto_NON_ZERO81901

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152261
BIT30_135051
BIT29_134481
BIT28_134161
BIT27_133161
BIT26_133491
BIT25_133221
BIT24_133561
BIT23_132681
BIT22_133351
BIT21_133001
BIT20_133601
BIT19_133331
BIT18_133011
BIT17_133641
BIT16_134961
BIT15_142861
BIT14_141801
BIT13_144431
BIT12_142141
BIT11_146651
BIT10_146711
BIT9_142311
BIT8_136641
BIT7_145371
BIT6_139771
BIT5_141461
BIT4_152941
BIT3_153351
BIT2_153231
BIT1_142231
BIT0_147981
BIT31_0121221
BIT30_0138431
BIT29_0139001
BIT28_0139321
BIT27_0140321
BIT26_0139991
BIT25_0140261
BIT24_0139921
BIT23_0140801
BIT22_0140131
BIT21_0140481
BIT20_0139881
BIT19_0140151
BIT18_0140471
BIT17_0139841
BIT16_0138521
BIT15_0130621
BIT14_0131681
BIT13_0129051
BIT12_0131341
BIT11_0126831
BIT10_0126771
BIT9_0131171
BIT8_0136841
BIT7_0128111
BIT6_0133711
BIT5_0132021
BIT4_0120541
BIT3_0120131
BIT2_0120251
BIT1_0131251
BIT0_0125501

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_154311
BIT30_135511
BIT29_135131
BIT28_135081
BIT27_134181
BIT26_134431
BIT25_134081
BIT24_133921
BIT23_133921
BIT22_133741
BIT21_133571
BIT20_133991
BIT19_133581
BIT18_133641
BIT17_133231
BIT16_136061
BIT15_143641
BIT14_142881
BIT13_144551
BIT12_143521
BIT11_147541
BIT10_148051
BIT9_142591
BIT8_137501
BIT7_146771
BIT6_141001
BIT5_142691
BIT4_153381
BIT3_154321
BIT2_154291
BIT1_142711
BIT0_149801
BIT31_0119171
BIT30_0137971
BIT29_0138351
BIT28_0138401
BIT27_0139301
BIT26_0139051
BIT25_0139401
BIT24_0139561
BIT23_0139561
BIT22_0139741
BIT21_0139911
BIT20_0139491
BIT19_0139901
BIT18_0139841
BIT17_0140251
BIT16_0137421
BIT15_0129841
BIT14_0130601
BIT13_0128931
BIT12_0129961
BIT11_0125941
BIT10_0125431
BIT9_0130891
BIT8_0135981
BIT7_0126711
BIT6_0132481
BIT5_0130791
BIT4_0120101
BIT3_0119161
BIT2_0119191
BIT1_0130771
BIT0_0123681

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_121581
BIT30_113321
BIT29_113121
BIT28_113441
BIT27_113071
BIT26_113451
BIT25_113351
BIT24_113271
BIT23_113371
BIT22_113951
BIT21_113301
BIT20_114051
BIT19_113801
BIT18_113611
BIT17_113501
BIT16_115301
BIT15_119391
BIT14_120261
BIT13_120371
BIT12_120641
BIT11_122441
BIT10_123371
BIT9_121651
BIT8_118551
BIT7_124741
BIT6_123751
BIT5_124951
BIT4_131961
BIT3_133201
BIT2_133951
BIT1_128021
BIT0_136771
BIT31_0151901
BIT30_0160161
BIT29_0160361
BIT28_0160041
BIT27_0160411
BIT26_0160031
BIT25_0160131
BIT24_0160211
BIT23_0160111
BIT22_0159531
BIT21_0160181
BIT20_0159431
BIT19_0159681
BIT18_0159871
BIT17_0159981
BIT16_0158181
BIT15_0154091
BIT14_0153221
BIT13_0153111
BIT12_0152841
BIT11_0151041
BIT10_0150111
BIT9_0151831
BIT8_0154931
BIT7_0148741
BIT6_0149731
BIT5_0148531
BIT4_0141521
BIT3_0140281
BIT2_0139531
BIT1_0145461
BIT0_0136711

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29531
auto_ZEROauto_NON_ZERO31591
auto_NON_ZEROauto_ZERO30461
auto_NON_ZEROauto_NON_ZERO81901

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_11.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_11.html new file mode 100644 index 00000000..d6bf9324 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_11.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_orn_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5491
auto[1]5801
auto[2]5651
auto[3]5351
auto[4]5811
auto[5]5441
auto[6]5451
auto[7]6131
auto[8]6011
auto[9]5951
auto[10]5601
auto[11]5151
auto[12]5651
auto[13]5071
auto[14]5601
auto[15]6051
auto[16]5631
auto[17]5371
auto[18]5331
auto[19]5571
auto[20]5371
auto[21]5461
auto[22]5631
auto[23]5281
auto[24]5631
auto[25]5791
auto[26]5671
auto[27]5331
auto[28]5541
auto[29]5841
auto[30]5501
auto[31]5831

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5741
auto[1]6101
auto[2]5561
auto[3]5351
auto[4]5581
auto[5]5491
auto[6]6461
auto[7]5371
auto[8]5861
auto[9]5541
auto[10]5791
auto[11]4841
auto[12]5741
auto[13]6021
auto[14]5341
auto[15]5361
auto[16]5761
auto[17]5501
auto[18]5461
auto[19]5671
auto[20]5631
auto[21]5201
auto[22]6151
auto[23]5481
auto[24]5191
auto[25]5901
auto[26]5421
auto[27]5391
auto[28]5411
auto[29]5621
auto[30]5381
auto[31]5671

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5901
auto[1]6351
auto[2]5311
auto[3]5951
auto[4]5341
auto[5]5571
auto[6]5221
auto[7]5771
auto[8]5841
auto[9]5261
auto[10]5661
auto[11]5371
auto[12]6361
auto[13]5491
auto[14]5281
auto[15]5521
auto[16]5661
auto[17]5341
auto[18]5621
auto[19]5011
auto[20]5341
auto[21]6011
auto[22]5561
auto[23]5461
auto[24]5371
auto[25]6081
auto[26]5491
auto[27]5561
auto[28]4981
auto[29]5911
auto[30]5561
auto[31]5831

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00681
RD_01731
RD_02551
RD_03701
RD_04591
RD_05751
RD_06671
RD_07631
RD_08781
RD_09621
RD_0a641
RD_0b641
RD_0c781
RD_0d641
RD_0e641
RD_0f711
RD_10711
RD_11641
RD_12641
RD_13501
RD_14571
RD_15651
RD_16741
RD_17611
RD_18611
RD_19791
RD_1a581
RD_1b691
RD_1c501
RD_1d741
RD_1e711
RD_1f731

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00731
RD_01751
RD_02551
RD_03681
RD_04671
RD_05741
RD_06631
RD_07641
RD_08801
RD_09621
RD_0a651
RD_0b591
RD_0c751
RD_0d621
RD_0e641
RD_0f651
RD_10751
RD_11661
RD_12571
RD_13581
RD_14671
RD_15571
RD_16671
RD_17461
RD_18521
RD_19731
RD_1a581
RD_1b581
RD_1c581
RD_1d841
RD_1e741
RD_1f741

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62961
auto_NON_ZERO116011

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62451
auto_NON_ZERO116521

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO2101
auto_NON_ZERO176871

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153721
BIT30_135631
BIT29_135591
BIT28_135791
BIT27_134401
BIT26_134841
BIT25_133591
BIT24_134231
BIT23_133421
BIT22_134311
BIT21_134341
BIT20_134221
BIT19_134261
BIT18_134231
BIT17_134201
BIT16_135891
BIT15_142871
BIT14_142781
BIT13_145081
BIT12_141971
BIT11_147291
BIT10_148671
BIT9_142631
BIT8_137751
BIT7_146871
BIT6_141191
BIT5_142851
BIT4_154281
BIT3_155011
BIT2_153721
BIT1_143851
BIT0_150161
BIT31_0125251
BIT30_0143341
BIT29_0143381
BIT28_0143181
BIT27_0144571
BIT26_0144131
BIT25_0145381
BIT24_0144741
BIT23_0145551
BIT22_0144661
BIT21_0144631
BIT20_0144751
BIT19_0144711
BIT18_0144741
BIT17_0144771
BIT16_0143081
BIT15_0136101
BIT14_0136191
BIT13_0133891
BIT12_0137001
BIT11_0131681
BIT10_0130301
BIT9_0136341
BIT8_0141221
BIT7_0132101
BIT6_0137781
BIT5_0136121
BIT4_0124691
BIT3_0123961
BIT2_0125251
BIT1_0135121
BIT0_0128811

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_154011
BIT30_136281
BIT29_134941
BIT28_136111
BIT27_133631
BIT26_134511
BIT25_133881
BIT24_134681
BIT23_133701
BIT22_134581
BIT21_133941
BIT20_134461
BIT19_134281
BIT18_134511
BIT17_134261
BIT16_135061
BIT15_143571
BIT14_143021
BIT13_145361
BIT12_142921
BIT11_147971
BIT10_148291
BIT9_142931
BIT8_137231
BIT7_146271
BIT6_141371
BIT5_143011
BIT4_153321
BIT3_153911
BIT2_154571
BIT1_144001
BIT0_150061
BIT31_0124961
BIT30_0142691
BIT29_0144031
BIT28_0142861
BIT27_0145341
BIT26_0144461
BIT25_0145091
BIT24_0144291
BIT23_0145271
BIT22_0144391
BIT21_0145031
BIT20_0144511
BIT19_0144691
BIT18_0144461
BIT17_0144711
BIT16_0143911
BIT15_0135401
BIT14_0135951
BIT13_0133611
BIT12_0136051
BIT11_0131001
BIT10_0130681
BIT9_0136041
BIT8_0141741
BIT7_0132701
BIT6_0137601
BIT5_0135961
BIT4_0125651
BIT3_0125061
BIT2_0124401
BIT1_0134971
BIT0_0128911

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1146631
BIT30_1154021
BIT29_1154931
BIT28_1154001
BIT27_1155491
BIT26_1155261
BIT25_1155401
BIT24_1154701
BIT23_1155341
BIT22_1154731
BIT21_1155171
BIT20_1154831
BIT19_1154751
BIT18_1154511
BIT17_1154611
BIT16_1154501
BIT15_1149871
BIT14_1150201
BIT13_1149471
BIT12_1150241
BIT11_1148191
BIT10_1148001
BIT9_1150391
BIT8_1153581
BIT7_1149471
BIT6_1151301
BIT5_1149941
BIT4_1146321
BIT3_1146581
BIT2_1145741
BIT1_1150221
BIT0_1147431
BIT31_032341
BIT30_024951
BIT29_024041
BIT28_024971
BIT27_023481
BIT26_023711
BIT25_023571
BIT24_024271
BIT23_023631
BIT22_024241
BIT21_023801
BIT20_024141
BIT19_024221
BIT18_024461
BIT17_024361
BIT16_024471
BIT15_029101
BIT14_028771
BIT13_029501
BIT12_028731
BIT11_030781
BIT10_030971
BIT9_028581
BIT8_025391
BIT7_029501
BIT6_027671
BIT5_029031
BIT4_032651
BIT3_032391
BIT2_033231
BIT1_028751
BIT0_031541

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29861
auto_ZEROauto_NON_ZERO33101
auto_NON_ZEROauto_ZERO32591
auto_NON_ZEROauto_NON_ZERO83421

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_12.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_12.html new file mode 100644 index 00000000..bd6e0698 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_12.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_rol_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5761
auto[1]5571
auto[2]5531
auto[3]5821
auto[4]5581
auto[5]5211
auto[6]5591
auto[7]4881
auto[8]5591
auto[9]5411
auto[10]5551
auto[11]5331
auto[12]5691
auto[13]5361
auto[14]5391
auto[15]5821
auto[16]5641
auto[17]5741
auto[18]5521
auto[19]5441
auto[20]5521
auto[21]5411
auto[22]5381
auto[23]5241
auto[24]5241
auto[25]5201
auto[26]5561
auto[27]6041
auto[28]5541
auto[29]5741
auto[30]5641
auto[31]5461

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5491
auto[1]5811
auto[2]5341
auto[3]5601
auto[4]5611
auto[5]5071
auto[6]5851
auto[7]5611
auto[8]5561
auto[9]5571
auto[10]5541
auto[11]5291
auto[12]5801
auto[13]4981
auto[14]5851
auto[15]5681
auto[16]5461
auto[17]5311
auto[18]5671
auto[19]5351
auto[20]4981
auto[21]5131
auto[22]5631
auto[23]5571
auto[24]5901
auto[25]5851
auto[26]5241
auto[27]5461
auto[28]5661
auto[29]5491
auto[30]5251
auto[31]5791

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6351
auto[1]6031
auto[2]4951
auto[3]5001
auto[4]5171
auto[5]5181
auto[6]5761
auto[7]5671
auto[8]5041
auto[9]5621
auto[10]4831
auto[11]5921
auto[12]5611
auto[13]5371
auto[14]5761
auto[15]5711
auto[16]5551
auto[17]5261
auto[18]5761
auto[19]5411
auto[20]4861
auto[21]5471
auto[22]5381
auto[23]5771
auto[24]5391
auto[25]5501
auto[26]5651
auto[27]6061
auto[28]5671
auto[29]5431
auto[30]5701
auto[31]5561

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00861
RD_01661
RD_02601
RD_03771
RD_04601
RD_05591
RD_06791
RD_07691
RD_08541
RD_09721
RD_0a591
RD_0b701
RD_0c671
RD_0d631
RD_0e591
RD_0f741
RD_10591
RD_11691
RD_12661
RD_13611
RD_14551
RD_15651
RD_16611
RD_17691
RD_18681
RD_19641
RD_1a651
RD_1b741
RD_1c711
RD_1d571
RD_1e771
RD_1f721

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00731
RD_01621
RD_02601
RD_03711
RD_04591
RD_05611
RD_06761
RD_07641
RD_08561
RD_09691
RD_0a561
RD_0b681
RD_0c681
RD_0d751
RD_0e691
RD_0f801
RD_10661
RD_11671
RD_12701
RD_13601
RD_14541
RD_15651
RD_16741
RD_17681
RD_18681
RD_19621
RD_1a671
RD_1b721
RD_1c611
RD_1d581
RD_1e681
RD_1f801

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62081
auto_NON_ZERO114311

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62461
auto_NON_ZERO113931

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62081
auto_NON_ZERO114311

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153001
BIT30_135691
BIT29_134831
BIT28_135501
BIT27_133801
BIT26_133951
BIT25_133631
BIT24_133571
BIT23_133171
BIT22_133051
BIT21_133171
BIT20_133371
BIT19_133711
BIT18_133651
BIT17_133091
BIT16_135391
BIT15_143441
BIT14_141951
BIT13_144541
BIT12_142691
BIT11_147441
BIT10_146811
BIT9_142971
BIT8_136861
BIT7_145701
BIT6_140301
BIT5_141801
BIT4_153661
BIT3_154471
BIT2_153701
BIT1_143341
BIT0_149921
BIT31_0123391
BIT30_0140701
BIT29_0141561
BIT28_0140891
BIT27_0142591
BIT26_0142441
BIT25_0142761
BIT24_0142821
BIT23_0143221
BIT22_0143341
BIT21_0143221
BIT20_0143021
BIT19_0142681
BIT18_0142741
BIT17_0143301
BIT16_0141001
BIT15_0132951
BIT14_0134441
BIT13_0131851
BIT12_0133701
BIT11_0128951
BIT10_0129581
BIT9_0133421
BIT8_0139531
BIT7_0130691
BIT6_0136091
BIT5_0134591
BIT4_0122731
BIT3_0121921
BIT2_0122691
BIT1_0133051
BIT0_0126471

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152321
BIT30_134471
BIT29_133821
BIT28_134681
BIT27_133671
BIT26_133591
BIT25_132681
BIT24_133531
BIT23_132851
BIT22_133381
BIT21_132211
BIT20_132721
BIT19_132841
BIT18_132981
BIT17_133031
BIT16_135491
BIT15_142541
BIT14_142181
BIT13_143771
BIT12_142331
BIT11_146461
BIT10_146011
BIT9_141811
BIT8_136781
BIT7_144341
BIT6_138961
BIT5_141081
BIT4_152031
BIT3_152511
BIT2_152551
BIT1_141691
BIT0_148701
BIT31_0124071
BIT30_0141921
BIT29_0142571
BIT28_0141711
BIT27_0142721
BIT26_0142801
BIT25_0143711
BIT24_0142861
BIT23_0143541
BIT22_0143011
BIT21_0144181
BIT20_0143671
BIT19_0143551
BIT18_0143411
BIT17_0143361
BIT16_0140901
BIT15_0133851
BIT14_0134211
BIT13_0132621
BIT12_0134061
BIT11_0129931
BIT10_0130381
BIT9_0134581
BIT8_0139611
BIT7_0132051
BIT6_0137431
BIT5_0135311
BIT4_0124361
BIT3_0123881
BIT2_0123841
BIT1_0134701
BIT0_0127691

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_147451
BIT30_139371
BIT29_137911
BIT28_137831
BIT27_138061
BIT26_135941
BIT25_136411
BIT24_136141
BIT23_136731
BIT22_135591
BIT21_136411
BIT20_136251
BIT19_137311
BIT18_136251
BIT17_136961
BIT16_137921
BIT15_142701
BIT14_140801
BIT13_142181
BIT12_142551
BIT11_145131
BIT10_143321
BIT9_142671
BIT8_140121
BIT7_143311
BIT6_140241
BIT5_141401
BIT4_145411
BIT3_146951
BIT2_144851
BIT1_143511
BIT0_144491
BIT31_0128941
BIT30_0137021
BIT29_0138481
BIT28_0138561
BIT27_0138331
BIT26_0140451
BIT25_0139981
BIT24_0140251
BIT23_0139661
BIT22_0140801
BIT21_0139981
BIT20_0140141
BIT19_0139081
BIT18_0140141
BIT17_0139431
BIT16_0138471
BIT15_0133691
BIT14_0135591
BIT13_0134211
BIT12_0133841
BIT11_0131261
BIT10_0133071
BIT9_0133721
BIT8_0136271
BIT7_0133081
BIT6_0136151
BIT5_0134991
BIT4_0130981
BIT3_0129441
BIT2_0131541
BIT1_0132881
BIT0_0131901

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30551
auto_ZEROauto_NON_ZERO31531
auto_NON_ZEROauto_ZERO31911
auto_NON_ZEROauto_NON_ZERO82401

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_13.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_13.html new file mode 100644 index 00000000..9f31f9cf --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_13.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_ror_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5711
auto[1]5601
auto[2]5521
auto[3]5441
auto[4]5341
auto[5]5781
auto[6]5551
auto[7]5321
auto[8]4991
auto[9]5421
auto[10]5761
auto[11]5351
auto[12]5851
auto[13]5741
auto[14]5031
auto[15]5201
auto[16]5521
auto[17]5821
auto[18]5301
auto[19]5551
auto[20]5671
auto[21]5741
auto[22]5731
auto[23]5561
auto[24]5721
auto[25]5371
auto[26]5981
auto[27]5341
auto[28]6011
auto[29]5101
auto[30]5701
auto[31]5461

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5711
auto[1]5621
auto[2]5261
auto[3]5221
auto[4]5241
auto[5]5281
auto[6]5451
auto[7]5771
auto[8]5921
auto[9]5691
auto[10]6081
auto[11]5131
auto[12]5111
auto[13]5491
auto[14]5911
auto[15]5821
auto[16]5651
auto[17]5381
auto[18]5241
auto[19]5771
auto[20]5931
auto[21]5351
auto[22]5611
auto[23]5451
auto[24]5531
auto[25]5751
auto[26]5981
auto[27]5301
auto[28]5671
auto[29]5071
auto[30]5171
auto[31]5621

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5571
auto[1]5561
auto[2]4891
auto[3]6611
auto[4]5281
auto[5]5531
auto[6]5391
auto[7]5691
auto[8]5401
auto[9]5391
auto[10]5081
auto[11]5721
auto[12]5571
auto[13]5481
auto[14]5491
auto[15]5391
auto[16]5641
auto[17]5661
auto[18]5451
auto[19]5841
auto[20]5261
auto[21]6021
auto[22]5611
auto[23]6101
auto[24]5241
auto[25]6061
auto[26]5931
auto[27]5021
auto[28]5481
auto[29]5291
auto[30]5331
auto[31]5201

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00651
RD_01721
RD_02691
RD_03691
RD_04591
RD_05651
RD_06571
RD_07611
RD_08621
RD_09601
RD_0a621
RD_0b641
RD_0c621
RD_0d621
RD_0e561
RD_0f511
RD_10741
RD_11671
RD_12741
RD_13801
RD_14781
RD_15681
RD_16601
RD_17781
RD_18671
RD_19781
RD_1a641
RD_1b531
RD_1c611
RD_1d631
RD_1e641
RD_1f571

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00601
RD_01711
RD_02661
RD_03741
RD_04601
RD_05591
RD_06491
RD_07581
RD_08551
RD_09591
RD_0a561
RD_0b681
RD_0c691
RD_0d631
RD_0e541
RD_0f531
RD_10771
RD_11621
RD_12731
RD_13771
RD_14811
RD_15611
RD_16631
RD_17741
RD_18711
RD_19811
RD_1a661
RD_1b591
RD_1c581
RD_1d611
RD_1e661
RD_1f571

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62181
auto_NON_ZERO114991

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62581
auto_NON_ZERO114591

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62181
auto_NON_ZERO114991

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152921
BIT30_135191
BIT29_134991
BIT28_134781
BIT27_134141
BIT26_133841
BIT25_133701
BIT24_133431
BIT23_133481
BIT22_133421
BIT21_133351
BIT20_133611
BIT19_134191
BIT18_134301
BIT17_133481
BIT16_135811
BIT15_143531
BIT14_142201
BIT13_144861
BIT12_142691
BIT11_146871
BIT10_147411
BIT9_142101
BIT8_137211
BIT7_145401
BIT6_140711
BIT5_142311
BIT4_153321
BIT3_154931
BIT2_153601
BIT1_143371
BIT0_148951
BIT31_0124251
BIT30_0141981
BIT29_0142181
BIT28_0142391
BIT27_0143031
BIT26_0143331
BIT25_0143471
BIT24_0143741
BIT23_0143691
BIT22_0143751
BIT21_0143821
BIT20_0143561
BIT19_0142981
BIT18_0142871
BIT17_0143691
BIT16_0141361
BIT15_0133641
BIT14_0134971
BIT13_0132311
BIT12_0134481
BIT11_0130301
BIT10_0129761
BIT9_0135071
BIT8_0139961
BIT7_0131771
BIT6_0136461
BIT5_0134861
BIT4_0123851
BIT3_0122241
BIT2_0123571
BIT1_0133801
BIT0_0128221

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152141
BIT30_134241
BIT29_134041
BIT28_134451
BIT27_133321
BIT26_132721
BIT25_132551
BIT24_132491
BIT23_132771
BIT22_132901
BIT21_132201
BIT20_132991
BIT19_133241
BIT18_133011
BIT17_133311
BIT16_135361
BIT15_141711
BIT14_142091
BIT13_143821
BIT12_142071
BIT11_147071
BIT10_146551
BIT9_142711
BIT8_136491
BIT7_145321
BIT6_140341
BIT5_142041
BIT4_152851
BIT3_154171
BIT2_153081
BIT1_142051
BIT0_149881
BIT31_0125031
BIT30_0142931
BIT29_0143131
BIT28_0142721
BIT27_0143851
BIT26_0144451
BIT25_0144621
BIT24_0144681
BIT23_0144401
BIT22_0144271
BIT21_0144971
BIT20_0144181
BIT19_0143931
BIT18_0144161
BIT17_0143861
BIT16_0141811
BIT15_0135461
BIT14_0135081
BIT13_0133351
BIT12_0135101
BIT11_0130101
BIT10_0130621
BIT9_0134461
BIT8_0140681
BIT7_0131851
BIT6_0136831
BIT5_0135131
BIT4_0124321
BIT3_0123001
BIT2_0124091
BIT1_0135121
BIT0_0127291

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_146801
BIT30_138701
BIT29_138111
BIT28_137071
BIT27_138071
BIT26_136511
BIT25_136531
BIT24_136231
BIT23_136961
BIT22_137011
BIT21_136801
BIT20_137481
BIT19_138751
BIT18_137111
BIT17_137791
BIT16_138131
BIT15_142491
BIT14_141901
BIT13_142381
BIT12_141501
BIT11_144241
BIT10_142911
BIT9_141121
BIT8_140051
BIT7_142881
BIT6_141451
BIT5_141911
BIT4_146091
BIT3_147931
BIT2_144841
BIT1_141761
BIT0_142591
BIT31_0130371
BIT30_0138471
BIT29_0139061
BIT28_0140101
BIT27_0139101
BIT26_0140661
BIT25_0140641
BIT24_0140941
BIT23_0140211
BIT22_0140161
BIT21_0140371
BIT20_0139691
BIT19_0138421
BIT18_0140061
BIT17_0139381
BIT16_0139041
BIT15_0134681
BIT14_0135271
BIT13_0134791
BIT12_0135671
BIT11_0132931
BIT10_0134261
BIT9_0136051
BIT8_0137121
BIT7_0134291
BIT6_0135721
BIT5_0135261
BIT4_0131081
BIT3_0129241
BIT2_0132331
BIT1_0135411
BIT0_0134581

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30461
auto_ZEROauto_NON_ZERO31721
auto_NON_ZEROauto_ZERO32121
auto_NON_ZEROauto_NON_ZERO82871

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_14.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_14.html new file mode 100644 index 00000000..fdb65dbe --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_14.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_xnor_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6301
auto[1]5251
auto[2]5421
auto[3]5541
auto[4]5431
auto[5]5331
auto[6]5511
auto[7]5181
auto[8]5321
auto[9]5411
auto[10]5231
auto[11]5401
auto[12]5081
auto[13]5661
auto[14]5811
auto[15]5421
auto[16]5361
auto[17]5501
auto[18]5701
auto[19]5711
auto[20]5651
auto[21]5581
auto[22]5671
auto[23]5121
auto[24]5291
auto[25]5431
auto[26]5391
auto[27]5991
auto[28]5291
auto[29]5721
auto[30]5711
auto[31]5601

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5671
auto[1]5381
auto[2]5151
auto[3]5891
auto[4]5571
auto[5]5801
auto[6]5531
auto[7]5781
auto[8]5141
auto[9]5551
auto[10]5551
auto[11]5541
auto[12]5391
auto[13]5141
auto[14]5591
auto[15]5031
auto[16]5201
auto[17]5101
auto[18]5351
auto[19]5501
auto[20]5461
auto[21]5341
auto[22]5851
auto[23]5601
auto[24]5921
auto[25]5471
auto[26]5481
auto[27]5651
auto[28]5441
auto[29]5491
auto[30]5621
auto[31]5831

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6001
auto[1]5791
auto[2]5201
auto[3]6021
auto[4]5481
auto[5]5951
auto[6]5731
auto[7]5761
auto[8]5301
auto[9]5541
auto[10]5811
auto[11]5401
auto[12]5831
auto[13]5271
auto[14]5071
auto[15]5201
auto[16]5061
auto[17]5431
auto[18]5151
auto[19]5261
auto[20]5211
auto[21]5431
auto[22]5271
auto[23]5391
auto[24]5761
auto[25]5581
auto[26]5141
auto[27]5271
auto[28]5461
auto[29]5921
auto[30]5441
auto[31]5881

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00791
RD_01691
RD_02631
RD_03771
RD_04601
RD_05741
RD_06631
RD_07591
RD_08551
RD_09711
RD_0a781
RD_0b651
RD_0c551
RD_0d641
RD_0e571
RD_0f651
RD_10531
RD_11691
RD_12661
RD_13621
RD_14491
RD_15721
RD_16661
RD_17591
RD_18721
RD_19491
RD_1a521
RD_1b681
RD_1c691
RD_1d771
RD_1e731
RD_1f641

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00851
RD_01691
RD_02661
RD_03831
RD_04611
RD_05731
RD_06631
RD_07561
RD_08601
RD_09821
RD_0a801
RD_0b631
RD_0c591
RD_0d681
RD_0e531
RD_0f581
RD_10531
RD_11651
RD_12711
RD_13571
RD_14581
RD_15731
RD_16661
RD_17601
RD_18751
RD_19561
RD_1a471
RD_1b661
RD_1c621
RD_1d781
RD_1e771
RD_1f711

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60991
auto_NON_ZERO115011

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60211
auto_NON_ZERO115791

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO4441
auto_NON_ZERO171561

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152801
BIT30_135111
BIT29_134881
BIT28_134781
BIT27_134081
BIT26_134221
BIT25_133821
BIT24_133651
BIT23_133541
BIT22_133761
BIT21_133641
BIT20_134361
BIT19_134191
BIT18_133981
BIT17_134191
BIT16_136271
BIT15_144061
BIT14_142731
BIT13_145371
BIT12_143261
BIT11_148021
BIT10_147811
BIT9_143521
BIT8_137841
BIT7_146461
BIT6_141161
BIT5_142671
BIT4_154511
BIT3_155301
BIT2_154101
BIT1_143181
BIT0_149431
BIT31_0123201
BIT30_0140891
BIT29_0141121
BIT28_0141221
BIT27_0141921
BIT26_0141781
BIT25_0142181
BIT24_0142351
BIT23_0142461
BIT22_0142241
BIT21_0142361
BIT20_0141641
BIT19_0141811
BIT18_0142021
BIT17_0141811
BIT16_0139731
BIT15_0131941
BIT14_0133271
BIT13_0130631
BIT12_0132741
BIT11_0127981
BIT10_0128191
BIT9_0132481
BIT8_0138161
BIT7_0129541
BIT6_0134841
BIT5_0133331
BIT4_0121491
BIT3_0120701
BIT2_0121901
BIT1_0132821
BIT0_0126571

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153151
BIT30_135541
BIT29_134981
BIT28_135461
BIT27_134221
BIT26_134001
BIT25_133771
BIT24_133691
BIT23_133771
BIT22_133781
BIT21_133451
BIT20_133791
BIT19_133951
BIT18_134161
BIT17_133851
BIT16_135691
BIT15_143141
BIT14_142951
BIT13_144051
BIT12_142921
BIT11_147691
BIT10_148081
BIT9_143081
BIT8_137521
BIT7_146391
BIT6_141001
BIT5_142471
BIT4_154411
BIT3_155531
BIT2_155131
BIT1_142861
BIT0_149341
BIT31_0122851
BIT30_0140461
BIT29_0141021
BIT28_0140541
BIT27_0141781
BIT26_0142001
BIT25_0142231
BIT24_0142311
BIT23_0142231
BIT22_0142221
BIT21_0142551
BIT20_0142211
BIT19_0142051
BIT18_0141841
BIT17_0142151
BIT16_0140311
BIT15_0132861
BIT14_0133051
BIT13_0131951
BIT12_0133081
BIT11_0128311
BIT10_0127921
BIT9_0132921
BIT8_0138481
BIT7_0129611
BIT6_0135001
BIT5_0133531
BIT4_0121591
BIT3_0120471
BIT2_0120871
BIT1_0133141
BIT0_0126661

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_1112011
BIT30_1127111
BIT29_1127101
BIT28_1127601
BIT27_1128501
BIT26_1128461
BIT25_1128531
BIT24_1128901
BIT23_1128991
BIT22_1128561
BIT21_1128951
BIT20_1128871
BIT19_1128421
BIT18_1128341
BIT17_1128781
BIT16_1126141
BIT15_1118721
BIT14_1119161
BIT13_1117541
BIT12_1119641
BIT11_1115151
BIT10_1115491
BIT9_1119381
BIT8_1124481
BIT7_1116751
BIT6_1121521
BIT5_1119261
BIT4_1110441
BIT3_1110291
BIT2_1109991
BIT1_1119901
BIT0_1115271
BIT31_063991
BIT30_048891
BIT29_048901
BIT28_048401
BIT27_047501
BIT26_047541
BIT25_047471
BIT24_047101
BIT23_047011
BIT22_047441
BIT21_047051
BIT20_047131
BIT19_047581
BIT18_047661
BIT17_047221
BIT16_049861
BIT15_057281
BIT14_056841
BIT13_058461
BIT12_056361
BIT11_060851
BIT10_060511
BIT9_056621
BIT8_051521
BIT7_059251
BIT6_054481
BIT5_056741
BIT4_065561
BIT3_065711
BIT2_066011
BIT1_056101
BIT0_060731

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29511
auto_ZEROauto_NON_ZERO31481
auto_NON_ZEROauto_ZERO30701
auto_NON_ZEROauto_NON_ZERO84311

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_15.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_15.html new file mode 100644 index 00000000..5341c2a7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_15.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbc_clmul_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5811
auto[1]5601
auto[2]5501
auto[3]5551
auto[4]5551
auto[5]5361
auto[6]4871
auto[7]5791
auto[8]5771
auto[9]5301
auto[10]5511
auto[11]5441
auto[12]5451
auto[13]5431
auto[14]5811
auto[15]5201
auto[16]5611
auto[17]5371
auto[18]5891
auto[19]5271
auto[20]5741
auto[21]5351
auto[22]5231
auto[23]6081
auto[24]5161
auto[25]5471
auto[26]5301
auto[27]5221
auto[28]5381
auto[29]5181
auto[30]5761
auto[31]5221

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5771
auto[1]5341
auto[2]5331
auto[3]5201
auto[4]5091
auto[5]5241
auto[6]5491
auto[7]5131
auto[8]5621
auto[9]5411
auto[10]5591
auto[11]6301
auto[12]5591
auto[13]5751
auto[14]5291
auto[15]5161
auto[16]5391
auto[17]5771
auto[18]5541
auto[19]5541
auto[20]5931
auto[21]5131
auto[22]5171
auto[23]5601
auto[24]4991
auto[25]5421
auto[26]5821
auto[27]4991
auto[28]5461
auto[29]5911
auto[30]5731
auto[31]5481

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6131
auto[1]5681
auto[2]5531
auto[3]6301
auto[4]5161
auto[5]5571
auto[6]5091
auto[7]5351
auto[8]5181
auto[9]5011
auto[10]5591
auto[11]5071
auto[12]5431
auto[13]5811
auto[14]5261
auto[15]5461
auto[16]5481
auto[17]5381
auto[18]5391
auto[19]5171
auto[20]5491
auto[21]5601
auto[22]5321
auto[23]5871
auto[24]5241
auto[25]5641
auto[26]5401
auto[27]5561
auto[28]5351
auto[29]5571
auto[30]5721
auto[31]5371

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00841
RD_01611
RD_02621
RD_03621
RD_04531
RD_05611
RD_06561
RD_07601
RD_08671
RD_09641
RD_0a691
RD_0b691
RD_0c621
RD_0d671
RD_0e681
RD_0f711
RD_10741
RD_11641
RD_12561
RD_13741
RD_14731
RD_15541
RD_16501
RD_17791
RD_18441
RD_19541
RD_1a621
RD_1b601
RD_1c591
RD_1d521
RD_1e731
RD_1f551

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00901
RD_01671
RD_02661
RD_03661
RD_04521
RD_05621
RD_06531
RD_07541
RD_08691
RD_09651
RD_0a631
RD_0b791
RD_0c591
RD_0d771
RD_0e671
RD_0f701
RD_10691
RD_11621
RD_12681
RD_13681
RD_14821
RD_15681
RD_16511
RD_17781
RD_18481
RD_19531
RD_1a671
RD_1b611
RD_1c561
RD_1d521
RD_1e601
RD_1f641

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61641
auto_NON_ZERO113531

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62121
auto_NON_ZERO113051

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO97301
auto_NON_ZERO77871

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153011
BIT30_134141
BIT29_134401
BIT28_134891
BIT27_133611
BIT26_133461
BIT25_133501
BIT24_133351
BIT23_133151
BIT22_133391
BIT21_133141
BIT20_133431
BIT19_133371
BIT18_133431
BIT17_133401
BIT16_134991
BIT15_143511
BIT14_141521
BIT13_143641
BIT12_141981
BIT11_146931
BIT10_147001
BIT9_141751
BIT8_136551
BIT7_145271
BIT6_138891
BIT5_140901
BIT4_152901
BIT3_153761
BIT2_153841
BIT1_142321
BIT0_148321
BIT31_0122161
BIT30_0141031
BIT29_0140771
BIT28_0140281
BIT27_0141561
BIT26_0141711
BIT25_0141671
BIT24_0141821
BIT23_0142021
BIT22_0141781
BIT21_0142031
BIT20_0141741
BIT19_0141801
BIT18_0141741
BIT17_0141771
BIT16_0140181
BIT15_0131661
BIT14_0133651
BIT13_0131531
BIT12_0133191
BIT11_0128241
BIT10_0128171
BIT9_0133421
BIT8_0138621
BIT7_0129901
BIT6_0136281
BIT5_0134271
BIT4_0122271
BIT3_0121411
BIT2_0121331
BIT1_0132851
BIT0_0126851

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_151601
BIT30_134441
BIT29_134221
BIT28_134341
BIT27_133881
BIT26_133671
BIT25_133591
BIT24_133881
BIT23_133621
BIT22_133631
BIT21_133021
BIT20_133181
BIT19_133601
BIT18_133481
BIT17_133651
BIT16_135901
BIT15_143131
BIT14_141681
BIT13_144541
BIT12_142561
BIT11_146121
BIT10_147181
BIT9_142211
BIT8_136931
BIT7_145641
BIT6_139801
BIT5_141901
BIT4_152621
BIT3_152721
BIT2_152611
BIT1_141301
BIT0_148461
BIT31_0123571
BIT30_0140731
BIT29_0140951
BIT28_0140831
BIT27_0141291
BIT26_0141501
BIT25_0141581
BIT24_0141291
BIT23_0141551
BIT22_0141541
BIT21_0142151
BIT20_0141991
BIT19_0141571
BIT18_0141691
BIT17_0141521
BIT16_0139271
BIT15_0132041
BIT14_0133491
BIT13_0130631
BIT12_0132611
BIT11_0129051
BIT10_0127991
BIT9_0132961
BIT8_0138241
BIT7_0129531
BIT6_0135371
BIT5_0133271
BIT4_0122551
BIT3_0122451
BIT2_0122561
BIT1_0133871
BIT0_0126711

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129411
BIT30_128521
BIT29_124051
BIT28_128371
BIT27_123771
BIT26_127901
BIT25_123931
BIT24_129281
BIT23_123871
BIT22_130211
BIT21_125431
BIT20_130981
BIT19_126581
BIT18_131761
BIT17_126761
BIT16_130811
BIT15_127111
BIT14_132211
BIT13_128281
BIT12_132061
BIT11_126761
BIT10_132051
BIT9_127021
BIT8_131801
BIT7_125731
BIT6_132041
BIT5_125551
BIT4_131961
BIT3_123601
BIT2_128141
BIT1_114851
BIT0_118391
BIT31_0145761
BIT30_0146651
BIT29_0151121
BIT28_0146801
BIT27_0151401
BIT26_0147271
BIT25_0151241
BIT24_0145891
BIT23_0151301
BIT22_0144961
BIT21_0149741
BIT20_0144191
BIT19_0148591
BIT18_0143411
BIT17_0148411
BIT16_0144361
BIT15_0148061
BIT14_0142961
BIT13_0146891
BIT12_0143111
BIT11_0148411
BIT10_0143121
BIT9_0148151
BIT8_0143371
BIT7_0149441
BIT6_0143131
BIT5_0149621
BIT4_0143211
BIT3_0151571
BIT2_0147031
BIT1_0160321
BIT0_0156781

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29631
auto_ZEROauto_NON_ZERO32011
auto_NON_ZEROauto_ZERO32491
auto_NON_ZEROauto_NON_ZERO81041

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_16.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_16.html new file mode 100644 index 00000000..60c14fab --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_16.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbc_clmulr_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5681
auto[1]5641
auto[2]5561
auto[3]5491
auto[4]5711
auto[5]5351
auto[6]5141
auto[7]5771
auto[8]5451
auto[9]5341
auto[10]5951
auto[11]5781
auto[12]5611
auto[13]5561
auto[14]5601
auto[15]5241
auto[16]5691
auto[17]5571
auto[18]5331
auto[19]5641
auto[20]5631
auto[21]4971
auto[22]5451
auto[23]5611
auto[24]5621
auto[25]5561
auto[26]5221
auto[27]5671
auto[28]5161
auto[29]5351
auto[30]5341
auto[31]5261

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5701
auto[1]5981
auto[2]5641
auto[3]5771
auto[4]5261
auto[5]5241
auto[6]5241
auto[7]5491
auto[8]5691
auto[9]5571
auto[10]5461
auto[11]5421
auto[12]5471
auto[13]5431
auto[14]5631
auto[15]5531
auto[16]5251
auto[17]4991
auto[18]5581
auto[19]5651
auto[20]5341
auto[21]5751
auto[22]5781
auto[23]5671
auto[24]5661
auto[25]5311
auto[26]5241
auto[27]5171
auto[28]5411
auto[29]5901
auto[30]5281
auto[31]5441

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5911
auto[1]6101
auto[2]5191
auto[3]5971
auto[4]5091
auto[5]5251
auto[6]5751
auto[7]5561
auto[8]5581
auto[9]5161
auto[10]5201
auto[11]5511
auto[12]5481
auto[13]5671
auto[14]5401
auto[15]5571
auto[16]5671
auto[17]5581
auto[18]5641
auto[19]5331
auto[20]5721
auto[21]4881
auto[22]5581
auto[23]5401
auto[24]5661
auto[25]5611
auto[26]5261
auto[27]5651
auto[28]5451
auto[29]5341
auto[30]5201
auto[31]5581

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00771
RD_01741
RD_02611
RD_03541
RD_04661
RD_05771
RD_06741
RD_07701
RD_08761
RD_09621
RD_0a611
RD_0b631
RD_0c651
RD_0d551
RD_0e571
RD_0f671
RD_10741
RD_11631
RD_12591
RD_13601
RD_14651
RD_15501
RD_16661
RD_17731
RD_18791
RD_19641
RD_1a651
RD_1b631
RD_1c641
RD_1d671
RD_1e581
RD_1f651

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00751
RD_01741
RD_02701
RD_03611
RD_04661
RD_05661
RD_06751
RD_07751
RD_08661
RD_09601
RD_0a601
RD_0b651
RD_0c621
RD_0d651
RD_0e531
RD_0f691
RD_10751
RD_11701
RD_12631
RD_13731
RD_14601
RD_15551
RD_16701
RD_17771
RD_18771
RD_19671
RD_1a571
RD_1b581
RD_1c561
RD_1d731
RD_1e631
RD_1f631

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62601
auto_NON_ZERO113341

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61761
auto_NON_ZERO114181

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO112121
auto_NON_ZERO63821

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152791
BIT30_134591
BIT29_134841
BIT28_135411
BIT27_133411
BIT26_133211
BIT25_133051
BIT24_133641
BIT23_132831
BIT22_133521
BIT21_133011
BIT20_133421
BIT19_133711
BIT18_133611
BIT17_133491
BIT16_134961
BIT15_142481
BIT14_141591
BIT13_144261
BIT12_142721
BIT11_146651
BIT10_147521
BIT9_141901
BIT8_137211
BIT7_145051
BIT6_140801
BIT5_141721
BIT4_152701
BIT3_153481
BIT2_152521
BIT1_141661
BIT0_148241
BIT31_0123151
BIT30_0141351
BIT29_0141101
BIT28_0140531
BIT27_0142531
BIT26_0142731
BIT25_0142891
BIT24_0142301
BIT23_0143111
BIT22_0142421
BIT21_0142931
BIT20_0142521
BIT19_0142231
BIT18_0142331
BIT17_0142451
BIT16_0140981
BIT15_0133461
BIT14_0134351
BIT13_0131681
BIT12_0133221
BIT11_0129291
BIT10_0128421
BIT9_0134041
BIT8_0138731
BIT7_0130891
BIT6_0135141
BIT5_0134221
BIT4_0123241
BIT3_0122461
BIT2_0123421
BIT1_0134281
BIT0_0127701

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152551
BIT30_134841
BIT29_134861
BIT28_135171
BIT27_133271
BIT26_133961
BIT25_133561
BIT24_133701
BIT23_133091
BIT22_133481
BIT21_132911
BIT20_133081
BIT19_132921
BIT18_132841
BIT17_132621
BIT16_134761
BIT15_142701
BIT14_142671
BIT13_144601
BIT12_142551
BIT11_146281
BIT10_146901
BIT9_142241
BIT8_136561
BIT7_144921
BIT6_140251
BIT5_141681
BIT4_152861
BIT3_153731
BIT2_153351
BIT1_142581
BIT0_148681
BIT31_0123391
BIT30_0141101
BIT29_0141081
BIT28_0140771
BIT27_0142671
BIT26_0141981
BIT25_0142381
BIT24_0142241
BIT23_0142851
BIT22_0142461
BIT21_0143031
BIT20_0142861
BIT19_0143021
BIT18_0143101
BIT17_0143321
BIT16_0141181
BIT15_0133241
BIT14_0133271
BIT13_0131341
BIT12_0133391
BIT11_0129661
BIT10_0129041
BIT9_0133701
BIT8_0139381
BIT7_0131021
BIT6_0135691
BIT5_0134261
BIT4_0123081
BIT3_0122211
BIT2_0122591
BIT1_0133361
BIT0_0127261

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_120611
BIT30_110851
BIT29_118211
BIT28_111721
BIT27_117851
BIT26_111831
BIT25_118641
BIT24_111801
BIT23_118371
BIT22_112491
BIT21_118151
BIT20_112371
BIT19_118211
BIT18_112641
BIT17_118601
BIT16_113771
BIT15_120391
BIT14_114761
BIT13_121491
BIT12_116081
BIT11_120431
BIT10_117601
BIT9_122311
BIT8_117811
BIT7_123811
BIT6_120331
BIT5_126311
BIT4_124361
BIT3_128921
BIT2_125881
BIT1_130551
BIT0_129101
BIT31_0155331
BIT30_0165091
BIT29_0157731
BIT28_0164221
BIT27_0158091
BIT26_0164111
BIT25_0157301
BIT24_0164141
BIT23_0157571
BIT22_0163451
BIT21_0157791
BIT20_0163571
BIT19_0157731
BIT18_0163301
BIT17_0157341
BIT16_0162171
BIT15_0155551
BIT14_0161181
BIT13_0154451
BIT12_0159861
BIT11_0155511
BIT10_0158341
BIT9_0153631
BIT8_0158131
BIT7_0152131
BIT6_0155611
BIT5_0149631
BIT4_0151581
BIT3_0147021
BIT2_0150061
BIT1_0145391
BIT0_0146841

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30261
auto_ZEROauto_NON_ZERO32341
auto_NON_ZEROauto_ZERO31501
auto_NON_ZEROauto_NON_ZERO81841

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_17.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_17.html new file mode 100644 index 00000000..48c57834 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_17.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbs_bclr_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]4921
auto[1]5171
auto[2]5781
auto[3]5501
auto[4]5221
auto[5]5561
auto[6]5481
auto[7]5321
auto[8]5561
auto[9]5581
auto[10]5441
auto[11]5661
auto[12]5581
auto[13]5601
auto[14]5351
auto[15]5421
auto[16]5991
auto[17]5391
auto[18]5561
auto[19]5701
auto[20]5571
auto[21]5761
auto[22]5221
auto[23]5551
auto[24]5221
auto[25]5511
auto[26]5031
auto[27]5361
auto[28]5471
auto[29]5611
auto[30]5451
auto[31]5491

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5811
auto[1]5341
auto[2]5431
auto[3]5161
auto[4]4951
auto[5]5141
auto[6]5711
auto[7]5771
auto[8]5661
auto[9]5541
auto[10]5361
auto[11]5521
auto[12]5571
auto[13]5901
auto[14]5231
auto[15]5511
auto[16]5091
auto[17]6031
auto[18]5371
auto[19]5211
auto[20]5341
auto[21]5281
auto[22]5561
auto[23]5271
auto[24]5331
auto[25]6091
auto[26]5091
auto[27]5411
auto[28]5731
auto[29]5411
auto[30]5621
auto[31]5591

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5821
auto[1]5481
auto[2]5411
auto[3]6001
auto[4]5221
auto[5]5581
auto[6]5611
auto[7]5121
auto[8]5091
auto[9]5361
auto[10]5511
auto[11]5231
auto[12]5601
auto[13]5731
auto[14]5571
auto[15]5571
auto[16]5381
auto[17]5291
auto[18]5491
auto[19]5271
auto[20]5451
auto[21]5761
auto[22]5351
auto[23]5911
auto[24]5041
auto[25]5251
auto[26]5141
auto[27]5261
auto[28]5481
auto[29]5601
auto[30]5511
auto[31]5941

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00641
RD_01671
RD_02801
RD_03661
RD_04551
RD_05621
RD_06711
RD_07741
RD_08721
RD_09611
RD_0a681
RD_0b571
RD_0c831
RD_0d761
RD_0e651
RD_0f691
RD_10771
RD_11681
RD_12621
RD_13681
RD_14771
RD_15791
RD_16741
RD_17731
RD_18611
RD_19601
RD_1a481
RD_1b501
RD_1c761
RD_1d581
RD_1e551
RD_1f741

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00691
RD_01641
RD_02731
RD_03581
RD_04581
RD_05541
RD_06761
RD_07721
RD_08651
RD_09611
RD_0a651
RD_0b551
RD_0c791
RD_0d771
RD_0e731
RD_0f631
RD_10731
RD_11711
RD_12651
RD_13601
RD_14671
RD_15771
RD_16701
RD_17681
RD_18641
RD_19621
RD_1a551
RD_1b451
RD_1c661
RD_1d561
RD_1e561
RD_1f721

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60631
auto_NON_ZERO114391

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61871
auto_NON_ZERO113151

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO64441
auto_NON_ZERO110581

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152691
BIT30_135591
BIT29_135111
BIT28_135121
BIT27_133721
BIT26_134371
BIT25_134301
BIT24_133911
BIT23_133321
BIT22_133631
BIT21_133591
BIT20_133631
BIT19_134121
BIT18_134061
BIT17_133801
BIT16_135851
BIT15_143191
BIT14_142931
BIT13_145081
BIT12_142711
BIT11_146521
BIT10_147751
BIT9_142831
BIT8_137751
BIT7_146161
BIT6_140941
BIT5_142411
BIT4_153691
BIT3_154311
BIT2_154571
BIT1_143791
BIT0_148801
BIT31_0122331
BIT30_0139431
BIT29_0139911
BIT28_0139901
BIT27_0141301
BIT26_0140651
BIT25_0140721
BIT24_0141111
BIT23_0141701
BIT22_0141391
BIT21_0141431
BIT20_0141391
BIT19_0140901
BIT18_0140961
BIT17_0141221
BIT16_0139171
BIT15_0131831
BIT14_0132091
BIT13_0129941
BIT12_0132311
BIT11_0128501
BIT10_0127271
BIT9_0132191
BIT8_0137271
BIT7_0128861
BIT6_0134081
BIT5_0132611
BIT4_0121331
BIT3_0120711
BIT2_0120451
BIT1_0131231
BIT0_0126221

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153111
BIT30_134841
BIT29_134491
BIT28_135031
BIT27_133781
BIT26_133551
BIT25_133031
BIT24_133481
BIT23_132991
BIT22_133471
BIT21_133161
BIT20_133801
BIT19_133581
BIT18_133441
BIT17_133781
BIT16_135021
BIT15_142491
BIT14_142191
BIT13_143801
BIT12_142361
BIT11_146871
BIT10_147351
BIT9_142611
BIT8_137301
BIT7_144471
BIT6_141021
BIT5_142131
BIT4_152131
BIT3_153651
BIT2_152311
BIT1_142461
BIT0_148711
BIT31_0121911
BIT30_0140181
BIT29_0140531
BIT28_0139991
BIT27_0141241
BIT26_0141471
BIT25_0141991
BIT24_0141541
BIT23_0142031
BIT22_0141551
BIT21_0141861
BIT20_0141221
BIT19_0141441
BIT18_0141581
BIT17_0141241
BIT16_0140001
BIT15_0132531
BIT14_0132831
BIT13_0131221
BIT12_0132661
BIT11_0128151
BIT10_0127671
BIT9_0132411
BIT8_0137721
BIT7_0130551
BIT6_0134001
BIT5_0132891
BIT4_0122891
BIT3_0121371
BIT2_0122711
BIT1_0132561
BIT0_0126311

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_147421
BIT30_134771
BIT29_134631
BIT28_132841
BIT27_133251
BIT26_133911
BIT25_133931
BIT24_133371
BIT23_132941
BIT22_133341
BIT21_133341
BIT20_133271
BIT19_133901
BIT18_133661
BIT17_133321
BIT16_135191
BIT15_142711
BIT14_142501
BIT13_144661
BIT12_142091
BIT11_146081
BIT10_147281
BIT9_142271
BIT8_137041
BIT7_145591
BIT6_140231
BIT5_141981
BIT4_152911
BIT3_153711
BIT2_153401
BIT1_141001
BIT0_130741
BIT31_0127601
BIT30_0140251
BIT29_0140391
BIT28_0142181
BIT27_0141771
BIT26_0141111
BIT25_0141091
BIT24_0141651
BIT23_0142081
BIT22_0141681
BIT21_0141681
BIT20_0141751
BIT19_0141121
BIT18_0141361
BIT17_0141701
BIT16_0139831
BIT15_0132311
BIT14_0132521
BIT13_0130361
BIT12_0132931
BIT11_0128941
BIT10_0127741
BIT9_0132751
BIT8_0137981
BIT7_0129431
BIT6_0134791
BIT5_0133041
BIT4_0122111
BIT3_0121311
BIT2_0121621
BIT1_0134021
BIT0_0144281

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30471
auto_ZEROauto_NON_ZERO30161
auto_NON_ZEROauto_ZERO31401
auto_NON_ZEROauto_NON_ZERO82991

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_18.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_18.html new file mode 100644 index 00000000..2daa1573 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_18.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbs_binv_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5611
auto[1]5301
auto[2]5041
auto[3]5191
auto[4]5731
auto[5]5501
auto[6]5261
auto[7]5591
auto[8]5441
auto[9]5541
auto[10]5361
auto[11]5801
auto[12]5701
auto[13]5721
auto[14]5571
auto[15]5251
auto[16]5471
auto[17]5341
auto[18]5311
auto[19]5521
auto[20]5521
auto[21]5251
auto[22]5571
auto[23]5321
auto[24]5451
auto[25]5521
auto[26]5521
auto[27]5221
auto[28]5361
auto[29]5091
auto[30]5611
auto[31]5931

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5191
auto[1]5801
auto[2]5441
auto[3]5371
auto[4]5701
auto[5]5301
auto[6]5311
auto[7]5391
auto[8]5921
auto[9]5981
auto[10]5611
auto[11]5141
auto[12]5441
auto[13]5201
auto[14]5271
auto[15]5371
auto[16]5771
auto[17]5701
auto[18]5001
auto[19]5111
auto[20]5271
auto[21]5621
auto[22]5291
auto[23]5231
auto[24]5711
auto[25]5311
auto[26]5551
auto[27]5511
auto[28]5431
auto[29]5541
auto[30]5331
auto[31]5801

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6431
auto[1]5701
auto[2]5171
auto[3]5601
auto[4]5361
auto[5]5041
auto[6]5561
auto[7]5511
auto[8]5761
auto[9]5591
auto[10]5561
auto[11]5211
auto[12]5681
auto[13]5171
auto[14]5391
auto[15]5461
auto[16]5371
auto[17]5181
auto[18]5701
auto[19]5491
auto[20]5581
auto[21]5381
auto[22]5271
auto[23]5151
auto[24]5031
auto[25]5891
auto[26]5081
auto[27]4781
auto[28]5681
auto[29]5541
auto[30]5851
auto[31]5441

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00771
RD_01691
RD_02601
RD_03641
RD_04571
RD_05661
RD_06471
RD_07661
RD_08781
RD_09701
RD_0a641
RD_0b731
RD_0c671
RD_0d731
RD_0e721
RD_0f581
RD_10641
RD_11661
RD_12661
RD_13681
RD_14601
RD_15621
RD_16531
RD_17661
RD_18641
RD_19701
RD_1a571
RD_1b641
RD_1c641
RD_1d631
RD_1e651
RD_1f651

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00701
RD_01701
RD_02541
RD_03701
RD_04631
RD_05611
RD_06521
RD_07631
RD_08711
RD_09671
RD_0a701
RD_0b641
RD_0c721
RD_0d671
RD_0e671
RD_0f551
RD_10571
RD_11691
RD_12651
RD_13671
RD_14571
RD_15551
RD_16561
RD_17581
RD_18711
RD_19671
RD_1a621
RD_1b651
RD_1c671
RD_1d701
RD_1e701
RD_1f651

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60411
auto_NON_ZERO114191

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60251
auto_NON_ZERO114351

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO4151
auto_NON_ZERO170451

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152811
BIT30_134821
BIT29_134661
BIT28_134751
BIT27_133301
BIT26_133601
BIT25_132971
BIT24_133751
BIT23_133471
BIT22_133301
BIT21_132921
BIT20_133331
BIT19_133391
BIT18_133551
BIT17_133621
BIT16_135641
BIT15_143001
BIT14_142201
BIT13_145231
BIT12_142681
BIT11_147431
BIT10_147291
BIT9_142911
BIT8_137281
BIT7_144671
BIT6_140141
BIT5_142471
BIT4_153601
BIT3_153981
BIT2_153251
BIT1_142301
BIT0_149061
BIT31_0121791
BIT30_0139781
BIT29_0139941
BIT28_0139851
BIT27_0141301
BIT26_0141001
BIT25_0141631
BIT24_0140851
BIT23_0141131
BIT22_0141301
BIT21_0141681
BIT20_0141271
BIT19_0141211
BIT18_0141051
BIT17_0140981
BIT16_0138961
BIT15_0131601
BIT14_0132401
BIT13_0129371
BIT12_0131921
BIT11_0127171
BIT10_0127311
BIT9_0131691
BIT8_0137321
BIT7_0129931
BIT6_0134461
BIT5_0132131
BIT4_0121001
BIT3_0120621
BIT2_0121351
BIT1_0132301
BIT0_0125541

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153161
BIT30_134251
BIT29_134111
BIT28_134451
BIT27_132891
BIT26_133281
BIT25_132871
BIT24_132861
BIT23_133111
BIT22_132971
BIT21_132321
BIT20_133041
BIT19_133131
BIT18_133281
BIT17_133081
BIT16_134441
BIT15_142661
BIT14_141961
BIT13_144571
BIT12_142051
BIT11_147681
BIT10_147501
BIT9_141881
BIT8_136791
BIT7_144701
BIT6_139911
BIT5_142221
BIT4_153211
BIT3_154681
BIT2_154001
BIT1_142841
BIT0_148501
BIT31_0121441
BIT30_0140351
BIT29_0140491
BIT28_0140151
BIT27_0141711
BIT26_0141321
BIT25_0141731
BIT24_0141741
BIT23_0141491
BIT22_0141631
BIT21_0142281
BIT20_0141561
BIT19_0141471
BIT18_0141321
BIT17_0141521
BIT16_0140161
BIT15_0131941
BIT14_0132641
BIT13_0130031
BIT12_0132551
BIT11_0126921
BIT10_0127101
BIT9_0132721
BIT8_0137811
BIT7_0129901
BIT6_0134691
BIT5_0132381
BIT4_0121391
BIT3_0119921
BIT2_0120601
BIT1_0131761
BIT0_0126101

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_155181
BIT30_136351
BIT29_135681
BIT28_143151
BIT27_134361
BIT26_134571
BIT25_133841
BIT24_135111
BIT23_134451
BIT22_134241
BIT21_133821
BIT20_134671
BIT19_133971
BIT18_134431
BIT17_134491
BIT16_137781
BIT15_143871
BIT14_143121
BIT13_145791
BIT12_143741
BIT11_148271
BIT10_148271
BIT9_143721
BIT8_138681
BIT7_145381
BIT6_141151
BIT5_143491
BIT4_154571
BIT3_154981
BIT2_154411
BIT1_149161
BIT0_192301
BIT31_0119421
BIT30_0138251
BIT29_0138921
BIT28_0131451
BIT27_0140241
BIT26_0140031
BIT25_0140761
BIT24_0139491
BIT23_0140151
BIT22_0140361
BIT21_0140781
BIT20_0139931
BIT19_0140631
BIT18_0140171
BIT17_0140111
BIT16_0136821
BIT15_0130731
BIT14_0131481
BIT13_0128811
BIT12_0130861
BIT11_0126331
BIT10_0126331
BIT9_0130881
BIT8_0135921
BIT7_0129221
BIT6_0133451
BIT5_0131111
BIT4_0120031
BIT3_0119621
BIT2_0120191
BIT1_0125441
BIT0_082301

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29171
auto_ZEROauto_NON_ZERO31241
auto_NON_ZEROauto_ZERO31081
auto_NON_ZEROauto_NON_ZERO83111

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_2.html new file mode 100644 index 00000000..4a944a35 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_2.html @@ -0,0 +1,1087 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_divu_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO80431
auto_NON_ZERO129181

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163401
BIT30_141131
BIT29_141181
BIT28_141021
BIT27_139971
BIT26_139701
BIT25_139851
BIT24_138971
BIT23_139841
BIT22_139741
BIT21_139311
BIT20_140071
BIT19_140601
BIT18_140311
BIT17_139121
BIT16_142181
BIT15_149851
BIT14_150201
BIT13_152281
BIT12_149871
BIT11_155201
BIT10_156061
BIT9_148941
BIT8_144111
BIT7_154371
BIT6_147981
BIT5_148791
BIT4_161931
BIT3_162711
BIT2_161021
BIT1_149521
BIT0_158061
BIT31_0146211
BIT30_0168481
BIT29_0168431
BIT28_0168591
BIT27_0169641
BIT26_0169911
BIT25_0169761
BIT24_0170641
BIT23_0169771
BIT22_0169871
BIT21_0170301
BIT20_0169541
BIT19_0169011
BIT18_0169301
BIT17_0170491
BIT16_0167431
BIT15_0159761
BIT14_0159411
BIT13_0157331
BIT12_0159741
BIT11_0154411
BIT10_0153551
BIT9_0160671
BIT8_0165501
BIT7_0155241
BIT6_0161631
BIT5_0160821
BIT4_0147681
BIT3_0146901
BIT2_0148591
BIT1_0160091
BIT0_0151551

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164231
BIT30_142071
BIT29_141701
BIT28_142131
BIT27_141421
BIT26_140711
BIT25_139511
BIT24_139611
BIT23_140711
BIT22_140211
BIT21_141031
BIT20_138991
BIT19_140651
BIT18_140781
BIT17_140361
BIT16_142241
BIT15_152331
BIT14_151871
BIT13_153621
BIT12_151831
BIT11_155851
BIT10_156981
BIT9_148521
BIT8_143751
BIT7_153801
BIT6_148211
BIT5_148391
BIT4_162341
BIT3_162951
BIT2_162241
BIT1_150681
BIT0_158541
BIT31_0145381
BIT30_0167541
BIT29_0167911
BIT28_0167481
BIT27_0168191
BIT26_0168901
BIT25_0170101
BIT24_0170001
BIT23_0168901
BIT22_0169401
BIT21_0168581
BIT20_0170621
BIT19_0168961
BIT18_0168831
BIT17_0169251
BIT16_0167371
BIT15_0157281
BIT14_0157741
BIT13_0155991
BIT12_0157781
BIT11_0153761
BIT10_0152631
BIT9_0161091
BIT8_0165861
BIT7_0155811
BIT6_0161401
BIT5_0161221
BIT4_0147271
BIT3_0146661
BIT2_0147371
BIT1_0158931
BIT0_0151071

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_177111
BIT30_176891
BIT29_176961
BIT28_177681
BIT27_178541
BIT26_178751
BIT25_179521
BIT24_180021
BIT23_180341
BIT22_180321
BIT21_180851
BIT20_181291
BIT19_181361
BIT18_181491
BIT17_181441
BIT16_182271
BIT15_183101
BIT14_183071
BIT13_183601
BIT12_183941
BIT11_183901
BIT10_184271
BIT9_184341
BIT8_184841
BIT7_185521
BIT6_184991
BIT5_185141
BIT4_186651
BIT3_187331
BIT2_188121
BIT1_189111
BIT0_1112961
BIT31_0132501
BIT30_0132721
BIT29_0132651
BIT28_0131931
BIT27_0131071
BIT26_0130861
BIT25_0130091
BIT24_0129591
BIT23_0129271
BIT22_0129291
BIT21_0128761
BIT20_0128321
BIT19_0128251
BIT18_0128121
BIT17_0128171
BIT16_0127341
BIT15_0126511
BIT14_0126541
BIT13_0126011
BIT12_0125671
BIT11_0125711
BIT10_0125341
BIT9_0125271
BIT8_0124771
BIT7_0124091
BIT6_0124621
BIT5_0124471
BIT4_0122961
BIT3_0122281
BIT2_0121491
BIT1_0120501
BIT0_096651

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO35291
auto_ZEROauto_NON_ZERO38271
auto_NON_ZEROauto_ZERO38961
auto_NON_ZEROauto_NON_ZERO97091

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_3.html new file mode 100644 index 00000000..235e63bd --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_3.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_mul_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7731
auto[1]6411
auto[2]6321
auto[3]7731
auto[4]6671
auto[5]6791
auto[6]6301
auto[7]6241
auto[8]5601
auto[9]6161
auto[10]5781
auto[11]6941
auto[12]7591
auto[13]6351
auto[14]6071
auto[15]6301
auto[16]6341
auto[17]6591
auto[18]6531
auto[19]5801
auto[20]6431
auto[21]6241
auto[22]6351
auto[23]6441
auto[24]7441
auto[25]6671
auto[26]6551
auto[27]6841
auto[28]6681
auto[29]6881
auto[30]7351
auto[31]6401

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6501
auto[1]6231
auto[2]6341
auto[3]6471
auto[4]7621
auto[5]6261
auto[6]6481
auto[7]6831
auto[8]5591
auto[9]7201
auto[10]5861
auto[11]6841
auto[12]6261
auto[13]6871
auto[14]6111
auto[15]5931
auto[16]5951
auto[17]6751
auto[18]6491
auto[19]6361
auto[20]6171
auto[21]6971
auto[22]6971
auto[23]6181
auto[24]8981
auto[25]6481
auto[26]6261
auto[27]7271
auto[28]6921
auto[29]6421
auto[30]6761
auto[31]6191

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7911
auto[1]6781
auto[2]6001
auto[3]6961
auto[4]7721
auto[5]7151
auto[6]7141
auto[7]6371
auto[8]5681
auto[9]6451
auto[10]6141
auto[11]5861
auto[12]5411
auto[13]5971
auto[14]7661
auto[15]6161
auto[16]6051
auto[17]6631
auto[18]7101
auto[19]6471
auto[20]6211
auto[21]7001
auto[22]6551
auto[23]5931
auto[24]6161
auto[25]5791
auto[26]6211
auto[27]7561
auto[28]8231
auto[29]6651
auto[30]6141
auto[31]6471

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00781
RD_01701
RD_02621
RD_03801
RD_04821
RD_05661
RD_06761
RD_07801
RD_08101
RD_09101
RD_0a81
RD_0b181
RD_0c141
RD_0d121
RD_0e121
RD_0f111
RD_10571
RD_11861
RD_12711
RD_13641
RD_14581
RD_15591
RD_16811
RD_17601
RD_18661
RD_19531
RD_1a621
RD_1b801
RD_1c761
RD_1d901
RD_1e611
RD_1f701

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01641
RD_02551
RD_03721
RD_04831
RD_05691
RD_06661
RD_07741
RD_08201
RD_09131
RD_0a171
RD_0b161
RD_0c151
RD_0d201
RD_0e191
RD_0f161
RD_10601
RD_11821
RD_12651
RD_13761
RD_14551
RD_15691
RD_16911
RD_17621
RD_18741
RD_19561
RD_1a711
RD_1b731
RD_1c871
RD_1d851
RD_1e591
RD_1f701

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71971
auto_NON_ZERO138541

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71221
auto_NON_ZERO139291

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO116081
auto_NON_ZERO94431

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_167131
BIT30_144331
BIT29_144491
BIT28_144641
BIT27_143211
BIT26_142781
BIT25_142381
BIT24_142761
BIT23_142671
BIT22_142491
BIT21_142711
BIT20_142371
BIT19_142271
BIT18_141951
BIT17_142581
BIT16_144191
BIT15_152781
BIT14_152881
BIT13_155991
BIT12_152441
BIT11_158731
BIT10_159101
BIT9_151721
BIT8_147351
BIT7_155661
BIT6_150271
BIT5_151991
BIT4_165171
BIT3_164921
BIT2_164581
BIT1_152131
BIT0_158941
BIT31_0143381
BIT30_0166181
BIT29_0166021
BIT28_0165871
BIT27_0167301
BIT26_0167731
BIT25_0168131
BIT24_0167751
BIT23_0167841
BIT22_0168021
BIT21_0167801
BIT20_0168141
BIT19_0168241
BIT18_0168561
BIT17_0167931
BIT16_0166321
BIT15_0157731
BIT14_0157631
BIT13_0154521
BIT12_0158071
BIT11_0151781
BIT10_0151411
BIT9_0158791
BIT8_0163161
BIT7_0154851
BIT6_0160241
BIT5_0158521
BIT4_0145341
BIT3_0145591
BIT2_0145931
BIT1_0158381
BIT0_0151571

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_166711
BIT30_142531
BIT29_142371
BIT28_142011
BIT27_140621
BIT26_139941
BIT25_140011
BIT24_139911
BIT23_140311
BIT22_139911
BIT21_140941
BIT20_140191
BIT19_140811
BIT18_141191
BIT17_139121
BIT16_143261
BIT15_153071
BIT14_152741
BIT13_155981
BIT12_152601
BIT11_158241
BIT10_157941
BIT9_150261
BIT8_145571
BIT7_156681
BIT6_148561
BIT5_151371
BIT4_165681
BIT3_165571
BIT2_164841
BIT1_151191
BIT0_158541
BIT31_0143801
BIT30_0167981
BIT29_0168141
BIT28_0168501
BIT27_0169891
BIT26_0170571
BIT25_0170501
BIT24_0170601
BIT23_0170201
BIT22_0170601
BIT21_0169571
BIT20_0170321
BIT19_0169701
BIT18_0169321
BIT17_0171391
BIT16_0167251
BIT15_0157441
BIT14_0157771
BIT13_0154531
BIT12_0157911
BIT11_0152271
BIT10_0152571
BIT9_0160251
BIT8_0164941
BIT7_0153831
BIT6_0161951
BIT5_0159141
BIT4_0144831
BIT3_0144941
BIT2_0145671
BIT1_0159321
BIT0_0151971

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_140011
BIT30_136851
BIT29_137631
BIT28_136361
BIT27_137771
BIT26_137851
BIT25_137421
BIT24_137081
BIT23_137701
BIT22_138201
BIT21_137681
BIT20_137591
BIT19_139191
BIT18_139231
BIT17_139861
BIT16_139801
BIT15_138651
BIT14_138771
BIT13_138891
BIT12_138561
BIT11_136971
BIT10_134741
BIT9_135771
BIT8_135971
BIT7_135481
BIT6_134161
BIT5_133471
BIT4_134021
BIT3_131961
BIT2_129801
BIT1_118561
BIT0_120821
BIT31_0170501
BIT30_0173661
BIT29_0172881
BIT28_0174151
BIT27_0172741
BIT26_0172661
BIT25_0173091
BIT24_0173431
BIT23_0172811
BIT22_0172311
BIT21_0172831
BIT20_0172921
BIT19_0171321
BIT18_0171281
BIT17_0170651
BIT16_0170711
BIT15_0171861
BIT14_0171741
BIT13_0171621
BIT12_0171951
BIT11_0173541
BIT10_0175771
BIT9_0174741
BIT8_0174541
BIT7_0175031
BIT6_0176351
BIT5_0177041
BIT4_0176491
BIT3_0178551
BIT2_0180711
BIT1_0191951
BIT0_0189691

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO31271
auto_ZEROauto_NON_ZERO40701
auto_NON_ZEROauto_ZERO39951
auto_NON_ZEROauto_NON_ZERO98591

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_4.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_4.html new file mode 100644 index 00000000..a5f03356 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_4.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_mulhu_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6441
auto[1]6521
auto[2]6351
auto[3]6311
auto[4]6551
auto[5]5601
auto[6]6281
auto[7]6151
auto[8]5971
auto[9]6141
auto[10]6371
auto[11]6101
auto[12]6551
auto[13]6031
auto[14]6531
auto[15]6331
auto[16]7261
auto[17]6261
auto[18]6091
auto[19]6851
auto[20]6601
auto[21]6671
auto[22]6321
auto[23]6141
auto[24]6501
auto[25]6901
auto[26]6181
auto[27]7221
auto[28]6211
auto[29]6221
auto[30]7431
auto[31]5981

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5941
auto[1]6021
auto[2]6291
auto[3]6871
auto[4]6371
auto[5]6131
auto[6]6501
auto[7]6441
auto[8]6491
auto[9]6371
auto[10]6751
auto[11]6011
auto[12]7381
auto[13]6261
auto[14]6311
auto[15]5771
auto[16]6041
auto[17]6241
auto[18]6101
auto[19]6651
auto[20]7131
auto[21]6351
auto[22]6531
auto[23]5981
auto[24]6631
auto[25]6341
auto[26]6331
auto[27]6131
auto[28]6451
auto[29]6791
auto[30]6671
auto[31]6791

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6991
auto[1]7261
auto[2]5701
auto[3]6561
auto[4]6261
auto[5]5821
auto[6]6291
auto[7]6481
auto[8]7691
auto[9]6861
auto[10]6631
auto[11]5761
auto[12]6741
auto[13]6981
auto[14]6431
auto[15]6061
auto[16]5931
auto[17]6131
auto[18]6441
auto[19]6421
auto[20]5841
auto[21]6291
auto[22]6461
auto[23]6171
auto[24]5891
auto[25]6511
auto[26]6521
auto[27]5881
auto[28]6221
auto[29]6551
auto[30]6851
auto[31]6441

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01621
RD_02551
RD_03831
RD_04711
RD_05481
RD_06541
RD_07721
RD_08731
RD_09681
RD_0a741
RD_0b561
RD_0c661
RD_0d681
RD_0e731
RD_0f661
RD_10701
RD_11611
RD_12671
RD_13931
RD_14551
RD_15741
RD_16671
RD_17591
RD_18631
RD_19711
RD_1a691
RD_1b601
RD_1c751
RD_1d661
RD_1e641
RD_1f671

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00611
RD_01591
RD_02581
RD_03851
RD_04701
RD_05501
RD_06571
RD_07711
RD_08671
RD_09591
RD_0a681
RD_0b501
RD_0c731
RD_0d701
RD_0e641
RD_0f691
RD_10621
RD_11621
RD_12671
RD_13891
RD_14541
RD_15761
RD_16631
RD_17581
RD_18581
RD_19731
RD_1a651
RD_1b621
RD_1c721
RD_1d661
RD_1e691
RD_1f731

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO70461
auto_NON_ZERO134591

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO72071
auto_NON_ZERO132981

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO135611
auto_NON_ZERO69441

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163141
BIT30_141311
BIT29_141231
BIT28_141701
BIT27_139531
BIT26_140311
BIT25_140561
BIT24_139311
BIT23_139431
BIT22_139581
BIT21_139151
BIT20_139831
BIT19_139511
BIT18_140211
BIT17_139811
BIT16_141661
BIT15_150151
BIT14_149281
BIT13_151711
BIT12_149571
BIT11_155011
BIT10_153731
BIT9_147861
BIT8_143171
BIT7_151421
BIT6_146081
BIT5_147641
BIT4_162451
BIT3_162461
BIT2_162131
BIT1_148651
BIT0_158841
BIT31_0141911
BIT30_0163741
BIT29_0163821
BIT28_0163351
BIT27_0165521
BIT26_0164741
BIT25_0164491
BIT24_0165741
BIT23_0165621
BIT22_0165471
BIT21_0165901
BIT20_0165221
BIT19_0165541
BIT18_0164841
BIT17_0165241
BIT16_0163391
BIT15_0154901
BIT14_0155771
BIT13_0153341
BIT12_0155481
BIT11_0150041
BIT10_0151321
BIT9_0157191
BIT8_0161881
BIT7_0153631
BIT6_0158971
BIT5_0157411
BIT4_0142601
BIT3_0142591
BIT2_0142921
BIT1_0156401
BIT0_0146211

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164041
BIT30_141471
BIT29_140421
BIT28_140941
BIT27_139641
BIT26_139981
BIT25_139841
BIT24_139521
BIT23_139431
BIT22_138881
BIT21_139171
BIT20_140101
BIT19_139521
BIT18_140441
BIT17_139621
BIT16_141351
BIT15_150941
BIT14_149601
BIT13_153431
BIT12_150991
BIT11_155621
BIT10_156861
BIT9_149851
BIT8_143771
BIT7_155041
BIT6_145361
BIT5_147991
BIT4_163081
BIT3_163131
BIT2_162181
BIT1_148291
BIT0_156841
BIT31_0141011
BIT30_0163581
BIT29_0164631
BIT28_0164111
BIT27_0165411
BIT26_0165071
BIT25_0165211
BIT24_0165531
BIT23_0165621
BIT22_0166171
BIT21_0165881
BIT20_0164951
BIT19_0165531
BIT18_0164611
BIT17_0165431
BIT16_0163701
BIT15_0154111
BIT14_0155451
BIT13_0151621
BIT12_0154061
BIT11_0149431
BIT10_0148191
BIT9_0155201
BIT8_0161281
BIT7_0150011
BIT6_0159691
BIT5_0157061
BIT4_0141971
BIT3_0141921
BIT2_0142871
BIT1_0156761
BIT0_0148211

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_114991
BIT30_121511
BIT29_117311
BIT28_118091
BIT27_117731
BIT26_117231
BIT25_118181
BIT24_117641
BIT23_117561
BIT22_118161
BIT21_117871
BIT20_118021
BIT19_117721
BIT18_118141
BIT17_119251
BIT16_119751
BIT15_123061
BIT14_123921
BIT13_125721
BIT12_126311
BIT11_129101
BIT10_129001
BIT9_126791
BIT8_127041
BIT7_128441
BIT6_129351
BIT5_129401
BIT4_135161
BIT3_136661
BIT2_136241
BIT1_136771
BIT0_134161
BIT31_0190061
BIT30_0183541
BIT29_0187741
BIT28_0186961
BIT27_0187321
BIT26_0187821
BIT25_0186871
BIT24_0187411
BIT23_0187491
BIT22_0186891
BIT21_0187181
BIT20_0187031
BIT19_0187331
BIT18_0186911
BIT17_0185801
BIT16_0185301
BIT15_0181991
BIT14_0181131
BIT13_0179331
BIT12_0178741
BIT11_0175951
BIT10_0176051
BIT9_0178261
BIT8_0178011
BIT7_0176611
BIT6_0175701
BIT5_0175651
BIT4_0169891
BIT3_0168391
BIT2_0168811
BIT1_0168281
BIT0_0170891

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO33201
auto_ZEROauto_NON_ZERO37261
auto_NON_ZEROauto_ZERO38871
auto_NON_ZEROauto_NON_ZERO95721

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_5.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_5.html new file mode 100644 index 00000000..45996740 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_5.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_remu_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6091
auto[1]6221
auto[2]6411
auto[3]6581
auto[4]6571
auto[5]6681
auto[6]6771
auto[7]6821
auto[8]6301
auto[9]6291
auto[10]6471
auto[11]6381
auto[12]6581
auto[13]6131
auto[14]6451
auto[15]7561
auto[16]7521
auto[17]6401
auto[18]6251
auto[19]6191
auto[20]6951
auto[21]6871
auto[22]6031
auto[23]7141
auto[24]5621
auto[25]6481
auto[26]6911
auto[27]7141
auto[28]6771
auto[29]6531
auto[30]7991
auto[31]6271

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6341
auto[1]6471
auto[2]6431
auto[3]6831
auto[4]6631
auto[5]5971
auto[6]6701
auto[7]5981
auto[8]6791
auto[9]6111
auto[10]6811
auto[11]7251
auto[12]6531
auto[13]5921
auto[14]6441
auto[15]6561
auto[16]6431
auto[17]6621
auto[18]6681
auto[19]6721
auto[20]6581
auto[21]6261
auto[22]7141
auto[23]7311
auto[24]7811
auto[25]6491
auto[26]6501
auto[27]6531
auto[28]6521
auto[29]7211
auto[30]6541
auto[31]6261

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7261
auto[1]6601
auto[2]6011
auto[3]7021
auto[4]6571
auto[5]6771
auto[6]6261
auto[7]6261
auto[8]7211
auto[9]6951
auto[10]7281
auto[11]6561
auto[12]6431
auto[13]6181
auto[14]7041
auto[15]6551
auto[16]6961
auto[17]6571
auto[18]6131
auto[19]6181
auto[20]6471
auto[21]6691
auto[22]6951
auto[23]6671
auto[24]6211
auto[25]6051
auto[26]7511
auto[27]6511
auto[28]6461
auto[29]6111
auto[30]6661
auto[31]6281

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00751
RD_01641
RD_02711
RD_03671
RD_04681
RD_05751
RD_06811
RD_07581
RD_08681
RD_09571
RD_0a831
RD_0b661
RD_0c801
RD_0d611
RD_0e761
RD_0f721
RD_10661
RD_11691
RD_12671
RD_13631
RD_14741
RD_15691
RD_16731
RD_17711
RD_18591
RD_19681
RD_1a631
RD_1b791
RD_1c671
RD_1d631
RD_1e651
RD_1f591

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00721
RD_01611
RD_02671
RD_03651
RD_04691
RD_05611
RD_06791
RD_07601
RD_08671
RD_09631
RD_0a901
RD_0b611
RD_0c741
RD_0d581
RD_0e731
RD_0f711
RD_10761
RD_11651
RD_12741
RD_13541
RD_14741
RD_15731
RD_16771
RD_17691
RD_18631
RD_19711
RD_1a731
RD_1b601
RD_1c641
RD_1d641
RD_1e661
RD_1f681

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO72531
auto_NON_ZERO138831

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO74221
auto_NON_ZERO137141

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO93831
auto_NON_ZERO117531

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_163541
BIT30_141831
BIT29_141321
BIT28_141061
BIT27_140571
BIT26_140611
BIT25_139781
BIT24_139671
BIT23_141131
BIT22_140331
BIT21_139911
BIT20_140141
BIT19_140471
BIT18_140841
BIT17_140061
BIT16_142151
BIT15_152751
BIT14_150451
BIT13_154031
BIT12_151191
BIT11_156651
BIT10_156771
BIT9_149731
BIT8_144961
BIT7_153141
BIT6_148661
BIT5_148811
BIT4_162141
BIT3_162781
BIT2_162711
BIT1_149881
BIT0_158901
BIT31_0147821
BIT30_0169531
BIT29_0170041
BIT28_0170301
BIT27_0170791
BIT26_0170751
BIT25_0171581
BIT24_0171691
BIT23_0170231
BIT22_0171031
BIT21_0171451
BIT20_0171221
BIT19_0170891
BIT18_0170521
BIT17_0171301
BIT16_0169211
BIT15_0158611
BIT14_0160911
BIT13_0157331
BIT12_0160171
BIT11_0154711
BIT10_0154591
BIT9_0161631
BIT8_0166401
BIT7_0158221
BIT6_0162701
BIT5_0162551
BIT4_0149221
BIT3_0148581
BIT2_0148651
BIT1_0161481
BIT0_0152461

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_165391
BIT30_141471
BIT29_141541
BIT28_141271
BIT27_139951
BIT26_139641
BIT25_139201
BIT24_139611
BIT23_138841
BIT22_139011
BIT21_138841
BIT20_138931
BIT19_139041
BIT18_139361
BIT17_139021
BIT16_141361
BIT15_150491
BIT14_151431
BIT13_153941
BIT12_149631
BIT11_157721
BIT10_158211
BIT9_149461
BIT8_144001
BIT7_155071
BIT6_147081
BIT5_148891
BIT4_163141
BIT3_164441
BIT2_164411
BIT1_148871
BIT0_157691
BIT31_0145971
BIT30_0169891
BIT29_0169821
BIT28_0170091
BIT27_0171411
BIT26_0171721
BIT25_0172161
BIT24_0171751
BIT23_0172521
BIT22_0172351
BIT21_0172521
BIT20_0172431
BIT19_0172321
BIT18_0172001
BIT17_0172341
BIT16_0170001
BIT15_0160871
BIT14_0159931
BIT13_0157421
BIT12_0161731
BIT11_0153641
BIT10_0153151
BIT9_0161901
BIT8_0167361
BIT7_0156291
BIT6_0164281
BIT5_0162471
BIT4_0148221
BIT3_0146921
BIT2_0146951
BIT1_0162491
BIT0_0153671

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_126751
BIT30_121171
BIT29_122591
BIT28_122591
BIT27_123131
BIT26_124131
BIT25_123391
BIT24_123521
BIT23_124991
BIT22_123941
BIT21_124441
BIT20_124801
BIT19_125441
BIT18_125891
BIT17_125381
BIT16_126341
BIT15_132911
BIT14_132701
BIT13_135611
BIT12_133551
BIT11_136941
BIT10_137611
BIT9_135241
BIT8_133351
BIT7_139031
BIT6_138301
BIT5_138221
BIT4_147401
BIT3_149171
BIT2_150621
BIT1_142391
BIT0_150721
BIT31_0184611
BIT30_0190191
BIT29_0188771
BIT28_0188771
BIT27_0188231
BIT26_0187231
BIT25_0187971
BIT24_0187841
BIT23_0186371
BIT22_0187421
BIT21_0186921
BIT20_0186561
BIT19_0185921
BIT18_0185471
BIT17_0185981
BIT16_0185021
BIT15_0178451
BIT14_0178661
BIT13_0175751
BIT12_0177811
BIT11_0174421
BIT10_0173751
BIT9_0176121
BIT8_0178011
BIT7_0172331
BIT6_0173061
BIT5_0173141
BIT4_0163961
BIT3_0162191
BIT2_0160741
BIT1_0168971
BIT0_0160641

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO33881
auto_ZEROauto_NON_ZERO38651
auto_NON_ZEROauto_ZERO40341
auto_NON_ZEROauto_NON_ZERO98491

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_6.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_6.html new file mode 100644 index 00000000..4a878e97 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_6.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_andn_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5841
auto[1]5971
auto[2]5661
auto[3]5221
auto[4]5871
auto[5]5191
auto[6]5481
auto[7]5881
auto[8]5411
auto[9]5601
auto[10]5641
auto[11]4881
auto[12]5501
auto[13]5021
auto[14]5681
auto[15]5511
auto[16]5481
auto[17]5581
auto[18]5191
auto[19]5611
auto[20]5921
auto[21]5351
auto[22]5961
auto[23]5121
auto[24]5311
auto[25]5481
auto[26]5571
auto[27]5981
auto[28]5291
auto[29]5271
auto[30]5011
auto[31]5191

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5821
auto[1]5891
auto[2]5221
auto[3]5711
auto[4]5421
auto[5]5421
auto[6]5501
auto[7]5481
auto[8]5361
auto[9]5121
auto[10]5611
auto[11]5481
auto[12]5551
auto[13]5411
auto[14]5771
auto[15]5361
auto[16]5781
auto[17]5321
auto[18]5281
auto[19]4861
auto[20]5681
auto[21]5411
auto[22]5601
auto[23]5351
auto[24]5321
auto[25]5581
auto[26]5501
auto[27]5691
auto[28]5751
auto[29]5241
auto[30]5541
auto[31]5641

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5851
auto[1]5661
auto[2]4951
auto[3]5911
auto[4]5641
auto[5]5471
auto[6]5241
auto[7]5561
auto[8]5541
auto[9]5711
auto[10]5651
auto[11]5401
auto[12]5341
auto[13]5161
auto[14]5371
auto[15]5211
auto[16]5571
auto[17]5591
auto[18]5741
auto[19]5491
auto[20]5401
auto[21]5471
auto[22]5591
auto[23]5781
auto[24]5711
auto[25]5711
auto[26]5151
auto[27]5391
auto[28]5411
auto[29]5381
auto[30]5081
auto[31]5541

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00571
RD_01811
RD_02601
RD_03661
RD_04671
RD_05641
RD_06671
RD_07551
RD_08661
RD_09731
RD_0a721
RD_0b521
RD_0c641
RD_0d581
RD_0e671
RD_0f701
RD_10731
RD_11641
RD_12461
RD_13631
RD_14601
RD_15651
RD_16651
RD_17651
RD_18671
RD_19651
RD_1a431
RD_1b591
RD_1c521
RD_1d721
RD_1e571
RD_1f711

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00571
RD_01811
RD_02621
RD_03691
RD_04711
RD_05611
RD_06631
RD_07591
RD_08631
RD_09661
RD_0a691
RD_0b531
RD_0c651
RD_0d501
RD_0e681
RD_0f671
RD_10741
RD_11641
RD_12491
RD_13621
RD_14651
RD_15701
RD_16681
RD_17621
RD_18641
RD_19621
RD_1a551
RD_1b671
RD_1c541
RD_1d661
RD_1e651
RD_1f661

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60821
auto_NON_ZERO114841

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61541
auto_NON_ZERO114121

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO83021
auto_NON_ZERO92641

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152311
BIT30_135121
BIT29_134771
BIT28_135021
BIT27_133451
BIT26_133671
BIT25_132971
BIT24_133221
BIT23_132301
BIT22_133631
BIT21_132881
BIT20_133291
BIT19_133261
BIT18_133151
BIT17_133741
BIT16_135341
BIT15_142311
BIT14_142221
BIT13_144251
BIT12_142201
BIT11_146491
BIT10_147331
BIT9_141221
BIT8_136731
BIT7_145091
BIT6_140801
BIT5_142131
BIT4_152971
BIT3_153731
BIT2_153531
BIT1_142871
BIT0_149581
BIT31_0123351
BIT30_0140541
BIT29_0140891
BIT28_0140641
BIT27_0142211
BIT26_0141991
BIT25_0142691
BIT24_0142441
BIT23_0143361
BIT22_0142031
BIT21_0142781
BIT20_0142371
BIT19_0142401
BIT18_0142511
BIT17_0141921
BIT16_0140321
BIT15_0133351
BIT14_0133441
BIT13_0131411
BIT12_0133461
BIT11_0129171
BIT10_0128331
BIT9_0134441
BIT8_0138931
BIT7_0130571
BIT6_0134861
BIT5_0133531
BIT4_0122691
BIT3_0121931
BIT2_0122131
BIT1_0132791
BIT0_0126081

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152541
BIT30_134811
BIT29_134711
BIT28_134971
BIT27_133441
BIT26_133721
BIT25_133201
BIT24_133421
BIT23_133111
BIT22_133291
BIT21_133471
BIT20_133171
BIT19_133581
BIT18_133481
BIT17_133411
BIT16_135451
BIT15_142861
BIT14_141671
BIT13_144011
BIT12_142621
BIT11_145731
BIT10_146601
BIT9_142081
BIT8_137131
BIT7_143941
BIT6_139941
BIT5_141161
BIT4_152291
BIT3_154061
BIT2_153001
BIT1_142321
BIT0_148111
BIT31_0123121
BIT30_0140851
BIT29_0140951
BIT28_0140691
BIT27_0142221
BIT26_0141941
BIT25_0142461
BIT24_0142241
BIT23_0142551
BIT22_0142371
BIT21_0142191
BIT20_0142491
BIT19_0142081
BIT18_0142181
BIT17_0142251
BIT16_0140211
BIT15_0132801
BIT14_0133991
BIT13_0131651
BIT12_0133041
BIT11_0129931
BIT10_0129061
BIT9_0133581
BIT8_0138531
BIT7_0131721
BIT6_0135721
BIT5_0134501
BIT4_0123371
BIT3_0121601
BIT2_0122661
BIT1_0133341
BIT0_0127551

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_131501
BIT30_124511
BIT29_124271
BIT28_124161
BIT27_123211
BIT26_123751
BIT25_122901
BIT24_123301
BIT23_122471
BIT22_123611
BIT21_123051
BIT20_123521
BIT19_123361
BIT18_122981
BIT17_123751
BIT16_124461
BIT15_127831
BIT14_128341
BIT13_129151
BIT12_127711
BIT11_130241
BIT10_131201
BIT9_127211
BIT8_124791
BIT7_129681
BIT6_127451
BIT5_128001
BIT4_132651
BIT3_132861
BIT2_132431
BIT1_128361
BIT0_130741
BIT31_0144161
BIT30_0151151
BIT29_0151391
BIT28_0151501
BIT27_0152451
BIT26_0151911
BIT25_0152761
BIT24_0152361
BIT23_0153191
BIT22_0152051
BIT21_0152611
BIT20_0152141
BIT19_0152301
BIT18_0152681
BIT17_0151911
BIT16_0151201
BIT15_0147831
BIT14_0147321
BIT13_0146511
BIT12_0147951
BIT11_0145421
BIT10_0144461
BIT9_0148451
BIT8_0150871
BIT7_0145981
BIT6_0148211
BIT5_0147661
BIT4_0143011
BIT3_0142801
BIT2_0143231
BIT1_0147301
BIT0_0144921

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO28891
auto_ZEROauto_NON_ZERO31931
auto_NON_ZEROauto_ZERO32651
auto_NON_ZEROauto_NON_ZERO82191

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_7.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_7.html new file mode 100644 index 00000000..8f768d99 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_7.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_max_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5821
auto[1]5221
auto[2]5331
auto[3]5631
auto[4]6181
auto[5]5491
auto[6]5641
auto[7]5171
auto[8]5211
auto[9]5991
auto[10]5341
auto[11]5821
auto[12]5811
auto[13]5251
auto[14]5821
auto[15]5241
auto[16]5441
auto[17]5381
auto[18]5561
auto[19]5341
auto[20]5321
auto[21]5011
auto[22]5151
auto[23]5621
auto[24]5471
auto[25]5771
auto[26]5511
auto[27]5541
auto[28]5691
auto[29]5411
auto[30]5351
auto[31]5551

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5641
auto[1]5461
auto[2]5581
auto[3]5391
auto[4]5141
auto[5]5801
auto[6]5571
auto[7]5411
auto[8]5261
auto[9]5421
auto[10]5531
auto[11]5441
auto[12]5661
auto[13]5471
auto[14]5611
auto[15]5591
auto[16]5651
auto[17]5321
auto[18]5321
auto[19]5551
auto[20]5511
auto[21]5231
auto[22]5261
auto[23]5011
auto[24]5671
auto[25]5571
auto[26]6001
auto[27]5621
auto[28]5761
auto[29]5381
auto[30]5541
auto[31]5711

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5821
auto[1]5731
auto[2]4621
auto[3]5931
auto[4]5181
auto[5]5621
auto[6]6031
auto[7]5161
auto[8]5931
auto[9]5441
auto[10]5711
auto[11]5711
auto[12]5471
auto[13]5971
auto[14]5871
auto[15]5341
auto[16]5321
auto[17]5331
auto[18]5611
auto[19]5701
auto[20]5051
auto[21]5601
auto[22]5001
auto[23]5601
auto[24]5351
auto[25]5571
auto[26]5881
auto[27]5581
auto[28]4941
auto[29]5611
auto[30]5211
auto[31]5191

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00661
RD_01621
RD_02681
RD_03741
RD_04651
RD_05841
RD_06711
RD_07521
RD_08601
RD_09601
RD_0a761
RD_0b831
RD_0c681
RD_0d681
RD_0e811
RD_0f611
RD_10601
RD_11581
RD_12631
RD_13671
RD_14601
RD_15561
RD_16531
RD_17751
RD_18591
RD_19761
RD_1a751
RD_1b661
RD_1c651
RD_1d651
RD_1e611
RD_1f681

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00691
RD_01711
RD_02641
RD_03641
RD_04691
RD_05791
RD_06741
RD_07681
RD_08631
RD_09601
RD_0a791
RD_0b801
RD_0c631
RD_0d681
RD_0e821
RD_0f561
RD_10681
RD_11571
RD_12731
RD_13691
RD_14631
RD_15621
RD_16521
RD_17701
RD_18581
RD_19741
RD_1a711
RD_1b691
RD_1c691
RD_1d651
RD_1e571
RD_1f741

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61771
auto_NON_ZERO114301

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62261
auto_NON_ZERO113811

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO59211
auto_NON_ZERO116861

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152401
BIT30_135481
BIT29_134911
BIT28_135241
BIT27_133511
BIT26_134161
BIT25_133311
BIT24_133441
BIT23_133461
BIT22_133861
BIT21_133481
BIT20_133961
BIT19_134051
BIT18_133321
BIT17_133461
BIT16_136051
BIT15_143391
BIT14_142621
BIT13_144951
BIT12_143431
BIT11_147281
BIT10_147471
BIT9_143001
BIT8_137421
BIT7_146031
BIT6_140481
BIT5_142491
BIT4_153691
BIT3_154531
BIT2_153001
BIT1_143801
BIT0_149681
BIT31_0123671
BIT30_0140591
BIT29_0141161
BIT28_0140831
BIT27_0142561
BIT26_0141911
BIT25_0142761
BIT24_0142631
BIT23_0142611
BIT22_0142211
BIT21_0142591
BIT20_0142111
BIT19_0142021
BIT18_0142751
BIT17_0142611
BIT16_0140021
BIT15_0132681
BIT14_0133451
BIT13_0131121
BIT12_0132641
BIT11_0128791
BIT10_0128601
BIT9_0133071
BIT8_0138651
BIT7_0130041
BIT6_0135591
BIT5_0133581
BIT4_0122381
BIT3_0121541
BIT2_0123071
BIT1_0132271
BIT0_0126391

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152361
BIT30_134611
BIT29_133971
BIT28_134741
BIT27_133311
BIT26_133701
BIT25_133051
BIT24_133731
BIT23_133501
BIT22_133071
BIT21_133251
BIT20_132731
BIT19_133021
BIT18_133461
BIT17_133251
BIT16_135161
BIT15_141881
BIT14_141881
BIT13_143521
BIT12_142451
BIT11_146981
BIT10_146891
BIT9_141801
BIT8_136781
BIT7_144171
BIT6_138821
BIT5_141091
BIT4_153241
BIT3_153411
BIT2_153141
BIT1_141821
BIT0_148421
BIT31_0123711
BIT30_0141461
BIT29_0142101
BIT28_0141331
BIT27_0142761
BIT26_0142371
BIT25_0143021
BIT24_0142341
BIT23_0142571
BIT22_0143001
BIT21_0142821
BIT20_0143341
BIT19_0143051
BIT18_0142611
BIT17_0142821
BIT16_0140911
BIT15_0134191
BIT14_0134191
BIT13_0132551
BIT12_0133621
BIT11_0129091
BIT10_0129181
BIT9_0134271
BIT8_0139291
BIT7_0131901
BIT6_0137251
BIT5_0134981
BIT4_0122831
BIT3_0122661
BIT2_0122931
BIT1_0134251
BIT0_0127651

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_120121
BIT30_126861
BIT29_125821
BIT28_126841
BIT27_125561
BIT26_126541
BIT25_126021
BIT24_126741
BIT23_126421
BIT22_127071
BIT21_127001
BIT20_126621
BIT19_127251
BIT18_127041
BIT17_127271
BIT16_129381
BIT15_132481
BIT14_133091
BIT13_133661
BIT12_134061
BIT11_132141
BIT10_133901
BIT9_133971
BIT8_133101
BIT7_138621
BIT6_138921
BIT5_142001
BIT4_145361
BIT3_146181
BIT2_145021
BIT1_142841
BIT0_152901
BIT31_0155951
BIT30_0149211
BIT29_0150251
BIT28_0149231
BIT27_0150511
BIT26_0149531
BIT25_0150051
BIT24_0149331
BIT23_0149651
BIT22_0149001
BIT21_0149071
BIT20_0149451
BIT19_0148821
BIT18_0149031
BIT17_0148801
BIT16_0146691
BIT15_0143591
BIT14_0142981
BIT13_0142411
BIT12_0142011
BIT11_0143931
BIT10_0142171
BIT9_0142101
BIT8_0142971
BIT7_0137451
BIT6_0137151
BIT5_0134071
BIT4_0130711
BIT3_0129891
BIT2_0131051
BIT1_0133231
BIT0_0123171

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29891
auto_ZEROauto_NON_ZERO31881
auto_NON_ZEROauto_ZERO32371
auto_NON_ZEROauto_NON_ZERO81931

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_8.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_8.html new file mode 100644 index 00000000..c94c451b --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_8.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_maxu_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5471
auto[1]6001
auto[2]5421
auto[3]5411
auto[4]5711
auto[5]5401
auto[6]5421
auto[7]5301
auto[8]5331
auto[9]5511
auto[10]5471
auto[11]5511
auto[12]5851
auto[13]5821
auto[14]5581
auto[15]5581
auto[16]5981
auto[17]5231
auto[18]5151
auto[19]5471
auto[20]5831
auto[21]5611
auto[22]5761
auto[23]5631
auto[24]6021
auto[25]4981
auto[26]5331
auto[27]5521
auto[28]5711
auto[29]5181
auto[30]5181
auto[31]5541

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5741
auto[1]5591
auto[2]5431
auto[3]5701
auto[4]5391
auto[5]5421
auto[6]5551
auto[7]5671
auto[8]5231
auto[9]5621
auto[10]5751
auto[11]6221
auto[12]5741
auto[13]5561
auto[14]5811
auto[15]5551
auto[16]5321
auto[17]5511
auto[18]5411
auto[19]5681
auto[20]5141
auto[21]5491
auto[22]5311
auto[23]5681
auto[24]5671
auto[25]5221
auto[26]5911
auto[27]5051
auto[28]5661
auto[29]5311
auto[30]5291
auto[31]5281

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5341
auto[1]6001
auto[2]5211
auto[3]5811
auto[4]5611
auto[5]5041
auto[6]5181
auto[7]5961
auto[8]5401
auto[9]5211
auto[10]5411
auto[11]5771
auto[12]5441
auto[13]5111
auto[14]5701
auto[15]5391
auto[16]5451
auto[17]5831
auto[18]5441
auto[19]6091
auto[20]5231
auto[21]5751
auto[22]6251
auto[23]5351
auto[24]5471
auto[25]5271
auto[26]5291
auto[27]5481
auto[28]5471
auto[29]5711
auto[30]5571
auto[31]5671

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00671
RD_01671
RD_02661
RD_03701
RD_04651
RD_05611
RD_06541
RD_07701
RD_08621
RD_09541
RD_0a691
RD_0b821
RD_0c751
RD_0d641
RD_0e751
RD_0f691
RD_10721
RD_11661
RD_12701
RD_13661
RD_14621
RD_15841
RD_16541
RD_17641
RD_18721
RD_19631
RD_1a611
RD_1b611
RD_1c681
RD_1d691
RD_1e641
RD_1f631

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00651
RD_01591
RD_02631
RD_03721
RD_04661
RD_05621
RD_06641
RD_07721
RD_08601
RD_09601
RD_0a681
RD_0b771
RD_0c781
RD_0d661
RD_0e711
RD_0f771
RD_10671
RD_11551
RD_12711
RD_13681
RD_14561
RD_15791
RD_16551
RD_17631
RD_18761
RD_19681
RD_1a681
RD_1b611
RD_1c771
RD_1d681
RD_1e621
RD_1f581

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61571
auto_NON_ZERO115331

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62811
auto_NON_ZERO114091

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO29851
auto_NON_ZERO147051

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153531
BIT30_135381
BIT29_135591
BIT28_136011
BIT27_134031
BIT26_134511
BIT25_133791
BIT24_133451
BIT23_133361
BIT22_134431
BIT21_133601
BIT20_133891
BIT19_133361
BIT18_134601
BIT17_133561
BIT16_135681
BIT15_143531
BIT14_142571
BIT13_144691
BIT12_142971
BIT11_148341
BIT10_148591
BIT9_142221
BIT8_137351
BIT7_146651
BIT6_139911
BIT5_142011
BIT4_153601
BIT3_155771
BIT2_154961
BIT1_142111
BIT0_149481
BIT31_0123371
BIT30_0141521
BIT29_0141311
BIT28_0140891
BIT27_0142871
BIT26_0142391
BIT25_0143111
BIT24_0143451
BIT23_0143541
BIT22_0142471
BIT21_0143301
BIT20_0143011
BIT19_0143541
BIT18_0142301
BIT17_0143341
BIT16_0141221
BIT15_0133371
BIT14_0134331
BIT13_0132211
BIT12_0133931
BIT11_0128561
BIT10_0128311
BIT9_0134681
BIT8_0139551
BIT7_0130251
BIT6_0136991
BIT5_0134891
BIT4_0123301
BIT3_0121131
BIT2_0121941
BIT1_0134791
BIT0_0127421

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152291
BIT30_134261
BIT29_134051
BIT28_134171
BIT27_133251
BIT26_133271
BIT25_132741
BIT24_133231
BIT23_132281
BIT22_133051
BIT21_132601
BIT20_132601
BIT19_132681
BIT18_133071
BIT17_132861
BIT16_135091
BIT15_142851
BIT14_141781
BIT13_143781
BIT12_142491
BIT11_146681
BIT10_147811
BIT9_141771
BIT8_136821
BIT7_145541
BIT6_140001
BIT5_141351
BIT4_153521
BIT3_153951
BIT2_153001
BIT1_142651
BIT0_148941
BIT31_0124611
BIT30_0142641
BIT29_0142851
BIT28_0142731
BIT27_0143651
BIT26_0143631
BIT25_0144161
BIT24_0143671
BIT23_0144621
BIT22_0143851
BIT21_0144301
BIT20_0144301
BIT19_0144221
BIT18_0143831
BIT17_0144041
BIT16_0141811
BIT15_0134051
BIT14_0135121
BIT13_0133121
BIT12_0134411
BIT11_0130221
BIT10_0129091
BIT9_0135131
BIT8_0140081
BIT7_0131361
BIT6_0136901
BIT5_0135551
BIT4_0123381
BIT3_0122951
BIT2_0123901
BIT1_0134251
BIT0_0127961

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_185291
BIT30_157041
BIT29_156831
BIT28_157071
BIT27_154831
BIT26_155111
BIT25_153981
BIT24_153621
BIT23_152901
BIT22_154261
BIT21_153281
BIT20_153481
BIT19_153221
BIT18_154471
BIT17_153481
BIT16_156481
BIT15_167851
BIT14_165181
BIT13_168501
BIT12_165961
BIT11_172981
BIT10_173201
BIT9_163131
BIT8_155901
BIT7_168291
BIT6_157571
BIT5_159211
BIT4_175421
BIT3_176691
BIT2_175321
BIT1_157491
BIT0_161571
BIT31_091611
BIT30_0119861
BIT29_0120071
BIT28_0119831
BIT27_0122071
BIT26_0121791
BIT25_0122921
BIT24_0123281
BIT23_0124001
BIT22_0122641
BIT21_0123621
BIT20_0123421
BIT19_0123681
BIT18_0122431
BIT17_0123421
BIT16_0120421
BIT15_0109051
BIT14_0111721
BIT13_0108401
BIT12_0110941
BIT11_0103921
BIT10_0103701
BIT9_0113771
BIT8_0121001
BIT7_0108611
BIT6_0119331
BIT5_0117691
BIT4_0101481
BIT3_0100211
BIT2_0101581
BIT1_0119411
BIT0_0115331

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29851
auto_ZEROauto_NON_ZERO31721
auto_NON_ZEROauto_ZERO32961
auto_NON_ZEROauto_NON_ZERO82371

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_9.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_9.html new file mode 100644 index 00000000..2009ba74 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp6_9.html @@ -0,0 +1,1961 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zbb_min_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5701
auto[1]5571
auto[2]5301
auto[3]5501
auto[4]5911
auto[5]5561
auto[6]5331
auto[7]6101
auto[8]5481
auto[9]5241
auto[10]5591
auto[11]5491
auto[12]5681
auto[13]5301
auto[14]5701
auto[15]5311
auto[16]5571
auto[17]5551
auto[18]5521
auto[19]5571
auto[20]5931
auto[21]5111
auto[22]5241
auto[23]5391
auto[24]5611
auto[25]5331
auto[26]5391
auto[27]5381
auto[28]5291
auto[29]5231
auto[30]5281
auto[31]5941

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]4781
auto[1]5571
auto[2]5631
auto[3]5431
auto[4]5171
auto[5]5731
auto[6]5261
auto[7]5121
auto[8]5361
auto[9]5531
auto[10]5841
auto[11]5481
auto[12]5261
auto[13]5351
auto[14]5711
auto[15]5291
auto[16]5541
auto[17]5681
auto[18]5601
auto[19]5571
auto[20]5671
auto[21]5781
auto[22]5721
auto[23]5441
auto[24]5551
auto[25]5581
auto[26]5851
auto[27]5361
auto[28]5841
auto[29]5311
auto[30]5391
auto[31]5701

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5671
auto[1]6241
auto[2]5161
auto[3]5391
auto[4]5461
auto[5]5271
auto[6]5951
auto[7]5461
auto[8]5361
auto[9]5111
auto[10]5401
auto[11]5561
auto[12]5391
auto[13]5591
auto[14]5301
auto[15]5631
auto[16]5631
auto[17]5371
auto[18]5371
auto[19]5611
auto[20]5491
auto[21]5581
auto[22]5611
auto[23]5521
auto[24]5281
auto[25]5491
auto[26]5161
auto[27]5431
auto[28]5401
auto[29]5771
auto[30]5711
auto[31]5731

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00701
RD_01631
RD_02611
RD_03511
RD_04651
RD_05671
RD_06561
RD_07741
RD_08601
RD_09641
RD_0a701
RD_0b501
RD_0c681
RD_0d681
RD_0e541
RD_0f511
RD_10621
RD_11661
RD_12581
RD_13581
RD_14851
RD_15581
RD_16621
RD_17641
RD_18721
RD_19641
RD_1a641
RD_1b521
RD_1c691
RD_1d771
RD_1e611
RD_1f841

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00711
RD_01621
RD_02611
RD_03541
RD_04581
RD_05751
RD_06601
RD_07651
RD_08711
RD_09641
RD_0a681
RD_0b581
RD_0c641
RD_0d671
RD_0e571
RD_0f471
RD_10561
RD_11621
RD_12671
RD_13601
RD_14771
RD_15621
RD_16671
RD_17631
RD_18801
RD_19661
RD_1a631
RD_1b471
RD_1c611
RD_1d711
RD_1e651
RD_1f761

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61201
auto_NON_ZERO114891

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60481
auto_NON_ZERO115611

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO63391
auto_NON_ZERO112701

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153291
BIT30_135011
BIT29_134681
BIT28_135081
BIT27_133661
BIT26_133781
BIT25_133051
BIT24_133291
BIT23_133701
BIT22_133391
BIT21_133181
BIT20_133361
BIT19_133351
BIT18_133631
BIT17_133261
BIT16_135781
BIT15_143301
BIT14_142531
BIT13_144211
BIT12_142241
BIT11_146951
BIT10_147691
BIT9_142341
BIT8_137331
BIT7_146211
BIT6_140611
BIT5_141791
BIT4_153261
BIT3_154041
BIT2_153851
BIT1_143491
BIT0_148951
BIT31_0122801
BIT30_0141081
BIT29_0141411
BIT28_0141011
BIT27_0142431
BIT26_0142311
BIT25_0143041
BIT24_0142801
BIT23_0142391
BIT22_0142701
BIT21_0142911
BIT20_0142731
BIT19_0142741
BIT18_0142461
BIT17_0142831
BIT16_0140311
BIT15_0132791
BIT14_0133561
BIT13_0131881
BIT12_0133851
BIT11_0129141
BIT10_0128401
BIT9_0133751
BIT8_0138761
BIT7_0129881
BIT6_0135481
BIT5_0134301
BIT4_0122831
BIT3_0122051
BIT2_0122241
BIT1_0132601
BIT0_0127141

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153161
BIT30_134721
BIT29_134651
BIT28_134791
BIT27_133641
BIT26_133251
BIT25_132871
BIT24_133561
BIT23_132861
BIT22_133321
BIT21_132871
BIT20_132911
BIT19_132831
BIT18_132951
BIT17_132701
BIT16_135181
BIT15_143161
BIT14_142391
BIT13_145131
BIT12_142051
BIT11_147661
BIT10_148441
BIT9_143351
BIT8_137191
BIT7_145371
BIT6_140221
BIT5_142301
BIT4_153541
BIT3_153681
BIT2_154841
BIT1_143481
BIT0_148901
BIT31_0122931
BIT30_0141371
BIT29_0141441
BIT28_0141301
BIT27_0142451
BIT26_0142841
BIT25_0143221
BIT24_0142531
BIT23_0143231
BIT22_0142771
BIT21_0143221
BIT20_0143181
BIT19_0143261
BIT18_0143141
BIT17_0143391
BIT16_0140911
BIT15_0132931
BIT14_0133701
BIT13_0130961
BIT12_0134041
BIT11_0128431
BIT10_0127651
BIT9_0132741
BIT8_0138901
BIT7_0130721
BIT6_0135871
BIT5_0133791
BIT4_0122551
BIT3_0122411
BIT2_0121251
BIT1_0132611
BIT0_0127191

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_185781
BIT30_143031
BIT29_143081
BIT28_142731
BIT27_141031
BIT26_140281
BIT25_139871
BIT24_139911
BIT23_140031
BIT22_139261
BIT21_139201
BIT20_139361
BIT19_139031
BIT18_139151
BIT17_138901
BIT16_141431
BIT15_152501
BIT14_151211
BIT13_155061
BIT12_149911
BIT11_161791
BIT10_160871
BIT9_151551
BIT8_141321
BIT7_151771
BIT6_141781
BIT5_142051
BIT4_161011
BIT3_161831
BIT2_162171
BIT1_142751
BIT0_144541
BIT31_090311
BIT30_0133061
BIT29_0133011
BIT28_0133361
BIT27_0135061
BIT26_0135811
BIT25_0136221
BIT24_0136181
BIT23_0136061
BIT22_0136831
BIT21_0136891
BIT20_0136731
BIT19_0137061
BIT18_0136941
BIT17_0137191
BIT16_0134661
BIT15_0123591
BIT14_0124881
BIT13_0121031
BIT12_0126181
BIT11_0114301
BIT10_0115221
BIT9_0124541
BIT8_0134771
BIT7_0124321
BIT6_0134311
BIT5_0134041
BIT4_0115081
BIT3_0114261
BIT2_0113921
BIT1_0133341
BIT0_0131551

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins404100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO , auto_NON_ZERO][auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(8 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO28471
auto_ZEROauto_NON_ZERO32731
auto_NON_ZEROauto_ZERO32011
auto_NON_ZEROauto_NON_ZERO82881

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7.html new file mode 100644 index 00000000..86b9b687 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7.html @@ -0,0 +1,1752 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_rtype(withChksum=689159069) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_rtype(withChksum=689159069)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_rtype(withChksum=689159069) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+8 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32i_add_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32i_sub_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_div_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_mulh_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32m_rem_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zba_sh1add_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zba_sh2add_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32zba_sh3add_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_add_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_add_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32i_sub_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32i_sub_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32i_sub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32i_sub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_div_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_div_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_div_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_div_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_mulh_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_mulh_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32m_rem_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32m_rem_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32m_rem_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32m_rem_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zba_sh1add_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh1add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zba_sh2add_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh2add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32zba_sh3add_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables3610361100.00
Crosses909100.00

+
+Variables for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs132032100.001001132
cp_rs232032100.001001132
cp_rd32032100.001001132
cp_rd_rs1_hazard32032100.00100110
cp_rd_rs2_hazard32032100.00100110
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_rs1_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rd_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32zba_sh3add_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rd_rs1_rs200010
cross_rs1_rs2_value909100.00100110

+
+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp70.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp70.html new file mode 100644 index 00000000..18f76e49 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp70.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr6::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr6.pmpaddr6__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr6.pmpaddr6__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr6.pmpaddr6__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR6101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR6 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR6 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01761

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp71.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp71.html new file mode 100644 index 00000000..6ef07939 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp71.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter5::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter5.mhpmcounter5__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER5101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER5 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER5 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01931

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp72.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp72.html new file mode 100644 index 00000000..d0e71d89 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp72.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr37::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr37.pmpaddr37__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr37.pmpaddr37__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr37.pmpaddr37__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR37404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR37 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR37 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]231
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]31
legal_values221

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp73.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp73.html new file mode 100644 index 00000000..eefc7297 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp73.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg14::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg14.pmpcfg14__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg14.pmpcfg14__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg14.pmpcfg14__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP59CFG101100.00100110
PMP58CFG101100.00100110
PMP57CFG101100.00100110
PMP56CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP59CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP59CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+Summary for Variable PMP58CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP58CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+Summary for Variable PMP57CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP57CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+Summary for Variable PMP56CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP56CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp74.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp74.html new file mode 100644 index 00000000..30c70a1c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp74.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mcycleh::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mcycleh::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mcycleh::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mcycleh.mcycleh__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mcycleh.mcycleh__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mcycleh.mcycleh__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mcycleh.mcycleh__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MCYCLEH404100.00100110

+
+
+
+
+
+
+Summary for Variable MCYCLEH +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MCYCLEH +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]711
other_values[1431655766:2863311530]91
other_values[2863311531:ffffffff]111
reset_value1811

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp75.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp75.html new file mode 100644 index 00000000..e3bb0dc9 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp75.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_minstreth::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_minstreth::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_minstreth::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.minstreth.minstreth__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.minstreth.minstreth__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.minstreth.minstreth__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.minstreth.minstreth__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MINSTRETH404100.00100110

+
+
+
+
+
+
+Summary for Variable MINSTRETH +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MINSTRETH +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
other_values[1:1431655765]711
other_values[1431655766:2863311530]81
other_values[2863311531:ffffffff]121
reset_value1661

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp76.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp76.html new file mode 100644 index 00000000..99b9bab5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp76.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter16::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter16.mhpmcounter16__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER16404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER16 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER16 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]51
illegal_values[2863311531:ffffffff]201
legal_values281

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp77.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp77.html new file mode 100644 index 00000000..e46e9bc5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp77.html @@ -0,0 +1,320 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpcfg7::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpcfg7.pmpcfg7__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpcfg7.pmpcfg7__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpcfg7.pmpcfg7__read_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMP31CFG101100.00100110
PMP30CFG101100.00100110
PMP29CFG101100.00100110
PMP28CFG101100.00100110

+
+
+
+
+
+
+Summary for Variable PMP31CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP31CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+Summary for Variable PMP30CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP30CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+Summary for Variable PMP29CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP29CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+Summary for Variable PMP28CFG +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMP28CFG +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_002051

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp78.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp78.html new file mode 100644 index 00000000..f46230a4 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp78.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent25::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent25.mhpmevent25__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent25.mhpmevent25__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent25.mhpmevent25__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT25404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT25 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT25 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]631
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]131
legal_values291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp79.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp79.html new file mode 100644 index 00000000..b1b688a8 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp79.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent3::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent3.mhpmevent3__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent3.mhpmevent3__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent3.mhpmevent3__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT3101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT3 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMEVENT3 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02151

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_1.html new file mode 100644 index 00000000..a7eed18e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_1.html @@ -0,0 +1,8361 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_rem_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]901
auto[1]6031
auto[2]162141
auto[3]5811
auto[4]338981
auto[5]409531
auto[6]267551
auto[7]334581
auto[8]312471
auto[9]332331
auto[10]197861
auto[11]202741
auto[12]214081
auto[13]515551
auto[14]303551
auto[15]532821
auto[16]399721
auto[17]369651
auto[18]204951
auto[19]634511
auto[20]907951
auto[21]347761
auto[22]210211
auto[23]401841
auto[24]1005141
auto[25]257821
auto[26]390431
auto[27]256111
auto[28]407971
auto[29]274601
auto[30]287671
auto[31]293701

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]10615021
auto[1]5891
auto[2]6931
auto[3]5161
auto[4]5451
auto[5]5491
auto[6]5511
auto[7]5161
auto[8]4891
auto[9]5311
auto[10]5091
auto[11]5421
auto[12]5291
auto[13]4881
auto[14]6181
auto[15]5561
auto[16]5261
auto[17]5561
auto[18]5461
auto[19]5021
auto[20]5471
auto[21]5901
auto[22]5991
auto[23]5141
auto[24]6911
auto[25]5111
auto[26]5321
auto[27]5671
auto[28]4991
auto[29]6481
auto[30]6021
auto[31]5421

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7041
auto[1]5711
auto[2]160881
auto[3]6001
auto[4]339031
auto[5]409281
auto[6]266921
auto[7]335521
auto[8]311531
auto[9]332331
auto[10]197421
auto[11]202771
auto[12]211661
auto[13]516871
auto[14]305201
auto[15]530141
auto[16]400121
auto[17]368661
auto[18]204421
auto[19]634181
auto[20]907921
auto[21]347621
auto[22]210441
auto[23]400481
auto[24]1005181
auto[25]257191
auto[26]391111
auto[27]255861
auto[28]408451
auto[29]274921
auto[30]287911
auto[31]294191

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00531
RD_0121
RD_0221
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0831
RD_0911
RD_0a11
RD_0b31
RD_0c21
RD_0d11
RD_0e11
RD_0f11
RD_1021
RD_1121
RD_1211
RD_1321
RD_1421
RD_1521
RD_1621
RD_1711
RD_1821
RD_1911
RD_1a11
RD_1b11
RD_1c21
RD_1d21
RD_1e11
RD_1f21

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00551
RD_0111
RD_0211
RD_0311
RD_0411
RD_0511
RD_0611
RD_0711
RD_0811
RD_0911
RD_0a11
RD_0b11
RD_0c11
RD_0d11
RD_0e11
RD_0f11
RD_1011
RD_1111
RD_1211
RD_1311
RD_1411
RD_1511
RD_1611
RD_1711
RD_1811
RD_1911
RD_1a11
RD_1b11
RD_1c11
RD_1d11
RD_1e11
RD_1f11

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO55631
auto_POSITIVE65481
auto_NEGATIVE10665841

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO10671521
auto_POSITIVE62251
auto_NEGATIVE53181

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO24151
auto_POSITIVE82151
auto_NEGATIVE10680651

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_110665841
BIT30_136711
BIT29_136751
BIT28_137081
BIT27_136001
BIT26_136131
BIT25_135531
BIT24_135691
BIT23_135991
BIT22_135551
BIT21_135411
BIT20_135881
BIT19_135691
BIT18_135781
BIT17_135251
BIT16_137731
BIT15_110654781
BIT14_145941
BIT13_15933601
BIT12_14769721
BIT11_110659171
BIT10_110660421
BIT9_145401
BIT8_140201
BIT7_15353261
BIT6_143691
BIT5_145491
BIT4_110665481
BIT3_110667401
BIT2_157701
BIT1_144881
BIT0_152561
BIT31_0121111
BIT30_010750241
BIT29_010750201
BIT28_010749871
BIT27_010750951
BIT26_010750821
BIT25_010751421
BIT24_010751261
BIT23_010750961
BIT22_010751401
BIT21_010751541
BIT20_010751071
BIT19_010751261
BIT18_010751171
BIT17_010751701
BIT16_010749221
BIT15_0132171
BIT14_010741011
BIT13_04853351
BIT12_06017231
BIT11_0127781
BIT10_0126531
BIT9_010741551
BIT8_010746751
BIT7_05433691
BIT6_010743261
BIT5_010741461
BIT4_0121471
BIT3_0119551
BIT2_010729251
BIT1_010742071
BIT0_010734391

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153181
BIT30_133871
BIT29_133531
BIT28_133761
BIT27_132541
BIT26_132801
BIT25_131781
BIT24_131931
BIT23_132271
BIT22_132501
BIT21_132291
BIT20_132751
BIT19_132961
BIT18_133551
BIT17_133001
BIT16_134171
BIT15_142731
BIT14_142441
BIT13_143001
BIT12_141641
BIT11_146811
BIT10_147021
BIT9_140941
BIT8_135821
BIT7_144411
BIT6_139471
BIT5_141211
BIT4_152941
BIT3_153421
BIT2_152571
BIT1_141211
BIT0_148901
BIT31_010733771
BIT30_010753081
BIT29_010753421
BIT28_010753191
BIT27_010754411
BIT26_010754151
BIT25_010755171
BIT24_010755021
BIT23_010754681
BIT22_010754451
BIT21_010754661
BIT20_010754201
BIT19_010753991
BIT18_010753401
BIT17_010753951
BIT16_010752781
BIT15_010744221
BIT14_010744511
BIT13_010743951
BIT12_010745311
BIT11_010740141
BIT10_010739931
BIT9_010746011
BIT8_010751131
BIT7_010742541
BIT6_010747481
BIT5_010745741
BIT4_010734011
BIT3_010733531
BIT2_010734381
BIT1_010745741
BIT0_010738051

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_110680651
BIT30_148491
BIT29_148571
BIT28_148701
BIT27_146861
BIT26_147551
BIT25_146151
BIT24_146261
BIT23_146351
BIT22_146561
BIT21_146341
BIT20_147251
BIT19_147151
BIT18_148021
BIT17_147621
BIT16_152141
BIT15_110670961
BIT14_162711
BIT13_15949811
BIT12_14785761
BIT11_110677241
BIT10_110677921
BIT9_161511
BIT8_155511
BIT7_15369561
BIT6_160201
BIT5_163221
BIT4_110683441
BIT3_110683961
BIT2_173331
BIT1_163801
BIT0_168041
BIT31_0106301
BIT30_010738461
BIT29_010738381
BIT28_010738251
BIT27_010740091
BIT26_010739401
BIT25_010740801
BIT24_010740691
BIT23_010740601
BIT22_010740391
BIT21_010740611
BIT20_010739701
BIT19_010739801
BIT18_010738931
BIT17_010739331
BIT16_010734811
BIT15_0115991
BIT14_010724241
BIT13_04837141
BIT12_06001191
BIT11_0109711
BIT10_0109031
BIT9_010725441
BIT8_010731441
BIT7_05417391
BIT6_010726751
BIT5_010723731
BIT4_0103511
BIT3_0102991
BIT2_010713621
BIT1_010723151
BIT0_010718911

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO22971
auto_ZEROauto_POSITIVE17931
auto_ZEROauto_NEGATIVE14731
auto_POSITIVEauto_ZERO20781
auto_POSITIVEauto_POSITIVE25641
auto_POSITIVEauto_NEGATIVE19061
auto_NEGATIVEauto_ZERO10627771
auto_NEGATIVEauto_POSITIVE18681
auto_NEGATIVEauto_NEGATIVE19391

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6801
auto[1]7081
auto[2]5911
auto[3]6181
auto[4]6341
auto[5]6441
auto[6]6301
auto[7]6191
auto[8]5921
auto[9]7061
auto[10]5661
auto[11]5561
auto[12]5811
auto[13]6211
auto[14]7021
auto[15]5981
auto[16]6151
auto[17]5861
auto[18]7921
auto[19]6461
auto[20]6741
auto[21]6121
auto[22]5901
auto[23]6321
auto[24]6151
auto[25]6781
auto[26]6151
auto[27]6791
auto[28]6541
auto[29]7271
auto[30]6381
auto[31]5961

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7101
auto[1]7741
auto[2]6351
auto[3]6841
auto[4]6101
auto[5]6051
auto[6]6201
auto[7]6241
auto[8]5811
auto[9]5781
auto[10]5891
auto[11]5821
auto[12]5811
auto[13]6191
auto[14]5631
auto[15]5771
auto[16]6491
auto[17]6281
auto[18]7011
auto[19]6181
auto[20]6561
auto[21]6501
auto[22]6081
auto[23]6391
auto[24]6111
auto[25]6131
auto[26]6501
auto[27]6671
auto[28]6571
auto[29]6891
auto[30]7791
auto[31]6481

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6901
auto[1]6801
auto[2]6131
auto[3]6531
auto[4]6051
auto[5]5901
auto[6]6171
auto[7]6791
auto[8]5971
auto[9]5421
auto[10]7161
auto[11]6401
auto[12]5731
auto[13]5521
auto[14]5881
auto[15]5751
auto[16]6061
auto[17]6851
auto[18]6411
auto[19]6521
auto[20]6041
auto[21]6941
auto[22]6851
auto[23]6621
auto[24]6041
auto[25]6731
auto[26]7061
auto[27]7211
auto[28]6411
auto[29]6651
auto[30]6621
auto[31]5841

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00831
RD_01811
RD_02751
RD_03621
RD_04661
RD_05681
RD_06571
RD_07581
RD_0861
RD_09141
RD_0a151
RD_0b101
RD_0c131
RD_0d131
RD_0e211
RD_0f171
RD_10721
RD_11611
RD_12671
RD_13711
RD_14771
RD_15731
RD_16581
RD_17701
RD_18781
RD_19731
RD_1a651
RD_1b681
RD_1c701
RD_1d791
RD_1e631
RD_1f581

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00871
RD_01731
RD_02751
RD_03571
RD_04571
RD_05661
RD_06651
RD_07561
RD_08111
RD_09161
RD_0a191
RD_0b221
RD_0c361
RD_0d161
RD_0e261
RD_0f141
RD_10671
RD_11641
RD_12861
RD_13681
RD_14741
RD_15631
RD_16681
RD_17601
RD_18671
RD_19671
RD_1a771
RD_1b731
RD_1c671
RD_1d831
RD_1e621
RD_1f781

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71021
auto_POSITIVE70991
auto_NEGATIVE61941

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO68101
auto_POSITIVE71821
auto_NEGATIVE64031

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO42811
auto_POSITIVE79781
auto_NEGATIVE81361

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161941
BIT30_140761
BIT29_140431
BIT28_140471
BIT27_139071
BIT26_139931
BIT25_138791
BIT24_139751
BIT23_139521
BIT22_138901
BIT21_139131
BIT20_139751
BIT19_140061
BIT18_140361
BIT17_140041
BIT16_140581
BIT15_150551
BIT14_149751
BIT13_152381
BIT12_149501
BIT11_155581
BIT10_155671
BIT9_149721
BIT8_142561
BIT7_152331
BIT6_146291
BIT5_147241
BIT4_160621
BIT3_161281
BIT2_160911
BIT1_147751
BIT0_156601
BIT31_0142011
BIT30_0163191
BIT29_0163521
BIT28_0163481
BIT27_0164881
BIT26_0164021
BIT25_0165161
BIT24_0164201
BIT23_0164431
BIT22_0165051
BIT21_0164821
BIT20_0164201
BIT19_0163891
BIT18_0163591
BIT17_0163911
BIT16_0163371
BIT15_0153401
BIT14_0154201
BIT13_0151571
BIT12_0154451
BIT11_0148371
BIT10_0148281
BIT9_0154231
BIT8_0161391
BIT7_0151621
BIT6_0157661
BIT5_0156711
BIT4_0143331
BIT3_0142671
BIT2_0143041
BIT1_0156201
BIT0_0147351

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164031
BIT30_142011
BIT29_142051
BIT28_142871
BIT27_141081
BIT26_141081
BIT25_139801
BIT24_140751
BIT23_140051
BIT22_141331
BIT21_141661
BIT20_140841
BIT19_140451
BIT18_141221
BIT17_140031
BIT16_142621
BIT15_151091
BIT14_151051
BIT13_152301
BIT12_151411
BIT11_155681
BIT10_156591
BIT9_149571
BIT8_143641
BIT7_152851
BIT6_147731
BIT5_149421
BIT4_162831
BIT3_163271
BIT2_162731
BIT1_148421
BIT0_157131
BIT31_0139921
BIT30_0161941
BIT29_0161901
BIT28_0161081
BIT27_0162871
BIT26_0162871
BIT25_0164151
BIT24_0163201
BIT23_0163901
BIT22_0162621
BIT21_0162291
BIT20_0163111
BIT19_0163501
BIT18_0162731
BIT17_0163921
BIT16_0161331
BIT15_0152861
BIT14_0152901
BIT13_0151651
BIT12_0152541
BIT11_0148271
BIT10_0147361
BIT9_0154381
BIT8_0160311
BIT7_0151101
BIT6_0156221
BIT5_0154531
BIT4_0141121
BIT3_0140681
BIT2_0141221
BIT1_0155531
BIT0_0146821

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_181361
BIT30_179891
BIT29_179491
BIT28_178671
BIT27_179541
BIT26_179801
BIT25_179851
BIT24_179621
BIT23_179781
BIT22_178601
BIT21_178551
BIT20_178941
BIT19_178651
BIT18_178771
BIT17_179381
BIT16_177451
BIT15_177891
BIT14_177261
BIT13_177801
BIT12_177331
BIT11_176281
BIT10_175471
BIT9_176391
BIT8_174551
BIT7_175611
BIT6_174841
BIT5_174691
BIT4_172861
BIT3_171051
BIT2_177091
BIT1_166951
BIT0_173871
BIT31_0122591
BIT30_0124061
BIT29_0124461
BIT28_0125281
BIT27_0124411
BIT26_0124151
BIT25_0124101
BIT24_0124331
BIT23_0124171
BIT22_0125351
BIT21_0125401
BIT20_0125011
BIT19_0125301
BIT18_0125181
BIT17_0124571
BIT16_0126501
BIT15_0126061
BIT14_0126691
BIT13_0126151
BIT12_0126621
BIT11_0127671
BIT10_0128481
BIT9_0127561
BIT8_0129401
BIT7_0128341
BIT6_0129111
BIT5_0129261
BIT4_0131091
BIT3_0132901
BIT2_0126861
BIT1_0137001
BIT0_0130081

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO31471
auto_ZEROauto_POSITIVE21231
auto_ZEROauto_NEGATIVE18321
auto_POSITIVEauto_ZERO19581
auto_POSITIVEauto_POSITIVE30191
auto_POSITIVEauto_NEGATIVE21221
auto_NEGATIVEauto_ZERO17051
auto_NEGATIVEauto_POSITIVE20401
auto_NEGATIVEauto_NEGATIVE24491

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6701
auto[1]6341
auto[2]6661
auto[3]6151
auto[4]6471
auto[5]7461
auto[6]7191
auto[7]6461
auto[8]6471
auto[9]6791
auto[10]6381
auto[11]6101
auto[12]7851
auto[13]5971
auto[14]5911
auto[15]6751
auto[16]6771
auto[17]6121
auto[18]6941
auto[19]6611
auto[20]6201
auto[21]5711
auto[22]6151
auto[23]5981
auto[24]6611
auto[25]6561
auto[26]6111
auto[27]6081
auto[28]6701
auto[29]5981
auto[30]6251
auto[31]6501

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6201
auto[1]6621
auto[2]6561
auto[3]7581
auto[4]6461
auto[5]6431
auto[6]6121
auto[7]6241
auto[8]6391
auto[9]6191
auto[10]7431
auto[11]6821
auto[12]6041
auto[13]6331
auto[14]6531
auto[15]6101
auto[16]6291
auto[17]6261
auto[18]6511
auto[19]6251
auto[20]5791
auto[21]6421
auto[22]6531
auto[23]6391
auto[24]8351
auto[25]6521
auto[26]6041
auto[27]6891
auto[28]6251
auto[29]6281
auto[30]6111
auto[31]6001

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6751
auto[1]6691
auto[2]6041
auto[3]7641
auto[4]6291
auto[5]6111
auto[6]6551
auto[7]6301
auto[8]6291
auto[9]6151
auto[10]6211
auto[11]5961
auto[12]6141
auto[13]7881
auto[14]8621
auto[15]6291
auto[16]5901
auto[17]6831
auto[18]6041
auto[19]6431
auto[20]6231
auto[21]6041
auto[22]6301
auto[23]6791
auto[24]6771
auto[25]6311
auto[26]6141
auto[27]6271
auto[28]6761
auto[29]6121
auto[30]6181
auto[31]5901

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00761
RD_01731
RD_02761
RD_03661
RD_04771
RD_05601
RD_06651
RD_07711
RD_08731
RD_09701
RD_0a811
RD_0b651
RD_0c751
RD_0d831
RD_0e561
RD_0f761
RD_10711
RD_11581
RD_12761
RD_13681
RD_14661
RD_15651
RD_16641
RD_17921
RD_18701
RD_19671
RD_1a541
RD_1b701
RD_1c731
RD_1d771
RD_1e561
RD_1f671

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00781
RD_01701
RD_02711
RD_03731
RD_04741
RD_05661
RD_06621
RD_07641
RD_08701
RD_09681
RD_0a801
RD_0b601
RD_0c711
RD_0d741
RD_0e631
RD_0f671
RD_10661
RD_11571
RD_12701
RD_13781
RD_14591
RD_15561
RD_16711
RD_17771
RD_18741
RD_19711
RD_1a521
RD_1b741
RD_1c731
RD_1d731
RD_1e631
RD_1f621

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71201
auto_POSITIVE71371
auto_NEGATIVE64351

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO73171
auto_POSITIVE71211
auto_NEGATIVE62541

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO78641
auto_POSITIVE33491
auto_NEGATIVE94791

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_164351
BIT30_143621
BIT29_143121
BIT28_143331
BIT27_143281
BIT26_141961
BIT25_142631
BIT24_141511
BIT23_141871
BIT22_142371
BIT21_141091
BIT20_142251
BIT19_141941
BIT18_143171
BIT17_141171
BIT16_145131
BIT15_153061
BIT14_152381
BIT13_155481
BIT12_152661
BIT11_156161
BIT10_158621
BIT9_151341
BIT8_145891
BIT7_155391
BIT6_148241
BIT5_151111
BIT4_163441
BIT3_164841
BIT2_164191
BIT1_151631
BIT0_159901
BIT31_0142571
BIT30_0163301
BIT29_0163801
BIT28_0163591
BIT27_0163641
BIT26_0164961
BIT25_0164291
BIT24_0165411
BIT23_0165051
BIT22_0164551
BIT21_0165831
BIT20_0164671
BIT19_0164981
BIT18_0163751
BIT17_0165751
BIT16_0161791
BIT15_0153861
BIT14_0154541
BIT13_0151441
BIT12_0154261
BIT11_0150761
BIT10_0148301
BIT9_0155581
BIT8_0161031
BIT7_0151531
BIT6_0158681
BIT5_0155811
BIT4_0143481
BIT3_0142081
BIT2_0142731
BIT1_0155291
BIT0_0147021

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162541
BIT30_142481
BIT29_142701
BIT28_142871
BIT27_141231
BIT26_141561
BIT25_140821
BIT24_141031
BIT23_141111
BIT22_140911
BIT21_140601
BIT20_140541
BIT19_141201
BIT18_140751
BIT17_140271
BIT16_142761
BIT15_150911
BIT14_150251
BIT13_152601
BIT12_151351
BIT11_155181
BIT10_155741
BIT9_150151
BIT8_143541
BIT7_153981
BIT6_146531
BIT5_148581
BIT4_162751
BIT3_162731
BIT2_162141
BIT1_150441
BIT0_158551
BIT31_0144381
BIT30_0164441
BIT29_0164221
BIT28_0164051
BIT27_0165691
BIT26_0165361
BIT25_0166101
BIT24_0165891
BIT23_0165811
BIT22_0166011
BIT21_0166321
BIT20_0166381
BIT19_0165721
BIT18_0166171
BIT17_0166651
BIT16_0164161
BIT15_0156011
BIT14_0156671
BIT13_0154321
BIT12_0155571
BIT11_0151741
BIT10_0151181
BIT9_0156771
BIT8_0163381
BIT7_0152941
BIT6_0160391
BIT5_0158341
BIT4_0144171
BIT3_0144191
BIT2_0144781
BIT1_0156481
BIT0_0148371

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_194791
BIT30_194151
BIT29_194471
BIT28_194051
BIT27_193411
BIT26_193511
BIT25_193141
BIT24_193361
BIT23_193001
BIT22_193361
BIT21_193281
BIT20_193101
BIT19_192951
BIT18_192921
BIT17_193321
BIT16_192971
BIT15_193381
BIT14_193071
BIT13_193351
BIT12_192871
BIT11_192911
BIT10_192351
BIT9_192361
BIT8_192551
BIT7_192351
BIT6_192381
BIT5_192751
BIT4_192421
BIT3_192421
BIT2_193601
BIT1_192441
BIT0_1107251
BIT31_0112131
BIT30_0112771
BIT29_0112451
BIT28_0112871
BIT27_0113511
BIT26_0113411
BIT25_0113781
BIT24_0113561
BIT23_0113921
BIT22_0113561
BIT21_0113641
BIT20_0113821
BIT19_0113971
BIT18_0114001
BIT17_0113601
BIT16_0113951
BIT15_0113541
BIT14_0113851
BIT13_0113571
BIT12_0114051
BIT11_0114011
BIT10_0114571
BIT9_0114561
BIT8_0114371
BIT7_0114571
BIT6_0114541
BIT5_0114171
BIT4_0114501
BIT3_0114501
BIT2_0113321
BIT1_0114481
BIT0_099671

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO33831
auto_ZEROauto_POSITIVE19651
auto_ZEROauto_NEGATIVE17721
auto_POSITIVEauto_ZERO20461
auto_POSITIVEauto_POSITIVE30801
auto_POSITIVEauto_NEGATIVE20111
auto_NEGATIVEauto_ZERO18881
auto_NEGATIVEauto_POSITIVE20761
auto_NEGATIVEauto_NEGATIVE24711

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5921
auto[1]5941
auto[2]7061
auto[3]6261
auto[4]6251
auto[5]6571
auto[6]5751
auto[7]6341
auto[8]6341
auto[9]7101
auto[10]6361
auto[11]6281
auto[12]8241
auto[13]6681
auto[14]5941
auto[15]5821
auto[16]6001
auto[17]6321
auto[18]6131
auto[19]6281
auto[20]6401
auto[21]6091
auto[22]6351
auto[23]6601
auto[24]7371
auto[25]6121
auto[26]6351
auto[27]6401
auto[28]6411
auto[29]6091
auto[30]7291
auto[31]7481

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6121
auto[1]6811
auto[2]6221
auto[3]6241
auto[4]6181
auto[5]6351
auto[6]7341
auto[7]6591
auto[8]7371
auto[9]7341
auto[10]6181
auto[11]6571
auto[12]5901
auto[13]5731
auto[14]5981
auto[15]6161
auto[16]6821
auto[17]7041
auto[18]6111
auto[19]6501
auto[20]5681
auto[21]6441
auto[22]6281
auto[23]6131
auto[24]7761
auto[25]6091
auto[26]6751
auto[27]6051
auto[28]6491
auto[29]6461
auto[30]6881
auto[31]5971

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]7411
auto[1]6651
auto[2]5761
auto[3]6481
auto[4]6001
auto[5]6931
auto[6]6231
auto[7]6191
auto[8]6571
auto[9]6831
auto[10]6211
auto[11]6511
auto[12]7481
auto[13]6591
auto[14]7721
auto[15]6801
auto[16]6201
auto[17]6441
auto[18]6371
auto[19]6211
auto[20]6381
auto[21]5981
auto[22]6251
auto[23]6361
auto[24]6581
auto[25]5961
auto[26]6031
auto[27]6291
auto[28]6441
auto[29]6141
auto[30]6291
auto[31]6251

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00751
RD_01691
RD_02551
RD_03681
RD_04581
RD_05681
RD_06571
RD_07711
RD_08701
RD_09691
RD_0a791
RD_0b741
RD_0c581
RD_0d691
RD_0e431
RD_0f621
RD_10711
RD_11691
RD_12651
RD_13691
RD_14581
RD_15701
RD_16541
RD_17751
RD_18641
RD_19671
RD_1a731
RD_1b691
RD_1c661
RD_1d751
RD_1e881
RD_1f621

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00711
RD_01691
RD_02711
RD_03711
RD_04681
RD_05661
RD_06501
RD_07631
RD_08741
RD_09631
RD_0a591
RD_0b731
RD_0c501
RD_0d691
RD_0e501
RD_0f601
RD_10651
RD_11701
RD_12731
RD_13701
RD_14581
RD_15641
RD_16521
RD_17611
RD_18701
RD_19701
RD_1a681
RD_1b611
RD_1c651
RD_1d721
RD_1e681
RD_1f661

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO70751
auto_POSITIVE69851
auto_NEGATIVE65931

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO73521
auto_POSITIVE70081
auto_NEGATIVE62931

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO139101
auto_POSITIVE26461
auto_NEGATIVE40971

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_165931
BIT30_144451
BIT29_143521
BIT28_143931
BIT27_142161
BIT26_141251
BIT25_140971
BIT24_142481
BIT23_140991
BIT22_140881
BIT21_141741
BIT20_140911
BIT19_140821
BIT18_141411
BIT17_140511
BIT16_143511
BIT15_152801
BIT14_153411
BIT13_155561
BIT12_152421
BIT11_158571
BIT10_159541
BIT9_150461
BIT8_145121
BIT7_155141
BIT6_149551
BIT5_151961
BIT4_164091
BIT3_163481
BIT2_165791
BIT1_150601
BIT0_160251
BIT31_0140601
BIT30_0162081
BIT29_0163011
BIT28_0162601
BIT27_0164371
BIT26_0165281
BIT25_0165561
BIT24_0164051
BIT23_0165541
BIT22_0165651
BIT21_0164791
BIT20_0165621
BIT19_0165711
BIT18_0165121
BIT17_0166021
BIT16_0163021
BIT15_0153731
BIT14_0153121
BIT13_0150971
BIT12_0154111
BIT11_0147961
BIT10_0146991
BIT9_0156071
BIT8_0161411
BIT7_0151391
BIT6_0156981
BIT5_0154571
BIT4_0142441
BIT3_0143051
BIT2_0140741
BIT1_0155931
BIT0_0146281

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162931
BIT30_141341
BIT29_140551
BIT28_140911
BIT27_139561
BIT26_139511
BIT25_139081
BIT24_139191
BIT23_139021
BIT22_138841
BIT21_139501
BIT20_139071
BIT19_139381
BIT18_139561
BIT17_139601
BIT16_141451
BIT15_150281
BIT14_148671
BIT13_151281
BIT12_149551
BIT11_154881
BIT10_156151
BIT9_148561
BIT8_142701
BIT7_152741
BIT6_146061
BIT5_147311
BIT4_160911
BIT3_161871
BIT2_161081
BIT1_148591
BIT0_156791
BIT31_0143601
BIT30_0165191
BIT29_0165981
BIT28_0165621
BIT27_0166971
BIT26_0167021
BIT25_0167451
BIT24_0167341
BIT23_0167511
BIT22_0167691
BIT21_0167031
BIT20_0167461
BIT19_0167151
BIT18_0166971
BIT17_0166931
BIT16_0165081
BIT15_0156251
BIT14_0157861
BIT13_0155251
BIT12_0156981
BIT11_0151651
BIT10_0150381
BIT9_0157971
BIT8_0163831
BIT7_0153791
BIT6_0160471
BIT5_0159221
BIT4_0145621
BIT3_0144661
BIT2_0145451
BIT1_0157941
BIT0_0149741

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_140971
BIT30_141681
BIT29_145381
BIT28_146351
BIT27_145931
BIT26_146641
BIT25_146021
BIT24_146341
BIT23_146011
BIT22_146591
BIT21_146911
BIT20_146501
BIT19_146361
BIT18_146931
BIT17_146431
BIT16_146831
BIT15_145171
BIT14_144731
BIT13_144461
BIT12_144061
BIT11_142691
BIT10_143491
BIT9_143741
BIT8_143461
BIT7_144591
BIT6_142261
BIT5_143151
BIT4_142591
BIT3_140791
BIT2_141091
BIT1_140161
BIT0_139951
BIT31_0165561
BIT30_0164851
BIT29_0161151
BIT28_0160181
BIT27_0160601
BIT26_0159891
BIT25_0160511
BIT24_0160191
BIT23_0160521
BIT22_0159941
BIT21_0159621
BIT20_0160031
BIT19_0160171
BIT18_0159601
BIT17_0160101
BIT16_0159701
BIT15_0161361
BIT14_0161801
BIT13_0162071
BIT12_0162471
BIT11_0163841
BIT10_0163041
BIT9_0162791
BIT8_0163071
BIT7_0161941
BIT6_0164271
BIT5_0163381
BIT4_0163941
BIT3_0165741
BIT2_0165441
BIT1_0166371
BIT0_0166581

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO33591
auto_ZEROauto_POSITIVE19771
auto_ZEROauto_NEGATIVE17391
auto_POSITIVEauto_ZERO20091
auto_POSITIVEauto_POSITIVE29551
auto_POSITIVEauto_NEGATIVE20211
auto_NEGATIVEauto_ZERO19841
auto_NEGATIVEauto_POSITIVE20761
auto_NEGATIVEauto_NEGATIVE25331

+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6871
auto[1]5811
auto[2]6541
auto[3]6201
auto[4]6131
auto[5]6381
auto[6]7071
auto[7]5891
auto[8]6581
auto[9]6411
auto[10]6491
auto[11]6071
auto[12]6181
auto[13]5931
auto[14]6381
auto[15]6371
auto[16]5921
auto[17]6491
auto[18]6441
auto[19]5751
auto[20]6611
auto[21]6081
auto[22]5921
auto[23]6511
auto[24]6611
auto[25]5831
auto[26]6351
auto[27]6891
auto[28]6681
auto[29]6301
auto[30]6321
auto[31]6031

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6751
auto[1]6441
auto[2]6041
auto[3]6381
auto[4]5971
auto[5]6881
auto[6]7041
auto[7]6721
auto[8]6301
auto[9]6131
auto[10]6351
auto[11]6381
auto[12]6231
auto[13]6191
auto[14]5981
auto[15]6201
auto[16]5931
auto[17]5951
auto[18]6311
auto[19]6591
auto[20]6061
auto[21]6491
auto[22]6711
auto[23]5911
auto[24]6741
auto[25]5851
auto[26]6241
auto[27]6241
auto[28]6371
auto[29]6141
auto[30]6441
auto[31]6081

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6931
auto[1]6201
auto[2]6221
auto[3]6831
auto[4]6471
auto[5]6681
auto[6]6231
auto[7]6481
auto[8]6251
auto[9]6161
auto[10]6181
auto[11]6271
auto[12]6621
auto[13]6251
auto[14]6421
auto[15]6101
auto[16]5911
auto[17]6361
auto[18]6331
auto[19]6351
auto[20]6631
auto[21]6411
auto[22]6311
auto[23]6241
auto[24]6481
auto[25]6581
auto[26]6161
auto[27]6531
auto[28]5851
auto[29]6051
auto[30]6061
auto[31]5491

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00821
RD_01491
RD_02711
RD_03721
RD_04731
RD_05621
RD_06831
RD_07521
RD_08701
RD_09711
RD_0a641
RD_0b581
RD_0c771
RD_0d701
RD_0e731
RD_0f701
RD_10661
RD_11621
RD_12661
RD_13521
RD_14881
RD_15771
RD_16601
RD_17861
RD_18791
RD_19621
RD_1a651
RD_1b781
RD_1c651
RD_1d551
RD_1e671
RD_1f641

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00771
RD_01551
RD_02721
RD_03701
RD_04711
RD_051041
RD_06841
RD_07651
RD_08731
RD_09671
RD_0a561
RD_0b591
RD_0c751
RD_0d681
RD_0e851
RD_0f581
RD_10641
RD_11611
RD_12661
RD_13551
RD_14791
RD_15691
RD_16601
RD_17731
RD_18781
RD_19651
RD_1a591
RD_1b761
RD_1c541
RD_1d671
RD_1e701
RD_1f661

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71061
auto_POSITIVE68321
auto_NEGATIVE62651

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_2.html new file mode 100644 index 00000000..3f5fae6f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_2.html @@ -0,0 +1,1165 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32m_rem_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO71151
auto_POSITIVE69021
auto_NEGATIVE61861

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO96141
auto_POSITIVE55271
auto_NEGATIVE50621

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_162651
BIT30_141211
BIT29_140521
BIT28_140961
BIT27_139291
BIT26_139571
BIT25_138551
BIT24_138441
BIT23_138571
BIT22_138851
BIT21_138391
BIT20_138581
BIT19_138841
BIT18_138791
BIT17_138561
BIT16_140581
BIT15_149001
BIT14_148041
BIT13_151131
BIT12_148971
BIT11_153371
BIT10_154631
BIT9_147931
BIT8_142401
BIT7_152131
BIT6_145311
BIT5_147271
BIT4_160151
BIT3_160651
BIT2_159931
BIT1_148131
BIT0_156171
BIT31_0139381
BIT30_0160821
BIT29_0161511
BIT28_0161071
BIT27_0162741
BIT26_0162461
BIT25_0163481
BIT24_0163591
BIT23_0163461
BIT22_0163181
BIT21_0163641
BIT20_0163451
BIT19_0163191
BIT18_0163241
BIT17_0163471
BIT16_0161451
BIT15_0153031
BIT14_0153991
BIT13_0150901
BIT12_0153061
BIT11_0148661
BIT10_0147401
BIT9_0154101
BIT8_0159631
BIT7_0149901
BIT6_0156721
BIT5_0154761
BIT4_0141881
BIT3_0141381
BIT2_0142101
BIT1_0153901
BIT0_0145861

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161861
BIT30_139541
BIT29_139851
BIT28_140141
BIT27_138411
BIT26_138511
BIT25_137531
BIT24_137881
BIT23_137861
BIT22_137831
BIT21_138191
BIT20_138541
BIT19_138371
BIT18_138521
BIT17_137691
BIT16_140021
BIT15_148141
BIT14_147281
BIT13_150091
BIT12_147661
BIT11_153031
BIT10_153491
BIT9_147321
BIT8_141731
BIT7_151411
BIT6_144931
BIT5_146571
BIT4_160261
BIT3_161681
BIT2_160341
BIT1_147411
BIT0_155371
BIT31_0140171
BIT30_0162491
BIT29_0162181
BIT28_0161891
BIT27_0163621
BIT26_0163521
BIT25_0164501
BIT24_0164151
BIT23_0164171
BIT22_0164201
BIT21_0163841
BIT20_0163491
BIT19_0163661
BIT18_0163511
BIT17_0164341
BIT16_0162011
BIT15_0153891
BIT14_0154751
BIT13_0151941
BIT12_0154371
BIT11_0149001
BIT10_0148541
BIT9_0154711
BIT8_0160301
BIT7_0150621
BIT6_0157101
BIT5_0155461
BIT4_0141771
BIT3_0140351
BIT2_0141691
BIT1_0154621
BIT0_0146661

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_150621
BIT30_142881
BIT29_141651
BIT28_141391
BIT27_141361
BIT26_140381
BIT25_139771
BIT24_139411
BIT23_139471
BIT22_139691
BIT21_139011
BIT20_139371
BIT19_139741
BIT18_139191
BIT17_139041
BIT16_140131
BIT15_143451
BIT14_141991
BIT13_143691
BIT12_142661
BIT11_143901
BIT10_144691
BIT9_140781
BIT8_138951
BIT7_144501
BIT6_140471
BIT5_141411
BIT4_148291
BIT3_149381
BIT2_148781
BIT1_142161
BIT0_146841
BIT31_0151411
BIT30_0159151
BIT29_0160381
BIT28_0160641
BIT27_0160671
BIT26_0161651
BIT25_0162261
BIT24_0162621
BIT23_0162561
BIT22_0162341
BIT21_0163021
BIT20_0162661
BIT19_0162291
BIT18_0162841
BIT17_0162991
BIT16_0161901
BIT15_0158581
BIT14_0160041
BIT13_0158341
BIT12_0159371
BIT11_0158131
BIT10_0157341
BIT9_0161251
BIT8_0163081
BIT7_0157531
BIT6_0161561
BIT5_0160621
BIT4_0153741
BIT3_0152651
BIT2_0153251
BIT1_0159871
BIT0_0155191

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO34211
auto_ZEROauto_POSITIVE20101
auto_ZEROauto_NEGATIVE16751
auto_POSITIVEauto_ZERO19521
auto_POSITIVEauto_POSITIVE28901
auto_POSITIVEauto_NEGATIVE19901
auto_NEGATIVEauto_ZERO17421
auto_NEGATIVEauto_POSITIVE20021
auto_NEGATIVEauto_NEGATIVE25211

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_3.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_3.html new file mode 100644 index 00000000..f0a09a39 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_3.html @@ -0,0 +1,1980 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zba_sh1add_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5711
auto[1]5851
auto[2]5261
auto[3]5501
auto[4]5441
auto[5]5191
auto[6]5871
auto[7]5681
auto[8]5331
auto[9]5491
auto[10]5631
auto[11]5471
auto[12]5121
auto[13]5351
auto[14]5221
auto[15]5591
auto[16]5451
auto[17]5491
auto[18]5411
auto[19]5471
auto[20]5521
auto[21]5601
auto[22]5221
auto[23]5251
auto[24]5751
auto[25]5211
auto[26]5481
auto[27]5541
auto[28]5311
auto[29]5421
auto[30]5271
auto[31]5711

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5111
auto[1]5661
auto[2]5021
auto[3]5251
auto[4]5371
auto[5]5591
auto[6]5361
auto[7]5371
auto[8]5461
auto[9]5771
auto[10]5531
auto[11]5541
auto[12]5621
auto[13]5461
auto[14]5381
auto[15]5411
auto[16]5241
auto[17]5621
auto[18]5961
auto[19]5591
auto[20]5791
auto[21]5711
auto[22]5111
auto[23]5681
auto[24]5311
auto[25]5471
auto[26]5431
auto[27]5411
auto[28]5311
auto[29]5961
auto[30]4941
auto[31]5371

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5761
auto[1]5771
auto[2]5021
auto[3]6261
auto[4]5281
auto[5]5321
auto[6]5631
auto[7]5791
auto[8]5591
auto[9]5361
auto[10]5061
auto[11]5221
auto[12]5791
auto[13]5501
auto[14]5671
auto[15]5401
auto[16]5511
auto[17]5481
auto[18]5291
auto[19]5381
auto[20]5121
auto[21]5801
auto[22]5241
auto[23]5581
auto[24]5701
auto[25]5331
auto[26]5431
auto[27]5481
auto[28]5641
auto[29]4931
auto[30]5251
auto[31]5221

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00641
RD_01801
RD_02591
RD_03731
RD_04701
RD_05681
RD_06771
RD_07821
RD_08631
RD_09741
RD_0a631
RD_0b641
RD_0c611
RD_0d641
RD_0e541
RD_0f651
RD_10721
RD_11721
RD_12761
RD_13601
RD_14741
RD_15691
RD_16481
RD_17801
RD_18701
RD_19731
RD_1a721
RD_1b681
RD_1c741
RD_1d671
RD_1e451
RD_1f721

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00591
RD_01751
RD_02651
RD_03571
RD_04631
RD_05731
RD_06801
RD_07801
RD_08591
RD_09741
RD_0a641
RD_0b701
RD_0c621
RD_0d681
RD_0e521
RD_0f661
RD_10741
RD_11721
RD_12671
RD_13641
RD_14811
RD_15731
RD_16481
RD_17791
RD_18671
RD_19741
RD_1a611
RD_1b671
RD_1c711
RD_1d691
RD_1e431
RD_1f741

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62321
auto_POSITIVE59821
auto_NEGATIVE52661

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61881
auto_POSITIVE61411
auto_NEGATIVE51511

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO32011
auto_POSITIVE82951
auto_NEGATIVE59841

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152661
BIT30_134871
BIT29_134751
BIT28_135631
BIT27_133821
BIT26_133941
BIT25_133151
BIT24_133651
BIT23_133741
BIT22_133551
BIT21_133131
BIT20_133021
BIT19_133351
BIT18_133771
BIT17_133731
BIT16_135561
BIT15_142461
BIT14_141101
BIT13_143381
BIT12_142241
BIT11_146631
BIT10_146441
BIT9_141591
BIT8_136331
BIT7_145091
BIT6_139671
BIT5_141951
BIT4_151821
BIT3_152741
BIT2_152951
BIT1_142601
BIT0_148501
BIT31_0122141
BIT30_0139931
BIT29_0140051
BIT28_0139171
BIT27_0140981
BIT26_0140861
BIT25_0141651
BIT24_0141151
BIT23_0141061
BIT22_0141251
BIT21_0141671
BIT20_0141781
BIT19_0141451
BIT18_0141031
BIT17_0141071
BIT16_0139241
BIT15_0132341
BIT14_0133701
BIT13_0131421
BIT12_0132561
BIT11_0128171
BIT10_0128361
BIT9_0133211
BIT8_0138471
BIT7_0129711
BIT6_0135131
BIT5_0132851
BIT4_0122981
BIT3_0122061
BIT2_0121851
BIT1_0132201
BIT0_0126301

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_151511
BIT30_134211
BIT29_134301
BIT28_134711
BIT27_133381
BIT26_133711
BIT25_133091
BIT24_133191
BIT23_132791
BIT22_132881
BIT21_132491
BIT20_132941
BIT19_132841
BIT18_133181
BIT17_133031
BIT16_134591
BIT15_142091
BIT14_140561
BIT13_143791
BIT12_141371
BIT11_146641
BIT10_146901
BIT9_141281
BIT8_136851
BIT7_144561
BIT6_139601
BIT5_141441
BIT4_152161
BIT3_153221
BIT2_151551
BIT1_142171
BIT0_148951
BIT31_0123291
BIT30_0140591
BIT29_0140501
BIT28_0140091
BIT27_0141421
BIT26_0141091
BIT25_0141711
BIT24_0141611
BIT23_0142011
BIT22_0141921
BIT21_0142311
BIT20_0141861
BIT19_0141961
BIT18_0141621
BIT17_0141771
BIT16_0140211
BIT15_0132711
BIT14_0134241
BIT13_0131011
BIT12_0133431
BIT11_0128161
BIT10_0127901
BIT9_0133521
BIT8_0137951
BIT7_0130241
BIT6_0135201
BIT5_0133361
BIT4_0122641
BIT3_0121581
BIT2_0123251
BIT1_0132631
BIT0_0125851

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_159841
BIT30_146001
BIT29_146521
BIT28_145081
BIT27_144341
BIT26_144431
BIT25_144341
BIT24_144391
BIT23_144191
BIT22_144031
BIT21_143511
BIT20_143981
BIT19_143851
BIT18_144481
BIT17_146911
BIT16_153941
BIT15_156801
BIT14_157081
BIT13_159111
BIT12_160521
BIT11_164211
BIT10_161661
BIT9_154991
BIT8_157811
BIT7_157711
BIT6_157731
BIT5_163401
BIT4_170761
BIT3_169141
BIT2_165291
BIT1_162431
BIT0_148951
BIT31_0114961
BIT30_0128801
BIT29_0128281
BIT28_0129721
BIT27_0130461
BIT26_0130371
BIT25_0130461
BIT24_0130411
BIT23_0130611
BIT22_0130771
BIT21_0131291
BIT20_0130821
BIT19_0130951
BIT18_0130321
BIT17_0127891
BIT16_0120861
BIT15_0118001
BIT14_0117721
BIT13_0115691
BIT12_0114281
BIT11_0110591
BIT10_0113141
BIT9_0119811
BIT8_0116991
BIT7_0117091
BIT6_0117071
BIT5_0111401
BIT4_0104041
BIT3_0105661
BIT2_0109511
BIT1_0112371
BIT0_0125851

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO31311
auto_ZEROauto_POSITIVE16631
auto_ZEROauto_NEGATIVE14381
auto_POSITIVEauto_ZERO16701
auto_POSITIVEauto_POSITIVE26571
auto_POSITIVEauto_NEGATIVE16551
auto_NEGATIVEauto_ZERO13871
auto_NEGATIVEauto_POSITIVE18211
auto_NEGATIVEauto_NEGATIVE20581

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_4.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_4.html new file mode 100644 index 00000000..bbc722f1 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_4.html @@ -0,0 +1,1980 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zba_sh2add_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5741
auto[1]5371
auto[2]5651
auto[3]5531
auto[4]5821
auto[5]5461
auto[6]5561
auto[7]5941
auto[8]5541
auto[9]5531
auto[10]5591
auto[11]5151
auto[12]5871
auto[13]5601
auto[14]5571
auto[15]5691
auto[16]5311
auto[17]5171
auto[18]5411
auto[19]5611
auto[20]5701
auto[21]5771
auto[22]5121
auto[23]5131
auto[24]5641
auto[25]5441
auto[26]5441
auto[27]5311
auto[28]5391
auto[29]5511
auto[30]5501
auto[31]5201

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5651
auto[1]5711
auto[2]5821
auto[3]5521
auto[4]5831
auto[5]5541
auto[6]5931
auto[7]5861
auto[8]5331
auto[9]5371
auto[10]5501
auto[11]5611
auto[12]5421
auto[13]5221
auto[14]5621
auto[15]5271
auto[16]5601
auto[17]5511
auto[18]5361
auto[19]5891
auto[20]5491
auto[21]5141
auto[22]5271
auto[23]5581
auto[24]5631
auto[25]5441
auto[26]5661
auto[27]5221
auto[28]5651
auto[29]5081
auto[30]5211
auto[31]5331

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]6161
auto[1]6091
auto[2]5221
auto[3]6181
auto[4]5641
auto[5]5521
auto[6]5671
auto[7]5061
auto[8]5341
auto[9]5291
auto[10]5601
auto[11]5671
auto[12]5291
auto[13]5351
auto[14]5351
auto[15]5211
auto[16]5451
auto[17]5851
auto[18]5451
auto[19]5241
auto[20]5281
auto[21]5421
auto[22]5681
auto[23]5381
auto[24]5721
auto[25]5461
auto[26]5601
auto[27]5281
auto[28]5721
auto[29]5361
auto[30]5601
auto[31]5131

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00581
RD_01691
RD_02691
RD_03811
RD_04771
RD_05631
RD_06681
RD_07711
RD_08651
RD_09541
RD_0a761
RD_0b551
RD_0c721
RD_0d671
RD_0e641
RD_0f551
RD_10721
RD_11681
RD_12571
RD_13681
RD_14621
RD_15691
RD_16561
RD_17521
RD_18751
RD_19581
RD_1a671
RD_1b561
RD_1c741
RD_1d591
RD_1e581
RD_1f571

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00591
RD_01651
RD_02671
RD_03731
RD_04731
RD_05661
RD_06581
RD_07681
RD_08571
RD_09591
RD_0a671
RD_0b601
RD_0c631
RD_0d711
RD_0e671
RD_0f541
RD_10741
RD_11701
RD_12531
RD_13671
RD_14641
RD_15581
RD_16561
RD_17551
RD_18721
RD_19681
RD_1a691
RD_1b641
RD_1c681
RD_1d601
RD_1e621
RD_1f711

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO62251
auto_POSITIVE60271
auto_NEGATIVE53741

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61401
auto_POSITIVE61701
auto_NEGATIVE53161

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO31171
auto_POSITIVE83631
auto_NEGATIVE61461

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153741
BIT30_134751
BIT29_135021
BIT28_134831
BIT27_133631
BIT26_133401
BIT25_133151
BIT24_133441
BIT23_133121
BIT22_133111
BIT21_133321
BIT20_133731
BIT19_133631
BIT18_134251
BIT17_133681
BIT16_135491
BIT15_142441
BIT14_142941
BIT13_144761
BIT12_142431
BIT11_147231
BIT10_147911
BIT9_142941
BIT8_137121
BIT7_145571
BIT6_140501
BIT5_142471
BIT4_153381
BIT3_155121
BIT2_153761
BIT1_142731
BIT0_149871
BIT31_0122521
BIT30_0141511
BIT29_0141241
BIT28_0141431
BIT27_0142631
BIT26_0142861
BIT25_0143111
BIT24_0142821
BIT23_0143141
BIT22_0143151
BIT21_0142941
BIT20_0142531
BIT19_0142631
BIT18_0142011
BIT17_0142581
BIT16_0140771
BIT15_0133821
BIT14_0133321
BIT13_0131501
BIT12_0133831
BIT11_0129031
BIT10_0128351
BIT9_0133321
BIT8_0139141
BIT7_0130691
BIT6_0135761
BIT5_0133791
BIT4_0122881
BIT3_0121141
BIT2_0122501
BIT1_0133531
BIT0_0126391

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153161
BIT30_135181
BIT29_135111
BIT28_135501
BIT27_133941
BIT26_134261
BIT25_133981
BIT24_134301
BIT23_133751
BIT22_133801
BIT21_133721
BIT20_133711
BIT19_133611
BIT18_134151
BIT17_133881
BIT16_136121
BIT15_142991
BIT14_142421
BIT13_144741
BIT12_142941
BIT11_147131
BIT10_147111
BIT9_142901
BIT8_137811
BIT7_146021
BIT6_141151
BIT5_142571
BIT4_154021
BIT3_153991
BIT2_154531
BIT1_143231
BIT0_149841
BIT31_0123101
BIT30_0141081
BIT29_0141151
BIT28_0140761
BIT27_0142321
BIT26_0142001
BIT25_0142281
BIT24_0141961
BIT23_0142511
BIT22_0142461
BIT21_0142541
BIT20_0142551
BIT19_0142651
BIT18_0142111
BIT17_0142381
BIT16_0140141
BIT15_0133271
BIT14_0133841
BIT13_0131521
BIT12_0133321
BIT11_0129131
BIT10_0129151
BIT9_0133361
BIT8_0138451
BIT7_0130241
BIT6_0135111
BIT5_0133691
BIT4_0122241
BIT3_0122271
BIT2_0121731
BIT1_0133031
BIT0_0126421

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_161461
BIT30_146561
BIT29_145441
BIT28_145901
BIT27_144411
BIT26_144981
BIT25_144551
BIT24_144561
BIT23_144431
BIT22_145001
BIT21_144771
BIT20_145391
BIT19_145131
BIT18_147181
BIT17_153731
BIT16_154181
BIT15_159771
BIT14_158971
BIT13_163411
BIT12_162561
BIT11_162121
BIT10_159571
BIT9_161961
BIT8_156741
BIT7_162031
BIT6_164321
BIT5_166151
BIT4_169791
BIT3_166191
BIT2_168781
BIT1_143231
BIT0_149841
BIT31_0114801
BIT30_0129701
BIT29_0130821
BIT28_0130361
BIT27_0131851
BIT26_0131281
BIT25_0131711
BIT24_0131701
BIT23_0131831
BIT22_0131261
BIT21_0131491
BIT20_0130871
BIT19_0131131
BIT18_0129081
BIT17_0122531
BIT16_0122081
BIT15_0116491
BIT14_0117291
BIT13_0112851
BIT12_0113701
BIT11_0114141
BIT10_0116691
BIT9_0114301
BIT8_0119521
BIT7_0114231
BIT6_0111941
BIT5_0110111
BIT4_0106471
BIT3_0110071
BIT2_0107481
BIT1_0133031
BIT0_0126421

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO30451
auto_ZEROauto_POSITIVE17621
auto_ZEROauto_NEGATIVE14181
auto_POSITIVEauto_ZERO16021
auto_POSITIVEauto_POSITIVE26471
auto_POSITIVEauto_NEGATIVE17781
auto_NEGATIVEauto_ZERO14931
auto_NEGATIVEauto_POSITIVE17611
auto_NEGATIVEauto_NEGATIVE21201

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_5.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_5.html new file mode 100644 index 00000000..324f0412 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp7_5.html @@ -0,0 +1,1980 @@ + + + + + +Unified Coverage Report :: Group Instance : uvma_isacov_pkg.rv32zba_sh3add_cg + + + + + + + + + + + + +
+
+
+
+
+
+
+
+
+Summary for Variable cp_rs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5261
auto[1]5491
auto[2]4981
auto[3]5611
auto[4]5501
auto[5]5581
auto[6]5841
auto[7]5241
auto[8]5681
auto[9]5461
auto[10]5331
auto[11]5451
auto[12]5621
auto[13]5511
auto[14]5551
auto[15]5621
auto[16]5641
auto[17]5311
auto[18]5591
auto[19]5611
auto[20]5411
auto[21]5751
auto[22]5251
auto[23]5561
auto[24]5511
auto[25]5031
auto[26]5351
auto[27]5501
auto[28]5191
auto[29]5271
auto[30]5341
auto[31]5571

+
+
+Summary for Variable cp_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5461
auto[1]5741
auto[2]5791
auto[3]5151
auto[4]5351
auto[5]5501
auto[6]5651
auto[7]5461
auto[8]5191
auto[9]5401
auto[10]5521
auto[11]5721
auto[12]5541
auto[13]4991
auto[14]5521
auto[15]6091
auto[16]5491
auto[17]5521
auto[18]5461
auto[19]5131
auto[20]5611
auto[21]5461
auto[22]5511
auto[23]5241
auto[24]5721
auto[25]5301
auto[26]5501
auto[27]5121
auto[28]5741
auto[29]5151
auto[30]5201
auto[31]5381

+
+
+Summary for Variable cp_rd +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins32032100.00

+
+Automatically Generated Bins for cp_rd +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]5731
auto[1]5941
auto[2]5211
auto[3]5571
auto[4]5361
auto[5]5201
auto[6]5581
auto[7]5661
auto[8]5091
auto[9]5321
auto[10]5331
auto[11]5401
auto[12]5111
auto[13]5871
auto[14]5081
auto[15]5561
auto[16]5611
auto[17]5281
auto[18]5881
auto[19]5281
auto[20]5361
auto[21]5511
auto[22]5881
auto[23]5261
auto[24]5091
auto[25]5871
auto[26]5381
auto[27]5461
auto[28]5111
auto[29]5791
auto[30]5191
auto[31]5641

+
+
+Summary for Variable cp_rd_rs1_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs1_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00541
RD_01751
RD_02651
RD_03571
RD_04501
RD_05631
RD_06721
RD_07761
RD_08561
RD_09741
RD_0a511
RD_0b671
RD_0c631
RD_0d571
RD_0e521
RD_0f761
RD_10671
RD_11671
RD_12641
RD_13601
RD_14631
RD_15711
RD_16731
RD_17671
RD_18611
RD_19641
RD_1a731
RD_1b531
RD_1c521
RD_1d531
RD_1e661
RD_1f711

+
+
+Summary for Variable cp_rd_rs2_hazard +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins32032100.00

+
+User Defined Bins for cp_rd_rs2_hazard +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
RD_00491
RD_01791
RD_02601
RD_03551
RD_04571
RD_05681
RD_06741
RD_07801
RD_08591
RD_09811
RD_0a601
RD_0b761
RD_0c641
RD_0d651
RD_0e471
RD_0f861
RD_10741
RD_11701
RD_12691
RD_13601
RD_14641
RD_15791
RD_16651
RD_17801
RD_18591
RD_19611
RD_1a741
RD_1b511
RD_1c601
RD_1d511
RD_1e651
RD_1f791

+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO60701
auto_POSITIVE60761
auto_NEGATIVE53141

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO61131
auto_POSITIVE60691
auto_NEGATIVE52781

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO30661
auto_POSITIVE83121
auto_NEGATIVE60821

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_153141
BIT30_135131
BIT29_134561
BIT28_135231
BIT27_133881
BIT26_133741
BIT25_133281
BIT24_133701
BIT23_133241
BIT22_133721
BIT21_133301
BIT20_133131
BIT19_133421
BIT18_134301
BIT17_133541
BIT16_135431
BIT15_142821
BIT14_142631
BIT13_144621
BIT12_143011
BIT11_147531
BIT10_147231
BIT9_143251
BIT8_137531
BIT7_145161
BIT6_140271
BIT5_142721
BIT4_153461
BIT3_153941
BIT2_153651
BIT1_143101
BIT0_149271
BIT31_0121461
BIT30_0139471
BIT29_0140041
BIT28_0139371
BIT27_0140721
BIT26_0140861
BIT25_0141321
BIT24_0140901
BIT23_0141361
BIT22_0140881
BIT21_0141301
BIT20_0141471
BIT19_0141181
BIT18_0140301
BIT17_0141061
BIT16_0139171
BIT15_0131781
BIT14_0131971
BIT13_0129981
BIT12_0131591
BIT11_0127071
BIT10_0127371
BIT9_0131351
BIT8_0137071
BIT7_0129441
BIT6_0134331
BIT5_0131881
BIT4_0121141
BIT3_0120661
BIT2_0120951
BIT1_0131501
BIT0_0125331

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_152781
BIT30_134351
BIT29_134081
BIT28_134621
BIT27_133341
BIT26_133041
BIT25_133051
BIT24_132941
BIT23_132941
BIT22_132991
BIT21_132541
BIT20_132251
BIT19_133101
BIT18_132971
BIT17_132841
BIT16_134511
BIT15_142521
BIT14_141271
BIT13_143551
BIT12_141841
BIT11_146401
BIT10_146971
BIT9_141731
BIT8_136711
BIT7_144601
BIT6_140051
BIT5_142311
BIT4_153011
BIT3_153701
BIT2_152161
BIT1_142181
BIT0_149091
BIT31_0121821
BIT30_0140251
BIT29_0140521
BIT28_0139981
BIT27_0141261
BIT26_0141561
BIT25_0141551
BIT24_0141661
BIT23_0141661
BIT22_0141611
BIT21_0142061
BIT20_0142351
BIT19_0141501
BIT18_0141631
BIT17_0141761
BIT16_0140091
BIT15_0132081
BIT14_0133331
BIT13_0131051
BIT12_0132761
BIT11_0128201
BIT10_0127631
BIT9_0132871
BIT8_0137891
BIT7_0130001
BIT6_0134551
BIT5_0132291
BIT4_0121591
BIT3_0120901
BIT2_0122441
BIT1_0132421
BIT0_0125511

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_160821
BIT30_144991
BIT29_145441
BIT28_145401
BIT27_144471
BIT26_144011
BIT25_144401
BIT24_144011
BIT23_144011
BIT22_144271
BIT21_144891
BIT20_143951
BIT19_146531
BIT18_152451
BIT17_151711
BIT16_155281
BIT15_158831
BIT14_161761
BIT13_161981
BIT12_159641
BIT11_157911
BIT10_163711
BIT9_157531
BIT8_157521
BIT7_166421
BIT6_165181
BIT5_166941
BIT4_167011
BIT3_168371
BIT2_152161
BIT1_142181
BIT0_149091
BIT31_0113781
BIT30_0129611
BIT29_0129161
BIT28_0129201
BIT27_0130131
BIT26_0130591
BIT25_0130201
BIT24_0130591
BIT23_0130591
BIT22_0130331
BIT21_0129711
BIT20_0130651
BIT19_0128071
BIT18_0122151
BIT17_0122891
BIT16_0119321
BIT15_0115771
BIT14_0112841
BIT13_0112621
BIT12_0114961
BIT11_0116691
BIT10_0110891
BIT9_0117071
BIT8_0117081
BIT7_0108181
BIT6_0109421
BIT5_0107661
BIT4_0107591
BIT3_0106231
BIT2_0122441
BIT1_0132421
BIT0_0125511

+
+
+Summary for Cross cross_rd_rs1_rs2 +
+
+Samples crossed: cp_rd cp_rs1 cp_rs2
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rd_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+Summary for Cross cross_rs1_rs2_value +
+
+Samples crossed: cp_rs1_value cp_rs2_value
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
Automatically Generated Cross Bins909100.00

+
+Automatically Generated Cross Bins for cross_rs1_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTSTATUS
[auto_ZERO][auto_NON_ZERO]0Excluded
[auto_NON_ZERO][auto_ZERO , auto_NON_ZERO , auto_POSITIVE , auto_NEGATIVE]--Excluded(4 bins)
[auto_POSITIVE , auto_NEGATIVE][auto_NON_ZERO]--Excluded(2 bins)

+
+Covered bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
cp_rs1_valuecp_rs2_valueCOUNTAT LEAST
auto_ZEROauto_ZERO29861
auto_ZEROauto_POSITIVE16661
auto_ZEROauto_NEGATIVE14181
auto_POSITIVEauto_ZERO16911
auto_POSITIVEauto_POSITIVE26761
auto_POSITIVEauto_NEGATIVE17091
auto_NEGATIVEauto_ZERO14361
auto_NEGATIVEauto_POSITIVE17271
auto_NEGATIVEauto_NEGATIVE21511

+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp8.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp8.html new file mode 100644 index 00000000..f9e37259 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp8.html @@ -0,0 +1,4061 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ca(withChksum=28571194) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ca(withChksum=28571194)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ca(withChksum=28571194) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+3 Instances: +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_and_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_or_cg100.001 100 1 64 64
uvma_isacov_pkg.rv32c_xor_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_and_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_and_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2140214100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_and_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_c_rs2808100.00100118
cp_c_rdrs1808100.00100118
cp_rd_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs1_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32c_and_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_or_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_or_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2140214100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_or_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_c_rs2808100.00100118
cp_c_rdrs1808100.00100118
cp_rd_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs1_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32c_or_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_xor_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_xor_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2140214100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_xor_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value202100.00100110
cp_rs2_value202100.00100110
cp_rd_value202100.00100110
cp_c_rs2808100.00100118
cp_c_rdrs1808100.00100118
cp_rd_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs1_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32c_xor_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO38551
auto_NON_ZERO108931

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33041
auto_NON_ZERO114441

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO64741
auto_NON_ZERO82741

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]18901
auto[1]18421
auto[2]17051
auto[3]18971
auto[4]15361
auto[5]19511
auto[6]20651
auto[7]18621

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]15301
auto[1]21611
auto[2]17651
auto[3]18491
auto[4]20151
auto[5]19001
auto[6]20491
auto[7]14791

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_113151
BIT30_17891
BIT29_17841
BIT28_18001
BIT27_17621
BIT26_17641
BIT25_17591
BIT24_17761
BIT23_17491
BIT22_17891
BIT21_17761
BIT20_17571
BIT19_17851
BIT18_17571
BIT17_17931
BIT16_18431
BIT15_110821
BIT14_110461
BIT13_111451
BIT12_110801
BIT11_111601
BIT10_111491
BIT9_111331
BIT8_19691
BIT7_112551
BIT6_111431
BIT5_111801
BIT4_115631
BIT3_115331
BIT2_115331
BIT1_147241
BIT0_145581
BIT31_0134331
BIT30_0139591
BIT29_0139641
BIT28_0139481
BIT27_0139861
BIT26_0139841
BIT25_0139891
BIT24_0139721
BIT23_0139991
BIT22_0139591
BIT21_0139721
BIT20_0139911
BIT19_0139631
BIT18_0139911
BIT17_0139551
BIT16_0139051
BIT15_0136661
BIT14_0137021
BIT13_0136031
BIT12_0136681
BIT11_0135881
BIT10_0135991
BIT9_0136151
BIT8_0137791
BIT7_0134931
BIT6_0136051
BIT5_0135681
BIT4_0131851
BIT3_0132151
BIT2_0132151
BIT1_0100241
BIT0_0101901

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_135381
BIT30_121791
BIT29_121341
BIT28_122001
BIT27_121151
BIT26_120821
BIT25_120601
BIT24_121161
BIT23_120901
BIT22_121121
BIT21_121051
BIT20_121241
BIT19_121501
BIT18_121291
BIT17_121331
BIT16_122641
BIT15_130021
BIT14_128671
BIT13_131391
BIT12_129031
BIT11_132781
BIT10_133061
BIT9_129881
BIT8_125481
BIT7_133001
BIT6_127951
BIT5_128201
BIT4_138691
BIT3_137801
BIT2_138321
BIT1_166901
BIT0_170001
BIT31_0112101
BIT30_0125691
BIT29_0126141
BIT28_0125481
BIT27_0126331
BIT26_0126661
BIT25_0126881
BIT24_0126321
BIT23_0126581
BIT22_0126361
BIT21_0126431
BIT20_0126241
BIT19_0125981
BIT18_0126191
BIT17_0126151
BIT16_0124841
BIT15_0117461
BIT14_0118811
BIT13_0116091
BIT12_0118451
BIT11_0114701
BIT10_0114421
BIT9_0117601
BIT8_0122001
BIT7_0114481
BIT6_0119531
BIT5_0119281
BIT4_0108791
BIT3_0109681
BIT2_0109161
BIT1_080581
BIT0_077481

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129211
BIT30_123621
BIT29_123541
BIT28_123411
BIT27_122771
BIT26_122781
BIT25_122731
BIT24_122831
BIT23_122781
BIT22_122651
BIT21_122621
BIT20_122651
BIT19_122761
BIT18_122701
BIT17_122981
BIT16_124091
BIT15_126911
BIT14_126221
BIT13_127651
BIT12_127201
BIT11_127121
BIT10_126891
BIT9_127901
BIT8_126321
BIT7_141721
BIT6_145271
BIT5_153581
BIT4_152581
BIT3_138971
BIT2_135531
BIT1_165851
BIT0_164571
BIT31_0118271
BIT30_0123861
BIT29_0123941
BIT28_0124071
BIT27_0124711
BIT26_0124701
BIT25_0124751
BIT24_0124651
BIT23_0124701
BIT22_0124831
BIT21_0124861
BIT20_0124831
BIT19_0124721
BIT18_0124781
BIT17_0124501
BIT16_0123391
BIT15_0120571
BIT14_0121261
BIT13_0119831
BIT12_0120281
BIT11_0120361
BIT10_0120591
BIT9_0119581
BIT8_0121161
BIT7_0105761
BIT6_0102211
BIT5_093901
BIT4_094901
BIT3_0108511
BIT2_0111951
BIT1_081631
BIT0_082911

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_c_rs2 cp_c_rdrs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO36811
auto_NON_ZERO72851

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33741
auto_NON_ZERO75921

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO17351
auto_NON_ZERO92311

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]14361
auto[1]13781
auto[2]12901
auto[3]14661
auto[4]13861
auto[5]13171
auto[6]13461
auto[7]13471

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13241
auto[1]13521
auto[2]13641
auto[3]13181
auto[4]13221
auto[5]13921
auto[6]14441
auto[7]14501

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_150701
BIT30_136951
BIT29_137251
BIT28_137571
BIT27_136761
BIT26_136471
BIT25_136121
BIT24_135841
BIT23_136141
BIT22_136171
BIT21_136191
BIT20_136161
BIT19_135871
BIT18_136231
BIT17_136181
BIT16_138831
BIT15_144911
BIT14_144321
BIT13_146981
BIT12_145371
BIT11_148031
BIT10_148481
BIT9_146041
BIT8_141291
BIT7_149051
BIT6_145801
BIT5_146851
BIT4_156061
BIT3_155501
BIT2_155791
BIT1_146531
BIT0_151231
BIT31_058961
BIT30_072711
BIT29_072411
BIT28_072091
BIT27_072901
BIT26_073191
BIT25_073541
BIT24_073821
BIT23_073521
BIT22_073491
BIT21_073471
BIT20_073501
BIT19_073791
BIT18_073431
BIT17_073481
BIT16_070831
BIT15_064751
BIT14_065341
BIT13_062681
BIT12_064291
BIT11_061631
BIT10_061181
BIT9_063621
BIT8_068371
BIT7_060611
BIT6_063861
BIT5_062811
BIT4_053601
BIT3_054161
BIT2_053871
BIT1_063131
BIT0_058431

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_135341
BIT30_121391
BIT29_121341
BIT28_121711
BIT27_120921
BIT26_120741
BIT25_120531
BIT24_120511
BIT23_120541
BIT22_120671
BIT21_120641
BIT20_121061
BIT19_120411
BIT18_120921
BIT17_120721
BIT16_122591
BIT15_128151
BIT14_127871
BIT13_130371
BIT12_128591
BIT11_132491
BIT10_132981
BIT9_129521
BIT8_124581
BIT7_131561
BIT6_127661
BIT5_127981
BIT4_138451
BIT3_138491
BIT2_138531
BIT1_128901
BIT0_131801
BIT31_074321
BIT30_088271
BIT29_088321
BIT28_087951
BIT27_088741
BIT26_088921
BIT25_089131
BIT24_089151
BIT23_089121
BIT22_088991
BIT21_089021
BIT20_088601
BIT19_089251
BIT18_088741
BIT17_088941
BIT16_087071
BIT15_081511
BIT14_081791
BIT13_079291
BIT12_081071
BIT11_077171
BIT10_076681
BIT9_080141
BIT8_085081
BIT7_078101
BIT6_082001
BIT5_081681
BIT4_071211
BIT3_071171
BIT2_071131
BIT1_080761
BIT0_077861

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129021
BIT30_123381
BIT29_123431
BIT28_123761
BIT27_123301
BIT26_122991
BIT25_123061
BIT24_122831
BIT23_122961
BIT22_122911
BIT21_122961
BIT20_122661
BIT19_122651
BIT18_122851
BIT17_123001
BIT16_124601
BIT15_127431
BIT14_127161
BIT13_128291
BIT12_127791
BIT11_127391
BIT10_127601
BIT9_127921
BIT8_126231
BIT7_130011
BIT6_129531
BIT5_129971
BIT4_133381
BIT3_133221
BIT2_133021
BIT1_129971
BIT0_133741
BIT31_080641
BIT30_086281
BIT29_086231
BIT28_085901
BIT27_086361
BIT26_086671
BIT25_086601
BIT24_086831
BIT23_086701
BIT22_086751
BIT21_086701
BIT20_087001
BIT19_087011
BIT18_086811
BIT17_086661
BIT16_085061
BIT15_082231
BIT14_082501
BIT13_081371
BIT12_081871
BIT11_082271
BIT10_082061
BIT9_081741
BIT8_083431
BIT7_079651
BIT6_080131
BIT5_079691
BIT4_076281
BIT3_076441
BIT2_076641
BIT1_079691
BIT0_075921

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_c_rs2 cp_c_rdrs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO36861
auto_NON_ZERO71481

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33721
auto_NON_ZERO74621

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins202100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTSTATUS
auto_POSITIVE0Excluded
auto_NEGATIVE0Excluded
NEG_OFF0Excluded
POS_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO29581
auto_NON_ZERO78761

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13101
auto[1]13451
auto[2]13741
auto[3]13761
auto[4]14151
auto[5]13871
auto[6]13151
auto[7]13121

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13301
auto[1]13491
auto[2]14111
auto[3]13941
auto[4]12921
auto[5]13501
auto[6]13831
auto[7]13251

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_137901
BIT30_128941
BIT29_128881
BIT28_128941
BIT27_128171
BIT26_128011
BIT25_128101
BIT24_127841
BIT23_128111
BIT22_128121
BIT21_128191
BIT20_128041
BIT19_128141
BIT18_128451
BIT17_128361
BIT16_129841
BIT15_133971
BIT14_133131
BIT13_135431
BIT12_133271
BIT11_135751
BIT10_136221
BIT9_134151
BIT8_131501
BIT7_136161
BIT6_133181
BIT5_133741
BIT4_139321
BIT3_139711
BIT2_139021
BIT1_134191
BIT0_135851
BIT31_070441
BIT30_079401
BIT29_079461
BIT28_079401
BIT27_080171
BIT26_080331
BIT25_080241
BIT24_080501
BIT23_080231
BIT22_080221
BIT21_080151
BIT20_080301
BIT19_080201
BIT18_079891
BIT17_079981
BIT16_078501
BIT15_074371
BIT14_075211
BIT13_072911
BIT12_075071
BIT11_072591
BIT10_072121
BIT9_074191
BIT8_076841
BIT7_072181
BIT6_075161
BIT5_074601
BIT4_069021
BIT3_068631
BIT2_069321
BIT1_074151
BIT0_072491

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_135561
BIT30_121301
BIT29_121311
BIT28_121261
BIT27_121041
BIT26_120931
BIT25_120221
BIT24_120521
BIT23_120751
BIT22_120111
BIT21_120331
BIT20_120371
BIT19_120611
BIT18_120661
BIT17_120601
BIT16_121961
BIT15_127831
BIT14_127381
BIT13_130151
BIT12_127621
BIT11_132011
BIT10_131871
BIT9_128711
BIT8_124191
BIT7_131421
BIT6_127251
BIT5_127501
BIT4_137651
BIT3_137211
BIT2_137951
BIT1_127821
BIT0_130941
BIT31_072781
BIT30_087041
BIT29_087031
BIT28_087081
BIT27_087301
BIT26_087411
BIT25_088121
BIT24_087821
BIT23_087591
BIT22_088231
BIT21_088011
BIT20_087971
BIT19_087731
BIT18_087681
BIT17_087741
BIT16_086381
BIT15_080511
BIT14_080961
BIT13_078191
BIT12_080721
BIT11_076331
BIT10_076471
BIT9_079631
BIT8_084151
BIT7_076921
BIT6_081091
BIT5_080841
BIT4_070691
BIT3_071131
BIT2_070391
BIT1_080521
BIT0_077401

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_128401
BIT30_122741
BIT29_122691
BIT28_122841
BIT27_122371
BIT26_122021
BIT25_122021
BIT24_122181
BIT23_122441
BIT22_122011
BIT21_122241
BIT20_122511
BIT19_122291
BIT18_122391
BIT17_121961
BIT16_123841
BIT15_126221
BIT14_125951
BIT13_127361
BIT12_126491
BIT11_126521
BIT10_127291
BIT9_126821
BIT8_126051
BIT7_130421
BIT6_128511
BIT5_129481
BIT4_132631
BIT3_132301
BIT2_133271
BIT1_130191
BIT0_132811
BIT31_079941
BIT30_085601
BIT29_085651
BIT28_085501
BIT27_085971
BIT26_086321
BIT25_086321
BIT24_086161
BIT23_085901
BIT22_086331
BIT21_086101
BIT20_085831
BIT19_086051
BIT18_085951
BIT17_086381
BIT16_084501
BIT15_082121
BIT14_082391
BIT13_080981
BIT12_081851
BIT11_081821
BIT10_081051
BIT9_081521
BIT8_082291
BIT7_077921
BIT6_079831
BIT5_078861
BIT4_075711
BIT3_076041
BIT2_075071
BIT1_078151
BIT0_075531

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_c_rs2 cp_c_rdrs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp80.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp80.html new file mode 100644 index 00000000..2e07bdb7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp80.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr40::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr40.pmpaddr40__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr40.pmpaddr40__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr40.pmpaddr40__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR40101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR40 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR40 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp81.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp81.html new file mode 100644 index 00000000..6d6ef62e --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp81.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter22::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter22.mhpmcounter22__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER22404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER22 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER22 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]501
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]141
legal_values241

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp82.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp82.html new file mode 100644 index 00000000..5d0f4189 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp82.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr43::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr43.pmpaddr43__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr43.pmpaddr43__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr43.pmpaddr43__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR43101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR43 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR43 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01291

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp83.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp83.html new file mode 100644 index 00000000..14638cd0 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp83.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr28::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr28.pmpaddr28__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr28.pmpaddr28__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr28.pmpaddr28__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR28404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR28 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR28 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]211
illegal_values[1431655766:2863311530]31
illegal_values[2863311531:ffffffff]31
legal_values191

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp84.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp84.html new file mode 100644 index 00000000..1011f6cb --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp84.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent24::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent24.mhpmevent24__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent24.mhpmevent24__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent24.mhpmevent24__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT24404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT24 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT24 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]111
legal_values281

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp85.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp85.html new file mode 100644 index 00000000..1a219b91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp85.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr5::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr5.pmpaddr5__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr5.pmpaddr5__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr5.pmpaddr5__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR5404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR5 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR5 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]451
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]161
legal_values241

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp86.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp86.html new file mode 100644 index 00000000..08a7656d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp86.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter8h::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter8h.mhpmcounter8h__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER8H101100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER8H +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for MHPMCOUNTER8H +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_02351

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp87.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp87.html new file mode 100644 index 00000000..6a765b95 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp87.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent27::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent27.mhpmevent27__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent27.mhpmevent27__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent27.mhpmevent27__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT27404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT27 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT27 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]111
illegal_values[2863311531:ffffffff]141
legal_values341

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp88.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp88.html new file mode 100644 index 00000000..87346cef --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp88.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr22::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr22.pmpaddr22__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr22.pmpaddr22__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr22.pmpaddr22__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR22101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR22 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR22 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01501

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp89.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp89.html new file mode 100644 index 00000000..e762e0ac --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp89.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr60::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr60.pmpaddr60__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr60.pmpaddr60__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr60.pmpaddr60__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR60101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR60 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR60 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp9.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp9.html new file mode 100644 index 00000000..60f59543 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp9.html @@ -0,0 +1,1413 @@ + + + + + +Unified Coverage Report :: Group :: uvma_isacov_pkg::cg_ca(withChksum=2304086666) + + + + + + + + + + +
+ +
Group : uvma_isacov_pkg::cg_ca(withChksum=2304086666)
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvma_isacov_pkg::cg_ca(withChksum=2304086666) +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/sim//../core-v-verif/lib/uvm_agents/uvma_isacov/cov/uvma_isacov_cov_model.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
uvma_isacov_pkg.rv32c_sub_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : uvma_isacov_pkg.rv32c_sub_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance uvma_isacov_pkg.rv32c_sub_cg + +
+
+ + + + + + + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables2170217100.00
Crosses000

+
+Variables for Group Instance uvma_isacov_pkg.rv32c_sub_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
cp_rs1_value303100.00100110
cp_rs2_value303100.00100110
cp_rd_value303100.00100110
cp_c_rs2808100.00100118
cp_c_rdrs1808100.00100118
cp_rd_toggle64064100.00100110
cp_rs2_toggle64064100.00100110
cp_rs1_toggle64064100.00100110

+
+Crosses for Group Instance uvma_isacov_pkg.rv32c_sub_cg + +
+ + + + + + + + + + + + + +
CROSSEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTPRINT MISSINGCOMMENT
cross_rs1_rs200010

+
+
+
+
+
+
+Summary for Variable cp_rs1_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs1_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO36581
auto_POSITIVE42541
auto_NEGATIVE29311

+
+
+Summary for Variable cp_rs2_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rs2_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO33591
auto_POSITIVE39461
auto_NEGATIVE35381

+
+
+Summary for Variable cp_rd_value +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins303100.00

+
+Automatically Generated Bins for cp_rd_value +
+
+Excluded/Illegal bins +
+ + + + + + + + + + +
NAMECOUNTSTATUS
auto_NON_ZERO0Excluded
NON_ZERO_OFF0Excluded

+
+Covered bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto_ZERO28921
auto_POSITIVE41721
auto_NEGATIVE37791

+
+
+Summary for Variable cp_c_rs2 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rs2 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]12791
auto[1]13451
auto[2]13511
auto[3]13671
auto[4]13641
auto[5]13631
auto[6]14721
auto[7]13021

+
+
+Summary for Variable cp_c_rdrs1 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Automatically Generated Bins808100.00

+
+Automatically Generated Bins for cp_c_rdrs1 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
auto[0]13401
auto[1]13341
auto[2]14361
auto[3]13271
auto[4]13531
auto[5]13291
auto[6]13761
auto[7]13481

+
+
+Summary for Variable cp_rd_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rd_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_137791
BIT30_142091
BIT29_142331
BIT28_142091
BIT27_142071
BIT26_142201
BIT25_142501
BIT24_142561
BIT23_142081
BIT22_142851
BIT21_142461
BIT20_142511
BIT19_142981
BIT18_142211
BIT17_141881
BIT16_142241
BIT15_138251
BIT14_139331
BIT13_137001
BIT12_139421
BIT11_135711
BIT10_135461
BIT9_138381
BIT8_139681
BIT7_137841
BIT6_139741
BIT5_140251
BIT4_135611
BIT3_133991
BIT2_138581
BIT1_133211
BIT0_136011
BIT31_070641
BIT30_066341
BIT29_066101
BIT28_066341
BIT27_066361
BIT26_066231
BIT25_065931
BIT24_065871
BIT23_066351
BIT22_065581
BIT21_065971
BIT20_065921
BIT19_065451
BIT18_066221
BIT17_066551
BIT16_066191
BIT15_070181
BIT14_069101
BIT13_071431
BIT12_069011
BIT11_072721
BIT10_072971
BIT9_070051
BIT8_068751
BIT7_070591
BIT6_068691
BIT5_068181
BIT4_072821
BIT3_074441
BIT2_069851
BIT1_075221
BIT0_072421

+
+
+Summary for Variable cp_rs2_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs2_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_135381
BIT30_121571
BIT29_121231
BIT28_121591
BIT27_120921
BIT26_120701
BIT25_120691
BIT24_120781
BIT23_120961
BIT22_120711
BIT21_121031
BIT20_120831
BIT19_120631
BIT18_120531
BIT17_121001
BIT16_122081
BIT15_129281
BIT14_127961
BIT13_130481
BIT12_128451
BIT11_131991
BIT10_132971
BIT9_128981
BIT8_123961
BIT7_131321
BIT6_126631
BIT5_127291
BIT4_137331
BIT3_137821
BIT2_137361
BIT1_127721
BIT0_130831
BIT31_073051
BIT30_086861
BIT29_087201
BIT28_086841
BIT27_087511
BIT26_087731
BIT25_087741
BIT24_087651
BIT23_087471
BIT22_087721
BIT21_087401
BIT20_087601
BIT19_087801
BIT18_087901
BIT17_087431
BIT16_086351
BIT15_079151
BIT14_080471
BIT13_077951
BIT12_079981
BIT11_076441
BIT10_075461
BIT9_079451
BIT8_084471
BIT7_077111
BIT6_081801
BIT5_081141
BIT4_071101
BIT3_070611
BIT2_071071
BIT1_080711
BIT0_077601

+
+
+Summary for Variable cp_rs1_toggle +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins64064100.00

+
+User Defined Bins for cp_rs1_toggle +
+
+Bins +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
BIT31_129311
BIT30_123651
BIT29_123511
BIT28_123731
BIT27_122941
BIT26_123131
BIT25_122721
BIT24_122941
BIT23_122941
BIT22_123021
BIT21_123011
BIT20_122911
BIT19_123031
BIT18_122421
BIT17_122611
BIT16_124191
BIT15_126851
BIT14_126681
BIT13_127211
BIT12_126881
BIT11_127141
BIT10_127161
BIT9_127251
BIT8_126151
BIT7_129871
BIT6_129271
BIT5_129981
BIT4_133581
BIT3_133191
BIT2_132881
BIT1_130091
BIT0_132441
BIT31_079121
BIT30_084781
BIT29_084921
BIT28_084701
BIT27_085491
BIT26_085301
BIT25_085711
BIT24_085491
BIT23_085491
BIT22_085411
BIT21_085421
BIT20_085521
BIT19_085401
BIT18_086011
BIT17_085821
BIT16_084241
BIT15_081581
BIT14_081751
BIT13_081221
BIT12_081551
BIT11_081291
BIT10_081271
BIT9_081181
BIT8_082281
BIT7_078561
BIT6_079161
BIT5_078451
BIT4_074851
BIT3_075241
BIT2_075551
BIT1_078341
BIT0_075991

+
+
+Summary for Cross cross_rs1_rs2 +
+
+Samples crossed: cp_c_rs2 cp_c_rdrs1
+ + + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENTMISSING
User Defined Cross Bins000

+
+User Defined Cross Bins for cross_rs1_rs2 +
+
+Excluded/Illegal bins +
+ + + + + + +
NAMECOUNTSTATUS
IGN_OFF0Excluded

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp90.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp90.html new file mode 100644 index 00000000..2e5ec1d7 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp90.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr49::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr49.pmpaddr49__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr49.pmpaddr49__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr49.pmpaddr49__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR49404100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR49 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for PMPADDR49 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]281
illegal_values[1431655766:2863311530]41
illegal_values[2863311531:ffffffff]41
legal_values161

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp91.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp91.html new file mode 100644 index 00000000..ad4dcc30 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp91.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent26::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent26.mhpmevent26__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent26.mhpmevent26__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent26.mhpmevent26__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT26404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT26 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT26 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]551
illegal_values[1431655766:2863311530]101
illegal_values[2863311531:ffffffff]111
legal_values261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp92.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp92.html new file mode 100644 index 00000000..29046f91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp92.html @@ -0,0 +1,252 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mtvec::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mtvec::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mtvec::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mtvec.mtvec__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mtvec.mtvec__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mtvec.mtvec__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables505100.00

+
+Variables for Group Instance csr_reg_cov.mtvec.mtvec__write_cg + +
+ + + + + + + + + + + + + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
BASE202100.00100110
MODE303100.00100110

+
+
+
+
+
+
+Summary for Variable BASE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins202100.00

+
+User Defined Bins for BASE +
+
+Bins +
+ + + + + + + + + + +
NAMECOUNTAT LEAST
other_values24161
reset_value91

+
+
+Summary for Variable MODE +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins303100.00

+
+User Defined Bins for MODE +
+
+Bins +
+ + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1]81
illegal_values[2:3]161
legal_values24011

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp93.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp93.html new file mode 100644 index 00000000..b771e62d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp93.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter11::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter11.mhpmcounter11__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER11404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER11 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER11 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]561
illegal_values[1431655766:2863311530]151
illegal_values[2863311531:ffffffff]181
legal_values321

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp94.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp94.html new file mode 100644 index 00000000..4c355009 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp94.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent20::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent20.mhpmevent20__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent20.mhpmevent20__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent20.mhpmevent20__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT20404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT20 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT20 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]461
illegal_values[1431655766:2863311530]91
illegal_values[2863311531:ffffffff]141
legal_values251

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp95.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp95.html new file mode 100644 index 00000000..41cbeb5c --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp95.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr47::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr47.pmpaddr47__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr47.pmpaddr47__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr47.pmpaddr47__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR47101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR47 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR47 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01341

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp96.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp96.html new file mode 100644 index 00000000..e63d4f2d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp96.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent21::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent21.mhpmevent21__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent21.mhpmevent21__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent21.mhpmevent21__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT21404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT21 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT21 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]581
illegal_values[1431655766:2863311530]61
illegal_values[2863311531:ffffffff]131
legal_values261

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp97.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp97.html new file mode 100644 index 00000000..27dfc8a6 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp97.html @@ -0,0 +1,200 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_pmpaddr26::reg_rd_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.pmpaddr26.pmpaddr26__read_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.pmpaddr26.pmpaddr26__read_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables101100.00

+
+Variables for Group Instance csr_reg_cov.pmpaddr26.pmpaddr26__read_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
PMPADDR26101100.00100110

+
+
+
+
+
+
+Summary for Variable PMPADDR26 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins101100.00

+
+User Defined Bins for PMPADDR26 +
+
+Bins +
+ + + + + + +
NAMECOUNTAT LEAST
legal_values_01351

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp98.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp98.html new file mode 100644 index 00000000..12b8928d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp98.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmevent22::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmevent22.mhpmevent22__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmevent22.mhpmevent22__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmevent22.mhpmevent22__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMEVENT22404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMEVENT22 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMEVENT22 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]611
illegal_values[1431655766:2863311530]81
illegal_values[2863311531:ffffffff]161
legal_values321

+
+
+
+ +
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp99.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp99.html new file mode 100644 index 00000000..0e6b3002 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/grp99.html @@ -0,0 +1,212 @@ + + + + + +Unified Coverage Report :: Group :: uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg + + + + + + + + + + +
+ +
Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+
+
+Group : uvme_cva6_pkg::reg_mhpmcounter29::reg_wr_cg +
+ + + + + + + + + + + +
SCOREINSTANCESWEIGHTGOALAT LEASTPER INSTANCEAUTO BIN MAXPRINT MISSING
100.00100.001 100 1 1 64 64

+
+Source File(s) : +
+/gitlab-runner/riscv-unsecure/builds/pcHoz_AFu/8/riscv/nonsecure/cva6/verif/env/uvme/reg/cva6_csr_reg_file.sv
+
+1 Instances: +
+ + + + + + + + + + +
NAMESCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg100.001 100 1 64 64

+
+
+
+
+ +
+
+
+Group Instance : csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg +
+ + + + + + + + + +
SCOREWEIGHTGOALAT LEASTAUTO BIN MAXPRINT MISSING
100.001 100 1 64 64

+
+
+
+
+Summary for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
Variables404100.00

+
+Variables for Group Instance csr_reg_cov.mhpmcounter29.mhpmcounter29__write_cg + +
+ + + + + + + + + + + + + +
VARIABLEEXPECTEDUNCOVEREDCOVEREDPERCENTGOALWEIGHTAT LEASTAUTO BIN MAXCOMMENT
MHPMCOUNTER29404100.00100110

+
+
+
+
+
+
+Summary for Variable MHPMCOUNTER29 +
+
+ + + + + + + + +
CATEGORYEXPECTEDUNCOVEREDCOVEREDPERCENT
User Defined Bins404100.00

+
+User Defined Bins for MHPMCOUNTER29 +
+
+Bins +
+ + + + + + + + + + + + + + + + + + +
NAMECOUNTAT LEAST
illegal_values[1:1431655765]591
illegal_values[1431655766:2863311530]151
illegal_values[2863311531:ffffffff]131
legal_values271

+
+
+ +
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.html new file mode 100644 index 00000000..a4a9c3ec --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hierarchy.html @@ -0,0 +1,484 @@ + + + + + +Unified Coverage Report :: Design Hierarchy + + + + + + + + + + + +
+ +
Design Hierarchy
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+ + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERT
uvmt_cva6_tb 88.08 99.79 98.39 66.06
cva6_dut_wrap 88.08 99.79 98.39 66.06
cva6_tb_wrapper_i 99.09 99.79 98.39
cva6_only_pipeline.i_cva6_pipeline 99.09 99.79 98.39
commit_stage_i100.00100.00100.00
controller_i100.00100.00100.00
csr_regfile_i 98.78100.00 97.56
ex_stage_i 99.81 99.81 99.81
alu_i100.00100.00100.00
gen_bitmanip.i_clz_64b100.00100.00100.00
branch_unit_i100.00100.00100.00
csr_buffer_i100.00100.00100.00
gen_cvxif.cvxif_fu_i100.00100.00100.00
i_mult 99.83100.00 99.66
i_div 99.82100.00 99.64
i_lzc_a100.00100.00100.00
i_lzc_b100.00100.00100.00
i_multiplier100.00100.00100.00
lsu_i 99.83 99.65100.00
i_load_unit100.00100.00100.00
i_store_unit100.00100.00100.00
store_buffer_i100.00100.00100.00
lsu_bypass_i100.00100.00100.00
i_frontend 99.70100.00 99.39
bht_gen.i_bht100.00100.00100.00
gen_instr_scan[0].i_instr_scan100.00100.00100.00
i_instr_queue100.00100.00100.00
gen_instr_fifo[0].i_fifo_instr_data100.00100.00100.00
gen_multiple_instr_per_fetch_with_C.i_lzc_branch_index100.00100.00100.00
i_instr_realign100.00100.00100.00
ras_gen.i_ras100.00100.00100.00
id_stage_i 99.12 99.42 98.81
genblk1.genblk1[0].compressed_decoder_i 99.06 98.11100.00
genblk1.genblk6.i_cvxif_compressed_if_driver_i100.00100.00100.00
genblk2[0].decoder_i 99.12 99.73 98.51
issue_stage_i 97.36 99.62 95.10
i_issue_read_operands 97.46100.00 94.92
gen_asic_regfile.i_ariane_regfile100.00100.00100.00
genblk5[0].i_sel_rs1 94.19 94.19
genblk5[0].i_sel_rs2 94.19 94.19
genblk5[0].i_sel_rs3 94.19 94.19
i_cvxif_issue_register_commit_if_driver100.00100.00100.00
i_scoreboard 97.78 98.18 97.37
cvxif_assert 92.31 92.31
interrupt_assert100.00100.00
obi_fetch_assert 61.29 61.29
u_assert 61.29 61.29
gen_1p2.u_1p2_assert 50.00 50.00
obi_load_assert 61.29 61.29
u_assert 61.29 61.29
gen_1p2.u_1p2_assert 50.00 50.00
obi_store_assert 61.29 61.29
u_assert 61.29 61.29
gen_1p2.u_1p2_assert 50.00 50.00
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.html new file mode 100644 index 00000000..89723bd2 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan.html @@ -0,0 +1,153 @@ + + + + + +Unified Coverage Report :: HVP Hierarchy + + + + + + + + + + + +
+ +
HVP Hierarchy
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUPweightdescriptionComment
CVA6 Verification Master Plan + 97.02 99.79 98.39 92.31 97.581CVA6 Verification Master Plan
Programmer view level + 94.94 92.31 97.581CVA6 features for programmer view
subtree...
Code coverage + 99.09 99.79 98.391
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan1.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan1.html new file mode 100644 index 00000000..0ed6ca91 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan1.html @@ -0,0 +1,2350 @@ + + + + + +Unified Coverage Report :: HVP Hierarchy + + + + + + + + + + + +
+ +
HVP Hierarchy
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+Go up
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUPweightdescriptionComment
CSR access +100.00100.001CSR registers access.
+Specification: Done, Dvplan: Done, Verification execution: Done
MSTATUS +100.00100.001
MISA +100.00100.001
MIE +100.00100.001
MTVEC +100.00100.001
MSTATUSH +100.00100.001
MHPMEVENT3 +100.00100.001
MHPMEVENT4 +100.00100.001
MHPMEVENT5 +100.00100.001
MHPMEVENT6 +100.00100.001
MHPMEVENT7 +100.00100.001
MHPMEVENT8 +100.00100.001
MHPMEVENT9 +100.00100.001
MHPMEVENT10 +100.00100.001
MHPMEVENT11 +100.00100.001
MHPMEVENT12 +100.00100.001
MHPMEVENT13 +100.00100.001
MHPMEVENT14 +100.00100.001
MHPMEVENT15 +100.00100.001
MHPMEVENT16 +100.00100.001
MHPMEVENT17 +100.00100.001
MHPMEVENT18 +100.00100.001
MHPMEVENT19 +100.00100.001
MHPMEVENT20 +100.00100.001
MHPMEVENT21 +100.00100.001
MHPMEVENT22 +100.00100.001
MHPMEVENT23 +100.00100.001
MHPMEVENT24 +100.00100.001
MHPMEVENT25 +100.00100.001
MHPMEVENT26 +100.00100.001
MHPMEVENT27 +100.00100.001
MHPMEVENT28 +100.00100.001
MHPMEVENT29 +100.00100.001
MHPMEVENT30 +100.00100.001
MHPMEVENT31 +100.00100.001
MSCRATCH +100.00100.001
MEPC +100.00100.001
MCAUSE +100.00100.001
MTVAL +100.00100.001
MIP +100.00100.001
PMPCFG0 +100.00100.001
PMPCFG1 +100.00100.001
PMPCFG2 +100.00100.001
PMPCFG3 +100.00100.001
PMPCFG4 +100.00100.001
PMPCFG5 +100.00100.001
PMPCFG6 +100.00100.001
PMPCFG7 +100.00100.001
PMPCFG8 +100.00100.001
PMPCFG9 +100.00100.001
PMPCFG10 +100.00100.001
PMPCFG11 +100.00100.001
PMPCFG12 +100.00100.001
PMPCFG13 +100.00100.001
PMPCFG14 +100.00100.001
PMPCFG15 +100.00100.001
PMPADDR0 +100.00100.001
PMPADDR1 +100.00100.001
PMPADDR2 +100.00100.001
PMPADDR3 +100.00100.001
PMPADDR4 +100.00100.001
PMPADDR5 +100.00100.001
PMPADDR6 +100.00100.001
PMPADDR7 +100.00100.001
PMPADDR8 +100.00100.001
PMPADDR9 +100.00100.001
PMPADDR10 +100.00100.001
PMPADDR11 +100.00100.001
PMPADDR12 +100.00100.001
PMPADDR13 +100.00100.001
PMPADDR14 +100.00100.001
PMPADDR15 +100.00100.001
PMPADDR16 +100.00100.001
PMPADDR17 +100.00100.001
PMPADDR18 +100.00100.001
PMPADDR19 +100.00100.001
PMPADDR20 +100.00100.001
PMPADDR21 +100.00100.001
PMPADDR22 +100.00100.001
PMPADDR23 +100.00100.001
PMPADDR24 +100.00100.001
PMPADDR25 +100.00100.001
PMPADDR26 +100.00100.001
PMPADDR27 +100.00100.001
PMPADDR28 +100.00100.001
PMPADDR29 +100.00100.001
PMPADDR30 +100.00100.001
PMPADDR31 +100.00100.001
PMPADDR32 +100.00100.001
PMPADDR33 +100.00100.001
PMPADDR34 +100.00100.001
PMPADDR35 +100.00100.001
PMPADDR36 +100.00100.001
PMPADDR37 +100.00100.001
PMPADDR38 +100.00100.001
PMPADDR39 +100.00100.001
PMPADDR40 +100.00100.001
PMPADDR41 +100.00100.001
PMPADDR42 +100.00100.001
PMPADDR43 +100.00100.001
PMPADDR44 +100.00100.001
PMPADDR45 +100.00100.001
PMPADDR46 +100.00100.001
PMPADDR47 +100.00100.001
PMPADDR48 +100.00100.001
PMPADDR49 +100.00100.001
PMPADDR50 +100.00100.001
PMPADDR51 +100.00100.001
PMPADDR52 +100.00100.001
PMPADDR53 +100.00100.001
PMPADDR54 +100.00100.001
PMPADDR55 +100.00100.001
PMPADDR56 +100.00100.001
PMPADDR57 +100.00100.001
PMPADDR58 +100.00100.001
PMPADDR59 +100.00100.001
PMPADDR60 +100.00100.001
PMPADDR61 +100.00100.001
PMPADDR62 +100.00100.001
PMPADDR63 +100.00100.001
MCYCLE +100.00100.001
MINSTRET +100.00100.001
MHPMCOUNTER3 +100.00100.001
MHPMCOUNTER4 +100.00100.001
MHPMCOUNTER5 +100.00100.001
MHPMCOUNTER6 +100.00100.001
MHPMCOUNTER7 +100.00100.001
MHPMCOUNTER8 +100.00100.001
MHPMCOUNTER9 +100.00100.001
MHPMCOUNTER10 +100.00100.001
MHPMCOUNTER11 +100.00100.001
MHPMCOUNTER12 +100.00100.001
MHPMCOUNTER13 +100.00100.001
MHPMCOUNTER14 +100.00100.001
MHPMCOUNTER15 +100.00100.001
MHPMCOUNTER16 +100.00100.001
MHPMCOUNTER17 +100.00100.001
MHPMCOUNTER18 +100.00100.001
MHPMCOUNTER19 +100.00100.001
MHPMCOUNTER20 +100.00100.001
MHPMCOUNTER21 +100.00100.001
MHPMCOUNTER22 +100.00100.001
MHPMCOUNTER23 +100.00100.001
MHPMCOUNTER24 +100.00100.001
MHPMCOUNTER25 +100.00100.001
MHPMCOUNTER26 +100.00100.001
MHPMCOUNTER27 +100.00100.001
MHPMCOUNTER28 +100.00100.001
MHPMCOUNTER29 +100.00100.001
MHPMCOUNTER30 +100.00100.001
MHPMCOUNTER31 +100.00100.001
MCYCLEH +100.00100.001
MINSTRETH +100.00100.001
MHPMCOUNTER3H +100.00100.001
MHPMCOUNTER4H +100.00100.001
MHPMCOUNTER5H +100.00100.001
MHPMCOUNTER6H +100.00100.001
MHPMCOUNTER7H +100.00100.001
MHPMCOUNTER8H +100.00100.001
MHPMCOUNTER9H +100.00100.001
MHPMCOUNTER10H +100.00100.001
MHPMCOUNTER11H +100.00100.001
MHPMCOUNTER12H +100.00100.001
MHPMCOUNTER13H +100.00100.001
MHPMCOUNTER14H +100.00100.001
MHPMCOUNTER15H +100.00100.001
MHPMCOUNTER16H +100.00100.001
MHPMCOUNTER17H +100.00100.001
MHPMCOUNTER18H +100.00100.001
MHPMCOUNTER19H +100.00100.001
MHPMCOUNTER20H +100.00100.001
MHPMCOUNTER21H +100.00100.001
MHPMCOUNTER22H +100.00100.001
MHPMCOUNTER23H +100.00100.001
MHPMCOUNTER24H +100.00100.001
MHPMCOUNTER25H +100.00100.001
MHPMCOUNTER26H +100.00100.001
MHPMCOUNTER27H +100.00100.001
MHPMCOUNTER28H +100.00100.001
MHPMCOUNTER29H +100.00100.001
MHPMCOUNTER30H +100.00100.001
MHPMCOUNTER31H +100.00100.001
MVENDORID +100.00100.001
MARCHID +100.00100.001
MIMPID +100.00100.001
MHARTID +100.00100.001
MCONFIGPTR +100.00100.001
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan2.html b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan2.html new file mode 100644 index 00000000..a27c027d --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/hvp.CVA6 Verification Master Plan2.html @@ -0,0 +1,2099 @@ + + + + + +Unified Coverage Report :: HVP Hierarchy + + + + + + + + + + + +
+ +
HVP Hierarchy
+
dashboard | hierarchy | modlist | groups | tests | asserts | hvp
+ +
+
+
+Go up
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NAMESCORELINECONDASSERTGROUPweightdescriptionComment
Programmer view level + 94.94 92.31 97.581CVA6 features for programmer view
ISA + 99.74 99.741Instruction Set Architecture
+Specification: Done, Dvplan: Done, Verification execution: Done
RV32I + 99.46 99.461I extension
ADD +100.00100.001
ADDI +100.00100.001
AND +100.00100.001
ANDI +100.00100.001
AUIPC +100.00100.001
BEQ +100.00100.001
BGE +100.00100.001
BGEU +100.00100.001
BLT +100.00100.001
BLTU +100.00100.001
BNE +100.00100.001
EBREAK +100.00100.001
ECALL +100.00100.001
FENCE +100.00100.001
JAL + 85.42 85.421
JALR + 92.03 92.031
LB +100.00100.001
LBU +100.00100.001
LH +100.00100.001
LHU +100.00100.001
LUI +100.00100.001
LW +100.00100.001
MRET +100.00100.001
OR +100.00100.001
ORI +100.00100.001
SB +100.00100.001
SH +100.00100.001
SLL +100.00100.001
SLLI +100.00100.001
SLT +100.00100.001
SLTI +100.00100.001
SLTIU +100.00100.001
SLTU +100.00100.001
SRA +100.00100.001
SRAI +100.00100.001
SRL +100.00100.001
SRLI +100.00100.001
SUB +100.00100.001
SW +100.00100.001
WFI +100.00100.001
XOR +100.00100.001
XORI +100.00100.001
RV32M +100.00100.001M extension
DIV +100.00100.001
DIV_RESULTS +100.00100.001
DIVU +100.00100.001
DIVU_RESULTS +100.00100.001
MUL +100.00100.001
MULH +100.00100.001
MULHSU +100.00100.001
MULHU +100.00100.001
REM +100.00100.001
REM_RESULTS +100.00100.001
REMU +100.00100.001
REMU_RESULTS +100.00100.001
RV32C + 99.97 99.971C extension
ADD +100.00100.001
ADDI4SPN +100.00100.001
ADDI16SP +100.00100.001
ADDI +100.00100.001
AND +100.00100.001
ANDI +100.00100.001
BEQZ +100.00100.001
BNEZ +100.00100.001
EBREAK +100.00100.001
J +100.00100.001
JAL +100.00100.001
JALR +100.00100.001
JR +100.00100.001
LI +100.00100.001
LUI +100.00100.001
LW + 99.55 99.551
LWSP +100.00100.001
MV +100.00100.001
NOP +100.00100.001
OR +100.00100.001
SLLI +100.00100.001
SRAI +100.00100.001
SRLI +100.00100.001
SUB +100.00100.001
SW + 99.61 99.611
SWSP +100.00100.001
XOR +100.00100.001
RV32ZICSR +100.00100.001ZICSR extension
CSRRC +100.00100.001
CSRRCI +100.00100.001
CSRRS +100.00100.001
CSRRSI +100.00100.001
CSRRW +100.00100.001
CSRRWI +100.00100.001
RV32ZCB + 99.95 99.951ZCB extension
MUL +100.00100.001
ZEXT_B +100.00100.001
ZEXT_H +100.00100.001
SEXT_B +100.00100.001
SEXT_H +100.00100.001
NOT +100.00100.001
SB +100.00100.001
SH + 99.80 99.801
LBU +100.00100.001
LHU + 99.83 99.831
LH + 99.83 99.831
RV32ZB + 99.67 99.671Bitmanip extension
RV32ZBA +100.00100.001
SH1ADD +100.00100.001
SH2ADD +100.00100.001
SH3ADD +100.00100.001
RV32ZBB +100.00100.001
ANDN +100.00100.001
MAX +100.00100.001
MAXU +100.00100.001
MIN +100.00100.001
MINU +100.00100.001
ORN +100.00100.001
ROL +100.00100.001
ROR +100.00100.001
XNOR +100.00100.001
RORI +100.00100.001
CLZ +100.00100.001
CPOP +100.00100.001
CTZ +100.00100.001
ORC_B +100.00100.001
REV8 +100.00100.001
SEXT_B +100.00100.001
SEXT_H +100.00100.001
ZEXT_H +100.00100.001
RV32ZBC +100.00100.001
CLMUL +100.00100.001
CLMULH +100.00100.001
CLMULR +100.00100.001
RV32ZBS + 98.70 98.701
BCLR +100.00100.001
BCLRI +100.00100.001
BINV +100.00100.001
BINVI +100.00100.001
BSET + 95.83 95.831
BSETI + 93.75 93.751
BEXT +100.00100.001
BEXTI +100.00100.001
Instructions execution sequences + 98.84 98.841Instructions sequences
Illegal instructions +100.00100.001RVFI limitation issue(#1338)
I_EXT +100.00100.001RVFI limitation issue(#1338)
M_EXT +100.00100.001RVFI limitation issue(#1338)
ZICSR_EXT +100.00100.001RVFI limitation issue(#1338)
CSR access +100.00100.001CSR registers access.
+Specification: Done, Dvplan: Done, Verification execution: Done
subtree...
TRAPs + 99.57 99.571Interrupts and Exceptions.
+Specification: Done, Dvplan: Done, Verification execution: Done.
Interrupts + 99.15 99.151
Exceptions +100.00100.001
CV-X-IF + 91.66 92.31 91.011
Protocol + 87.24 92.31 82.181
CV-XIF Instructions + 99.84 99.841
SEQUENCE +100.00100.001
CUS_CADD + 99.92 99.921
CUS_ADD + 99.85 99.851
CUS_ADD_MULTI + 99.78 99.781
CUS_DOUBLE_RS1 + 99.79 99.791
CUS_DOUBLE_RS2 + 99.78 99.781
CUS_ADD_RS3_MADD + 99.79 99.791
CUS_ADD_RS3_MSUB + 99.73 99.731
CUS_ADD_RS3_NMADD + 99.79 99.791
CUS_ADD_RS3_NMSUB + 99.80 99.801
CUS_ADD_RS3_RTYPE +100.00100.001
+
+
+ + + + + + + + + + +
0%10%20%30%40%50%60%70%80%90%100%
+ + + + diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.breadcrumb.js b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.breadcrumb.js new file mode 100644 index 00000000..b2051627 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.breadcrumb.js @@ -0,0 +1,228 @@ +(function($) +{ + + // Private variables + + var _options = {}; + var _container = {}; + var _breadCrumbElements = {}; + var _autoIntervalArray = []; + var _easingEquation; + + // Public functions + + jQuery.fn.jBreadCrumb = function(options) + { + _options = $.extend({}, $.fn.jBreadCrumb.defaults, options); + + return this.each(function() + { + _container = $(this); + setupBreadCrumb(); + }); + + }; + + // Private functions + + function setupBreadCrumb() + { + //Check if easing plugin exists. If it doesn't, use "swing" + if(typeof(jQuery.easing) == 'object') + { + _easingEquation = 'easeOutQuad' + } + else + { + _easingEquation = 'swing' + } + + //The reference object containing all of the breadcrumb elements + _breadCrumbElements = jQuery(_container).find('li'); + + //Keep it from overflowing in ie6 & 7 + jQuery(_container).find('ul').wrap('
'); + //Set an arbitrary width width to avoid float drop on the animation + jQuery(_container).find('ul').width(5000); + + //If the breadcrumb contains nothing, don't do anything + if (_breadCrumbElements.length > 0) + { + jQuery(_breadCrumbElements[_breadCrumbElements.length - 1]).addClass('last'); + jQuery(_breadCrumbElements[0]).addClass('first'); + + //If the breadcrumb object length is long enough, compress. + + if (_breadCrumbElements.length > _options.minimumCompressionElements) + { + compressBreadCrumb(); + }; + }; + }; + + function compressBreadCrumb() + { + + // Factor to determine if we should compress the element at all + var finalElement = jQuery(_breadCrumbElements[_breadCrumbElements.length - 1]); + + + // If the final element is really long, compress more elements + if (jQuery(finalElement).width() > _options.maxFinalElementLength) + { + if (_options.beginingElementsToLeaveOpen > 0) + { + _options.beginingElementsToLeaveOpen--; + + } + if (_options.endElementsToLeaveOpen > 0) + { + _options.endElementsToLeaveOpen--; + } + } + // If the final element is within the short and long range, compress to the default end elements and 1 less beginning elements + if (jQuery(finalElement).width() < _options.maxFinalElementLength && jQuery(finalElement).width() > _options.minFinalElementLength) + { + if (_options.beginingElementsToLeaveOpen > 0) + { + _options.beginingElementsToLeaveOpen--; + + } + } + + var itemsToRemove = _breadCrumbElements.length - 1 - _options.endElementsToLeaveOpen; + + // We compress only elements determined by the formula setting below + + //TODO : Make this smarter, it's only checking the final elements length. It could also check the amount of elements. + jQuery(_breadCrumbElements[_breadCrumbElements.length - 1]).css( + { + background: 'none' + }); + + $(_breadCrumbElements).each(function(i, listElement) + { + if (i > _options.beginingElementsToLeaveOpen && i < itemsToRemove) + { + + jQuery(listElement).find('a').wrap('').width(jQuery(listElement).find('a').width() + 10); + + // Add the overlay png. + jQuery(listElement).append(jQuery('
').css( + { + display: 'block' + })).css( + { + background: 'none' + }); + if (isIE6OrLess()) + { + fixPNG(jQuery(listElement).find('.' + _options.overlayClass).css( + { + width: '20px', + right: "-1px" + })); + } + var options = + { + id: i, + width: jQuery(listElement).width(), + listElement: jQuery(listElement).find('span'), + isAnimating: false, + element: jQuery(listElement).find('span') + + }; + jQuery(listElement).bind('mouseover', options, expandBreadCrumb).bind('mouseout', options, shrinkBreadCrumb); + jQuery(listElement).find('a').unbind('mouseover', expandBreadCrumb).unbind('mouseout', shrinkBreadCrumb); + listElement.autoInterval = setInterval(function() + { + clearInterval(listElement.autoInterval); + jQuery(listElement).find('span').animate( + { + width: _options.previewWidth + }, _options.timeInitialCollapse, _options.easing); + }, (150 * (i - 2))); + + } + }); + + }; + + function expandBreadCrumb(e) + { + var elementID = e.data.id; + var originalWidth = e.data.width; + jQuery(e.data.element).stop(); + jQuery(e.data.element).animate( + { + width: originalWidth + }, + { + duration: _options.timeExpansionAnimation, + easing: _options.easing, + queue: false + }); + return false; + + }; + + function shrinkBreadCrumb(e) + { + var elementID = e.data.id; + jQuery(e.data.element).stop(); + jQuery(e.data.element).animate( + { + width: _options.previewWidth + }, + { + duration: _options.timeCompressionAnimation, + easing: _options.easing, + queue: false + }); + return false; + }; + + function isIE6OrLess() + { + return navigator.appVersion.indexOf("MSIE 6")>-1; + }; + // Fix The Overlay for IE6 + function fixPNG(element) + { + var image; + if (jQuery(element).is('img')) + { + image = jQuery(element).attr('src'); + } + else + { + image = $(element).css('backgroundImage'); + image.match(/^url\(["']?(.*\.png)["']?\)$/i); + image = RegExp.$1; + ; + } + $(element).css( + { + 'backgroundImage': 'none', + 'filter': "progid:DXImageTransform.Microsoft.AlphaImageLoader(enabled=true, sizingMethod=scale, src='" + image + "')" + }); + }; + + // Public global variables + + jQuery.fn.jBreadCrumb.defaults = + { + maxFinalElementLength: 400, + minFinalElementLength: 200, + minimumCompressionElements: 4, + endElementsToLeaveOpen: 1, + beginingElementsToLeaveOpen: 1, + timeExpansionAnimation: 800, + timeCompressionAnimation: 500, + timeInitialCollapse: 600, + easing: _easingEquation, + overlayClass: 'chevronOverlay', + previewWidth: 5 + }; + +})(jQuery); diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.colResizable.js b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.colResizable.js new file mode 100644 index 00000000..c381c0f5 --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.colResizable.js @@ -0,0 +1 @@ +!function(t){var e,i=t(document),r=t("head"),o=null,s={},d=0,n="id",a="px",l="JColResizer",c="JCLRFlex",f=parseInt,h=Math,p=navigator.userAgent.indexOf("Trident/4.0")>0;try{e=sessionStorage}catch(g){}r.append("");var u=function(e,i){var o=t(e);if(o.opt=i,o.mode=i.resizeMode,o.dc=o.opt.disabledColumns,o.opt.disable)return w(o);var a=o.id=o.attr(n)||l+d++;o.p=o.opt.postbackSafe,!o.is("table")||s[a]&&!o.opt.partialRefresh||("e-resize"!==o.opt.hoverCursor&&r.append(""),o.addClass(l).attr(n,a).before('
'),o.g=[],o.c=[],o.w=o.width(),o.gc=o.prev(),o.f=o.opt.fixed,i.marginLeft&&o.gc.css("marginLeft",i.marginLeft),i.marginRight&&o.gc.css("marginRight",i.marginRight),o.cs=f(p?e.cellSpacing||e.currentStyle.borderSpacing:o.css("border-spacing"))||2,o.b=f(p?e.border||e.currentStyle.borderLeftWidth:o.css("border-left-width"))||1,s[a]=o,v(o))},w=function(t){var e=t.attr(n),t=s[e];t&&t.is("table")&&(t.removeClass(l+" "+c).gc.remove(),delete s[e])},v=function(i){var r=i.find(">thead>tr:first>th,>thead>tr:first>td");r.length||(r=i.find(">tbody>tr:first>th,>tr:first>th,>tbody>tr:first>td, >tr:first>td")),r=r.filter(":visible"),i.cg=i.find("col"),i.ln=r.length,i.p&&e&&e[i.id]&&m(i,r),r.each(function(e){var r=t(this),o=-1!=i.dc.indexOf(e),s=t(i.gc.append('
')[0].lastChild);s.append(o?"":i.opt.gripInnerHtml).append('
'),e==i.ln-1&&(s.addClass("JCLRLastGrip"),i.f&&s.html("")),s.bind("touchstart mousedown",J),o?s.addClass("JCLRdisabledGrip"):s.removeClass("JCLRdisabledGrip").bind("touchstart mousedown",J),s.t=i,s.i=e,s.c=r,r.w=r.width(),i.g.push(s),i.c.push(r),r.width(r.w).removeAttr("width"),s.data(l,{i:e,t:i.attr(n),last:e==i.ln-1})}),i.cg.removeAttr("width"),i.find("td, th").not(r).not("table th, table td").each(function(){t(this).removeAttr("width")}),i.f||i.removeAttr("width").addClass(c),C(i)},m=function(t,i){var r,o,s=0,d=0,n=[];if(i){if(t.cg.removeAttr("width"),t.opt.flush)return void(e[t.id]="");for(r=e[t.id].split(";"),o=r[t.ln+1],!t.f&&o&&(t.width(o*=1),t.opt.overflow&&(t.css("min-width",o+a),t.w=o));d*{cursor:"+n.opt.dragCursor+"!important}"),a.addClass(n.opt.draggingClass),o=a,n.c[d.i].l)for(var f,h=0;h=0)&&i(t,!s)}}),e(function(){var t=document.body,i=t.appendChild(i=document.createElement("div"));i.offsetHeight,e.extend(i.style,{minHeight:"100px",height:"auto",padding:0,borderWidth:0}),e.support.minHeight=100===i.offsetHeight,e.support.selectstart="onselectstart"in i,t.removeChild(i).style.display="none"}),e("").outerWidth(1).jquery||e.each(["Width","Height"],function(i,a){function s(t,i,a,s){return e.each(n,function(){i-=parseFloat(e.css(t,"padding"+this))||0,a&&(i-=parseFloat(e.css(t,"border"+this+"Width"))||0),s&&(i-=parseFloat(e.css(t,"margin"+this))||0)}),i}var n="Width"===a?["Left","Right"]:["Top","Bottom"],r=a.toLowerCase(),o={innerWidth:e.fn.innerWidth,innerHeight:e.fn.innerHeight,outerWidth:e.fn.outerWidth,outerHeight:e.fn.outerHeight};e.fn["inner"+a]=function(i){return i===t?o["inner"+a].call(this):this.each(function(){e(this).css(r,s(this,i)+"px")})},e.fn["outer"+a]=function(t,i){return"number"!=typeof t?o["outer"+a].call(this,t):this.each(function(){e(this).css(r,s(this,t,!0,i)+"px")})}}),e("").data("a-b","a").removeData("a-b").data("a-b")&&(e.fn.removeData=function(t){return function(i){return arguments.length?t.call(this,e.camelCase(i)):t.call(this)}}(e.fn.removeData)),function(){var t=/msie ([\w.]+)/.exec(navigator.userAgent.toLowerCase())||[];e.ui.ie=t.length?!0:!1,e.ui.ie6=6===parseFloat(t[1],10)}(),e.fn.extend({disableSelection:function(){return this.bind((e.support.selectstart?"selectstart":"mousedown")+".ui-disableSelection",function(e){e.preventDefault()})},enableSelection:function(){return this.unbind(".ui-disableSelection")}}),e.extend(e.ui,{plugin:{add:function(t,i,a){var s,n=e.ui[t].prototype;for(s in a)n.plugins[s]=n.plugins[s]||[],n.plugins[s].push([i,a[s]])},call:function(e,t,i){var a,s=e.plugins[t];if(s&&e.element[0].parentNode&&11!==e.element[0].parentNode.nodeType)for(a=0;s.length>a;a++)e.options[s[a][0]]&&s[a][1].apply(e.element,i)}},contains:e.contains,hasScroll:function(t,i){if("hidden"===e(t).css("overflow"))return!1;var a=i&&"left"===i?"scrollLeft":"scrollTop",s=!1;return t[a]>0?!0:(t[a]=1,s=t[a]>0,t[a]=0,s)},isOverAxis:function(e,t,i){return e>t&&t+i>e},isOver:function(t,i,a,s,n,r){return e.ui.isOverAxis(t,a,n)&&e.ui.isOverAxis(i,s,r)}}))})(jQuery);(function(e,t){var i=0,s=Array.prototype.slice,a=e.cleanData;e.cleanData=function(t){for(var i,s=0;null!=(i=t[s]);s++)try{e(i).triggerHandler("remove")}catch(n){}a(t)},e.widget=function(i,s,a){var n,r,o,h,l=i.split(".")[0];i=i.split(".")[1],n=l+"-"+i,a||(a=s,s=e.Widget),e.expr[":"][n.toLowerCase()]=function(t){return!!e.data(t,n)},e[l]=e[l]||{},r=e[l][i],o=e[l][i]=function(e,i){return this._createWidget?(arguments.length&&this._createWidget(e,i),t):new o(e,i)},e.extend(o,r,{version:a.version,_proto:e.extend({},a),_childConstructors:[]}),h=new s,h.options=e.widget.extend({},h.options),e.each(a,function(t,i){e.isFunction(i)&&(a[t]=function(){var e=function(){return s.prototype[t].apply(this,arguments)},a=function(e){return s.prototype[t].apply(this,e)};return function(){var t,s=this._super,n=this._superApply;return this._super=e,this._superApply=a,t=i.apply(this,arguments),this._super=s,this._superApply=n,t}}())}),o.prototype=e.widget.extend(h,{widgetEventPrefix:r?h.widgetEventPrefix:i},a,{constructor:o,namespace:l,widgetName:i,widgetBaseClass:n,widgetFullName:n}),r?(e.each(r._childConstructors,function(t,i){var s=i.prototype;e.widget(s.namespace+"."+s.widgetName,o,i._proto)}),delete r._childConstructors):s._childConstructors.push(o),e.widget.bridge(i,o)},e.widget.extend=function(i){for(var a,n,r=s.call(arguments,1),o=0,h=r.length;h>o;o++)for(a in r[o])n=r[o][a],r[o].hasOwnProperty(a)&&n!==t&&(i[a]=e.isPlainObject(n)?e.isPlainObject(i[a])?e.widget.extend({},i[a],n):e.widget.extend({},n):n);return i},e.widget.bridge=function(i,a){var n=a.prototype.widgetFullName||i;e.fn[i]=function(r){var o="string"==typeof r,h=s.call(arguments,1),l=this;return r=!o&&h.length?e.widget.extend.apply(null,[r].concat(h)):r,o?this.each(function(){var s,a=e.data(this,n);return a?e.isFunction(a[r])&&"_"!==r.charAt(0)?(s=a[r].apply(a,h),s!==a&&s!==t?(l=s&&s.jquery?l.pushStack(s.get()):s,!1):t):e.error("no such method '"+r+"' for "+i+" widget instance"):e.error("cannot call methods on "+i+" prior to initialization; "+"attempted to call method '"+r+"'")}):this.each(function(){var t=e.data(this,n);t?t.option(r||{})._init():e.data(this,n,new a(r,this))}),l}},e.Widget=function(){},e.Widget._childConstructors=[],e.Widget.prototype={widgetName:"widget",widgetEventPrefix:"",defaultElement:"
",options:{disabled:!1,create:null},_createWidget:function(t,s){s=e(s||this.defaultElement||this)[0],this.element=e(s),this.uuid=i++,this.eventNamespace="."+this.widgetName+this.uuid,this.options=e.widget.extend({},this.options,this._getCreateOptions(),t),this.bindings=e(),this.hoverable=e(),this.focusable=e(),s!==this&&(e.data(s,this.widgetName,this),e.data(s,this.widgetFullName,this),this._on(!0,this.element,{remove:function(e){e.target===s&&this.destroy()}}),this.document=e(s.style?s.ownerDocument:s.document||s),this.window=e(this.document[0].defaultView||this.document[0].parentWindow)),this._create(),this._trigger("create",null,this._getCreateEventData()),this._init()},_getCreateOptions:e.noop,_getCreateEventData:e.noop,_create:e.noop,_init:e.noop,destroy:function(){this._destroy(),this.element.unbind(this.eventNamespace).removeData(this.widgetName).removeData(this.widgetFullName).removeData(e.camelCase(this.widgetFullName)),this.widget().unbind(this.eventNamespace).removeAttr("aria-disabled").removeClass(this.widgetFullName+"-disabled "+"ui-state-disabled"),this.bindings.unbind(this.eventNamespace),this.hoverable.removeClass("ui-state-hover"),this.focusable.removeClass("ui-state-focus")},_destroy:e.noop,widget:function(){return this.element},option:function(i,s){var a,n,r,o=i;if(0===arguments.length)return e.widget.extend({},this.options);if("string"==typeof i)if(o={},a=i.split("."),i=a.shift(),a.length){for(n=o[i]=e.widget.extend({},this.options[i]),r=0;a.length-1>r;r++)n[a[r]]=n[a[r]]||{},n=n[a[r]];if(i=a.pop(),s===t)return n[i]===t?null:n[i];n[i]=s}else{if(s===t)return this.options[i]===t?null:this.options[i];o[i]=s}return this._setOptions(o),this},_setOptions:function(e){var t;for(t in e)this._setOption(t,e[t]);return this},_setOption:function(e,t){return this.options[e]=t,"disabled"===e&&(this.widget().toggleClass(this.widgetFullName+"-disabled ui-state-disabled",!!t).attr("aria-disabled",t),this.hoverable.removeClass("ui-state-hover"),this.focusable.removeClass("ui-state-focus")),this},enable:function(){return this._setOption("disabled",!1)},disable:function(){return this._setOption("disabled",!0)},_on:function(i,s,a){var n,r=this;"boolean"!=typeof i&&(a=s,s=i,i=!1),a?(s=n=e(s),this.bindings=this.bindings.add(s)):(a=s,s=this.element,n=this.widget()),e.each(a,function(a,o){function h(){return i||r.options.disabled!==!0&&!e(this).hasClass("ui-state-disabled")?("string"==typeof o?r[o]:o).apply(r,arguments):t}"string"!=typeof o&&(h.guid=o.guid=o.guid||h.guid||e.guid++);var l=a.match(/^(\w+)\s*(.*)$/),u=l[1]+r.eventNamespace,c=l[2];c?n.delegate(c,u,h):s.bind(u,h)})},_off:function(e,t){t=(t||"").split(" ").join(this.eventNamespace+" ")+this.eventNamespace,e.unbind(t).undelegate(t)},_delay:function(e,t){function i(){return("string"==typeof e?s[e]:e).apply(s,arguments)}var s=this;return setTimeout(i,t||0)},_hoverable:function(t){this.hoverable=this.hoverable.add(t),this._on(t,{mouseenter:function(t){e(t.currentTarget).addClass("ui-state-hover")},mouseleave:function(t){e(t.currentTarget).removeClass("ui-state-hover")}})},_focusable:function(t){this.focusable=this.focusable.add(t),this._on(t,{focusin:function(t){e(t.currentTarget).addClass("ui-state-focus")},focusout:function(t){e(t.currentTarget).removeClass("ui-state-focus")}})},_trigger:function(t,i,s){var a,n,r=this.options[t];if(s=s||{},i=e.Event(i),i.type=(t===this.widgetEventPrefix?t:this.widgetEventPrefix+t).toLowerCase(),i.target=this.element[0],n=i.originalEvent)for(a in n)a in i||(i[a]=n[a]);return this.element.trigger(i,s),!(e.isFunction(r)&&r.apply(this.element[0],[i].concat(s))===!1||i.isDefaultPrevented())}},e.each({show:"fadeIn",hide:"fadeOut"},function(t,i){e.Widget.prototype["_"+t]=function(s,a,n){"string"==typeof a&&(a={effect:a});var r,o=a?a===!0||"number"==typeof a?i:a.effect||i:t;a=a||{},"number"==typeof a&&(a={duration:a}),r=!e.isEmptyObject(a),a.complete=n,a.delay&&s.delay(a.delay),r&&e.effects&&(e.effects.effect[o]||e.uiBackCompat!==!1&&e.effects[o])?s[t](a):o!==t&&s[o]?s[o](a.duration,a.easing,n):s.queue(function(i){e(this)[t](),n&&n.call(s[0]),i()})}}),e.uiBackCompat!==!1&&(e.Widget.prototype._getCreateOptions=function(){return e.metadata&&e.metadata.get(this.element[0])[this.widgetName]})})(jQuery);(function(e){var t=!1;e(document).mouseup(function(){t=!1}),e.widget("ui.mouse",{version:"1.9.2",options:{cancel:"input,textarea,button,select,option",distance:1,delay:0},_mouseInit:function(){var t=this;this.element.bind("mousedown."+this.widgetName,function(e){return t._mouseDown(e)}).bind("click."+this.widgetName,function(i){return!0===e.data(i.target,t.widgetName+".preventClickEvent")?(e.removeData(i.target,t.widgetName+".preventClickEvent"),i.stopImmediatePropagation(),!1):undefined}),this.started=!1},_mouseDestroy:function(){this.element.unbind("."+this.widgetName),this._mouseMoveDelegate&&e(document).unbind("mousemove."+this.widgetName,this._mouseMoveDelegate).unbind("mouseup."+this.widgetName,this._mouseUpDelegate)},_mouseDown:function(i){if(!t){this._mouseStarted&&this._mouseUp(i),this._mouseDownEvent=i;var s=this,a=1===i.which,n="string"==typeof this.options.cancel&&i.target.nodeName?e(i.target).closest(this.options.cancel).length:!1;return a&&!n&&this._mouseCapture(i)?(this.mouseDelayMet=!this.options.delay,this.mouseDelayMet||(this._mouseDelayTimer=setTimeout(function(){s.mouseDelayMet=!0},this.options.delay)),this._mouseDistanceMet(i)&&this._mouseDelayMet(i)&&(this._mouseStarted=this._mouseStart(i)!==!1,!this._mouseStarted)?(i.preventDefault(),!0):(!0===e.data(i.target,this.widgetName+".preventClickEvent")&&e.removeData(i.target,this.widgetName+".preventClickEvent"),this._mouseMoveDelegate=function(e){return s._mouseMove(e)},this._mouseUpDelegate=function(e){return s._mouseUp(e)},e(document).bind("mousemove."+this.widgetName,this._mouseMoveDelegate).bind("mouseup."+this.widgetName,this._mouseUpDelegate),i.preventDefault(),t=!0,!0)):!0}},_mouseMove:function(t){return!e.ui.ie||document.documentMode>=9||t.button?this._mouseStarted?(this._mouseDrag(t),t.preventDefault()):(this._mouseDistanceMet(t)&&this._mouseDelayMet(t)&&(this._mouseStarted=this._mouseStart(this._mouseDownEvent,t)!==!1,this._mouseStarted?this._mouseDrag(t):this._mouseUp(t)),!this._mouseStarted):this._mouseUp(t)},_mouseUp:function(t){return e(document).unbind("mousemove."+this.widgetName,this._mouseMoveDelegate).unbind("mouseup."+this.widgetName,this._mouseUpDelegate),this._mouseStarted&&(this._mouseStarted=!1,t.target===this._mouseDownEvent.target&&e.data(t.target,this.widgetName+".preventClickEvent",!0),this._mouseStop(t)),!1},_mouseDistanceMet:function(e){return Math.max(Math.abs(this._mouseDownEvent.pageX-e.pageX),Math.abs(this._mouseDownEvent.pageY-e.pageY))>=this.options.distance},_mouseDelayMet:function(){return this.mouseDelayMet},_mouseStart:function(){},_mouseDrag:function(){},_mouseStop:function(){},_mouseCapture:function(){return!0}})})(jQuery);(function(e,t){function i(e,t,i){return[parseInt(e[0],10)*(d.test(e[0])?t/100:1),parseInt(e[1],10)*(d.test(e[1])?i/100:1)]}function s(t,i){return parseInt(e.css(t,i),10)||0}e.ui=e.ui||{};var a,n=Math.max,r=Math.abs,o=Math.round,l=/left|center|right/,h=/top|center|bottom/,u=/[\+\-]\d+%?/,c=/^\w+/,d=/%$/,p=e.fn.position;e.position={scrollbarWidth:function(){if(a!==t)return a;var i,s,n=e("
"),r=n.children()[0];return e("body").append(n),i=r.offsetWidth,n.css("overflow","scroll"),s=r.offsetWidth,i===s&&(s=n[0].clientWidth),n.remove(),a=i-s},getScrollInfo:function(t){var i=t.isWindow?"":t.element.css("overflow-x"),s=t.isWindow?"":t.element.css("overflow-y"),a="scroll"===i||"auto"===i&&t.widths?"left":i>0?"right":"center",vertical:0>o?"top":a>0?"bottom":"middle"};c>d&&d>r(i+s)&&(l.horizontal="center"),p>f&&f>r(a+o)&&(l.vertical="middle"),l.important=n(r(i),r(s))>n(r(a),r(o))?"horizontal":"vertical",t.using.call(this,e,l)}),u.offset(e.extend(S,{using:h}))})},e.ui.position={fit:{left:function(e,t){var i,s=t.within,a=s.isWindow?s.scrollLeft:s.offset.left,r=s.width,o=e.left-t.collisionPosition.marginLeft,l=a-o,h=o+t.collisionWidth-r-a;t.collisionWidth>r?l>0&&0>=h?(i=e.left+l+t.collisionWidth-r-a,e.left+=l-i):e.left=h>0&&0>=l?a:l>h?a+r-t.collisionWidth:a:l>0?e.left+=l:h>0?e.left-=h:e.left=n(e.left-o,e.left)},top:function(e,t){var i,s=t.within,a=s.isWindow?s.scrollTop:s.offset.top,r=t.within.height,o=e.top-t.collisionPosition.marginTop,l=a-o,h=o+t.collisionHeight-r-a;t.collisionHeight>r?l>0&&0>=h?(i=e.top+l+t.collisionHeight-r-a,e.top+=l-i):e.top=h>0&&0>=l?a:l>h?a+r-t.collisionHeight:a:l>0?e.top+=l:h>0?e.top-=h:e.top=n(e.top-o,e.top)}},flip:{left:function(e,t){var i,s,a=t.within,n=a.offset.left+a.scrollLeft,o=a.width,l=a.isWindow?a.scrollLeft:a.offset.left,h=e.left-t.collisionPosition.marginLeft,u=h-l,c=h+t.collisionWidth-o-l,d="left"===t.my[0]?-t.elemWidth:"right"===t.my[0]?t.elemWidth:0,p="left"===t.at[0]?t.targetWidth:"right"===t.at[0]?-t.targetWidth:0,f=-2*t.offset[0];0>u?(i=e.left+d+p+f+t.collisionWidth-o-n,(0>i||r(u)>i)&&(e.left+=d+p+f)):c>0&&(s=e.left-t.collisionPosition.marginLeft+d+p+f-l,(s>0||c>r(s))&&(e.left+=d+p+f))},top:function(e,t){var i,s,a=t.within,n=a.offset.top+a.scrollTop,o=a.height,l=a.isWindow?a.scrollTop:a.offset.top,h=e.top-t.collisionPosition.marginTop,u=h-l,c=h+t.collisionHeight-o-l,d="top"===t.my[1],p=d?-t.elemHeight:"bottom"===t.my[1]?t.elemHeight:0,f="top"===t.at[1]?t.targetHeight:"bottom"===t.at[1]?-t.targetHeight:0,m=-2*t.offset[1];0>u?(s=e.top+p+f+m+t.collisionHeight-o-n,e.top+p+f+m>u&&(0>s||r(u)>s)&&(e.top+=p+f+m)):c>0&&(i=e.top-t.collisionPosition.marginTop+p+f+m-l,e.top+p+f+m>c&&(i>0||c>r(i))&&(e.top+=p+f+m))}},flipfit:{left:function(){e.ui.position.flip.left.apply(this,arguments),e.ui.position.fit.left.apply(this,arguments)},top:function(){e.ui.position.flip.top.apply(this,arguments),e.ui.position.fit.top.apply(this,arguments)}}},function(){var t,i,s,a,n,r=document.getElementsByTagName("body")[0],o=document.createElement("div");t=document.createElement(r?"div":"body"),s={visibility:"hidden",width:0,height:0,border:0,margin:0,background:"none"},r&&e.extend(s,{position:"absolute",left:"-1000px",top:"-1000px"});for(n in s)t.style[n]=s[n];t.appendChild(o),i=r||document.documentElement,i.insertBefore(t,i.firstChild),o.style.cssText="position: absolute; left: 10.7432222px;",a=e(o).offset().left,e.support.offsetFractions=a>10&&11>a,t.innerHTML="",i.removeChild(t)}(),e.uiBackCompat!==!1&&function(e){var i=e.fn.position;e.fn.position=function(s){if(!s||!s.offset)return i.call(this,s);var a=s.offset.split(" "),n=s.at.split(" ");return 1===a.length&&(a[1]=a[0]),/^\d/.test(a[0])&&(a[0]="+"+a[0]),/^\d/.test(a[1])&&(a[1]="+"+a[1]),1===n.length&&(/left|center|right/.test(n[0])?n[1]="center":(n[1]=n[0],n[0]="center")),i.call(this,e.extend(s,{at:n[0]+a[0]+" "+n[1]+a[1],offset:t}))}}(jQuery)})(jQuery);(function(e){e.widget("ui.draggable",e.ui.mouse,{version:"1.9.2",widgetEventPrefix:"drag",options:{addClasses:!0,appendTo:"parent",axis:!1,connectToSortable:!1,containment:!1,cursor:"auto",cursorAt:!1,grid:!1,handle:!1,helper:"original",iframeFix:!1,opacity:!1,refreshPositions:!1,revert:!1,revertDuration:500,scope:"default",scroll:!0,scrollSensitivity:20,scrollSpeed:20,snap:!1,snapMode:"both",snapTolerance:20,stack:!1,zIndex:!1},_create:function(){"original"!=this.options.helper||/^(?:r|a|f)/.test(this.element.css("position"))||(this.element[0].style.position="relative"),this.options.addClasses&&this.element.addClass("ui-draggable"),this.options.disabled&&this.element.addClass("ui-draggable-disabled"),this._mouseInit()},_destroy:function(){this.element.removeClass("ui-draggable ui-draggable-dragging ui-draggable-disabled"),this._mouseDestroy()},_mouseCapture:function(t){var i=this.options;return this.helper||i.disabled||e(t.target).is(".ui-resizable-handle")?!1:(this.handle=this._getHandle(t),this.handle?(e(i.iframeFix===!0?"iframe":i.iframeFix).each(function(){e('
').css({width:this.offsetWidth+"px",height:this.offsetHeight+"px",position:"absolute",opacity:"0.001",zIndex:1e3}).css(e(this).offset()).appendTo("body")}),!0):!1)},_mouseStart:function(t){var i=this.options;return this.helper=this._createHelper(t),this.helper.addClass("ui-draggable-dragging"),this._cacheHelperProportions(),e.ui.ddmanager&&(e.ui.ddmanager.current=this),this._cacheMargins(),this.cssPosition=this.helper.css("position"),this.scrollParent=this.helper.scrollParent(),this.offset=this.positionAbs=this.element.offset(),this.offset={top:this.offset.top-this.margins.top,left:this.offset.left-this.margins.left},e.extend(this.offset,{click:{left:t.pageX-this.offset.left,top:t.pageY-this.offset.top},parent:this._getParentOffset(),relative:this._getRelativeOffset()}),this.originalPosition=this.position=this._generatePosition(t),this.originalPageX=t.pageX,this.originalPageY=t.pageY,i.cursorAt&&this._adjustOffsetFromHelper(i.cursorAt),i.containment&&this._setContainment(),this._trigger("start",t)===!1?(this._clear(),!1):(this._cacheHelperProportions(),e.ui.ddmanager&&!i.dropBehaviour&&e.ui.ddmanager.prepareOffsets(this,t),this._mouseDrag(t,!0),e.ui.ddmanager&&e.ui.ddmanager.dragStart(this,t),!0)},_mouseDrag:function(t,i){if(this.position=this._generatePosition(t),this.positionAbs=this._convertPositionTo("absolute"),!i){var a=this._uiHash();if(this._trigger("drag",t,a)===!1)return this._mouseUp({}),!1;this.position=a.position}return this.options.axis&&"y"==this.options.axis||(this.helper[0].style.left=this.position.left+"px"),this.options.axis&&"x"==this.options.axis||(this.helper[0].style.top=this.position.top+"px"),e.ui.ddmanager&&e.ui.ddmanager.drag(this,t),!1},_mouseStop:function(t){var i=!1;e.ui.ddmanager&&!this.options.dropBehaviour&&(i=e.ui.ddmanager.drop(this,t)),this.dropped&&(i=this.dropped,this.dropped=!1);for(var a=this.element[0],s=!1;a&&(a=a.parentNode);)a==document&&(s=!0);if(!s&&"original"===this.options.helper)return!1;if("invalid"==this.options.revert&&!i||"valid"==this.options.revert&&i||this.options.revert===!0||e.isFunction(this.options.revert)&&this.options.revert.call(this.element,i)){var n=this;e(this.helper).animate(this.originalPosition,parseInt(this.options.revertDuration,10),function(){n._trigger("stop",t)!==!1&&n._clear()})}else this._trigger("stop",t)!==!1&&this._clear();return!1},_mouseUp:function(t){return e("div.ui-draggable-iframeFix").each(function(){this.parentNode.removeChild(this)}),e.ui.ddmanager&&e.ui.ddmanager.dragStop(this,t),e.ui.mouse.prototype._mouseUp.call(this,t)},cancel:function(){return this.helper.is(".ui-draggable-dragging")?this._mouseUp({}):this._clear(),this},_getHandle:function(t){var i=this.options.handle&&e(this.options.handle,this.element).length?!1:!0;return e(this.options.handle,this.element).find("*").andSelf().each(function(){this==t.target&&(i=!0)}),i},_createHelper:function(t){var i=this.options,a=e.isFunction(i.helper)?e(i.helper.apply(this.element[0],[t])):"clone"==i.helper?this.element.clone().removeAttr("id"):this.element;return a.parents("body").length||a.appendTo("parent"==i.appendTo?this.element[0].parentNode:i.appendTo),a[0]==this.element[0]||/(fixed|absolute)/.test(a.css("position"))||a.css("position","absolute"),a},_adjustOffsetFromHelper:function(t){"string"==typeof t&&(t=t.split(" ")),e.isArray(t)&&(t={left:+t[0],top:+t[1]||0}),"left"in t&&(this.offset.click.left=t.left+this.margins.left),"right"in t&&(this.offset.click.left=this.helperProportions.width-t.right+this.margins.left),"top"in t&&(this.offset.click.top=t.top+this.margins.top),"bottom"in t&&(this.offset.click.top=this.helperProportions.height-t.bottom+this.margins.top)},_getParentOffset:function(){this.offsetParent=this.helper.offsetParent();var t=this.offsetParent.offset();return"absolute"==this.cssPosition&&this.scrollParent[0]!=document&&e.contains(this.scrollParent[0],this.offsetParent[0])&&(t.left+=this.scrollParent.scrollLeft(),t.top+=this.scrollParent.scrollTop()),(this.offsetParent[0]==document.body||this.offsetParent[0].tagName&&"html"==this.offsetParent[0].tagName.toLowerCase()&&e.ui.ie)&&(t={top:0,left:0}),{top:t.top+(parseInt(this.offsetParent.css("borderTopWidth"),10)||0),left:t.left+(parseInt(this.offsetParent.css("borderLeftWidth"),10)||0)}},_getRelativeOffset:function(){if("relative"==this.cssPosition){var e=this.element.position();return{top:e.top-(parseInt(this.helper.css("top"),10)||0)+this.scrollParent.scrollTop(),left:e.left-(parseInt(this.helper.css("left"),10)||0)+this.scrollParent.scrollLeft()}}return{top:0,left:0}},_cacheMargins:function(){this.margins={left:parseInt(this.element.css("marginLeft"),10)||0,top:parseInt(this.element.css("marginTop"),10)||0,right:parseInt(this.element.css("marginRight"),10)||0,bottom:parseInt(this.element.css("marginBottom"),10)||0}},_cacheHelperProportions:function(){this.helperProportions={width:this.helper.outerWidth(),height:this.helper.outerHeight()}},_setContainment:function(){var t=this.options;if("parent"==t.containment&&(t.containment=this.helper[0].parentNode),("document"==t.containment||"window"==t.containment)&&(this.containment=["document"==t.containment?0:e(window).scrollLeft()-this.offset.relative.left-this.offset.parent.left,"document"==t.containment?0:e(window).scrollTop()-this.offset.relative.top-this.offset.parent.top,("document"==t.containment?0:e(window).scrollLeft())+e("document"==t.containment?document:window).width()-this.helperProportions.width-this.margins.left,("document"==t.containment?0:e(window).scrollTop())+(e("document"==t.containment?document:window).height()||document.body.parentNode.scrollHeight)-this.helperProportions.height-this.margins.top]),/^(document|window|parent)$/.test(t.containment)||t.containment.constructor==Array)t.containment.constructor==Array&&(this.containment=t.containment);else{var i=e(t.containment),a=i[0];if(!a)return;i.offset();var s="hidden"!=e(a).css("overflow");this.containment=[(parseInt(e(a).css("borderLeftWidth"),10)||0)+(parseInt(e(a).css("paddingLeft"),10)||0),(parseInt(e(a).css("borderTopWidth"),10)||0)+(parseInt(e(a).css("paddingTop"),10)||0),(s?Math.max(a.scrollWidth,a.offsetWidth):a.offsetWidth)-(parseInt(e(a).css("borderLeftWidth"),10)||0)-(parseInt(e(a).css("paddingRight"),10)||0)-this.helperProportions.width-this.margins.left-this.margins.right,(s?Math.max(a.scrollHeight,a.offsetHeight):a.offsetHeight)-(parseInt(e(a).css("borderTopWidth"),10)||0)-(parseInt(e(a).css("paddingBottom"),10)||0)-this.helperProportions.height-this.margins.top-this.margins.bottom],this.relative_container=i}},_convertPositionTo:function(t,i){i||(i=this.position);var a="absolute"==t?1:-1,s=(this.options,"absolute"!=this.cssPosition||this.scrollParent[0]!=document&&e.contains(this.scrollParent[0],this.offsetParent[0])?this.scrollParent:this.offsetParent),n=/(html|body)/i.test(s[0].tagName);return{top:i.top+this.offset.relative.top*a+this.offset.parent.top*a-("fixed"==this.cssPosition?-this.scrollParent.scrollTop():n?0:s.scrollTop())*a,left:i.left+this.offset.relative.left*a+this.offset.parent.left*a-("fixed"==this.cssPosition?-this.scrollParent.scrollLeft():n?0:s.scrollLeft())*a}},_generatePosition:function(t){var i=this.options,a="absolute"!=this.cssPosition||this.scrollParent[0]!=document&&e.contains(this.scrollParent[0],this.offsetParent[0])?this.scrollParent:this.offsetParent,s=/(html|body)/i.test(a[0].tagName),n=t.pageX,r=t.pageY;if(this.originalPosition){var o;if(this.containment){if(this.relative_container){var l=this.relative_container.offset();o=[this.containment[0]+l.left,this.containment[1]+l.top,this.containment[2]+l.left,this.containment[3]+l.top]}else o=this.containment;t.pageX-this.offset.click.lefto[2]&&(n=o[2]+this.offset.click.left),t.pageY-this.offset.click.top>o[3]&&(r=o[3]+this.offset.click.top)}if(i.grid){var h=i.grid[1]?this.originalPageY+Math.round((r-this.originalPageY)/i.grid[1])*i.grid[1]:this.originalPageY;r=o?h-this.offset.click.topo[3]?h-this.offset.click.topo[2]?u-this.offset.click.left=0;u--){var d=a.snapElements[u].left,c=d+a.snapElements[u].width,p=a.snapElements[u].top,m=p+a.snapElements[u].height;if(r>d-n&&c+n>r&&l>p-n&&m+n>l||r>d-n&&c+n>r&&h>p-n&&m+n>h||o>d-n&&c+n>o&&l>p-n&&m+n>l||o>d-n&&c+n>o&&h>p-n&&m+n>h){if("inner"!=s.snapMode){var f=n>=Math.abs(p-h),g=n>=Math.abs(m-l),v=n>=Math.abs(d-o),y=n>=Math.abs(c-r);f&&(i.position.top=a._convertPositionTo("relative",{top:p-a.helperProportions.height,left:0}).top-a.margins.top),g&&(i.position.top=a._convertPositionTo("relative",{top:m,left:0}).top-a.margins.top),v&&(i.position.left=a._convertPositionTo("relative",{top:0,left:d-a.helperProportions.width}).left-a.margins.left),y&&(i.position.left=a._convertPositionTo("relative",{top:0,left:c}).left-a.margins.left)}var b=f||g||v||y;if("outer"!=s.snapMode){var f=n>=Math.abs(p-l),g=n>=Math.abs(m-h),v=n>=Math.abs(d-r),y=n>=Math.abs(c-o);f&&(i.position.top=a._convertPositionTo("relative",{top:p,left:0}).top-a.margins.top),g&&(i.position.top=a._convertPositionTo("relative",{top:m-a.helperProportions.height,left:0}).top-a.margins.top),v&&(i.position.left=a._convertPositionTo("relative",{top:0,left:d}).left-a.margins.left),y&&(i.position.left=a._convertPositionTo("relative",{top:0,left:c-a.helperProportions.width}).left-a.margins.left)}!a.snapElements[u].snapping&&(f||g||v||y||b)&&a.options.snap.snap&&a.options.snap.snap.call(a.element,t,e.extend(a._uiHash(),{snapItem:a.snapElements[u].item})),a.snapElements[u].snapping=f||g||v||y||b}else a.snapElements[u].snapping&&a.options.snap.release&&a.options.snap.release.call(a.element,t,e.extend(a._uiHash(),{snapItem:a.snapElements[u].item})),a.snapElements[u].snapping=!1}}}),e.ui.plugin.add("draggable","stack",{start:function(){var t=e(this).data("draggable").options,i=e.makeArray(e(t.stack)).sort(function(t,i){return(parseInt(e(t).css("zIndex"),10)||0)-(parseInt(e(i).css("zIndex"),10)||0)});if(i.length){var a=parseInt(i[0].style.zIndex)||0;e(i).each(function(e){this.style.zIndex=a+e}),this[0].style.zIndex=a+i.length}}}),e.ui.plugin.add("draggable","zIndex",{start:function(t,i){var a=e(i.helper),s=e(this).data("draggable").options;a.css("zIndex")&&(s._zIndex=a.css("zIndex")),a.css("zIndex",s.zIndex)},stop:function(t,i){var a=e(this).data("draggable").options;a._zIndex&&e(i.helper).css("zIndex",a._zIndex)}})})(jQuery);(function(e){e.widget("ui.droppable",{version:"1.9.2",widgetEventPrefix:"drop",options:{accept:"*",activeClass:!1,addClasses:!0,greedy:!1,hoverClass:!1,scope:"default",tolerance:"intersect"},_create:function(){var t=this.options,i=t.accept;this.isover=0,this.isout=1,this.accept=e.isFunction(i)?i:function(e){return e.is(i)},this.proportions={width:this.element[0].offsetWidth,height:this.element[0].offsetHeight},e.ui.ddmanager.droppables[t.scope]=e.ui.ddmanager.droppables[t.scope]||[],e.ui.ddmanager.droppables[t.scope].push(this),t.addClasses&&this.element.addClass("ui-droppable")},_destroy:function(){for(var t=e.ui.ddmanager.droppables[this.options.scope],i=0;t.length>i;i++)t[i]==this&&t.splice(i,1);this.element.removeClass("ui-droppable ui-droppable-disabled")},_setOption:function(t,i){"accept"==t&&(this.accept=e.isFunction(i)?i:function(e){return e.is(i)}),e.Widget.prototype._setOption.apply(this,arguments)},_activate:function(t){var i=e.ui.ddmanager.current;this.options.activeClass&&this.element.addClass(this.options.activeClass),i&&this._trigger("activate",t,this.ui(i))},_deactivate:function(t){var i=e.ui.ddmanager.current;this.options.activeClass&&this.element.removeClass(this.options.activeClass),i&&this._trigger("deactivate",t,this.ui(i))},_over:function(t){var i=e.ui.ddmanager.current;i&&(i.currentItem||i.element)[0]!=this.element[0]&&this.accept.call(this.element[0],i.currentItem||i.element)&&(this.options.hoverClass&&this.element.addClass(this.options.hoverClass),this._trigger("over",t,this.ui(i)))},_out:function(t){var i=e.ui.ddmanager.current;i&&(i.currentItem||i.element)[0]!=this.element[0]&&this.accept.call(this.element[0],i.currentItem||i.element)&&(this.options.hoverClass&&this.element.removeClass(this.options.hoverClass),this._trigger("out",t,this.ui(i)))},_drop:function(t,i){var a=i||e.ui.ddmanager.current;if(!a||(a.currentItem||a.element)[0]==this.element[0])return!1;var s=!1;return this.element.find(":data(droppable)").not(".ui-draggable-dragging").each(function(){var t=e.data(this,"droppable");return t.options.greedy&&!t.options.disabled&&t.options.scope==a.options.scope&&t.accept.call(t.element[0],a.currentItem||a.element)&&e.ui.intersect(a,e.extend(t,{offset:t.element.offset()}),t.options.tolerance)?(s=!0,!1):undefined}),s?!1:this.accept.call(this.element[0],a.currentItem||a.element)?(this.options.activeClass&&this.element.removeClass(this.options.activeClass),this.options.hoverClass&&this.element.removeClass(this.options.hoverClass),this._trigger("drop",t,this.ui(a)),this.element):!1},ui:function(e){return{draggable:e.currentItem||e.element,helper:e.helper,position:e.position,offset:e.positionAbs}}}),e.ui.intersect=function(t,i,a){if(!i.offset)return!1;var s=(t.positionAbs||t.position.absolute).left,n=s+t.helperProportions.width,r=(t.positionAbs||t.position.absolute).top,o=r+t.helperProportions.height,l=i.offset.left,h=l+i.proportions.width,u=i.offset.top,d=u+i.proportions.height;switch(a){case"fit":return s>=l&&h>=n&&r>=u&&d>=o;case"intersect":return s+t.helperProportions.width/2>l&&h>n-t.helperProportions.width/2&&r+t.helperProportions.height/2>u&&d>o-t.helperProportions.height/2;case"pointer":var c=(t.positionAbs||t.position.absolute).left+(t.clickOffset||t.offset.click).left,p=(t.positionAbs||t.position.absolute).top+(t.clickOffset||t.offset.click).top,m=e.ui.isOver(p,c,u,l,i.proportions.height,i.proportions.width);return m;case"touch":return(r>=u&&d>=r||o>=u&&d>=o||u>r&&o>d)&&(s>=l&&h>=s||n>=l&&h>=n||l>s&&n>h);default:return!1}},e.ui.ddmanager={current:null,droppables:{"default":[]},prepareOffsets:function(t,i){var a=e.ui.ddmanager.droppables[t.options.scope]||[],s=i?i.type:null,n=(t.currentItem||t.element).find(":data(droppable)").andSelf();e:for(var r=0;a.length>r;r++)if(!(a[r].options.disabled||t&&!a[r].accept.call(a[r].element[0],t.currentItem||t.element))){for(var o=0;n.length>o;o++)if(n[o]==a[r].element[0]){a[r].proportions.height=0;continue e}a[r].visible="none"!=a[r].element.css("display"),a[r].visible&&("mousedown"==s&&a[r]._activate.call(a[r],i),a[r].offset=a[r].element.offset(),a[r].proportions={width:a[r].element[0].offsetWidth,height:a[r].element[0].offsetHeight})}},drop:function(t,i){var a=!1;return e.each(e.ui.ddmanager.droppables[t.options.scope]||[],function(){this.options&&(!this.options.disabled&&this.visible&&e.ui.intersect(t,this,this.options.tolerance)&&(a=this._drop.call(this,i)||a),!this.options.disabled&&this.visible&&this.accept.call(this.element[0],t.currentItem||t.element)&&(this.isout=1,this.isover=0,this._deactivate.call(this,i)))}),a},dragStart:function(t,i){t.element.parentsUntil("body").bind("scroll.droppable",function(){t.options.refreshPositions||e.ui.ddmanager.prepareOffsets(t,i)})},drag:function(t,i){t.options.refreshPositions&&e.ui.ddmanager.prepareOffsets(t,i),e.each(e.ui.ddmanager.droppables[t.options.scope]||[],function(){if(!this.options.disabled&&!this.greedyChild&&this.visible){var a=e.ui.intersect(t,this,this.options.tolerance),s=a||1!=this.isover?a&&0==this.isover?"isover":null:"isout";if(s){var n;if(this.options.greedy){var r=this.options.scope,o=this.element.parents(":data(droppable)").filter(function(){return e.data(this,"droppable").options.scope===r});o.length&&(n=e.data(o[0],"droppable"),n.greedyChild="isover"==s?1:0)}n&&"isover"==s&&(n.isover=0,n.isout=1,n._out.call(n,i)),this[s]=1,this["isout"==s?"isover":"isout"]=0,this["isover"==s?"_over":"_out"].call(this,i),n&&"isout"==s&&(n.isout=0,n.isover=1,n._over.call(n,i))}}})},dragStop:function(t,i){t.element.parentsUntil("body").unbind("scroll.droppable"),t.options.refreshPositions||e.ui.ddmanager.prepareOffsets(t,i)}}})(jQuery);(function(e){e.widget("ui.resizable",e.ui.mouse,{version:"1.9.2",widgetEventPrefix:"resize",options:{alsoResize:!1,animate:!1,animateDuration:"slow",animateEasing:"swing",aspectRatio:!1,autoHide:!1,containment:!1,ghost:!1,grid:!1,handles:"e,s,se",helper:!1,maxHeight:null,maxWidth:null,minHeight:10,minWidth:10,zIndex:1e3},_create:function(){var t=this,i=this.options;if(this.element.addClass("ui-resizable"),e.extend(this,{_aspectRatio:!!i.aspectRatio,aspectRatio:i.aspectRatio,originalElement:this.element,_proportionallyResizeElements:[],_helper:i.helper||i.ghost||i.animate?i.helper||"ui-resizable-helper":null}),this.element[0].nodeName.match(/canvas|textarea|input|select|button|img/i)&&(this.element.wrap(e('
').css({position:this.element.css("position"),width:this.element.outerWidth(),height:this.element.outerHeight(),top:this.element.css("top"),left:this.element.css("left")})),this.element=this.element.parent().data("resizable",this.element.data("resizable")),this.elementIsWrapper=!0,this.element.css({marginLeft:this.originalElement.css("marginLeft"),marginTop:this.originalElement.css("marginTop"),marginRight:this.originalElement.css("marginRight"),marginBottom:this.originalElement.css("marginBottom")}),this.originalElement.css({marginLeft:0,marginTop:0,marginRight:0,marginBottom:0}),this.originalResizeStyle=this.originalElement.css("resize"),this.originalElement.css("resize","none"),this._proportionallyResizeElements.push(this.originalElement.css({position:"static",zoom:1,display:"block"})),this.originalElement.css({margin:this.originalElement.css("margin")}),this._proportionallyResize()),this.handles=i.handles||(e(".ui-resizable-handle",this.element).length?{n:".ui-resizable-n",e:".ui-resizable-e",s:".ui-resizable-s",w:".ui-resizable-w",se:".ui-resizable-se",sw:".ui-resizable-sw",ne:".ui-resizable-ne",nw:".ui-resizable-nw"}:"e,s,se"),this.handles.constructor==String){"all"==this.handles&&(this.handles="n,e,s,w,se,sw,ne,nw");var s=this.handles.split(",");this.handles={};for(var a=0;s.length>a;a++){var n=e.trim(s[a]),r="ui-resizable-"+n,o=e('
');o.css({zIndex:i.zIndex}),"se"==n&&o.addClass("ui-icon ui-icon-gripsmall-diagonal-se"),this.handles[n]=".ui-resizable-"+n,this.element.append(o)}}this._renderAxis=function(t){t=t||this.element;for(var i in this.handles){if(this.handles[i].constructor==String&&(this.handles[i]=e(this.handles[i],this.element).show()),this.elementIsWrapper&&this.originalElement[0].nodeName.match(/textarea|input|select|button/i)){var s=e(this.handles[i],this.element),a=0;a=/sw|ne|nw|se|n|s/.test(i)?s.outerHeight():s.outerWidth();var n=["padding",/ne|nw|n/.test(i)?"Top":/se|sw|s/.test(i)?"Bottom":/^e$/.test(i)?"Right":"Left"].join("");t.css(n,a),this._proportionallyResize()}e(this.handles[i]).length}},this._renderAxis(this.element),this._handles=e(".ui-resizable-handle",this.element).disableSelection(),this._handles.mouseover(function(){if(!t.resizing){if(this.className)var e=this.className.match(/ui-resizable-(se|sw|ne|nw|n|e|s|w)/i);t.axis=e&&e[1]?e[1]:"se"}}),i.autoHide&&(this._handles.hide(),e(this.element).addClass("ui-resizable-autohide").mouseenter(function(){i.disabled||(e(this).removeClass("ui-resizable-autohide"),t._handles.show())}).mouseleave(function(){i.disabled||t.resizing||(e(this).addClass("ui-resizable-autohide"),t._handles.hide())})),this._mouseInit()},_destroy:function(){this._mouseDestroy();var t=function(t){e(t).removeClass("ui-resizable ui-resizable-disabled ui-resizable-resizing").removeData("resizable").removeData("ui-resizable").unbind(".resizable").find(".ui-resizable-handle").remove()};if(this.elementIsWrapper){t(this.element);var i=this.element;this.originalElement.css({position:i.css("position"),width:i.outerWidth(),height:i.outerHeight(),top:i.css("top"),left:i.css("left")}).insertAfter(i),i.remove()}return this.originalElement.css("resize",this.originalResizeStyle),t(this.originalElement),this},_mouseCapture:function(t){var i=!1;for(var s in this.handles)e(this.handles[s])[0]==t.target&&(i=!0);return!this.options.disabled&&i},_mouseStart:function(i){var s=this.options,a=this.element.position(),n=this.element;this.resizing=!0,this.documentScroll={top:e(document).scrollTop(),left:e(document).scrollLeft()},(n.is(".ui-draggable")||/absolute/.test(n.css("position")))&&n.css({position:"absolute",top:a.top,left:a.left}),this._renderProxy();var r=t(this.helper.css("left")),o=t(this.helper.css("top"));s.containment&&(r+=e(s.containment).scrollLeft()||0,o+=e(s.containment).scrollTop()||0),this.offset=this.helper.offset(),this.position={left:r,top:o},this.size=this._helper?{width:n.outerWidth(),height:n.outerHeight()}:{width:n.width(),height:n.height()},this.originalSize=this._helper?{width:n.outerWidth(),height:n.outerHeight()}:{width:n.width(),height:n.height()},this.originalPosition={left:r,top:o},this.sizeDiff={width:n.outerWidth()-n.width(),height:n.outerHeight()-n.height()},this.originalMousePosition={left:i.pageX,top:i.pageY},this.aspectRatio="number"==typeof s.aspectRatio?s.aspectRatio:this.originalSize.width/this.originalSize.height||1;var h=e(".ui-resizable-"+this.axis).css("cursor");return e("body").css("cursor","auto"==h?this.axis+"-resize":h),n.addClass("ui-resizable-resizing"),this._propagate("start",i),!0},_mouseDrag:function(e){var t=this.helper,i=(this.options,this.originalMousePosition),s=this.axis,a=e.pageX-i.left||0,n=e.pageY-i.top||0,r=this._change[s];if(!r)return!1;var o=r.apply(this,[e,a,n]);return this._updateVirtualBoundaries(e.shiftKey),(this._aspectRatio||e.shiftKey)&&(o=this._updateRatio(o,e)),o=this._respectSize(o,e),this._propagate("resize",e),t.css({top:this.position.top+"px",left:this.position.left+"px",width:this.size.width+"px",height:this.size.height+"px"}),!this._helper&&this._proportionallyResizeElements.length&&this._proportionallyResize(),this._updateCache(o),this._trigger("resize",e,this.ui()),!1},_mouseStop:function(t){this.resizing=!1;var i=this.options,s=this;if(this._helper){var a=this._proportionallyResizeElements,n=a.length&&/textarea/i.test(a[0].nodeName),r=n&&e.ui.hasScroll(a[0],"left")?0:s.sizeDiff.height,o=n?0:s.sizeDiff.width,h={width:s.helper.width()-o,height:s.helper.height()-r},l=parseInt(s.element.css("left"),10)+(s.position.left-s.originalPosition.left)||null,u=parseInt(s.element.css("top"),10)+(s.position.top-s.originalPosition.top)||null;i.animate||this.element.css(e.extend(h,{top:u,left:l})),s.helper.height(s.size.height),s.helper.width(s.size.width),this._helper&&!i.animate&&this._proportionallyResize()}return e("body").css("cursor","auto"),this.element.removeClass("ui-resizable-resizing"),this._propagate("stop",t),this._helper&&this.helper.remove(),!1},_updateVirtualBoundaries:function(e){var t,s,a,n,r,o=this.options;r={minWidth:i(o.minWidth)?o.minWidth:0,maxWidth:i(o.maxWidth)?o.maxWidth:1/0,minHeight:i(o.minHeight)?o.minHeight:0,maxHeight:i(o.maxHeight)?o.maxHeight:1/0},(this._aspectRatio||e)&&(t=r.minHeight*this.aspectRatio,a=r.minWidth/this.aspectRatio,s=r.maxHeight*this.aspectRatio,n=r.maxWidth/this.aspectRatio,t>r.minWidth&&(r.minWidth=t),a>r.minHeight&&(r.minHeight=a),r.maxWidth>s&&(r.maxWidth=s),r.maxHeight>n&&(r.maxHeight=n)),this._vBoundaries=r},_updateCache:function(e){this.options,this.offset=this.helper.offset(),i(e.left)&&(this.position.left=e.left),i(e.top)&&(this.position.top=e.top),i(e.height)&&(this.size.height=e.height),i(e.width)&&(this.size.width=e.width)},_updateRatio:function(e){var t=(this.options,this.position),s=this.size,a=this.axis;return i(e.height)?e.width=e.height*this.aspectRatio:i(e.width)&&(e.height=e.width/this.aspectRatio),"sw"==a&&(e.left=t.left+(s.width-e.width),e.top=null),"nw"==a&&(e.top=t.top+(s.height-e.height),e.left=t.left+(s.width-e.width)),e},_respectSize:function(e,t){var s=(this.helper,this._vBoundaries),a=(this._aspectRatio||t.shiftKey,this.axis),n=i(e.width)&&s.maxWidth&&s.maxWidthe.width,h=i(e.height)&&s.minHeight&&s.minHeight>e.height;o&&(e.width=s.minWidth),h&&(e.height=s.minHeight),n&&(e.width=s.maxWidth),r&&(e.height=s.maxHeight);var l=this.originalPosition.left+this.originalSize.width,u=this.position.top+this.size.height,d=/sw|nw|w/.test(a),c=/nw|ne|n/.test(a);o&&d&&(e.left=l-s.minWidth),n&&d&&(e.left=l-s.maxWidth),h&&c&&(e.top=u-s.minHeight),r&&c&&(e.top=u-s.maxHeight);var p=!e.width&&!e.height;return p&&!e.left&&e.top?e.top=null:p&&!e.top&&e.left&&(e.left=null),e},_proportionallyResize:function(){if(this.options,this._proportionallyResizeElements.length)for(var t=this.helper||this.element,i=0;this._proportionallyResizeElements.length>i;i++){var s=this._proportionallyResizeElements[i];if(!this.borderDif){var a=[s.css("borderTopWidth"),s.css("borderRightWidth"),s.css("borderBottomWidth"),s.css("borderLeftWidth")],n=[s.css("paddingTop"),s.css("paddingRight"),s.css("paddingBottom"),s.css("paddingLeft")];this.borderDif=e.map(a,function(e,t){var i=parseInt(e,10)||0,s=parseInt(n[t],10)||0;return i+s})}s.css({height:t.height()-this.borderDif[0]-this.borderDif[2]||0,width:t.width()-this.borderDif[1]-this.borderDif[3]||0})}},_renderProxy:function(){var t=this.element,i=this.options;if(this.elementOffset=t.offset(),this._helper){this.helper=this.helper||e('
');var s=e.ui.ie6?1:0,a=e.ui.ie6?2:-1;this.helper.addClass(this._helper).css({width:this.element.outerWidth()+a,height:this.element.outerHeight()+a,position:"absolute",left:this.elementOffset.left-s+"px",top:this.elementOffset.top-s+"px",zIndex:++i.zIndex}),this.helper.appendTo("body").disableSelection()}else this.helper=this.element},_change:{e:function(e,t){return{width:this.originalSize.width+t}},w:function(e,t){var i=(this.options,this.originalSize),s=this.originalPosition;return{left:s.left+t,width:i.width-t}},n:function(e,t,i){var s=(this.options,this.originalSize),a=this.originalPosition;return{top:a.top+i,height:s.height-i}},s:function(e,t,i){return{height:this.originalSize.height+i}},se:function(t,i,s){return e.extend(this._change.s.apply(this,arguments),this._change.e.apply(this,[t,i,s]))},sw:function(t,i,s){return e.extend(this._change.s.apply(this,arguments),this._change.w.apply(this,[t,i,s]))},ne:function(t,i,s){return e.extend(this._change.n.apply(this,arguments),this._change.e.apply(this,[t,i,s]))},nw:function(t,i,s){return e.extend(this._change.n.apply(this,arguments),this._change.w.apply(this,[t,i,s]))}},_propagate:function(t,i){e.ui.plugin.call(this,t,[i,this.ui()]),"resize"!=t&&this._trigger(t,i,this.ui())},plugins:{},ui:function(){return{originalElement:this.originalElement,element:this.element,helper:this.helper,position:this.position,size:this.size,originalSize:this.originalSize,originalPosition:this.originalPosition}}}),e.ui.plugin.add("resizable","alsoResize",{start:function(){var t=e(this).data("resizable"),i=t.options,s=function(t){e(t).each(function(){var t=e(this);t.data("resizable-alsoresize",{width:parseInt(t.width(),10),height:parseInt(t.height(),10),left:parseInt(t.css("left"),10),top:parseInt(t.css("top"),10)})})};"object"!=typeof i.alsoResize||i.alsoResize.parentNode?s(i.alsoResize):i.alsoResize.length?(i.alsoResize=i.alsoResize[0],s(i.alsoResize)):e.each(i.alsoResize,function(e){s(e)})},resize:function(t,i){var s=e(this).data("resizable"),a=s.options,n=s.originalSize,r=s.originalPosition,o={height:s.size.height-n.height||0,width:s.size.width-n.width||0,top:s.position.top-r.top||0,left:s.position.left-r.left||0},h=function(t,s){e(t).each(function(){var t=e(this),a=e(this).data("resizable-alsoresize"),n={},r=s&&s.length?s:t.parents(i.originalElement[0]).length?["width","height"]:["width","height","top","left"];e.each(r,function(e,t){var i=(a[t]||0)+(o[t]||0);i&&i>=0&&(n[t]=i||null)}),t.css(n)})};"object"!=typeof a.alsoResize||a.alsoResize.nodeType?h(a.alsoResize):e.each(a.alsoResize,function(e,t){h(e,t)})},stop:function(){e(this).removeData("resizable-alsoresize")}}),e.ui.plugin.add("resizable","animate",{stop:function(t){var i=e(this).data("resizable"),s=i.options,a=i._proportionallyResizeElements,n=a.length&&/textarea/i.test(a[0].nodeName),r=n&&e.ui.hasScroll(a[0],"left")?0:i.sizeDiff.height,o=n?0:i.sizeDiff.width,h={width:i.size.width-o,height:i.size.height-r},l=parseInt(i.element.css("left"),10)+(i.position.left-i.originalPosition.left)||null,u=parseInt(i.element.css("top"),10)+(i.position.top-i.originalPosition.top)||null;i.element.animate(e.extend(h,u&&l?{top:u,left:l}:{}),{duration:s.animateDuration,easing:s.animateEasing,step:function(){var s={width:parseInt(i.element.css("width"),10),height:parseInt(i.element.css("height"),10),top:parseInt(i.element.css("top"),10),left:parseInt(i.element.css("left"),10)};a&&a.length&&e(a[0]).css({width:s.width,height:s.height}),i._updateCache(s),i._propagate("resize",t)}})}}),e.ui.plugin.add("resizable","containment",{start:function(){var i=e(this).data("resizable"),s=i.options,a=i.element,n=s.containment,r=n instanceof e?n.get(0):/parent/.test(n)?a.parent().get(0):n;if(r)if(i.containerElement=e(r),/document/.test(n)||n==document)i.containerOffset={left:0,top:0},i.containerPosition={left:0,top:0},i.parentData={element:e(document),left:0,top:0,width:e(document).width(),height:e(document).height()||document.body.parentNode.scrollHeight};else{var o=e(r),h=[];e(["Top","Right","Left","Bottom"]).each(function(e,i){h[e]=t(o.css("padding"+i))}),i.containerOffset=o.offset(),i.containerPosition=o.position(),i.containerSize={height:o.innerHeight()-h[3],width:o.innerWidth()-h[1]};var l=i.containerOffset,u=i.containerSize.height,d=i.containerSize.width,c=e.ui.hasScroll(r,"left")?r.scrollWidth:d,p=e.ui.hasScroll(r)?r.scrollHeight:u;i.parentData={element:r,left:l.left,top:l.top,width:c,height:p}}},resize:function(t){var i=e(this).data("resizable"),s=i.options,a=(i.containerSize,i.containerOffset),n=(i.size,i.position),r=i._aspectRatio||t.shiftKey,o={top:0,left:0},h=i.containerElement;h[0]!=document&&/static/.test(h.css("position"))&&(o=a),n.left<(i._helper?a.left:0)&&(i.size.width=i.size.width+(i._helper?i.position.left-a.left:i.position.left-o.left),r&&(i.size.height=i.size.width/i.aspectRatio),i.position.left=s.helper?a.left:0),n.top<(i._helper?a.top:0)&&(i.size.height=i.size.height+(i._helper?i.position.top-a.top:i.position.top),r&&(i.size.width=i.size.height*i.aspectRatio),i.position.top=i._helper?a.top:0),i.offset.left=i.parentData.left+i.position.left,i.offset.top=i.parentData.top+i.position.top;var l=Math.abs((i._helper?i.offset.left-o.left:i.offset.left-o.left)+i.sizeDiff.width),u=Math.abs((i._helper?i.offset.top-o.top:i.offset.top-a.top)+i.sizeDiff.height),d=i.containerElement.get(0)==i.element.parent().get(0),c=/relative|absolute/.test(i.containerElement.css("position"));d&&c&&(l-=i.parentData.left),l+i.size.width>=i.parentData.width&&(i.size.width=i.parentData.width-l,r&&(i.size.height=i.size.width/i.aspectRatio)),u+i.size.height>=i.parentData.height&&(i.size.height=i.parentData.height-u,r&&(i.size.width=i.size.height*i.aspectRatio))},stop:function(){var t=e(this).data("resizable"),i=t.options,s=(t.position,t.containerOffset),a=t.containerPosition,n=t.containerElement,r=e(t.helper),o=r.offset(),h=r.outerWidth()-t.sizeDiff.width,l=r.outerHeight()-t.sizeDiff.height;t._helper&&!i.animate&&/relative/.test(n.css("position"))&&e(this).css({left:o.left-a.left-s.left,width:h,height:l}),t._helper&&!i.animate&&/static/.test(n.css("position"))&&e(this).css({left:o.left-a.left-s.left,width:h,height:l})}}),e.ui.plugin.add("resizable","ghost",{start:function(){var t=e(this).data("resizable"),i=t.options,s=t.size;t.ghost=t.originalElement.clone(),t.ghost.css({opacity:.25,display:"block",position:"relative",height:s.height,width:s.width,margin:0,left:0,top:0}).addClass("ui-resizable-ghost").addClass("string"==typeof i.ghost?i.ghost:""),t.ghost.appendTo(t.helper)},resize:function(){var t=e(this).data("resizable");t.options,t.ghost&&t.ghost.css({position:"relative",height:t.size.height,width:t.size.width})},stop:function(){var t=e(this).data("resizable");t.options,t.ghost&&t.helper&&t.helper.get(0).removeChild(t.ghost.get(0))}}),e.ui.plugin.add("resizable","grid",{resize:function(t){var i=e(this).data("resizable"),s=i.options,a=i.size,n=i.originalSize,r=i.originalPosition,o=i.axis;s._aspectRatio||t.shiftKey,s.grid="number"==typeof s.grid?[s.grid,s.grid]:s.grid;var h=Math.round((a.width-n.width)/(s.grid[0]||1))*(s.grid[0]||1),l=Math.round((a.height-n.height)/(s.grid[1]||1))*(s.grid[1]||1);/^(se|s|e)$/.test(o)?(i.size.width=n.width+h,i.size.height=n.height+l):/^(ne)$/.test(o)?(i.size.width=n.width+h,i.size.height=n.height+l,i.position.top=r.top-l):/^(sw)$/.test(o)?(i.size.width=n.width+h,i.size.height=n.height+l,i.position.left=r.left-h):(i.size.width=n.width+h,i.size.height=n.height+l,i.position.top=r.top-l,i.position.left=r.left-h)}});var t=function(e){return parseInt(e,10)||0},i=function(e){return!isNaN(parseInt(e,10))}})(jQuery);(function(e){e.widget("ui.selectable",e.ui.mouse,{version:"1.9.2",options:{appendTo:"body",autoRefresh:!0,distance:0,filter:"*",tolerance:"touch"},_create:function(){var t=this;this.element.addClass("ui-selectable"),this.dragged=!1;var i;this.refresh=function(){i=e(t.options.filter,t.element[0]),i.addClass("ui-selectee"),i.each(function(){var t=e(this),i=t.offset();e.data(this,"selectable-item",{element:this,$element:t,left:i.left,top:i.top,right:i.left+t.outerWidth(),bottom:i.top+t.outerHeight(),startselected:!1,selected:t.hasClass("ui-selected"),selecting:t.hasClass("ui-selecting"),unselecting:t.hasClass("ui-unselecting")})})},this.refresh(),this.selectees=i.addClass("ui-selectee"),this._mouseInit(),this.helper=e("
")},_destroy:function(){this.selectees.removeClass("ui-selectee").removeData("selectable-item"),this.element.removeClass("ui-selectable ui-selectable-disabled"),this._mouseDestroy()},_mouseStart:function(t){var i=this;if(this.opos=[t.pageX,t.pageY],!this.options.disabled){var s=this.options;this.selectees=e(s.filter,this.element[0]),this._trigger("start",t),e(s.appendTo).append(this.helper),this.helper.css({left:t.clientX,top:t.clientY,width:0,height:0}),s.autoRefresh&&this.refresh(),this.selectees.filter(".ui-selected").each(function(){var s=e.data(this,"selectable-item");s.startselected=!0,t.metaKey||t.ctrlKey||(s.$element.removeClass("ui-selected"),s.selected=!1,s.$element.addClass("ui-unselecting"),s.unselecting=!0,i._trigger("unselecting",t,{unselecting:s.element}))}),e(t.target).parents().andSelf().each(function(){var s=e.data(this,"selectable-item");if(s){var a=!t.metaKey&&!t.ctrlKey||!s.$element.hasClass("ui-selected");return s.$element.removeClass(a?"ui-unselecting":"ui-selected").addClass(a?"ui-selecting":"ui-unselecting"),s.unselecting=!a,s.selecting=a,s.selected=a,a?i._trigger("selecting",t,{selecting:s.element}):i._trigger("unselecting",t,{unselecting:s.element}),!1}})}},_mouseDrag:function(t){var i=this;if(this.dragged=!0,!this.options.disabled){var s=this.options,a=this.opos[0],n=this.opos[1],r=t.pageX,o=t.pageY;if(a>r){var h=r;r=a,a=h}if(n>o){var h=o;o=n,n=h}return this.helper.css({left:a,top:n,width:r-a,height:o-n}),this.selectees.each(function(){var h=e.data(this,"selectable-item");if(h&&h.element!=i.element[0]){var l=!1;"touch"==s.tolerance?l=!(h.left>r||a>h.right||h.top>o||n>h.bottom):"fit"==s.tolerance&&(l=h.left>a&&r>h.right&&h.top>n&&o>h.bottom),l?(h.selected&&(h.$element.removeClass("ui-selected"),h.selected=!1),h.unselecting&&(h.$element.removeClass("ui-unselecting"),h.unselecting=!1),h.selecting||(h.$element.addClass("ui-selecting"),h.selecting=!0,i._trigger("selecting",t,{selecting:h.element}))):(h.selecting&&((t.metaKey||t.ctrlKey)&&h.startselected?(h.$element.removeClass("ui-selecting"),h.selecting=!1,h.$element.addClass("ui-selected"),h.selected=!0):(h.$element.removeClass("ui-selecting"),h.selecting=!1,h.startselected&&(h.$element.addClass("ui-unselecting"),h.unselecting=!0),i._trigger("unselecting",t,{unselecting:h.element}))),h.selected&&(t.metaKey||t.ctrlKey||h.startselected||(h.$element.removeClass("ui-selected"),h.selected=!1,h.$element.addClass("ui-unselecting"),h.unselecting=!0,i._trigger("unselecting",t,{unselecting:h.element}))))}}),!1}},_mouseStop:function(t){var i=this;return this.dragged=!1,this.options,e(".ui-unselecting",this.element[0]).each(function(){var s=e.data(this,"selectable-item");s.$element.removeClass("ui-unselecting"),s.unselecting=!1,s.startselected=!1,i._trigger("unselected",t,{unselected:s.element})}),e(".ui-selecting",this.element[0]).each(function(){var s=e.data(this,"selectable-item");s.$element.removeClass("ui-selecting").addClass("ui-selected"),s.selecting=!1,s.selected=!0,s.startselected=!0,i._trigger("selected",t,{selected:s.element})}),this._trigger("stop",t),this.helper.remove(),!1}})})(jQuery);(function(e){e.widget("ui.sortable",e.ui.mouse,{version:"1.9.2",widgetEventPrefix:"sort",ready:!1,options:{appendTo:"parent",axis:!1,connectWith:!1,containment:!1,cursor:"auto",cursorAt:!1,dropOnEmpty:!0,forcePlaceholderSize:!1,forceHelperSize:!1,grid:!1,handle:!1,helper:"original",items:"> *",opacity:!1,placeholder:!1,revert:!1,scroll:!0,scrollSensitivity:20,scrollSpeed:20,scope:"default",tolerance:"intersect",zIndex:1e3},_create:function(){var e=this.options;this.containerCache={},this.element.addClass("ui-sortable"),this.refresh(),this.floating=this.items.length?"x"===e.axis||/left|right/.test(this.items[0].item.css("float"))||/inline|table-cell/.test(this.items[0].item.css("display")):!1,this.offset=this.element.offset(),this._mouseInit(),this.ready=!0},_destroy:function(){this.element.removeClass("ui-sortable ui-sortable-disabled"),this._mouseDestroy();for(var e=this.items.length-1;e>=0;e--)this.items[e].item.removeData(this.widgetName+"-item");return this},_setOption:function(t,i){"disabled"===t?(this.options[t]=i,this.widget().toggleClass("ui-sortable-disabled",!!i)):e.Widget.prototype._setOption.apply(this,arguments)},_mouseCapture:function(t,i){var s=this;if(this.reverting)return!1;if(this.options.disabled||"static"==this.options.type)return!1;this._refreshItems(t);var a=null;if(e(t.target).parents().each(function(){return e.data(this,s.widgetName+"-item")==s?(a=e(this),!1):undefined}),e.data(t.target,s.widgetName+"-item")==s&&(a=e(t.target)),!a)return!1;if(this.options.handle&&!i){var n=!1;if(e(this.options.handle,a).find("*").andSelf().each(function(){this==t.target&&(n=!0)}),!n)return!1}return this.currentItem=a,this._removeCurrentsFromItems(),!0},_mouseStart:function(t,i,s){var a=this.options;if(this.currentContainer=this,this.refreshPositions(),this.helper=this._createHelper(t),this._cacheHelperProportions(),this._cacheMargins(),this.scrollParent=this.helper.scrollParent(),this.offset=this.currentItem.offset(),this.offset={top:this.offset.top-this.margins.top,left:this.offset.left-this.margins.left},e.extend(this.offset,{click:{left:t.pageX-this.offset.left,top:t.pageY-this.offset.top},parent:this._getParentOffset(),relative:this._getRelativeOffset()}),this.helper.css("position","absolute"),this.cssPosition=this.helper.css("position"),this.originalPosition=this._generatePosition(t),this.originalPageX=t.pageX,this.originalPageY=t.pageY,a.cursorAt&&this._adjustOffsetFromHelper(a.cursorAt),this.domPosition={prev:this.currentItem.prev()[0],parent:this.currentItem.parent()[0]},this.helper[0]!=this.currentItem[0]&&this.currentItem.hide(),this._createPlaceholder(),a.containment&&this._setContainment(),a.cursor&&(e("body").css("cursor")&&(this._storedCursor=e("body").css("cursor")),e("body").css("cursor",a.cursor)),a.opacity&&(this.helper.css("opacity")&&(this._storedOpacity=this.helper.css("opacity")),this.helper.css("opacity",a.opacity)),a.zIndex&&(this.helper.css("zIndex")&&(this._storedZIndex=this.helper.css("zIndex")),this.helper.css("zIndex",a.zIndex)),this.scrollParent[0]!=document&&"HTML"!=this.scrollParent[0].tagName&&(this.overflowOffset=this.scrollParent.offset()),this._trigger("start",t,this._uiHash()),this._preserveHelperProportions||this._cacheHelperProportions(),!s)for(var n=this.containers.length-1;n>=0;n--)this.containers[n]._trigger("activate",t,this._uiHash(this));return e.ui.ddmanager&&(e.ui.ddmanager.current=this),e.ui.ddmanager&&!a.dropBehaviour&&e.ui.ddmanager.prepareOffsets(this,t),this.dragging=!0,this.helper.addClass("ui-sortable-helper"),this._mouseDrag(t),!0},_mouseDrag:function(t){if(this.position=this._generatePosition(t),this.positionAbs=this._convertPositionTo("absolute"),this.lastPositionAbs||(this.lastPositionAbs=this.positionAbs),this.options.scroll){var i=this.options,s=!1;this.scrollParent[0]!=document&&"HTML"!=this.scrollParent[0].tagName?(this.overflowOffset.top+this.scrollParent[0].offsetHeight-t.pageY=0;a--){var n=this.items[a],r=n.item[0],o=this._intersectsWithPointer(n);if(o&&n.instance===this.currentContainer&&r!=this.currentItem[0]&&this.placeholder[1==o?"next":"prev"]()[0]!=r&&!e.contains(this.placeholder[0],r)&&("semi-dynamic"==this.options.type?!e.contains(this.element[0],r):!0)){if(this.direction=1==o?"down":"up","pointer"!=this.options.tolerance&&!this._intersectsWithSides(n))break;this._rearrange(t,n),this._trigger("change",t,this._uiHash());break}}return this._contactContainers(t),e.ui.ddmanager&&e.ui.ddmanager.drag(this,t),this._trigger("sort",t,this._uiHash()),this.lastPositionAbs=this.positionAbs,!1},_mouseStop:function(t,i){if(t){if(e.ui.ddmanager&&!this.options.dropBehaviour&&e.ui.ddmanager.drop(this,t),this.options.revert){var s=this,a=this.placeholder.offset();this.reverting=!0,e(this.helper).animate({left:a.left-this.offset.parent.left-this.margins.left+(this.offsetParent[0]==document.body?0:this.offsetParent[0].scrollLeft),top:a.top-this.offset.parent.top-this.margins.top+(this.offsetParent[0]==document.body?0:this.offsetParent[0].scrollTop)},parseInt(this.options.revert,10)||500,function(){s._clear(t)})}else this._clear(t,i);return!1}},cancel:function(){if(this.dragging){this._mouseUp({target:null}),"original"==this.options.helper?this.currentItem.css(this._storedCSS).removeClass("ui-sortable-helper"):this.currentItem.show();for(var t=this.containers.length-1;t>=0;t--)this.containers[t]._trigger("deactivate",null,this._uiHash(this)),this.containers[t].containerCache.over&&(this.containers[t]._trigger("out",null,this._uiHash(this)),this.containers[t].containerCache.over=0)}return this.placeholder&&(this.placeholder[0].parentNode&&this.placeholder[0].parentNode.removeChild(this.placeholder[0]),"original"!=this.options.helper&&this.helper&&this.helper[0].parentNode&&this.helper.remove(),e.extend(this,{helper:null,dragging:!1,reverting:!1,_noFinalSort:null}),this.domPosition.prev?e(this.domPosition.prev).after(this.currentItem):e(this.domPosition.parent).prepend(this.currentItem)),this},serialize:function(t){var i=this._getItemsAsjQuery(t&&t.connected),s=[];return t=t||{},e(i).each(function(){var i=(e(t.item||this).attr(t.attribute||"id")||"").match(t.expression||/(.+)[-=_](.+)/);i&&s.push((t.key||i[1]+"[]")+"="+(t.key&&t.expression?i[1]:i[2]))}),!s.length&&t.key&&s.push(t.key+"="),s.join("&")},toArray:function(t){var i=this._getItemsAsjQuery(t&&t.connected),s=[];return t=t||{},i.each(function(){s.push(e(t.item||this).attr(t.attribute||"id")||"")}),s},_intersectsWith:function(e){var t=this.positionAbs.left,i=t+this.helperProportions.width,s=this.positionAbs.top,a=s+this.helperProportions.height,n=e.left,r=n+e.width,o=e.top,h=o+e.height,l=this.offset.click.top,u=this.offset.click.left,c=s+l>o&&h>s+l&&t+u>n&&r>t+u;return"pointer"==this.options.tolerance||this.options.forcePointerForContainers||"pointer"!=this.options.tolerance&&this.helperProportions[this.floating?"width":"height"]>e[this.floating?"width":"height"]?c:t+this.helperProportions.width/2>n&&r>i-this.helperProportions.width/2&&s+this.helperProportions.height/2>o&&h>a-this.helperProportions.height/2},_intersectsWithPointer:function(t){var i="x"===this.options.axis||e.ui.isOverAxis(this.positionAbs.top+this.offset.click.top,t.top,t.height),s="y"===this.options.axis||e.ui.isOverAxis(this.positionAbs.left+this.offset.click.left,t.left,t.width),a=i&&s,n=this._getDragVerticalDirection(),r=this._getDragHorizontalDirection();return a?this.floating?r&&"right"==r||"down"==n?2:1:n&&("down"==n?2:1):!1},_intersectsWithSides:function(t){var i=e.ui.isOverAxis(this.positionAbs.top+this.offset.click.top,t.top+t.height/2,t.height),s=e.ui.isOverAxis(this.positionAbs.left+this.offset.click.left,t.left+t.width/2,t.width),a=this._getDragVerticalDirection(),n=this._getDragHorizontalDirection();return this.floating&&n?"right"==n&&s||"left"==n&&!s:a&&("down"==a&&i||"up"==a&&!i)},_getDragVerticalDirection:function(){var e=this.positionAbs.top-this.lastPositionAbs.top;return 0!=e&&(e>0?"down":"up")},_getDragHorizontalDirection:function(){var e=this.positionAbs.left-this.lastPositionAbs.left;return 0!=e&&(e>0?"right":"left")},refresh:function(e){return this._refreshItems(e),this.refreshPositions(),this},_connectWith:function(){var e=this.options;return e.connectWith.constructor==String?[e.connectWith]:e.connectWith},_getItemsAsjQuery:function(t){var i=[],s=[],a=this._connectWith();if(a&&t)for(var n=a.length-1;n>=0;n--)for(var r=e(a[n]),o=r.length-1;o>=0;o--){var h=e.data(r[o],this.widgetName);h&&h!=this&&!h.options.disabled&&s.push([e.isFunction(h.options.items)?h.options.items.call(h.element):e(h.options.items,h.element).not(".ui-sortable-helper").not(".ui-sortable-placeholder"),h])}s.push([e.isFunction(this.options.items)?this.options.items.call(this.element,null,{options:this.options,item:this.currentItem}):e(this.options.items,this.element).not(".ui-sortable-helper").not(".ui-sortable-placeholder"),this]);for(var n=s.length-1;n>=0;n--)s[n][0].each(function(){i.push(this)});return e(i)},_removeCurrentsFromItems:function(){var t=this.currentItem.find(":data("+this.widgetName+"-item)");this.items=e.grep(this.items,function(e){for(var i=0;t.length>i;i++)if(t[i]==e.item[0])return!1;return!0})},_refreshItems:function(t){this.items=[],this.containers=[this];var i=this.items,s=[[e.isFunction(this.options.items)?this.options.items.call(this.element[0],t,{item:this.currentItem}):e(this.options.items,this.element),this]],a=this._connectWith();if(a&&this.ready)for(var n=a.length-1;n>=0;n--)for(var r=e(a[n]),o=r.length-1;o>=0;o--){var h=e.data(r[o],this.widgetName);h&&h!=this&&!h.options.disabled&&(s.push([e.isFunction(h.options.items)?h.options.items.call(h.element[0],t,{item:this.currentItem}):e(h.options.items,h.element),h]),this.containers.push(h))}for(var n=s.length-1;n>=0;n--)for(var l=s[n][1],u=s[n][0],o=0,c=u.length;c>o;o++){var d=e(u[o]);d.data(this.widgetName+"-item",l),i.push({item:d,instance:l,width:0,height:0,left:0,top:0})}},refreshPositions:function(t){this.offsetParent&&this.helper&&(this.offset.parent=this._getParentOffset());for(var i=this.items.length-1;i>=0;i--){var s=this.items[i];if(s.instance==this.currentContainer||!this.currentContainer||s.item[0]==this.currentItem[0]){var a=this.options.toleranceElement?e(this.options.toleranceElement,s.item):s.item;t||(s.width=a.outerWidth(),s.height=a.outerHeight());var n=a.offset();s.left=n.left,s.top=n.top}}if(this.options.custom&&this.options.custom.refreshContainers)this.options.custom.refreshContainers.call(this);else for(var i=this.containers.length-1;i>=0;i--){var n=this.containers[i].element.offset();this.containers[i].containerCache.left=n.left,this.containers[i].containerCache.top=n.top,this.containers[i].containerCache.width=this.containers[i].element.outerWidth(),this.containers[i].containerCache.height=this.containers[i].element.outerHeight()}return this},_createPlaceholder:function(t){t=t||this;var i=t.options;if(!i.placeholder||i.placeholder.constructor==String){var s=i.placeholder;i.placeholder={element:function(){var i=e(document.createElement(t.currentItem[0].nodeName)).addClass(s||t.currentItem[0].className+" ui-sortable-placeholder").removeClass("ui-sortable-helper")[0];return s||(i.style.visibility="hidden"),i},update:function(e,a){(!s||i.forcePlaceholderSize)&&(a.height()||a.height(t.currentItem.innerHeight()-parseInt(t.currentItem.css("paddingTop")||0,10)-parseInt(t.currentItem.css("paddingBottom")||0,10)),a.width()||a.width(t.currentItem.innerWidth()-parseInt(t.currentItem.css("paddingLeft")||0,10)-parseInt(t.currentItem.css("paddingRight")||0,10)))}}}t.placeholder=e(i.placeholder.element.call(t.element,t.currentItem)),t.currentItem.after(t.placeholder),i.placeholder.update(t,t.placeholder)},_contactContainers:function(t){for(var i=null,s=null,a=this.containers.length-1;a>=0;a--)if(!e.contains(this.currentItem[0],this.containers[a].element[0]))if(this._intersectsWith(this.containers[a].containerCache)){if(i&&e.contains(this.containers[a].element[0],i.element[0]))continue;i=this.containers[a],s=a}else this.containers[a].containerCache.over&&(this.containers[a]._trigger("out",t,this._uiHash(this)),this.containers[a].containerCache.over=0);if(i)if(1===this.containers.length)this.containers[s]._trigger("over",t,this._uiHash(this)),this.containers[s].containerCache.over=1;else{for(var n=1e4,r=null,o=this.containers[s].floating?"left":"top",h=this.containers[s].floating?"width":"height",l=this.positionAbs[o]+this.offset.click[o],u=this.items.length-1;u>=0;u--)if(e.contains(this.containers[s].element[0],this.items[u].item[0])&&this.items[u].item[0]!=this.currentItem[0]){var c=this.items[u].item.offset()[o],d=!1;Math.abs(c-l)>Math.abs(c+this.items[u][h]-l)&&(d=!0,c+=this.items[u][h]),n>Math.abs(c-l)&&(n=Math.abs(c-l),r=this.items[u],this.direction=d?"up":"down")}if(!r&&!this.options.dropOnEmpty)return;this.currentContainer=this.containers[s],r?this._rearrange(t,r,null,!0):this._rearrange(t,null,this.containers[s].element,!0),this._trigger("change",t,this._uiHash()),this.containers[s]._trigger("change",t,this._uiHash(this)),this.options.placeholder.update(this.currentContainer,this.placeholder),this.containers[s]._trigger("over",t,this._uiHash(this)),this.containers[s].containerCache.over=1}},_createHelper:function(t){var i=this.options,s=e.isFunction(i.helper)?e(i.helper.apply(this.element[0],[t,this.currentItem])):"clone"==i.helper?this.currentItem.clone():this.currentItem;return s.parents("body").length||e("parent"!=i.appendTo?i.appendTo:this.currentItem[0].parentNode)[0].appendChild(s[0]),s[0]==this.currentItem[0]&&(this._storedCSS={width:this.currentItem[0].style.width,height:this.currentItem[0].style.height,position:this.currentItem.css("position"),top:this.currentItem.css("top"),left:this.currentItem.css("left")}),(""==s[0].style.width||i.forceHelperSize)&&s.width(this.currentItem.width()),(""==s[0].style.height||i.forceHelperSize)&&s.height(this.currentItem.height()),s},_adjustOffsetFromHelper:function(t){"string"==typeof t&&(t=t.split(" ")),e.isArray(t)&&(t={left:+t[0],top:+t[1]||0}),"left"in t&&(this.offset.click.left=t.left+this.margins.left),"right"in t&&(this.offset.click.left=this.helperProportions.width-t.right+this.margins.left),"top"in t&&(this.offset.click.top=t.top+this.margins.top),"bottom"in t&&(this.offset.click.top=this.helperProportions.height-t.bottom+this.margins.top)},_getParentOffset:function(){this.offsetParent=this.helper.offsetParent();var t=this.offsetParent.offset();return"absolute"==this.cssPosition&&this.scrollParent[0]!=document&&e.contains(this.scrollParent[0],this.offsetParent[0])&&(t.left+=this.scrollParent.scrollLeft(),t.top+=this.scrollParent.scrollTop()),(this.offsetParent[0]==document.body||this.offsetParent[0].tagName&&"html"==this.offsetParent[0].tagName.toLowerCase()&&e.ui.ie)&&(t={top:0,left:0}),{top:t.top+(parseInt(this.offsetParent.css("borderTopWidth"),10)||0),left:t.left+(parseInt(this.offsetParent.css("borderLeftWidth"),10)||0)}},_getRelativeOffset:function(){if("relative"==this.cssPosition){var e=this.currentItem.position();return{top:e.top-(parseInt(this.helper.css("top"),10)||0)+this.scrollParent.scrollTop(),left:e.left-(parseInt(this.helper.css("left"),10)||0)+this.scrollParent.scrollLeft()}}return{top:0,left:0}},_cacheMargins:function(){this.margins={left:parseInt(this.currentItem.css("marginLeft"),10)||0,top:parseInt(this.currentItem.css("marginTop"),10)||0}},_cacheHelperProportions:function(){this.helperProportions={width:this.helper.outerWidth(),height:this.helper.outerHeight()}},_setContainment:function(){var t=this.options;if("parent"==t.containment&&(t.containment=this.helper[0].parentNode),("document"==t.containment||"window"==t.containment)&&(this.containment=[0-this.offset.relative.left-this.offset.parent.left,0-this.offset.relative.top-this.offset.parent.top,e("document"==t.containment?document:window).width()-this.helperProportions.width-this.margins.left,(e("document"==t.containment?document:window).height()||document.body.parentNode.scrollHeight)-this.helperProportions.height-this.margins.top]),!/^(document|window|parent)$/.test(t.containment)){var i=e(t.containment)[0],s=e(t.containment).offset(),a="hidden"!=e(i).css("overflow");this.containment=[s.left+(parseInt(e(i).css("borderLeftWidth"),10)||0)+(parseInt(e(i).css("paddingLeft"),10)||0)-this.margins.left,s.top+(parseInt(e(i).css("borderTopWidth"),10)||0)+(parseInt(e(i).css("paddingTop"),10)||0)-this.margins.top,s.left+(a?Math.max(i.scrollWidth,i.offsetWidth):i.offsetWidth)-(parseInt(e(i).css("borderLeftWidth"),10)||0)-(parseInt(e(i).css("paddingRight"),10)||0)-this.helperProportions.width-this.margins.left,s.top+(a?Math.max(i.scrollHeight,i.offsetHeight):i.offsetHeight)-(parseInt(e(i).css("borderTopWidth"),10)||0)-(parseInt(e(i).css("paddingBottom"),10)||0)-this.helperProportions.height-this.margins.top]}},_convertPositionTo:function(t,i){i||(i=this.position);var s="absolute"==t?1:-1,a=(this.options,"absolute"!=this.cssPosition||this.scrollParent[0]!=document&&e.contains(this.scrollParent[0],this.offsetParent[0])?this.scrollParent:this.offsetParent),n=/(html|body)/i.test(a[0].tagName);return{top:i.top+this.offset.relative.top*s+this.offset.parent.top*s-("fixed"==this.cssPosition?-this.scrollParent.scrollTop():n?0:a.scrollTop())*s,left:i.left+this.offset.relative.left*s+this.offset.parent.left*s-("fixed"==this.cssPosition?-this.scrollParent.scrollLeft():n?0:a.scrollLeft())*s}},_generatePosition:function(t){var i=this.options,s="absolute"!=this.cssPosition||this.scrollParent[0]!=document&&e.contains(this.scrollParent[0],this.offsetParent[0])?this.scrollParent:this.offsetParent,a=/(html|body)/i.test(s[0].tagName);"relative"!=this.cssPosition||this.scrollParent[0]!=document&&this.scrollParent[0]!=this.offsetParent[0]||(this.offset.relative=this._getRelativeOffset());var n=t.pageX,r=t.pageY;if(this.originalPosition&&(this.containment&&(t.pageX-this.offset.click.leftthis.containment[2]&&(n=this.containment[2]+this.offset.click.left),t.pageY-this.offset.click.top>this.containment[3]&&(r=this.containment[3]+this.offset.click.top)),i.grid)){var o=this.originalPageY+Math.round((r-this.originalPageY)/i.grid[1])*i.grid[1];r=this.containment?o-this.offset.click.topthis.containment[3]?o-this.offset.click.topthis.containment[2]?h-this.offset.click.left=0;a--)i||s.push(function(e){return function(t){e._trigger("deactivate",t,this._uiHash(this))}}.call(this,this.containers[a])),this.containers[a].containerCache.over&&(s.push(function(e){return function(t){e._trigger("out",t,this._uiHash(this))}}.call(this,this.containers[a])),this.containers[a].containerCache.over=0);if(this._storedCursor&&e("body").css("cursor",this._storedCursor),this._storedOpacity&&this.helper.css("opacity",this._storedOpacity),this._storedZIndex&&this.helper.css("zIndex","auto"==this._storedZIndex?"":this._storedZIndex),this.dragging=!1,this.cancelHelperRemoval){if(!i){this._trigger("beforeStop",t,this._uiHash());for(var a=0;s.length>a;a++)s[a].call(this,t);this._trigger("stop",t,this._uiHash())}return this.fromOutside=!1,!1}if(i||this._trigger("beforeStop",t,this._uiHash()),this.placeholder[0].parentNode.removeChild(this.placeholder[0]),this.helper[0]!=this.currentItem[0]&&this.helper.remove(),this.helper=null,!i){for(var a=0;s.length>a;a++)s[a].call(this,t);this._trigger("stop",t,this._uiHash())}return this.fromOutside=!1,!0},_trigger:function(){e.Widget.prototype._trigger.apply(this,arguments)===!1&&this.cancel()},_uiHash:function(t){var i=t||this;return{helper:i.helper,placeholder:i.placeholder||e([]),position:i.position,originalPosition:i.originalPosition,offset:i.positionAbs,item:i.currentItem,sender:t?t.element:null}}})})(jQuery);(function(e){var t=0,i={},a={};i.height=i.paddingTop=i.paddingBottom=i.borderTopWidth=i.borderBottomWidth="hide",a.height=a.paddingTop=a.paddingBottom=a.borderTopWidth=a.borderBottomWidth="show",e.widget("ui.accordion",{version:"1.9.2",options:{active:0,animate:{},collapsible:!1,event:"click",header:"> li > :first-child,> :not(li):even",heightStyle:"auto",icons:{activeHeader:"ui-icon-triangle-1-s",header:"ui-icon-triangle-1-e"},activate:null,beforeActivate:null},_create:function(){var i=this.accordionId="ui-accordion-"+(this.element.attr("id")||++t),a=this.options;this.prevShow=this.prevHide=e(),this.element.addClass("ui-accordion ui-widget ui-helper-reset"),this.headers=this.element.find(a.header).addClass("ui-accordion-header ui-helper-reset ui-state-default ui-corner-all"),this._hoverable(this.headers),this._focusable(this.headers),this.headers.next().addClass("ui-accordion-content ui-helper-reset ui-widget-content ui-corner-bottom").hide(),a.collapsible||a.active!==!1&&null!=a.active||(a.active=0),0>a.active&&(a.active+=this.headers.length),this.active=this._findActive(a.active).addClass("ui-accordion-header-active ui-state-active").toggleClass("ui-corner-all ui-corner-top"),this.active.next().addClass("ui-accordion-content-active").show(),this._createIcons(),this.refresh(),this.element.attr("role","tablist"),this.headers.attr("role","tab").each(function(t){var a=e(this),s=a.attr("id"),n=a.next(),r=n.attr("id");s||(s=i+"-header-"+t,a.attr("id",s)),r||(r=i+"-panel-"+t,n.attr("id",r)),a.attr("aria-controls",r),n.attr("aria-labelledby",s)}).next().attr("role","tabpanel"),this.headers.not(this.active).attr({"aria-selected":"false",tabIndex:-1}).next().attr({"aria-expanded":"false","aria-hidden":"true"}).hide(),this.active.length?this.active.attr({"aria-selected":"true",tabIndex:0}).next().attr({"aria-expanded":"true","aria-hidden":"false"}):this.headers.eq(0).attr("tabIndex",0),this._on(this.headers,{keydown:"_keydown"}),this._on(this.headers.next(),{keydown:"_panelKeyDown"}),this._setupEvents(a.event)},_getCreateEventData:function(){return{header:this.active,content:this.active.length?this.active.next():e()}},_createIcons:function(){var t=this.options.icons;t&&(e("").addClass("ui-accordion-header-icon ui-icon "+t.header).prependTo(this.headers),this.active.children(".ui-accordion-header-icon").removeClass(t.header).addClass(t.activeHeader),this.headers.addClass("ui-accordion-icons"))},_destroyIcons:function(){this.headers.removeClass("ui-accordion-icons").children(".ui-accordion-header-icon").remove()},_destroy:function(){var e;this.element.removeClass("ui-accordion ui-widget ui-helper-reset").removeAttr("role"),this.headers.removeClass("ui-accordion-header ui-accordion-header-active ui-helper-reset ui-state-default ui-corner-all ui-state-active ui-state-disabled ui-corner-top").removeAttr("role").removeAttr("aria-selected").removeAttr("aria-controls").removeAttr("tabIndex").each(function(){/^ui-accordion/.test(this.id)&&this.removeAttribute("id")}),this._destroyIcons(),e=this.headers.next().css("display","").removeAttr("role").removeAttr("aria-expanded").removeAttr("aria-hidden").removeAttr("aria-labelledby").removeClass("ui-helper-reset ui-widget-content ui-corner-bottom ui-accordion-content ui-accordion-content-active ui-state-disabled").each(function(){/^ui-accordion/.test(this.id)&&this.removeAttribute("id")}),"content"!==this.options.heightStyle&&e.css("height","")},_setOption:function(e,t){return"active"===e?(this._activate(t),undefined):("event"===e&&(this.options.event&&this._off(this.headers,this.options.event),this._setupEvents(t)),this._super(e,t),"collapsible"!==e||t||this.options.active!==!1||this._activate(0),"icons"===e&&(this._destroyIcons(),t&&this._createIcons()),"disabled"===e&&this.headers.add(this.headers.next()).toggleClass("ui-state-disabled",!!t),undefined)},_keydown:function(t){if(!t.altKey&&!t.ctrlKey){var i=e.ui.keyCode,a=this.headers.length,s=this.headers.index(t.target),n=!1;switch(t.keyCode){case i.RIGHT:case i.DOWN:n=this.headers[(s+1)%a];break;case i.LEFT:case i.UP:n=this.headers[(s-1+a)%a];break;case i.SPACE:case i.ENTER:this._eventHandler(t);break;case i.HOME:n=this.headers[0];break;case i.END:n=this.headers[a-1]}n&&(e(t.target).attr("tabIndex",-1),e(n).attr("tabIndex",0),n.focus(),t.preventDefault())}},_panelKeyDown:function(t){t.keyCode===e.ui.keyCode.UP&&t.ctrlKey&&e(t.currentTarget).prev().focus()},refresh:function(){var t,i,a=this.options.heightStyle,s=this.element.parent();"fill"===a?(e.support.minHeight||(i=s.css("overflow"),s.css("overflow","hidden")),t=s.height(),this.element.siblings(":visible").each(function(){var i=e(this),a=i.css("position");"absolute"!==a&&"fixed"!==a&&(t-=i.outerHeight(!0))}),i&&s.css("overflow",i),this.headers.each(function(){t-=e(this).outerHeight(!0)}),this.headers.next().each(function(){e(this).height(Math.max(0,t-e(this).innerHeight()+e(this).height()))}).css("overflow","auto")):"auto"===a&&(t=0,this.headers.next().each(function(){t=Math.max(t,e(this).css("height","").height())}).height(t))},_activate:function(t){var i=this._findActive(t)[0];i!==this.active[0]&&(i=i||this.active[0],this._eventHandler({target:i,currentTarget:i,preventDefault:e.noop}))},_findActive:function(t){return"number"==typeof t?this.headers.eq(t):e()},_setupEvents:function(t){var i={};t&&(e.each(t.split(" "),function(e,t){i[t]="_eventHandler"}),this._on(this.headers,i))},_eventHandler:function(t){var i=this.options,a=this.active,s=e(t.currentTarget),n=s[0]===a[0],r=n&&i.collapsible,o=r?e():s.next(),h=a.next(),l={oldHeader:a,oldPanel:h,newHeader:r?e():s,newPanel:o};t.preventDefault(),n&&!i.collapsible||this._trigger("beforeActivate",t,l)===!1||(i.active=r?!1:this.headers.index(s),this.active=n?e():s,this._toggle(l),a.removeClass("ui-accordion-header-active ui-state-active"),i.icons&&a.children(".ui-accordion-header-icon").removeClass(i.icons.activeHeader).addClass(i.icons.header),n||(s.removeClass("ui-corner-all").addClass("ui-accordion-header-active ui-state-active ui-corner-top"),i.icons&&s.children(".ui-accordion-header-icon").removeClass(i.icons.header).addClass(i.icons.activeHeader),s.next().addClass("ui-accordion-content-active")))},_toggle:function(t){var i=t.newPanel,a=this.prevShow.length?this.prevShow:t.oldPanel;this.prevShow.add(this.prevHide).stop(!0,!0),this.prevShow=i,this.prevHide=a,this.options.animate?this._animate(i,a,t):(a.hide(),i.show(),this._toggleComplete(t)),a.attr({"aria-expanded":"false","aria-hidden":"true"}),a.prev().attr("aria-selected","false"),i.length&&a.length?a.prev().attr("tabIndex",-1):i.length&&this.headers.filter(function(){return 0===e(this).attr("tabIndex")}).attr("tabIndex",-1),i.attr({"aria-expanded":"true","aria-hidden":"false"}).prev().attr({"aria-selected":"true",tabIndex:0})},_animate:function(e,t,s){var n,r,o,h=this,l=0,u=e.length&&(!t.length||e.index()",options:{appendTo:"body",autoFocus:!1,delay:300,minLength:1,position:{my:"left top",at:"left bottom",collision:"none"},source:null,change:null,close:null,focus:null,open:null,response:null,search:null,select:null},pending:0,_create:function(){var t,i,a;this.isMultiLine=this._isMultiLine(),this.valueMethod=this.element[this.element.is("input,textarea")?"val":"text"],this.isNewMenu=!0,this.element.addClass("ui-autocomplete-input").attr("autocomplete","off"),this._on(this.element,{keydown:function(s){if(this.element.prop("readOnly"))return t=!0,a=!0,i=!0,undefined;t=!1,a=!1,i=!1;var n=e.ui.keyCode;switch(s.keyCode){case n.PAGE_UP:t=!0,this._move("previousPage",s);break;case n.PAGE_DOWN:t=!0,this._move("nextPage",s);break;case n.UP:t=!0,this._keyEvent("previous",s);break;case n.DOWN:t=!0,this._keyEvent("next",s);break;case n.ENTER:case n.NUMPAD_ENTER:this.menu.active&&(t=!0,s.preventDefault(),this.menu.select(s));break;case n.TAB:this.menu.active&&this.menu.select(s);break;case n.ESCAPE:this.menu.element.is(":visible")&&(this._value(this.term),this.close(s),s.preventDefault());break;default:i=!0,this._searchTimeout(s)}},keypress:function(a){if(t)return t=!1,a.preventDefault(),undefined;if(!i){var s=e.ui.keyCode;switch(a.keyCode){case s.PAGE_UP:this._move("previousPage",a);break;case s.PAGE_DOWN:this._move("nextPage",a);break;case s.UP:this._keyEvent("previous",a);break;case s.DOWN:this._keyEvent("next",a)}}},input:function(e){return a?(a=!1,e.preventDefault(),undefined):(this._searchTimeout(e),undefined)},focus:function(){this.selectedItem=null,this.previous=this._value()},blur:function(e){return this.cancelBlur?(delete this.cancelBlur,undefined):(clearTimeout(this.searching),this.close(e),this._change(e),undefined)}}),this._initSource(),this.menu=e("
"+(r[0]>0&&z==r[1]-1?'
':""):""),E+=R}F+=E}return F+=D+($.ui.ie6&&!e.inline?'':""),e._keyEvent=!1,F},_generateMonthYearHeader:function(e,t,i,a,s,n,r,o){var h=this._get(e,"changeMonth"),l=this._get(e,"changeYear"),u=this._get(e,"showMonthAfterYear"),d='
',c="";if(n||!h)c+=''+r[t]+"";else{var p=a&&a.getFullYear()==i,m=s&&s.getFullYear()==i;c+='"}if(u||(d+=c+(!n&&h&&l?"":" ")),!e.yearshtml)if(e.yearshtml="",n||!l)d+=''+i+"";else{var g=this._get(e,"yearRange").split(":"),v=(new Date).getFullYear(),y=function(e){var t=e.match(/c[+-].*/)?i+parseInt(e.substring(1),10):e.match(/[+-].*/)?v+parseInt(e,10):parseInt(e,10);return isNaN(t)?v:t},b=y(g[0]),_=Math.max(b,y(g[1]||""));for(b=a?Math.max(b,a.getFullYear()):b,_=s?Math.min(_,s.getFullYear()):_,e.yearshtml+='",d+=e.yearshtml,e.yearshtml=null}return d+=this._get(e,"yearSuffix"),u&&(d+=(!n&&h&&l?"":" ")+c),d+="
"},_adjustInstDate:function(e,t,i){var a=e.drawYear+("Y"==i?t:0),s=e.drawMonth+("M"==i?t:0),n=Math.min(e.selectedDay,this._getDaysInMonth(a,s))+("D"==i?t:0),r=this._restrictMinMax(e,this._daylightSavingAdjust(new Date(a,s,n)));e.selectedDay=r.getDate(),e.drawMonth=e.selectedMonth=r.getMonth(),e.drawYear=e.selectedYear=r.getFullYear(),("M"==i||"Y"==i)&&this._notifyChange(e)},_restrictMinMax:function(e,t){var i=this._getMinMaxDate(e,"min"),a=this._getMinMaxDate(e,"max"),s=i&&i>t?i:t;return s=a&&s>a?a:s},_notifyChange:function(e){var t=this._get(e,"onChangeMonthYear");t&&t.apply(e.input?e.input[0]:null,[e.selectedYear,e.selectedMonth+1,e])},_getNumberOfMonths:function(e){var t=this._get(e,"numberOfMonths");return null==t?[1,1]:"number"==typeof t?[1,t]:t},_getMinMaxDate:function(e,t){return this._determineDate(e,this._get(e,t+"Date"),null)},_getDaysInMonth:function(e,t){return 32-this._daylightSavingAdjust(new Date(e,t,32)).getDate()},_getFirstDayOfMonth:function(e,t){return new Date(e,t,1).getDay()},_canAdjustMonth:function(e,t,i,a){var s=this._getNumberOfMonths(e),n=this._daylightSavingAdjust(new Date(i,a+(0>t?t:s[0]*s[1]),1));return 0>t&&n.setDate(this._getDaysInMonth(n.getFullYear(),n.getMonth())),this._isInRange(e,n)},_isInRange:function(e,t){var i=this._getMinMaxDate(e,"min"),a=this._getMinMaxDate(e,"max");return(!i||t.getTime()>=i.getTime())&&(!a||t.getTime()<=a.getTime())},_getFormatConfig:function(e){var t=this._get(e,"shortYearCutoff");return t="string"!=typeof t?t:(new Date).getFullYear()%100+parseInt(t,10),{shortYearCutoff:t,dayNamesShort:this._get(e,"dayNamesShort"),dayNames:this._get(e,"dayNames"),monthNamesShort:this._get(e,"monthNamesShort"),monthNames:this._get(e,"monthNames")}},_formatDate:function(e,t,i,a){t||(e.currentDay=e.selectedDay,e.currentMonth=e.selectedMonth,e.currentYear=e.selectedYear);var s=t?"object"==typeof t?t:this._daylightSavingAdjust(new Date(a,i,t)):this._daylightSavingAdjust(new Date(e.currentYear,e.currentMonth,e.currentDay));return this.formatDate(this._get(e,"dateFormat"),s,this._getFormatConfig(e))}}),$.fn.datepicker=function(e){if(!this.length)return this;$.datepicker.initialized||($(document).mousedown($.datepicker._checkExternalClick).find(document.body).append($.datepicker.dpDiv),$.datepicker.initialized=!0);var t=Array.prototype.slice.call(arguments,1);return"string"!=typeof e||"isDisabled"!=e&&"getDate"!=e&&"widget"!=e?"option"==e&&2==arguments.length&&"string"==typeof arguments[1]?$.datepicker["_"+e+"Datepicker"].apply($.datepicker,[this[0]].concat(t)):this.each(function(){"string"==typeof e?$.datepicker["_"+e+"Datepicker"].apply($.datepicker,[this].concat(t)):$.datepicker._attachDatepicker(this,e)}):$.datepicker["_"+e+"Datepicker"].apply($.datepicker,[this[0]].concat(t))},$.datepicker=new Datepicker,$.datepicker.initialized=!1,$.datepicker.uuid=(new Date).getTime(),$.datepicker.version="1.9.2",window["DP_jQuery_"+dpuuid]=$})(jQuery);(function(e,t){var i="ui-dialog ui-widget ui-widget-content ui-corner-all ",a={buttons:!0,height:!0,maxHeight:!0,maxWidth:!0,minHeight:!0,minWidth:!0,width:!0},s={maxHeight:!0,maxWidth:!0,minHeight:!0,minWidth:!0};e.widget("ui.dialog",{version:"1.9.2",options:{autoOpen:!0,buttons:{},closeOnEscape:!0,closeText:"close",dialogClass:"",draggable:!0,hide:null,height:"auto",maxHeight:!1,maxWidth:!1,minHeight:150,minWidth:150,modal:!1,position:{my:"center",at:"center",of:window,collision:"fit",using:function(t){var i=e(this).css(t).offset().top;0>i&&e(this).css("top",t.top-i)}},resizable:!0,show:null,stack:!0,title:"",width:300,zIndex:1e3},_create:function(){this.originalTitle=this.element.attr("title"),"string"!=typeof this.originalTitle&&(this.originalTitle=""),this.oldPosition={parent:this.element.parent(),index:this.element.parent().children().index(this.element)},this.options.title=this.options.title||this.originalTitle;var a,s,n,r,o,h=this,l=this.options,u=l.title||" ";a=(this.uiDialog=e("
")).addClass(i+l.dialogClass).css({display:"none",outline:0,zIndex:l.zIndex}).attr("tabIndex",-1).keydown(function(t){l.closeOnEscape&&!t.isDefaultPrevented()&&t.keyCode&&t.keyCode===e.ui.keyCode.ESCAPE&&(h.close(t),t.preventDefault())}).mousedown(function(e){h.moveToTop(!1,e)}).appendTo("body"),this.element.show().removeAttr("title").addClass("ui-dialog-content ui-widget-content").appendTo(a),s=(this.uiDialogTitlebar=e("
")).addClass("ui-dialog-titlebar ui-widget-header ui-corner-all ui-helper-clearfix").bind("mousedown",function(){a.focus()}).prependTo(a),n=e("").addClass("ui-dialog-titlebar-close ui-corner-all").attr("role","button").click(function(e){e.preventDefault(),h.close(e)}).appendTo(s),(this.uiDialogTitlebarCloseText=e("")).addClass("ui-icon ui-icon-closethick").text(l.closeText).appendTo(n),r=e("").uniqueId().addClass("ui-dialog-title").html(u).prependTo(s),o=(this.uiDialogButtonPane=e("
")).addClass("ui-dialog-buttonpane ui-widget-content ui-helper-clearfix"),(this.uiButtonSet=e("
")).addClass("ui-dialog-buttonset").appendTo(o),a.attr({role:"dialog","aria-labelledby":r.attr("id")}),s.find("*").add(s).disableSelection(),this._hoverable(n),this._focusable(n),l.draggable&&e.fn.draggable&&this._makeDraggable(),l.resizable&&e.fn.resizable&&this._makeResizable(),this._createButtons(l.buttons),this._isOpen=!1,e.fn.bgiframe&&a.bgiframe(),this._on(a,{keydown:function(i){if(l.modal&&i.keyCode===e.ui.keyCode.TAB){var s=e(":tabbable",a),n=s.filter(":first"),r=s.filter(":last");return i.target!==r[0]||i.shiftKey?i.target===n[0]&&i.shiftKey?(r.focus(1),!1):t:(n.focus(1),!1)}}})},_init:function(){this.options.autoOpen&&this.open()},_destroy:function(){var e,t=this.oldPosition;this.overlay&&this.overlay.destroy(),this.uiDialog.hide(),this.element.removeClass("ui-dialog-content ui-widget-content").hide().appendTo("body"),this.uiDialog.remove(),this.originalTitle&&this.element.attr("title",this.originalTitle),e=t.parent.children().eq(t.index),e.length&&e[0]!==this.element[0]?e.before(this.element):t.parent.append(this.element)},widget:function(){return this.uiDialog},close:function(t){var i,a,s=this;if(this._isOpen&&!1!==this._trigger("beforeClose",t))return this._isOpen=!1,this.overlay&&this.overlay.destroy(),this.options.hide?this._hide(this.uiDialog,this.options.hide,function(){s._trigger("close",t)}):(this.uiDialog.hide(),this._trigger("close",t)),e.ui.dialog.overlay.resize(),this.options.modal&&(i=0,e(".ui-dialog").each(function(){this!==s.uiDialog[0]&&(a=e(this).css("z-index"),isNaN(a)||(i=Math.max(i,a)))}),e.ui.dialog.maxZ=i),this},isOpen:function(){return this._isOpen},moveToTop:function(t,i){var a,s=this.options;return s.modal&&!t||!s.stack&&!s.modal?this._trigger("focus",i):(s.zIndex>e.ui.dialog.maxZ&&(e.ui.dialog.maxZ=s.zIndex),this.overlay&&(e.ui.dialog.maxZ+=1,e.ui.dialog.overlay.maxZ=e.ui.dialog.maxZ,this.overlay.$el.css("z-index",e.ui.dialog.overlay.maxZ)),a={scrollTop:this.element.scrollTop(),scrollLeft:this.element.scrollLeft()},e.ui.dialog.maxZ+=1,this.uiDialog.css("z-index",e.ui.dialog.maxZ),this.element.attr(a),this._trigger("focus",i),this)},open:function(){if(!this._isOpen){var t,i=this.options,a=this.uiDialog;return this._size(),this._position(i.position),a.show(i.show),this.overlay=i.modal?new e.ui.dialog.overlay(this):null,this.moveToTop(!0),t=this.element.find(":tabbable"),t.length||(t=this.uiDialogButtonPane.find(":tabbable"),t.length||(t=a)),t.eq(0).focus(),this._isOpen=!0,this._trigger("open"),this}},_createButtons:function(t){var i=this,a=!1;this.uiDialogButtonPane.remove(),this.uiButtonSet.empty(),"object"==typeof t&&null!==t&&e.each(t,function(){return!(a=!0)}),a?(e.each(t,function(t,a){var s,n;a=e.isFunction(a)?{click:a,text:t}:a,a=e.extend({type:"button"},a),n=a.click,a.click=function(){n.apply(i.element[0],arguments)},s=e("",a).appendTo(i.uiButtonSet),e.fn.button&&s.button()}),this.uiDialog.addClass("ui-dialog-buttons"),this.uiDialogButtonPane.appendTo(this.uiDialog)):this.uiDialog.removeClass("ui-dialog-buttons")},_makeDraggable:function(){function t(e){return{position:e.position,offset:e.offset}}var i=this,a=this.options;this.uiDialog.draggable({cancel:".ui-dialog-content, .ui-dialog-titlebar-close",handle:".ui-dialog-titlebar",containment:"document",start:function(a,s){e(this).addClass("ui-dialog-dragging"),i._trigger("dragStart",a,t(s))},drag:function(e,a){i._trigger("drag",e,t(a))},stop:function(s,n){a.position=[n.position.left-i.document.scrollLeft(),n.position.top-i.document.scrollTop()],e(this).removeClass("ui-dialog-dragging"),i._trigger("dragStop",s,t(n)),e.ui.dialog.overlay.resize()}})},_makeResizable:function(i){function a(e){return{originalPosition:e.originalPosition,originalSize:e.originalSize,position:e.position,size:e.size}}i=i===t?this.options.resizable:i;var s=this,n=this.options,r=this.uiDialog.css("position"),o="string"==typeof i?i:"n,e,s,w,se,sw,ne,nw";this.uiDialog.resizable({cancel:".ui-dialog-content",containment:"document",alsoResize:this.element,maxWidth:n.maxWidth,maxHeight:n.maxHeight,minWidth:n.minWidth,minHeight:this._minHeight(),handles:o,start:function(t,i){e(this).addClass("ui-dialog-resizing"),s._trigger("resizeStart",t,a(i))},resize:function(e,t){s._trigger("resize",e,a(t))},stop:function(t,i){e(this).removeClass("ui-dialog-resizing"),n.height=e(this).height(),n.width=e(this).width(),s._trigger("resizeStop",t,a(i)),e.ui.dialog.overlay.resize()}}).css("position",r).find(".ui-resizable-se").addClass("ui-icon ui-icon-grip-diagonal-se")},_minHeight:function(){var e=this.options;return"auto"===e.height?e.minHeight:Math.min(e.minHeight,e.height)},_position:function(t){var i,a=[],s=[0,0];t?(("string"==typeof t||"object"==typeof t&&"0"in t)&&(a=t.split?t.split(" "):[t[0],t[1]],1===a.length&&(a[1]=a[0]),e.each(["left","top"],function(e,t){+a[e]===a[e]&&(s[e]=a[e],a[e]=t)}),t={my:a[0]+(0>s[0]?s[0]:"+"+s[0])+" "+a[1]+(0>s[1]?s[1]:"+"+s[1]),at:a.join(" ")}),t=e.extend({},e.ui.dialog.prototype.options.position,t)):t=e.ui.dialog.prototype.options.position,i=this.uiDialog.is(":visible"),i||this.uiDialog.show(),this.uiDialog.position(t),i||this.uiDialog.hide()},_setOptions:function(t){var i=this,n={},r=!1;e.each(t,function(e,t){i._setOption(e,t),e in a&&(r=!0),e in s&&(n[e]=t)}),r&&this._size(),this.uiDialog.is(":data(resizable)")&&this.uiDialog.resizable("option",n)},_setOption:function(t,a){var s,n,r=this.uiDialog;switch(t){case"buttons":this._createButtons(a);break;case"closeText":this.uiDialogTitlebarCloseText.text(""+a);break;case"dialogClass":r.removeClass(this.options.dialogClass).addClass(i+a);break;case"disabled":a?r.addClass("ui-dialog-disabled"):r.removeClass("ui-dialog-disabled");break;case"draggable":s=r.is(":data(draggable)"),s&&!a&&r.draggable("destroy"),!s&&a&&this._makeDraggable();break;case"position":this._position(a);break;case"resizable":n=r.is(":data(resizable)"),n&&!a&&r.resizable("destroy"),n&&"string"==typeof a&&r.resizable("option","handles",a),n||a===!1||this._makeResizable(a);break;case"title":e(".ui-dialog-title",this.uiDialogTitlebar).html(""+(a||" "))}this._super(t,a)},_size:function(){var t,i,a,s=this.options,n=this.uiDialog.is(":visible");this.element.show().css({width:"auto",minHeight:0,height:0}),s.minWidth>s.width&&(s.width=s.minWidth),t=this.uiDialog.css({height:"auto",width:s.width}).outerHeight(),i=Math.max(0,s.minHeight-t),"auto"===s.height?e.support.minHeight?this.element.css({minHeight:i,height:"auto"}):(this.uiDialog.show(),a=this.element.css("height","auto").height(),n||this.uiDialog.hide(),this.element.height(Math.max(a,i))):this.element.height(Math.max(s.height-t,0)),this.uiDialog.is(":data(resizable)")&&this.uiDialog.resizable("option","minHeight",this._minHeight())}}),e.extend(e.ui.dialog,{uuid:0,maxZ:0,getTitleId:function(e){var t=e.attr("id");return t||(this.uuid+=1,t=this.uuid),"ui-dialog-title-"+t},overlay:function(t){this.$el=e.ui.dialog.overlay.create(t)}}),e.extend(e.ui.dialog.overlay,{instances:[],oldInstances:[],maxZ:0,events:e.map("focus,mousedown,mouseup,keydown,keypress,click".split(","),function(e){return e+".dialog-overlay"}).join(" "),create:function(i){0===this.instances.length&&(setTimeout(function(){e.ui.dialog.overlay.instances.length&&e(document).bind(e.ui.dialog.overlay.events,function(i){return e(i.target).zIndex()").addClass("ui-widget-overlay");return e(document).bind("keydown.dialog-overlay",function(t){var s=e.ui.dialog.overlay.instances;0!==s.length&&s[s.length-1]===a&&i.options.closeOnEscape&&!t.isDefaultPrevented()&&t.keyCode&&t.keyCode===e.ui.keyCode.ESCAPE&&(i.close(t),t.preventDefault())}),a.appendTo(document.body).css({width:this.width(),height:this.height()}),e.fn.bgiframe&&a.bgiframe(),this.instances.push(a),a},destroy:function(t){var i=e.inArray(t,this.instances),a=0;-1!==i&&this.oldInstances.push(this.instances.splice(i,1)[0]),0===this.instances.length&&e([document,window]).unbind(".dialog-overlay"),t.height(0).width(0).remove(),e.each(this.instances,function(){a=Math.max(a,this.css("z-index"))}),this.maxZ=a},height:function(){var t,i;return e.ui.ie?(t=Math.max(document.documentElement.scrollHeight,document.body.scrollHeight),i=Math.max(document.documentElement.offsetHeight,document.body.offsetHeight),i>t?e(window).height()+"px":t+"px"):e(document).height()+"px"},width:function(){var t,i;return e.ui.ie?(t=Math.max(document.documentElement.scrollWidth,document.body.scrollWidth),i=Math.max(document.documentElement.offsetWidth,document.body.offsetWidth),i>t?e(window).width()+"px":t+"px"):e(document).width()+"px"},resize:function(){var t=e([]);e.each(e.ui.dialog.overlay.instances,function(){t=t.add(this)}),t.css({width:0,height:0}).css({width:e.ui.dialog.overlay.width(),height:e.ui.dialog.overlay.height()})}}),e.extend(e.ui.dialog.overlay.prototype,{destroy:function(){e.ui.dialog.overlay.destroy(this.$el)}})})(jQuery);(function(e){var t=!1;e.widget("ui.menu",{version:"1.9.2",defaultElement:"
    ",delay:300,options:{icons:{submenu:"ui-icon-carat-1-e"},menus:"ul",position:{my:"left top",at:"right top"},role:"menu",blur:null,focus:null,select:null},_create:function(){this.activeMenu=this.element,this.element.uniqueId().addClass("ui-menu ui-widget ui-widget-content ui-corner-all").toggleClass("ui-menu-icons",!!this.element.find(".ui-icon").length).attr({role:this.options.role,tabIndex:0}).bind("click"+this.eventNamespace,e.proxy(function(e){this.options.disabled&&e.preventDefault()},this)),this.options.disabled&&this.element.addClass("ui-state-disabled").attr("aria-disabled","true"),this._on({"mousedown .ui-menu-item > a":function(e){e.preventDefault()},"click .ui-state-disabled > a":function(e){e.preventDefault()},"click .ui-menu-item:has(a)":function(i){var s=e(i.target).closest(".ui-menu-item");!t&&s.not(".ui-state-disabled").length&&(t=!0,this.select(i),s.has(".ui-menu").length?this.expand(i):this.element.is(":focus")||(this.element.trigger("focus",[!0]),this.active&&1===this.active.parents(".ui-menu").length&&clearTimeout(this.timer)))},"mouseenter .ui-menu-item":function(t){var i=e(t.currentTarget);i.siblings().children(".ui-state-active").removeClass("ui-state-active"),this.focus(t,i)},mouseleave:"collapseAll","mouseleave .ui-menu":"collapseAll",focus:function(e,t){var i=this.active||this.element.children(".ui-menu-item").eq(0);t||this.focus(e,i)},blur:function(t){this._delay(function(){e.contains(this.element[0],this.document[0].activeElement)||this.collapseAll(t)})},keydown:"_keydown"}),this.refresh(),this._on(this.document,{click:function(i){e(i.target).closest(".ui-menu").length||this.collapseAll(i),t=!1}})},_destroy:function(){this.element.removeAttr("aria-activedescendant").find(".ui-menu").andSelf().removeClass("ui-menu ui-widget ui-widget-content ui-corner-all ui-menu-icons").removeAttr("role").removeAttr("tabIndex").removeAttr("aria-labelledby").removeAttr("aria-expanded").removeAttr("aria-hidden").removeAttr("aria-disabled").removeUniqueId().show(),this.element.find(".ui-menu-item").removeClass("ui-menu-item").removeAttr("role").removeAttr("aria-disabled").children("a").removeUniqueId().removeClass("ui-corner-all ui-state-hover").removeAttr("tabIndex").removeAttr("role").removeAttr("aria-haspopup").children().each(function(){var t=e(this);t.data("ui-menu-submenu-carat")&&t.remove()}),this.element.find(".ui-menu-divider").removeClass("ui-menu-divider ui-widget-content")},_keydown:function(t){function i(e){return e.replace(/[\-\[\]{}()*+?.,\\\^$|#\s]/g,"\\$&")}var s,a,n,r,o,h=!0;switch(t.keyCode){case e.ui.keyCode.PAGE_UP:this.previousPage(t);break;case e.ui.keyCode.PAGE_DOWN:this.nextPage(t);break;case e.ui.keyCode.HOME:this._move("first","first",t);break;case e.ui.keyCode.END:this._move("last","last",t);break;case e.ui.keyCode.UP:this.previous(t);break;case e.ui.keyCode.DOWN:this.next(t);break;case e.ui.keyCode.LEFT:this.collapse(t);break;case e.ui.keyCode.RIGHT:this.active&&!this.active.is(".ui-state-disabled")&&this.expand(t);break;case e.ui.keyCode.ENTER:case e.ui.keyCode.SPACE:this._activate(t);break;case e.ui.keyCode.ESCAPE:this.collapse(t);break;default:h=!1,a=this.previousFilter||"",n=String.fromCharCode(t.keyCode),r=!1,clearTimeout(this.filterTimer),n===a?r=!0:n=a+n,o=RegExp("^"+i(n),"i"),s=this.activeMenu.children(".ui-menu-item").filter(function(){return o.test(e(this).children("a").text())}),s=r&&-1!==s.index(this.active.next())?this.active.nextAll(".ui-menu-item"):s,s.length||(n=String.fromCharCode(t.keyCode),o=RegExp("^"+i(n),"i"),s=this.activeMenu.children(".ui-menu-item").filter(function(){return o.test(e(this).children("a").text())})),s.length?(this.focus(t,s),s.length>1?(this.previousFilter=n,this.filterTimer=this._delay(function(){delete this.previousFilter},1e3)):delete this.previousFilter):delete this.previousFilter}h&&t.preventDefault()},_activate:function(e){this.active.is(".ui-state-disabled")||(this.active.children("a[aria-haspopup='true']").length?this.expand(e):this.select(e))},refresh:function(){var t,i=this.options.icons.submenu,s=this.element.find(this.options.menus);s.filter(":not(.ui-menu)").addClass("ui-menu ui-widget ui-widget-content ui-corner-all").hide().attr({role:this.options.role,"aria-hidden":"true","aria-expanded":"false"}).each(function(){var t=e(this),s=t.prev("a"),a=e("").addClass("ui-menu-icon ui-icon "+i).data("ui-menu-submenu-carat",!0);s.attr("aria-haspopup","true").prepend(a),t.attr("aria-labelledby",s.attr("id"))}),t=s.add(this.element),t.children(":not(.ui-menu-item):has(a)").addClass("ui-menu-item").attr("role","presentation").children("a").uniqueId().addClass("ui-corner-all").attr({tabIndex:-1,role:this._itemRole()}),t.children(":not(.ui-menu-item)").each(function(){var t=e(this);/[^\-s]/.test(t.text())||t.addClass("ui-widget-content ui-menu-divider")}),t.children(".ui-state-disabled").attr("aria-disabled","true"),this.active&&!e.contains(this.element[0],this.active[0])&&this.blur()},_itemRole:function(){return{menu:"menuitem",listbox:"option"}[this.options.role]},focus:function(e,t){var i,s;this.blur(e,e&&"focus"===e.type),this._scrollIntoView(t),this.active=t.first(),s=this.active.children("a").addClass("ui-state-focus"),this.options.role&&this.element.attr("aria-activedescendant",s.attr("id")),this.active.parent().closest(".ui-menu-item").children("a:first").addClass("ui-state-active"),e&&"keydown"===e.type?this._close():this.timer=this._delay(function(){this._close()},this.delay),i=t.children(".ui-menu"),i.length&&/^mouse/.test(e.type)&&this._startOpening(i),this.activeMenu=t.parent(),this._trigger("focus",e,{item:t})},_scrollIntoView:function(t){var i,s,a,n,r,o;this._hasScroll()&&(i=parseFloat(e.css(this.activeMenu[0],"borderTopWidth"))||0,s=parseFloat(e.css(this.activeMenu[0],"paddingTop"))||0,a=t.offset().top-this.activeMenu.offset().top-i-s,n=this.activeMenu.scrollTop(),r=this.activeMenu.height(),o=t.height(),0>a?this.activeMenu.scrollTop(n+a):a+o>r&&this.activeMenu.scrollTop(n+a-r+o))},blur:function(e,t){t||clearTimeout(this.timer),this.active&&(this.active.children("a").removeClass("ui-state-focus"),this.active=null,this._trigger("blur",e,{item:this.active}))},_startOpening:function(e){clearTimeout(this.timer),"true"===e.attr("aria-hidden")&&(this.timer=this._delay(function(){this._close(),this._open(e)},this.delay))},_open:function(t){var i=e.extend({of:this.active},this.options.position);clearTimeout(this.timer),this.element.find(".ui-menu").not(t.parents(".ui-menu")).hide().attr("aria-hidden","true"),t.show().removeAttr("aria-hidden").attr("aria-expanded","true").position(i)},collapseAll:function(t,i){clearTimeout(this.timer),this.timer=this._delay(function(){var s=i?this.element:e(t&&t.target).closest(this.element.find(".ui-menu"));s.length||(s=this.element),this._close(s),this.blur(t),this.activeMenu=s},this.delay)},_close:function(e){e||(e=this.active?this.active.parent():this.element),e.find(".ui-menu").hide().attr("aria-hidden","true").attr("aria-expanded","false").end().find("a.ui-state-active").removeClass("ui-state-active")},collapse:function(e){var t=this.active&&this.active.parent().closest(".ui-menu-item",this.element);t&&t.length&&(this._close(),this.focus(e,t))},expand:function(e){var t=this.active&&this.active.children(".ui-menu ").children(".ui-menu-item").first();t&&t.length&&(this._open(t.parent()),this._delay(function(){this.focus(e,t)}))},next:function(e){this._move("next","first",e)},previous:function(e){this._move("prev","last",e)},isFirstItem:function(){return this.active&&!this.active.prevAll(".ui-menu-item").length},isLastItem:function(){return this.active&&!this.active.nextAll(".ui-menu-item").length},_move:function(e,t,i){var s;this.active&&(s="first"===e||"last"===e?this.active["first"===e?"prevAll":"nextAll"](".ui-menu-item").eq(-1):this.active[e+"All"](".ui-menu-item").eq(0)),s&&s.length&&this.active||(s=this.activeMenu.children(".ui-menu-item")[t]()),this.focus(i,s)},nextPage:function(t){var i,s,a;return this.active?(this.isLastItem()||(this._hasScroll()?(s=this.active.offset().top,a=this.element.height(),this.active.nextAll(".ui-menu-item").each(function(){return i=e(this),0>i.offset().top-s-a}),this.focus(t,i)):this.focus(t,this.activeMenu.children(".ui-menu-item")[this.active?"last":"first"]())),undefined):(this.next(t),undefined)},previousPage:function(t){var i,s,a;return this.active?(this.isFirstItem()||(this._hasScroll()?(s=this.active.offset().top,a=this.element.height(),this.active.prevAll(".ui-menu-item").each(function(){return i=e(this),i.offset().top-s+a>0}),this.focus(t,i)):this.focus(t,this.activeMenu.children(".ui-menu-item").first())),undefined):(this.next(t),undefined)},_hasScroll:function(){return this.element.outerHeight()
").appendTo(this.element),this.oldValue=this._value(),this._refreshValue()},_destroy:function(){this.element.removeClass("ui-progressbar ui-widget ui-widget-content ui-corner-all").removeAttr("role").removeAttr("aria-valuemin").removeAttr("aria-valuemax").removeAttr("aria-valuenow"),this.valueDiv.remove()},value:function(e){return e===t?this._value():(this._setOption("value",e),this)},_setOption:function(e,t){"value"===e&&(this.options.value=t,this._refreshValue(),this._value()===this.options.max&&this._trigger("complete")),this._super(e,t)},_value:function(){var e=this.options.value;return"number"!=typeof e&&(e=0),Math.min(this.options.max,Math.max(this.min,e))},_percentage:function(){return 100*this._value()/this.options.max},_refreshValue:function(){var e=this.value(),t=this._percentage();this.oldValue!==e&&(this.oldValue=e,this._trigger("change")),this.valueDiv.toggle(e>this.min).toggleClass("ui-corner-right",e===this.options.max).width(t.toFixed(0)+"%"),this.element.attr("aria-valuenow",e)}})})(jQuery);(function(e){var t=5;e.widget("ui.slider",e.ui.mouse,{version:"1.9.2",widgetEventPrefix:"slide",options:{animate:!1,distance:0,max:100,min:0,orientation:"horizontal",range:!1,step:1,value:0,values:null},_create:function(){var i,s,a=this.options,n=this.element.find(".ui-slider-handle").addClass("ui-state-default ui-corner-all"),r="",o=[];for(this._keySliding=!1,this._mouseSliding=!1,this._animateOff=!0,this._handleIndex=null,this._detectOrientation(),this._mouseInit(),this.element.addClass("ui-slider ui-slider-"+this.orientation+" ui-widget"+" ui-widget-content"+" ui-corner-all"+(a.disabled?" ui-slider-disabled ui-disabled":"")),this.range=e([]),a.range&&(a.range===!0&&(a.values||(a.values=[this._valueMin(),this._valueMin()]),a.values.length&&2!==a.values.length&&(a.values=[a.values[0],a.values[0]])),this.range=e("
").appendTo(this.element).addClass("ui-slider-range ui-widget-header"+("min"===a.range||"max"===a.range?" ui-slider-range-"+a.range:""))),s=a.values&&a.values.length||1,i=n.length;s>i;i++)o.push(r);this.handles=n.add(e(o.join("")).appendTo(this.element)),this.handle=this.handles.eq(0),this.handles.add(this.range).filter("a").click(function(e){e.preventDefault()}).mouseenter(function(){a.disabled||e(this).addClass("ui-state-hover")}).mouseleave(function(){e(this).removeClass("ui-state-hover")}).focus(function(){a.disabled?e(this).blur():(e(".ui-slider .ui-state-focus").removeClass("ui-state-focus"),e(this).addClass("ui-state-focus"))}).blur(function(){e(this).removeClass("ui-state-focus")}),this.handles.each(function(t){e(this).data("ui-slider-handle-index",t)}),this._on(this.handles,{keydown:function(i){var s,a,n,r,o=e(i.target).data("ui-slider-handle-index");switch(i.keyCode){case e.ui.keyCode.HOME:case e.ui.keyCode.END:case e.ui.keyCode.PAGE_UP:case e.ui.keyCode.PAGE_DOWN:case e.ui.keyCode.UP:case e.ui.keyCode.RIGHT:case e.ui.keyCode.DOWN:case e.ui.keyCode.LEFT:if(i.preventDefault(),!this._keySliding&&(this._keySliding=!0,e(i.target).addClass("ui-state-active"),s=this._start(i,o),s===!1))return}switch(r=this.options.step,a=n=this.options.values&&this.options.values.length?this.values(o):this.value(),i.keyCode){case e.ui.keyCode.HOME:n=this._valueMin();break;case e.ui.keyCode.END:n=this._valueMax();break;case e.ui.keyCode.PAGE_UP:n=this._trimAlignValue(a+(this._valueMax()-this._valueMin())/t);break;case e.ui.keyCode.PAGE_DOWN:n=this._trimAlignValue(a-(this._valueMax()-this._valueMin())/t);break;case e.ui.keyCode.UP:case e.ui.keyCode.RIGHT:if(a===this._valueMax())return;n=this._trimAlignValue(a+r);break;case e.ui.keyCode.DOWN:case e.ui.keyCode.LEFT:if(a===this._valueMin())return;n=this._trimAlignValue(a-r)}this._slide(i,o,n)},keyup:function(t){var i=e(t.target).data("ui-slider-handle-index");this._keySliding&&(this._keySliding=!1,this._stop(t,i),this._change(t,i),e(t.target).removeClass("ui-state-active"))}}),this._refreshValue(),this._animateOff=!1},_destroy:function(){this.handles.remove(),this.range.remove(),this.element.removeClass("ui-slider ui-slider-horizontal ui-slider-vertical ui-slider-disabled ui-widget ui-widget-content ui-corner-all"),this._mouseDestroy()},_mouseCapture:function(t){var i,s,a,n,r,o,h,l,u=this,d=this.options;return d.disabled?!1:(this.elementSize={width:this.element.outerWidth(),height:this.element.outerHeight()},this.elementOffset=this.element.offset(),i={x:t.pageX,y:t.pageY},s=this._normValueFromMouse(i),a=this._valueMax()-this._valueMin()+1,this.handles.each(function(t){var i=Math.abs(s-u.values(t));a>i&&(a=i,n=e(this),r=t)}),d.range===!0&&this.values(1)===d.min&&(r+=1,n=e(this.handles[r])),o=this._start(t,r),o===!1?!1:(this._mouseSliding=!0,this._handleIndex=r,n.addClass("ui-state-active").focus(),h=n.offset(),l=!e(t.target).parents().andSelf().is(".ui-slider-handle"),this._clickOffset=l?{left:0,top:0}:{left:t.pageX-h.left-n.width()/2,top:t.pageY-h.top-n.height()/2-(parseInt(n.css("borderTopWidth"),10)||0)-(parseInt(n.css("borderBottomWidth"),10)||0)+(parseInt(n.css("marginTop"),10)||0)},this.handles.hasClass("ui-state-hover")||this._slide(t,r,s),this._animateOff=!0,!0))},_mouseStart:function(){return!0},_mouseDrag:function(e){var t={x:e.pageX,y:e.pageY},i=this._normValueFromMouse(t);return this._slide(e,this._handleIndex,i),!1},_mouseStop:function(e){return this.handles.removeClass("ui-state-active"),this._mouseSliding=!1,this._stop(e,this._handleIndex),this._change(e,this._handleIndex),this._handleIndex=null,this._clickOffset=null,this._animateOff=!1,!1},_detectOrientation:function(){this.orientation="vertical"===this.options.orientation?"vertical":"horizontal"},_normValueFromMouse:function(e){var t,i,s,a,n;return"horizontal"===this.orientation?(t=this.elementSize.width,i=e.x-this.elementOffset.left-(this._clickOffset?this._clickOffset.left:0)):(t=this.elementSize.height,i=e.y-this.elementOffset.top-(this._clickOffset?this._clickOffset.top:0)),s=i/t,s>1&&(s=1),0>s&&(s=0),"vertical"===this.orientation&&(s=1-s),a=this._valueMax()-this._valueMin(),n=this._valueMin()+s*a,this._trimAlignValue(n)},_start:function(e,t){var i={handle:this.handles[t],value:this.value()};return this.options.values&&this.options.values.length&&(i.value=this.values(t),i.values=this.values()),this._trigger("start",e,i)},_slide:function(e,t,i){var s,a,n;this.options.values&&this.options.values.length?(s=this.values(t?0:1),2===this.options.values.length&&this.options.range===!0&&(0===t&&i>s||1===t&&s>i)&&(i=s),i!==this.values(t)&&(a=this.values(),a[t]=i,n=this._trigger("slide",e,{handle:this.handles[t],value:i,values:a}),s=this.values(t?0:1),n!==!1&&this.values(t,i,!0))):i!==this.value()&&(n=this._trigger("slide",e,{handle:this.handles[t],value:i}),n!==!1&&this.value(i))},_stop:function(e,t){var i={handle:this.handles[t],value:this.value()};this.options.values&&this.options.values.length&&(i.value=this.values(t),i.values=this.values()),this._trigger("stop",e,i)},_change:function(e,t){if(!this._keySliding&&!this._mouseSliding){var i={handle:this.handles[t],value:this.value()};this.options.values&&this.options.values.length&&(i.value=this.values(t),i.values=this.values()),this._trigger("change",e,i)}},value:function(e){return arguments.length?(this.options.value=this._trimAlignValue(e),this._refreshValue(),this._change(null,0),undefined):this._value()},values:function(t,i){var s,a,n;if(arguments.length>1)return this.options.values[t]=this._trimAlignValue(i),this._refreshValue(),this._change(null,t),undefined;if(!arguments.length)return this._values();if(!e.isArray(arguments[0]))return this.options.values&&this.options.values.length?this._values(t):this.value();for(s=this.options.values,a=arguments[0],n=0;s.length>n;n+=1)s[n]=this._trimAlignValue(a[n]),this._change(null,n);this._refreshValue()},_setOption:function(t,i){var s,a=0;switch(e.isArray(this.options.values)&&(a=this.options.values.length),e.Widget.prototype._setOption.apply(this,arguments),t){case"disabled":i?(this.handles.filter(".ui-state-focus").blur(),this.handles.removeClass("ui-state-hover"),this.handles.prop("disabled",!0),this.element.addClass("ui-disabled")):(this.handles.prop("disabled",!1),this.element.removeClass("ui-disabled"));break;case"orientation":this._detectOrientation(),this.element.removeClass("ui-slider-horizontal ui-slider-vertical").addClass("ui-slider-"+this.orientation),this._refreshValue();break;case"value":this._animateOff=!0,this._refreshValue(),this._change(null,0),this._animateOff=!1;break;case"values":for(this._animateOff=!0,this._refreshValue(),s=0;a>s;s+=1)this._change(null,s);this._animateOff=!1;break;case"min":case"max":this._animateOff=!0,this._refreshValue(),this._animateOff=!1}},_value:function(){var e=this.options.value;return e=this._trimAlignValue(e)},_values:function(e){var t,i,s;if(arguments.length)return t=this.options.values[e],t=this._trimAlignValue(t);for(i=this.options.values.slice(),s=0;i.length>s;s+=1)i[s]=this._trimAlignValue(i[s]);return i},_trimAlignValue:function(e){if(this._valueMin()>=e)return this._valueMin();if(e>=this._valueMax())return this._valueMax();var t=this.options.step>0?this.options.step:1,i=(e-this._valueMin())%t,s=e-i;return 2*Math.abs(i)>=t&&(s+=i>0?t:-t),parseFloat(s.toFixed(5))},_valueMin:function(){return this.options.min},_valueMax:function(){return this.options.max},_refreshValue:function(){var t,i,s,a,n,r=this.options.range,o=this.options,h=this,l=this._animateOff?!1:o.animate,u={};this.options.values&&this.options.values.length?this.handles.each(function(s){i=100*((h.values(s)-h._valueMin())/(h._valueMax()-h._valueMin())),u["horizontal"===h.orientation?"left":"bottom"]=i+"%",e(this).stop(1,1)[l?"animate":"css"](u,o.animate),h.options.range===!0&&("horizontal"===h.orientation?(0===s&&h.range.stop(1,1)[l?"animate":"css"]({left:i+"%"},o.animate),1===s&&h.range[l?"animate":"css"]({width:i-t+"%"},{queue:!1,duration:o.animate})):(0===s&&h.range.stop(1,1)[l?"animate":"css"]({bottom:i+"%"},o.animate),1===s&&h.range[l?"animate":"css"]({height:i-t+"%"},{queue:!1,duration:o.animate}))),t=i}):(s=this.value(),a=this._valueMin(),n=this._valueMax(),i=n!==a?100*((s-a)/(n-a)):0,u["horizontal"===this.orientation?"left":"bottom"]=i+"%",this.handle.stop(1,1)[l?"animate":"css"](u,o.animate),"min"===r&&"horizontal"===this.orientation&&this.range.stop(1,1)[l?"animate":"css"]({width:i+"%"},o.animate),"max"===r&&"horizontal"===this.orientation&&this.range[l?"animate":"css"]({width:100-i+"%"},{queue:!1,duration:o.animate}),"min"===r&&"vertical"===this.orientation&&this.range.stop(1,1)[l?"animate":"css"]({height:i+"%"},o.animate),"max"===r&&"vertical"===this.orientation&&this.range[l?"animate":"css"]({height:100-i+"%"},{queue:!1,duration:o.animate}))}})})(jQuery);(function(e){function t(e){return function(){var t=this.element.val();e.apply(this,arguments),this._refresh(),t!==this.element.val()&&this._trigger("change")}}e.widget("ui.spinner",{version:"1.9.2",defaultElement:"",widgetEventPrefix:"spin",options:{culture:null,icons:{down:"ui-icon-triangle-1-s",up:"ui-icon-triangle-1-n"},incremental:!0,max:null,min:null,numberFormat:null,page:10,step:1,change:null,spin:null,start:null,stop:null},_create:function(){this._setOption("max",this.options.max),this._setOption("min",this.options.min),this._setOption("step",this.options.step),this._value(this.element.val(),!0),this._draw(),this._on(this._events),this._refresh(),this._on(this.window,{beforeunload:function(){this.element.removeAttr("autocomplete")}})},_getCreateOptions:function(){var t={},i=this.element;return e.each(["min","max","step"],function(e,s){var a=i.attr(s);void 0!==a&&a.length&&(t[s]=a)}),t},_events:{keydown:function(e){this._start(e)&&this._keydown(e)&&e.preventDefault()},keyup:"_stop",focus:function(){this.previous=this.element.val()},blur:function(e){return this.cancelBlur?(delete this.cancelBlur,void 0):(this._refresh(),this.previous!==this.element.val()&&this._trigger("change",e),void 0)},mousewheel:function(e,t){if(t){if(!this.spinning&&!this._start(e))return!1;this._spin((t>0?1:-1)*this.options.step,e),clearTimeout(this.mousewheelTimer),this.mousewheelTimer=this._delay(function(){this.spinning&&this._stop(e)},100),e.preventDefault()}},"mousedown .ui-spinner-button":function(t){function i(){var e=this.element[0]===this.document[0].activeElement;e||(this.element.focus(),this.previous=s,this._delay(function(){this.previous=s}))}var s;s=this.element[0]===this.document[0].activeElement?this.previous:this.element.val(),t.preventDefault(),i.call(this),this.cancelBlur=!0,this._delay(function(){delete this.cancelBlur,i.call(this)}),this._start(t)!==!1&&this._repeat(null,e(t.currentTarget).hasClass("ui-spinner-up")?1:-1,t)},"mouseup .ui-spinner-button":"_stop","mouseenter .ui-spinner-button":function(t){return e(t.currentTarget).hasClass("ui-state-active")?this._start(t)===!1?!1:(this._repeat(null,e(t.currentTarget).hasClass("ui-spinner-up")?1:-1,t),void 0):void 0},"mouseleave .ui-spinner-button":"_stop"},_draw:function(){var e=this.uiSpinner=this.element.addClass("ui-spinner-input").attr("autocomplete","off").wrap(this._uiSpinnerHtml()).parent().append(this._buttonHtml());this.element.attr("role","spinbutton"),this.buttons=e.find(".ui-spinner-button").attr("tabIndex",-1).button().removeClass("ui-corner-all"),this.buttons.height()>Math.ceil(.5*e.height())&&e.height()>0&&e.height(e.height()),this.options.disabled&&this.disable()},_keydown:function(t){var i=this.options,s=e.ui.keyCode;switch(t.keyCode){case s.UP:return this._repeat(null,1,t),!0;case s.DOWN:return this._repeat(null,-1,t),!0;case s.PAGE_UP:return this._repeat(null,i.page,t),!0;case s.PAGE_DOWN:return this._repeat(null,-i.page,t),!0}return!1},_uiSpinnerHtml:function(){return""},_buttonHtml:function(){return""+""+""+""+""},_start:function(e){return this.spinning||this._trigger("start",e)!==!1?(this.counter||(this.counter=1),this.spinning=!0,!0):!1},_repeat:function(e,t,i){e=e||500,clearTimeout(this.timer),this.timer=this._delay(function(){this._repeat(40,t,i)},e),this._spin(t*this.options.step,i)},_spin:function(e,t){var i=this.value()||0;this.counter||(this.counter=1),i=this._adjustValue(i+e*this._increment(this.counter)),this.spinning&&this._trigger("spin",t,{value:i})===!1||(this._value(i),this.counter++)},_increment:function(t){var i=this.options.incremental;return i?e.isFunction(i)?i(t):Math.floor(t*t*t/5e4-t*t/500+17*t/200+1):1},_precision:function(){var e=this._precisionOf(this.options.step);return null!==this.options.min&&(e=Math.max(e,this._precisionOf(this.options.min))),e},_precisionOf:function(e){var t=""+e,i=t.indexOf(".");return-1===i?0:t.length-i-1},_adjustValue:function(e){var t,i,s=this.options;return t=null!==s.min?s.min:0,i=e-t,i=Math.round(i/s.step)*s.step,e=t+i,e=parseFloat(e.toFixed(this._precision())),null!==s.max&&e>s.max?s.max:null!==s.min&&s.min>e?s.min:e},_stop:function(e){this.spinning&&(clearTimeout(this.timer),clearTimeout(this.mousewheelTimer),this.counter=0,this.spinning=!1,this._trigger("stop",e))},_setOption:function(e,t){if("culture"===e||"numberFormat"===e){var i=this._parse(this.element.val());return this.options[e]=t,this.element.val(this._format(i)),void 0}("max"===e||"min"===e||"step"===e)&&"string"==typeof t&&(t=this._parse(t)),this._super(e,t),"disabled"===e&&(t?(this.element.prop("disabled",!0),this.buttons.button("disable")):(this.element.prop("disabled",!1),this.buttons.button("enable")))},_setOptions:t(function(e){this._super(e),this._value(this.element.val())}),_parse:function(e){return"string"==typeof e&&""!==e&&(e=window.Globalize&&this.options.numberFormat?Globalize.parseFloat(e,10,this.options.culture):+e),""===e||isNaN(e)?null:e},_format:function(e){return""===e?"":window.Globalize&&this.options.numberFormat?Globalize.format(e,this.options.numberFormat,this.options.culture):e},_refresh:function(){this.element.attr({"aria-valuemin":this.options.min,"aria-valuemax":this.options.max,"aria-valuenow":this._parse(this.element.val())})},_value:function(e,t){var i;""!==e&&(i=this._parse(e),null!==i&&(t||(i=this._adjustValue(i)),e=this._format(i))),this.element.val(e),this._refresh()},_destroy:function(){this.element.removeClass("ui-spinner-input").prop("disabled",!1).removeAttr("autocomplete").removeAttr("role").removeAttr("aria-valuemin").removeAttr("aria-valuemax").removeAttr("aria-valuenow"),this.uiSpinner.replaceWith(this.element)},stepUp:t(function(e){this._stepUp(e)}),_stepUp:function(e){this._spin((e||1)*this.options.step)},stepDown:t(function(e){this._stepDown(e)}),_stepDown:function(e){this._spin((e||1)*-this.options.step)},pageUp:t(function(e){this._stepUp((e||1)*this.options.page)}),pageDown:t(function(e){this._stepDown((e||1)*this.options.page)}),value:function(e){return arguments.length?(t(this._value).call(this,e),void 0):this._parse(this.element.val())},widget:function(){return this.uiSpinner}})})(jQuery);(function(e,t){function i(){return++a}function s(e){return e.hash.length>1&&e.href.replace(n,"")===location.href.replace(n,"").replace(/\s/g,"%20")}var a=0,n=/#.*$/;e.widget("ui.tabs",{version:"1.9.2",delay:300,options:{active:null,collapsible:!1,event:"click",heightStyle:"content",hide:null,show:null,activate:null,beforeActivate:null,beforeLoad:null,load:null},_create:function(){var i=this,s=this.options,a=s.active,n=location.hash.substring(1);this.running=!1,this.element.addClass("ui-tabs ui-widget ui-widget-content ui-corner-all").toggleClass("ui-tabs-collapsible",s.collapsible).delegate(".ui-tabs-nav > li","mousedown"+this.eventNamespace,function(t){e(this).is(".ui-state-disabled")&&t.preventDefault()}).delegate(".ui-tabs-anchor","focus"+this.eventNamespace,function(){e(this).closest("li").is(".ui-state-disabled")&&this.blur()}),this._processTabs(),null===a&&(n&&this.tabs.each(function(i,s){return e(s).attr("aria-controls")===n?(a=i,!1):t}),null===a&&(a=this.tabs.index(this.tabs.filter(".ui-tabs-active"))),(null===a||-1===a)&&(a=this.tabs.length?0:!1)),a!==!1&&(a=this.tabs.index(this.tabs.eq(a)),-1===a&&(a=s.collapsible?!1:0)),s.active=a,!s.collapsible&&s.active===!1&&this.anchors.length&&(s.active=0),e.isArray(s.disabled)&&(s.disabled=e.unique(s.disabled.concat(e.map(this.tabs.filter(".ui-state-disabled"),function(e){return i.tabs.index(e)}))).sort()),this.active=this.options.active!==!1&&this.anchors.length?this._findActive(this.options.active):e(),this._refresh(),this.active.length&&this.load(s.active)},_getCreateEventData:function(){return{tab:this.active,panel:this.active.length?this._getPanelForTab(this.active):e()}},_tabKeydown:function(i){var s=e(this.document[0].activeElement).closest("li"),a=this.tabs.index(s),n=!0;if(!this._handlePageNav(i)){switch(i.keyCode){case e.ui.keyCode.RIGHT:case e.ui.keyCode.DOWN:a++;break;case e.ui.keyCode.UP:case e.ui.keyCode.LEFT:n=!1,a--;break;case e.ui.keyCode.END:a=this.anchors.length-1;break;case e.ui.keyCode.HOME:a=0;break;case e.ui.keyCode.SPACE:return i.preventDefault(),clearTimeout(this.activating),this._activate(a),t;case e.ui.keyCode.ENTER:return i.preventDefault(),clearTimeout(this.activating),this._activate(a===this.options.active?!1:a),t;default:return}i.preventDefault(),clearTimeout(this.activating),a=this._focusNextTab(a,n),i.ctrlKey||(s.attr("aria-selected","false"),this.tabs.eq(a).attr("aria-selected","true"),this.activating=this._delay(function(){this.option("active",a)},this.delay))}},_panelKeydown:function(t){this._handlePageNav(t)||t.ctrlKey&&t.keyCode===e.ui.keyCode.UP&&(t.preventDefault(),this.active.focus())},_handlePageNav:function(i){return i.altKey&&i.keyCode===e.ui.keyCode.PAGE_UP?(this._activate(this._focusNextTab(this.options.active-1,!1)),!0):i.altKey&&i.keyCode===e.ui.keyCode.PAGE_DOWN?(this._activate(this._focusNextTab(this.options.active+1,!0)),!0):t},_findNextTab:function(t,i){function s(){return t>a&&(t=0),0>t&&(t=a),t}for(var a=this.tabs.length-1;-1!==e.inArray(s(),this.options.disabled);)t=i?t+1:t-1;return t},_focusNextTab:function(e,t){return e=this._findNextTab(e,t),this.tabs.eq(e).focus(),e},_setOption:function(e,i){return"active"===e?(this._activate(i),t):"disabled"===e?(this._setupDisabled(i),t):(this._super(e,i),"collapsible"===e&&(this.element.toggleClass("ui-tabs-collapsible",i),i||this.options.active!==!1||this._activate(0)),"event"===e&&this._setupEvents(i),"heightStyle"===e&&this._setupHeightStyle(i),t)},_tabId:function(e){return e.attr("aria-controls")||"ui-tabs-"+i()},_sanitizeSelector:function(e){return e?e.replace(/[!"$%&'()*+,.\/:;<=>?@\[\]\^`{|}~]/g,"\\$&"):""},refresh:function(){var t=this.options,i=this.tablist.children(":has(a[href])");t.disabled=e.map(i.filter(".ui-state-disabled"),function(e){return i.index(e)}),this._processTabs(),t.active!==!1&&this.anchors.length?this.active.length&&!e.contains(this.tablist[0],this.active[0])?this.tabs.length===t.disabled.length?(t.active=!1,this.active=e()):this._activate(this._findNextTab(Math.max(0,t.active-1),!1)):t.active=this.tabs.index(this.active):(t.active=!1,this.active=e()),this._refresh()},_refresh:function(){this._setupDisabled(this.options.disabled),this._setupEvents(this.options.event),this._setupHeightStyle(this.options.heightStyle),this.tabs.not(this.active).attr({"aria-selected":"false",tabIndex:-1}),this.panels.not(this._getPanelForTab(this.active)).hide().attr({"aria-expanded":"false","aria-hidden":"true"}),this.active.length?(this.active.addClass("ui-tabs-active ui-state-active").attr({"aria-selected":"true",tabIndex:0}),this._getPanelForTab(this.active).show().attr({"aria-expanded":"true","aria-hidden":"false"})):this.tabs.eq(0).attr("tabIndex",0)},_processTabs:function(){var t=this;this.tablist=this._getList().addClass("ui-tabs-nav ui-helper-reset ui-helper-clearfix ui-widget-header ui-corner-all").attr("role","tablist"),this.tabs=this.tablist.find("> li:has(a[href])").addClass("ui-state-default ui-corner-top").attr({role:"tab",tabIndex:-1}),this.anchors=this.tabs.map(function(){return e("a",this)[0]}).addClass("ui-tabs-anchor").attr({role:"presentation",tabIndex:-1}),this.panels=e(),this.anchors.each(function(i,a){var n,r,o,h=e(a).uniqueId().attr("id"),l=e(a).closest("li"),u=l.attr("aria-controls");s(a)?(n=a.hash,r=t.element.find(t._sanitizeSelector(n))):(o=t._tabId(l),n="#"+o,r=t.element.find(n),r.length||(r=t._createPanel(o),r.insertAfter(t.panels[i-1]||t.tablist)),r.attr("aria-live","polite")),r.length&&(t.panels=t.panels.add(r)),u&&l.data("ui-tabs-aria-controls",u),l.attr({"aria-controls":n.substring(1),"aria-labelledby":h}),r.attr("aria-labelledby",h)}),this.panels.addClass("ui-tabs-panel ui-widget-content ui-corner-bottom").attr("role","tabpanel")},_getList:function(){return this.element.find("ol,ul").eq(0)},_createPanel:function(t){return e("
").attr("id",t).addClass("ui-tabs-panel ui-widget-content ui-corner-bottom").data("ui-tabs-destroy",!0)},_setupDisabled:function(t){e.isArray(t)&&(t.length?t.length===this.anchors.length&&(t=!0):t=!1);for(var i,s=0;i=this.tabs[s];s++)t===!0||-1!==e.inArray(s,t)?e(i).addClass("ui-state-disabled").attr("aria-disabled","true"):e(i).removeClass("ui-state-disabled").removeAttr("aria-disabled");this.options.disabled=t},_setupEvents:function(t){var i={click:function(e){e.preventDefault()}};t&&e.each(t.split(" "),function(e,t){i[t]="_eventHandler"}),this._off(this.anchors.add(this.tabs).add(this.panels)),this._on(this.anchors,i),this._on(this.tabs,{keydown:"_tabKeydown"}),this._on(this.panels,{keydown:"_panelKeydown"}),this._focusable(this.tabs),this._hoverable(this.tabs)},_setupHeightStyle:function(t){var i,s,a=this.element.parent();"fill"===t?(e.support.minHeight||(s=a.css("overflow"),a.css("overflow","hidden")),i=a.height(),this.element.siblings(":visible").each(function(){var t=e(this),s=t.css("position");"absolute"!==s&&"fixed"!==s&&(i-=t.outerHeight(!0))}),s&&a.css("overflow",s),this.element.children().not(this.panels).each(function(){i-=e(this).outerHeight(!0)}),this.panels.each(function(){e(this).height(Math.max(0,i-e(this).innerHeight()+e(this).height()))}).css("overflow","auto")):"auto"===t&&(i=0,this.panels.each(function(){i=Math.max(i,e(this).height("").height())}).height(i))},_eventHandler:function(t){var i=this.options,s=this.active,a=e(t.currentTarget),n=a.closest("li"),r=n[0]===s[0],o=r&&i.collapsible,h=o?e():this._getPanelForTab(n),l=s.length?this._getPanelForTab(s):e(),u={oldTab:s,oldPanel:l,newTab:o?e():n,newPanel:h};t.preventDefault(),n.hasClass("ui-state-disabled")||n.hasClass("ui-tabs-loading")||this.running||r&&!i.collapsible||this._trigger("beforeActivate",t,u)===!1||(i.active=o?!1:this.tabs.index(n),this.active=r?e():n,this.xhr&&this.xhr.abort(),l.length||h.length||e.error("jQuery UI Tabs: Mismatching fragment identifier."),h.length&&this.load(this.tabs.index(n),t),this._toggle(t,u))},_toggle:function(t,i){function s(){n.running=!1,n._trigger("activate",t,i)}function a(){i.newTab.closest("li").addClass("ui-tabs-active ui-state-active"),r.length&&n.options.show?n._show(r,n.options.show,s):(r.show(),s())}var n=this,r=i.newPanel,o=i.oldPanel;this.running=!0,o.length&&this.options.hide?this._hide(o,this.options.hide,function(){i.oldTab.closest("li").removeClass("ui-tabs-active ui-state-active"),a()}):(i.oldTab.closest("li").removeClass("ui-tabs-active ui-state-active"),o.hide(),a()),o.attr({"aria-expanded":"false","aria-hidden":"true"}),i.oldTab.attr("aria-selected","false"),r.length&&o.length?i.oldTab.attr("tabIndex",-1):r.length&&this.tabs.filter(function(){return 0===e(this).attr("tabIndex")}).attr("tabIndex",-1),r.attr({"aria-expanded":"true","aria-hidden":"false"}),i.newTab.attr({"aria-selected":"true",tabIndex:0})},_activate:function(t){var i,s=this._findActive(t);s[0]!==this.active[0]&&(s.length||(s=this.active),i=s.find(".ui-tabs-anchor")[0],this._eventHandler({target:i,currentTarget:i,preventDefault:e.noop}))},_findActive:function(t){return t===!1?e():this.tabs.eq(t)},_getIndex:function(e){return"string"==typeof e&&(e=this.anchors.index(this.anchors.filter("[href$='"+e+"']"))),e},_destroy:function(){this.xhr&&this.xhr.abort(),this.element.removeClass("ui-tabs ui-widget ui-widget-content ui-corner-all ui-tabs-collapsible"),this.tablist.removeClass("ui-tabs-nav ui-helper-reset ui-helper-clearfix ui-widget-header ui-corner-all").removeAttr("role"),this.anchors.removeClass("ui-tabs-anchor").removeAttr("role").removeAttr("tabIndex").removeData("href.tabs").removeData("load.tabs").removeUniqueId(),this.tabs.add(this.panels).each(function(){e.data(this,"ui-tabs-destroy")?e(this).remove():e(this).removeClass("ui-state-default ui-state-active ui-state-disabled ui-corner-top ui-corner-bottom ui-widget-content ui-tabs-active ui-tabs-panel").removeAttr("tabIndex").removeAttr("aria-live").removeAttr("aria-busy").removeAttr("aria-selected").removeAttr("aria-labelledby").removeAttr("aria-hidden").removeAttr("aria-expanded").removeAttr("role")}),this.tabs.each(function(){var t=e(this),i=t.data("ui-tabs-aria-controls");i?t.attr("aria-controls",i):t.removeAttr("aria-controls")}),this.panels.show(),"content"!==this.options.heightStyle&&this.panels.css("height","")},enable:function(i){var s=this.options.disabled;s!==!1&&(i===t?s=!1:(i=this._getIndex(i),s=e.isArray(s)?e.map(s,function(e){return e!==i?e:null}):e.map(this.tabs,function(e,t){return t!==i?t:null})),this._setupDisabled(s))},disable:function(i){var s=this.options.disabled;if(s!==!0){if(i===t)s=!0;else{if(i=this._getIndex(i),-1!==e.inArray(i,s))return;s=e.isArray(s)?e.merge([i],s).sort():[i]}this._setupDisabled(s)}},load:function(t,i){t=this._getIndex(t);var a=this,n=this.tabs.eq(t),r=n.find(".ui-tabs-anchor"),o=this._getPanelForTab(n),h={tab:n,panel:o};s(r[0])||(this.xhr=e.ajax(this._ajaxSettings(r,i,h)),this.xhr&&"canceled"!==this.xhr.statusText&&(n.addClass("ui-tabs-loading"),o.attr("aria-busy","true"),this.xhr.success(function(e){setTimeout(function(){o.html(e),a._trigger("load",i,h)},1)}).complete(function(e,t){setTimeout(function(){"abort"===t&&a.panels.stop(!1,!0),n.removeClass("ui-tabs-loading"),o.removeAttr("aria-busy"),e===a.xhr&&delete a.xhr},1)})))},_ajaxSettings:function(t,i,s){var a=this;return{url:t.attr("href"),beforeSend:function(t,n){return a._trigger("beforeLoad",i,e.extend({jqXHR:t,ajaxSettings:n},s))}}},_getPanelForTab:function(t){var i=e(t).attr("aria-controls");return this.element.find(this._sanitizeSelector("#"+i))}}),e.uiBackCompat!==!1&&(e.ui.tabs.prototype._ui=function(e,t){return{tab:e,panel:t,index:this.anchors.index(e)}},e.widget("ui.tabs",e.ui.tabs,{url:function(e,t){this.anchors.eq(e).attr("href",t)}}),e.widget("ui.tabs",e.ui.tabs,{options:{ajaxOptions:null,cache:!1},_create:function(){this._super();var i=this;this._on({tabsbeforeload:function(s,a){return e.data(a.tab[0],"cache.tabs")?(s.preventDefault(),t):(a.jqXHR.success(function(){i.options.cache&&e.data(a.tab[0],"cache.tabs",!0)}),t)}})},_ajaxSettings:function(t,i,s){var a=this.options.ajaxOptions;return e.extend({},a,{error:function(e,t){try{a.error(e,t,s.tab.closest("li").index(),s.tab[0])}catch(i){}}},this._superApply(arguments))},_setOption:function(e,t){"cache"===e&&t===!1&&this.anchors.removeData("cache.tabs"),this._super(e,t)},_destroy:function(){this.anchors.removeData("cache.tabs"),this._super()},url:function(e){this.anchors.eq(e).removeData("cache.tabs"),this._superApply(arguments)}}),e.widget("ui.tabs",e.ui.tabs,{abort:function(){this.xhr&&this.xhr.abort()}}),e.widget("ui.tabs",e.ui.tabs,{options:{spinner:"Loading…"},_create:function(){this._super(),this._on({tabsbeforeload:function(e,t){if(e.target===this.element[0]&&this.options.spinner){var i=t.tab.find("span"),s=i.html();i.html(this.options.spinner),t.jqXHR.complete(function(){i.html(s)})}}})}}),e.widget("ui.tabs",e.ui.tabs,{options:{enable:null,disable:null},enable:function(t){var i,s=this.options;(t&&s.disabled===!0||e.isArray(s.disabled)&&-1!==e.inArray(t,s.disabled))&&(i=!0),this._superApply(arguments),i&&this._trigger("enable",null,this._ui(this.anchors[t],this.panels[t]))},disable:function(t){var i,s=this.options;(t&&s.disabled===!1||e.isArray(s.disabled)&&-1===e.inArray(t,s.disabled))&&(i=!0),this._superApply(arguments),i&&this._trigger("disable",null,this._ui(this.anchors[t],this.panels[t]))}}),e.widget("ui.tabs",e.ui.tabs,{options:{add:null,remove:null,tabTemplate:"
  • #{label}
  • "},add:function(i,s,a){a===t&&(a=this.anchors.length);var n,r,o=this.options,h=e(o.tabTemplate.replace(/#\{href\}/g,i).replace(/#\{label\}/g,s)),l=i.indexOf("#")?this._tabId(h):i.replace("#","");return h.addClass("ui-state-default ui-corner-top").data("ui-tabs-destroy",!0),h.attr("aria-controls",l),n=a>=this.tabs.length,r=this.element.find("#"+l),r.length||(r=this._createPanel(l),n?a>0?r.insertAfter(this.panels.eq(-1)):r.appendTo(this.element):r.insertBefore(this.panels[a])),r.addClass("ui-tabs-panel ui-widget-content ui-corner-bottom").hide(),n?h.appendTo(this.tablist):h.insertBefore(this.tabs[a]),o.disabled=e.map(o.disabled,function(e){return e>=a?++e:e}),this.refresh(),1===this.tabs.length&&o.active===!1&&this.option("active",0),this._trigger("add",null,this._ui(this.anchors[a],this.panels[a])),this},remove:function(t){t=this._getIndex(t);var i=this.options,s=this.tabs.eq(t).remove(),a=this._getPanelForTab(s).remove();return s.hasClass("ui-tabs-active")&&this.anchors.length>2&&this._activate(t+(this.anchors.length>t+1?1:-1)),i.disabled=e.map(e.grep(i.disabled,function(e){return e!==t}),function(e){return e>=t?--e:e}),this.refresh(),this._trigger("remove",null,this._ui(s.find("a")[0],a[0])),this}}),e.widget("ui.tabs",e.ui.tabs,{length:function(){return this.anchors.length}}),e.widget("ui.tabs",e.ui.tabs,{options:{idPrefix:"ui-tabs-"},_tabId:function(t){var s=t.is("li")?t.find("a[href]"):t;return s=s[0],e(s).closest("li").attr("aria-controls")||s.title&&s.title.replace(/\s/g,"_").replace(/[^\w\u00c0-\uFFFF\-]/g,"")||this.options.idPrefix+i()}}),e.widget("ui.tabs",e.ui.tabs,{options:{panelTemplate:"
    "},_createPanel:function(t){return e(this.options.panelTemplate).attr("id",t).addClass("ui-tabs-panel ui-widget-content ui-corner-bottom").data("ui-tabs-destroy",!0)}}),e.widget("ui.tabs",e.ui.tabs,{_create:function(){var e=this.options;null===e.active&&e.selected!==t&&(e.active=-1===e.selected?!1:e.selected),this._super(),e.selected=e.active,e.selected===!1&&(e.selected=-1)},_setOption:function(e,t){if("selected"!==e)return this._super(e,t);var i=this.options;this._super("active",-1===t?!1:t),i.selected=i.active,i.selected===!1&&(i.selected=-1)},_eventHandler:function(){this._superApply(arguments),this.options.selected=this.options.active,this.options.selected===!1&&(this.options.selected=-1)}}),e.widget("ui.tabs",e.ui.tabs,{options:{show:null,select:null},_create:function(){this._super(),this.options.active!==!1&&this._trigger("show",null,this._ui(this.active.find(".ui-tabs-anchor")[0],this._getPanelForTab(this.active)[0]))},_trigger:function(e,t,i){var s,a,n=this._superApply(arguments);return n?("beforeActivate"===e?(s=i.newTab.length?i.newTab:i.oldTab,a=i.newPanel.length?i.newPanel:i.oldPanel,n=this._super("select",t,{tab:s.find(".ui-tabs-anchor")[0],panel:a[0],index:s.closest("li").index()})):"activate"===e&&i.newTab.length&&(n=this._super("show",t,{tab:i.newTab.find(".ui-tabs-anchor")[0],panel:i.newPanel[0],index:i.newTab.closest("li").index()})),n):!1}}),e.widget("ui.tabs",e.ui.tabs,{select:function(e){if(e=this._getIndex(e),-1===e){if(!this.options.collapsible||-1===this.options.selected)return;e=this.options.selected}this.anchors.eq(e).trigger(this.options.event+this.eventNamespace)}}),function(){var t=0;e.widget("ui.tabs",e.ui.tabs,{options:{cookie:null},_create:function(){var e,t=this.options;null==t.active&&t.cookie&&(e=parseInt(this._cookie(),10),-1===e&&(e=!1),t.active=e),this._super()},_cookie:function(i){var s=[this.cookie||(this.cookie=this.options.cookie.name||"ui-tabs-"+ ++t)];return arguments.length&&(s.push(i===!1?-1:i),s.push(this.options.cookie)),e.cookie.apply(null,s)},_refresh:function(){this._super(),this.options.cookie&&this._cookie(this.options.active,this.options.cookie)},_eventHandler:function(){this._superApply(arguments),this.options.cookie&&this._cookie(this.options.active,this.options.cookie)},_destroy:function(){this._super(),this.options.cookie&&this._cookie(null,this.options.cookie)}})}(),e.widget("ui.tabs",e.ui.tabs,{_trigger:function(t,i,s){var a=e.extend({},s);return"load"===t&&(a.panel=a.panel[0],a.tab=a.tab.find(".ui-tabs-anchor")[0]),this._super(t,i,a)}}),e.widget("ui.tabs",e.ui.tabs,{options:{fx:null},_getFx:function(){var t,i,s=this.options.fx;return s&&(e.isArray(s)?(t=s[0],i=s[1]):t=i=s),s?{show:i,hide:t}:null},_toggle:function(e,i){function s(){n.running=!1,n._trigger("activate",e,i)}function a(){i.newTab.closest("li").addClass("ui-tabs-active ui-state-active"),r.length&&h.show?r.animate(h.show,h.show.duration,function(){s()}):(r.show(),s())}var n=this,r=i.newPanel,o=i.oldPanel,h=this._getFx();return h?(n.running=!0,o.length&&h.hide?o.animate(h.hide,h.hide.duration,function(){i.oldTab.closest("li").removeClass("ui-tabs-active ui-state-active"),a()}):(i.oldTab.closest("li").removeClass("ui-tabs-active ui-state-active"),o.hide(),a()),t):this._super(e,i)}}))})(jQuery);(function(e){function t(t,i){var s=(t.attr("aria-describedby")||"").split(/\s+/);s.push(i),t.data("ui-tooltip-id",i).attr("aria-describedby",e.trim(s.join(" ")))}function i(t){var i=t.data("ui-tooltip-id"),s=(t.attr("aria-describedby")||"").split(/\s+/),a=e.inArray(i,s);-1!==a&&s.splice(a,1),t.removeData("ui-tooltip-id"),s=e.trim(s.join(" ")),s?t.attr("aria-describedby",s):t.removeAttr("aria-describedby")}var s=0;e.widget("ui.tooltip",{version:"1.9.2",options:{content:function(){return e(this).attr("title")},hide:!0,items:"[title]:not([disabled])",position:{my:"left top+15",at:"left bottom",collision:"flipfit flip"},show:!0,tooltipClass:null,track:!1,close:null,open:null},_create:function(){this._on({mouseover:"open",focusin:"open"}),this.tooltips={},this.parents={},this.options.disabled&&this._disable()},_setOption:function(t,i){var s=this;return"disabled"===t?(this[i?"_disable":"_enable"](),this.options[t]=i,void 0):(this._super(t,i),"content"===t&&e.each(this.tooltips,function(e,t){s._updateContent(t)}),void 0)},_disable:function(){var t=this;e.each(this.tooltips,function(i,s){var a=e.Event("blur");a.target=a.currentTarget=s[0],t.close(a,!0)}),this.element.find(this.options.items).andSelf().each(function(){var t=e(this);t.is("[title]")&&t.data("ui-tooltip-title",t.attr("title")).attr("title","")})},_enable:function(){this.element.find(this.options.items).andSelf().each(function(){var t=e(this);t.data("ui-tooltip-title")&&t.attr("title",t.data("ui-tooltip-title"))})},open:function(t){var i=this,s=e(t?t.target:this.element).closest(this.options.items);s.length&&!s.data("ui-tooltip-id")&&(s.attr("title")&&s.data("ui-tooltip-title",s.attr("title")),s.data("ui-tooltip-open",!0),t&&"mouseover"===t.type&&s.parents().each(function(){var t,s=e(this);s.data("ui-tooltip-open")&&(t=e.Event("blur"),t.target=t.currentTarget=this,i.close(t,!0)),s.attr("title")&&(s.uniqueId(),i.parents[this.id]={element:this,title:s.attr("title")},s.attr("title",""))}),this._updateContent(s,t))},_updateContent:function(e,t){var i,s=this.options.content,a=this,n=t?t.type:null;return"string"==typeof s?this._open(t,e,s):(i=s.call(e[0],function(i){e.data("ui-tooltip-open")&&a._delay(function(){t&&(t.type=n),this._open(t,e,i)})}),i&&this._open(t,e,i),void 0)},_open:function(i,s,a){function n(e){l.of=e,r.is(":hidden")||r.position(l)}var r,o,h,l=e.extend({},this.options.position);if(a){if(r=this._find(s),r.length)return r.find(".ui-tooltip-content").html(a),void 0;s.is("[title]")&&(i&&"mouseover"===i.type?s.attr("title",""):s.removeAttr("title")),r=this._tooltip(s),t(s,r.attr("id")),r.find(".ui-tooltip-content").html(a),this.options.track&&i&&/^mouse/.test(i.type)?(this._on(this.document,{mousemove:n}),n(i)):r.position(e.extend({of:s},this.options.position)),r.hide(),this._show(r,this.options.show),this.options.show&&this.options.show.delay&&(h=setInterval(function(){r.is(":visible")&&(n(l.of),clearInterval(h))},e.fx.interval)),this._trigger("open",i,{tooltip:r}),o={keyup:function(t){if(t.keyCode===e.ui.keyCode.ESCAPE){var i=e.Event(t);i.currentTarget=s[0],this.close(i,!0)}},remove:function(){this._removeTooltip(r)}},i&&"mouseover"!==i.type||(o.mouseleave="close"),i&&"focusin"!==i.type||(o.focusout="close"),this._on(!0,s,o)}},close:function(t){var s=this,a=e(t?t.currentTarget:this.element),n=this._find(a);this.closing||(a.data("ui-tooltip-title")&&a.attr("title",a.data("ui-tooltip-title")),i(a),n.stop(!0),this._hide(n,this.options.hide,function(){s._removeTooltip(e(this))}),a.removeData("ui-tooltip-open"),this._off(a,"mouseleave focusout keyup"),a[0]!==this.element[0]&&this._off(a,"remove"),this._off(this.document,"mousemove"),t&&"mouseleave"===t.type&&e.each(this.parents,function(t,i){e(i.element).attr("title",i.title),delete s.parents[t]}),this.closing=!0,this._trigger("close",t,{tooltip:n}),this.closing=!1)},_tooltip:function(t){var i="ui-tooltip-"+s++,a=e("
    ").attr({id:i,role:"tooltip"}).addClass("ui-tooltip ui-widget ui-corner-all ui-widget-content "+(this.options.tooltipClass||""));return e("
    ").addClass("ui-tooltip-content").appendTo(a),a.appendTo(this.document[0].body),e.fn.bgiframe&&a.bgiframe(),this.tooltips[i]=t,a},_find:function(t){var i=t.data("ui-tooltip-id");return i?e("#"+i):e()},_removeTooltip:function(e){e.remove(),delete this.tooltips[e.attr("id")]},_destroy:function(){var t=this;e.each(this.tooltips,function(i,s){var a=e.Event("blur");a.target=a.currentTarget=s[0],t.close(a,!0),e("#"+i).remove(),s.data("ui-tooltip-title")&&(s.attr("title",s.data("ui-tooltip-title")),s.removeData("ui-tooltip-title"))})}})})(jQuery);jQuery.effects||function(e,t){var i=e.uiBackCompat!==!1,a="ui-effects-";e.effects={effect:{}},function(t,i){function a(e,t,i){var a=c[t.type]||{};return null==e?i||!t.def?null:t.def:(e=a.floor?~~e:parseFloat(e),isNaN(e)?t.def:a.mod?(e+a.mod)%a.mod:0>e?0:e>a.max?a.max:e)}function s(e){var a=u(),s=a._rgba=[];return e=e.toLowerCase(),m(l,function(t,n){var r,o=n.re.exec(e),h=o&&n.parse(o),l=n.space||"rgba";return h?(r=a[l](h),a[d[l].cache]=r[d[l].cache],s=a._rgba=r._rgba,!1):i}),s.length?("0,0,0,0"===s.join()&&t.extend(s,r.transparent),a):r[e]}function n(e,t,i){return i=(i+1)%1,1>6*i?e+6*(t-e)*i:1>2*i?t:2>3*i?e+6*(t-e)*(2/3-i):e}var r,o="backgroundColor borderBottomColor borderLeftColor borderRightColor borderTopColor color columnRuleColor outlineColor textDecorationColor textEmphasisColor".split(" "),h=/^([\-+])=\s*(\d+\.?\d*)/,l=[{re:/rgba?\(\s*(\d{1,3})\s*,\s*(\d{1,3})\s*,\s*(\d{1,3})\s*(?:,\s*(\d+(?:\.\d+)?)\s*)?\)/,parse:function(e){return[e[1],e[2],e[3],e[4]]}},{re:/rgba?\(\s*(\d+(?:\.\d+)?)\%\s*,\s*(\d+(?:\.\d+)?)\%\s*,\s*(\d+(?:\.\d+)?)\%\s*(?:,\s*(\d+(?:\.\d+)?)\s*)?\)/,parse:function(e){return[2.55*e[1],2.55*e[2],2.55*e[3],e[4]]}},{re:/#([a-f0-9]{2})([a-f0-9]{2})([a-f0-9]{2})/,parse:function(e){return[parseInt(e[1],16),parseInt(e[2],16),parseInt(e[3],16)]}},{re:/#([a-f0-9])([a-f0-9])([a-f0-9])/,parse:function(e){return[parseInt(e[1]+e[1],16),parseInt(e[2]+e[2],16),parseInt(e[3]+e[3],16)]}},{re:/hsla?\(\s*(\d+(?:\.\d+)?)\s*,\s*(\d+(?:\.\d+)?)\%\s*,\s*(\d+(?:\.\d+)?)\%\s*(?:,\s*(\d+(?:\.\d+)?)\s*)?\)/,space:"hsla",parse:function(e){return[e[1],e[2]/100,e[3]/100,e[4]]}}],u=t.Color=function(e,i,a,s){return new t.Color.fn.parse(e,i,a,s)},d={rgba:{props:{red:{idx:0,type:"byte"},green:{idx:1,type:"byte"},blue:{idx:2,type:"byte"}}},hsla:{props:{hue:{idx:0,type:"degrees"},saturation:{idx:1,type:"percent"},lightness:{idx:2,type:"percent"}}}},c={"byte":{floor:!0,max:255},percent:{max:1},degrees:{mod:360,floor:!0}},p=u.support={},f=t("

    ")[0],m=t.each;f.style.cssText="background-color:rgba(1,1,1,.5)",p.rgba=f.style.backgroundColor.indexOf("rgba")>-1,m(d,function(e,t){t.cache="_"+e,t.props.alpha={idx:3,type:"percent",def:1}}),u.fn=t.extend(u.prototype,{parse:function(n,o,h,l){if(n===i)return this._rgba=[null,null,null,null],this;(n.jquery||n.nodeType)&&(n=t(n).css(o),o=i);var c=this,p=t.type(n),f=this._rgba=[];return o!==i&&(n=[n,o,h,l],p="array"),"string"===p?this.parse(s(n)||r._default):"array"===p?(m(d.rgba.props,function(e,t){f[t.idx]=a(n[t.idx],t)}),this):"object"===p?(n instanceof u?m(d,function(e,t){n[t.cache]&&(c[t.cache]=n[t.cache].slice())}):m(d,function(t,i){var s=i.cache;m(i.props,function(e,t){if(!c[s]&&i.to){if("alpha"===e||null==n[e])return;c[s]=i.to(c._rgba)}c[s][t.idx]=a(n[e],t,!0)}),c[s]&&0>e.inArray(null,c[s].slice(0,3))&&(c[s][3]=1,i.from&&(c._rgba=i.from(c[s])))}),this):i},is:function(e){var t=u(e),a=!0,s=this;return m(d,function(e,n){var r,o=t[n.cache];return o&&(r=s[n.cache]||n.to&&n.to(s._rgba)||[],m(n.props,function(e,t){return null!=o[t.idx]?a=o[t.idx]===r[t.idx]:i})),a}),a},_space:function(){var e=[],t=this;return m(d,function(i,a){t[a.cache]&&e.push(i)}),e.pop()},transition:function(e,t){var i=u(e),s=i._space(),n=d[s],r=0===this.alpha()?u("transparent"):this,o=r[n.cache]||n.to(r._rgba),h=o.slice();return i=i[n.cache],m(n.props,function(e,s){var n=s.idx,r=o[n],l=i[n],u=c[s.type]||{};null!==l&&(null===r?h[n]=l:(u.mod&&(l-r>u.mod/2?r+=u.mod:r-l>u.mod/2&&(r-=u.mod)),h[n]=a((l-r)*t+r,s)))}),this[s](h)},blend:function(e){if(1===this._rgba[3])return this;var i=this._rgba.slice(),a=i.pop(),s=u(e)._rgba;return u(t.map(i,function(e,t){return(1-a)*s[t]+a*e}))},toRgbaString:function(){var e="rgba(",i=t.map(this._rgba,function(e,t){return null==e?t>2?1:0:e});return 1===i[3]&&(i.pop(),e="rgb("),e+i.join()+")"},toHslaString:function(){var e="hsla(",i=t.map(this.hsla(),function(e,t){return null==e&&(e=t>2?1:0),t&&3>t&&(e=Math.round(100*e)+"%"),e});return 1===i[3]&&(i.pop(),e="hsl("),e+i.join()+")"},toHexString:function(e){var i=this._rgba.slice(),a=i.pop();return e&&i.push(~~(255*a)),"#"+t.map(i,function(e){return e=(e||0).toString(16),1===e.length?"0"+e:e}).join("")},toString:function(){return 0===this._rgba[3]?"transparent":this.toRgbaString()}}),u.fn.parse.prototype=u.fn,d.hsla.to=function(e){if(null==e[0]||null==e[1]||null==e[2])return[null,null,null,e[3]];var t,i,a=e[0]/255,s=e[1]/255,n=e[2]/255,r=e[3],o=Math.max(a,s,n),h=Math.min(a,s,n),l=o-h,u=o+h,d=.5*u;return t=h===o?0:a===o?60*(s-n)/l+360:s===o?60*(n-a)/l+120:60*(a-s)/l+240,i=0===d||1===d?d:.5>=d?l/u:l/(2-u),[Math.round(t)%360,i,d,null==r?1:r]},d.hsla.from=function(e){if(null==e[0]||null==e[1]||null==e[2])return[null,null,null,e[3]];var t=e[0]/360,i=e[1],a=e[2],s=e[3],r=.5>=a?a*(1+i):a+i-a*i,o=2*a-r;return[Math.round(255*n(o,r,t+1/3)),Math.round(255*n(o,r,t)),Math.round(255*n(o,r,t-1/3)),s]},m(d,function(e,s){var n=s.props,r=s.cache,o=s.to,l=s.from;u.fn[e]=function(e){if(o&&!this[r]&&(this[r]=o(this._rgba)),e===i)return this[r].slice();var s,h=t.type(e),d="array"===h||"object"===h?e:arguments,c=this[r].slice();return m(n,function(e,t){var i=d["object"===h?e:t.idx];null==i&&(i=c[t.idx]),c[t.idx]=a(i,t)}),l?(s=u(l(c)),s[r]=c,s):u(c)},m(n,function(i,a){u.fn[i]||(u.fn[i]=function(s){var n,r=t.type(s),o="alpha"===i?this._hsla?"hsla":"rgba":e,l=this[o](),u=l[a.idx];return"undefined"===r?u:("function"===r&&(s=s.call(this,u),r=t.type(s)),null==s&&a.empty?this:("string"===r&&(n=h.exec(s),n&&(s=u+parseFloat(n[2])*("+"===n[1]?1:-1))),l[a.idx]=s,this[o](l)))})})}),m(o,function(e,i){t.cssHooks[i]={set:function(e,a){var n,r,o="";if("string"!==t.type(a)||(n=s(a))){if(a=u(n||a),!p.rgba&&1!==a._rgba[3]){for(r="backgroundColor"===i?e.parentNode:e;(""===o||"transparent"===o)&&r&&r.style;)try{o=t.css(r,"backgroundColor"),r=r.parentNode}catch(h){}a=a.blend(o&&"transparent"!==o?o:"_default")}a=a.toRgbaString()}try{e.style[i]=a}catch(l){}}},t.fx.step[i]=function(e){e.colorInit||(e.start=u(e.elem,i),e.end=u(e.end),e.colorInit=!0),t.cssHooks[i].set(e.elem,e.start.transition(e.end,e.pos))}}),t.cssHooks.borderColor={expand:function(e){var t={};return m(["Top","Right","Bottom","Left"],function(i,a){t["border"+a+"Color"]=e}),t}},r=t.Color.names={aqua:"#00ffff",black:"#000000",blue:"#0000ff",fuchsia:"#ff00ff",gray:"#808080",green:"#008000",lime:"#00ff00",maroon:"#800000",navy:"#000080",olive:"#808000",purple:"#800080",red:"#ff0000",silver:"#c0c0c0",teal:"#008080",white:"#ffffff",yellow:"#ffff00",transparent:[null,null,null,0],_default:"#ffffff"}}(jQuery),function(){function i(){var t,i,a=this.ownerDocument.defaultView?this.ownerDocument.defaultView.getComputedStyle(this,null):this.currentStyle,s={};if(a&&a.length&&a[0]&&a[a[0]])for(i=a.length;i--;)t=a[i],"string"==typeof a[t]&&(s[e.camelCase(t)]=a[t]);else for(t in a)"string"==typeof a[t]&&(s[t]=a[t]);return s}function a(t,i){var a,s,r={};for(a in i)s=i[a],t[a]!==s&&(n[a]||(e.fx.step[a]||!isNaN(parseFloat(s)))&&(r[a]=s));return r}var s=["add","remove","toggle"],n={border:1,borderBottom:1,borderColor:1,borderLeft:1,borderRight:1,borderTop:1,borderWidth:1,margin:1,padding:1};e.each(["borderLeftStyle","borderRightStyle","borderBottomStyle","borderTopStyle"],function(t,i){e.fx.step[i]=function(e){("none"!==e.end&&!e.setAttr||1===e.pos&&!e.setAttr)&&(jQuery.style(e.elem,i,e.end),e.setAttr=!0)}}),e.effects.animateClass=function(t,n,r,o){var h=e.speed(n,r,o);return this.queue(function(){var n,r=e(this),o=r.attr("class")||"",l=h.children?r.find("*").andSelf():r;l=l.map(function(){var t=e(this);return{el:t,start:i.call(this)}}),n=function(){e.each(s,function(e,i){t[i]&&r[i+"Class"](t[i])})},n(),l=l.map(function(){return this.end=i.call(this.el[0]),this.diff=a(this.start,this.end),this}),r.attr("class",o),l=l.map(function(){var t=this,i=e.Deferred(),a=jQuery.extend({},h,{queue:!1,complete:function(){i.resolve(t)}});return this.el.animate(this.diff,a),i.promise()}),e.when.apply(e,l.get()).done(function(){n(),e.each(arguments,function(){var t=this.el;e.each(this.diff,function(e){t.css(e,"")})}),h.complete.call(r[0])})})},e.fn.extend({_addClass:e.fn.addClass,addClass:function(t,i,a,s){return i?e.effects.animateClass.call(this,{add:t},i,a,s):this._addClass(t)},_removeClass:e.fn.removeClass,removeClass:function(t,i,a,s){return i?e.effects.animateClass.call(this,{remove:t},i,a,s):this._removeClass(t)},_toggleClass:e.fn.toggleClass,toggleClass:function(i,a,s,n,r){return"boolean"==typeof a||a===t?s?e.effects.animateClass.call(this,a?{add:i}:{remove:i},s,n,r):this._toggleClass(i,a):e.effects.animateClass.call(this,{toggle:i},a,s,n)},switchClass:function(t,i,a,s,n){return e.effects.animateClass.call(this,{add:i,remove:t},a,s,n)}})}(),function(){function s(t,i,a,s){return e.isPlainObject(t)&&(i=t,t=t.effect),t={effect:t},null==i&&(i={}),e.isFunction(i)&&(s=i,a=null,i={}),("number"==typeof i||e.fx.speeds[i])&&(s=a,a=i,i={}),e.isFunction(a)&&(s=a,a=null),i&&e.extend(t,i),a=a||i.duration,t.duration=e.fx.off?0:"number"==typeof a?a:a in e.fx.speeds?e.fx.speeds[a]:e.fx.speeds._default,t.complete=s||i.complete,t}function n(t){return!t||"number"==typeof t||e.fx.speeds[t]?!0:"string"!=typeof t||e.effects.effect[t]?!1:i&&e.effects[t]?!1:!0}e.extend(e.effects,{version:"1.9.2",save:function(e,t){for(var i=0;t.length>i;i++)null!==t[i]&&e.data(a+t[i],e[0].style[t[i]])},restore:function(e,i){var s,n;for(n=0;i.length>n;n++)null!==i[n]&&(s=e.data(a+i[n]),s===t&&(s=""),e.css(i[n],s))},setMode:function(e,t){return"toggle"===t&&(t=e.is(":hidden")?"show":"hide"),t},getBaseline:function(e,t){var i,a;switch(e[0]){case"top":i=0;break;case"middle":i=.5;break;case"bottom":i=1;break;default:i=e[0]/t.height}switch(e[1]){case"left":a=0;break;case"center":a=.5;break;case"right":a=1;break;default:a=e[1]/t.width}return{x:a,y:i}},createWrapper:function(t){if(t.parent().is(".ui-effects-wrapper"))return t.parent();var i={width:t.outerWidth(!0),height:t.outerHeight(!0),"float":t.css("float")},a=e("

    ").addClass("ui-effects-wrapper").css({fontSize:"100%",background:"transparent",border:"none",margin:0,padding:0}),s={width:t.width(),height:t.height()},n=document.activeElement;try{n.id}catch(r){n=document.body}return t.wrap(a),(t[0]===n||e.contains(t[0],n))&&e(n).focus(),a=t.parent(),"static"===t.css("position")?(a.css({position:"relative"}),t.css({position:"relative"})):(e.extend(i,{position:t.css("position"),zIndex:t.css("z-index")}),e.each(["top","left","bottom","right"],function(e,a){i[a]=t.css(a),isNaN(parseInt(i[a],10))&&(i[a]="auto")}),t.css({position:"relative",top:0,left:0,right:"auto",bottom:"auto"})),t.css(s),a.css(i).show()},removeWrapper:function(t){var i=document.activeElement;return t.parent().is(".ui-effects-wrapper")&&(t.parent().replaceWith(t),(t[0]===i||e.contains(t[0],i))&&e(i).focus()),t},setTransition:function(t,i,a,s){return s=s||{},e.each(i,function(e,i){var n=t.cssUnit(i);n[0]>0&&(s[i]=n[0]*a+n[1])}),s}}),e.fn.extend({effect:function(){function t(t){function i(){e.isFunction(n)&&n.call(s[0]),e.isFunction(t)&&t()}var s=e(this),n=a.complete,r=a.mode;(s.is(":hidden")?"hide"===r:"show"===r)?i():o.call(s[0],a,i)}var a=s.apply(this,arguments),n=a.mode,r=a.queue,o=e.effects.effect[a.effect],h=!o&&i&&e.effects[a.effect];return e.fx.off||!o&&!h?n?this[n](a.duration,a.complete):this.each(function(){a.complete&&a.complete.call(this)}):o?r===!1?this.each(t):this.queue(r||"fx",t):h.call(this,{options:a,duration:a.duration,callback:a.complete,mode:a.mode})},_show:e.fn.show,show:function(e){if(n(e))return this._show.apply(this,arguments);var t=s.apply(this,arguments);return t.mode="show",this.effect.call(this,t)},_hide:e.fn.hide,hide:function(e){if(n(e))return this._hide.apply(this,arguments);var t=s.apply(this,arguments);return t.mode="hide",this.effect.call(this,t)},__toggle:e.fn.toggle,toggle:function(t){if(n(t)||"boolean"==typeof t||e.isFunction(t))return this.__toggle.apply(this,arguments);var i=s.apply(this,arguments);return i.mode="toggle",this.effect.call(this,i)},cssUnit:function(t){var i=this.css(t),a=[];return e.each(["em","px","%","pt"],function(e,t){i.indexOf(t)>0&&(a=[parseFloat(i),t])}),a}})}(),function(){var t={};e.each(["Quad","Cubic","Quart","Quint","Expo"],function(e,i){t[i]=function(t){return Math.pow(t,e+2)}}),e.extend(t,{Sine:function(e){return 1-Math.cos(e*Math.PI/2)},Circ:function(e){return 1-Math.sqrt(1-e*e)},Elastic:function(e){return 0===e||1===e?e:-Math.pow(2,8*(e-1))*Math.sin((80*(e-1)-7.5)*Math.PI/15)},Back:function(e){return e*e*(3*e-2)},Bounce:function(e){for(var t,i=4;((t=Math.pow(2,--i))-1)/11>e;);return 1/Math.pow(4,3-i)-7.5625*Math.pow((3*t-2)/22-e,2)}}),e.each(t,function(t,i){e.easing["easeIn"+t]=i,e.easing["easeOut"+t]=function(e){return 1-i(1-e)},e.easing["easeInOut"+t]=function(e){return.5>e?i(2*e)/2:1-i(-2*e+2)/2}})}()}(jQuery);(function(e){var t=/up|down|vertical/,i=/up|left|vertical|horizontal/;e.effects.effect.blind=function(a,s){var n,r,o,l=e(this),h=["position","top","bottom","left","right","height","width"],u=e.effects.setMode(l,a.mode||"hide"),d=a.direction||"up",c=t.test(d),p=c?"height":"width",m=c?"top":"left",f=i.test(d),g={},v="show"===u;l.parent().is(".ui-effects-wrapper")?e.effects.save(l.parent(),h):e.effects.save(l,h),l.show(),n=e.effects.createWrapper(l).css({overflow:"hidden"}),r=n[p](),o=parseFloat(n.css(m))||0,g[p]=v?r:0,f||(l.css(c?"bottom":"right",0).css(c?"top":"left","auto").css({position:"absolute"}),g[m]=v?o:r+o),v&&(n.css(p,0),f||n.css(m,o+r)),n.animate(g,{duration:a.duration,easing:a.easing,queue:!1,complete:function(){"hide"===u&&l.hide(),e.effects.restore(l,h),e.effects.removeWrapper(l),s()}})}})(jQuery);(function(e){e.effects.effect.bounce=function(t,i){var a,s,n,r=e(this),o=["position","top","bottom","left","right","height","width"],l=e.effects.setMode(r,t.mode||"effect"),h="hide"===l,u="show"===l,d=t.direction||"up",c=t.distance,p=t.times||5,m=2*p+(u||h?1:0),f=t.duration/m,g=t.easing,v="up"===d||"down"===d?"top":"left",y="up"===d||"left"===d,b=r.queue(),_=b.length;for((u||h)&&o.push("opacity"),e.effects.save(r,o),r.show(),e.effects.createWrapper(r),c||(c=r["top"===v?"outerHeight":"outerWidth"]()/3),u&&(n={opacity:1},n[v]=0,r.css("opacity",0).css(v,y?2*-c:2*c).animate(n,f,g)),h&&(c/=Math.pow(2,p-1)),n={},n[v]=0,a=0;p>a;a++)s={},s[v]=(y?"-=":"+=")+c,r.animate(s,f,g).animate(n,f,g),c=h?2*c:c/2;h&&(s={opacity:0},s[v]=(y?"-=":"+=")+c,r.animate(s,f,g)),r.queue(function(){h&&r.hide(),e.effects.restore(r,o),e.effects.removeWrapper(r),i()}),_>1&&b.splice.apply(b,[1,0].concat(b.splice(_,m+1))),r.dequeue()}})(jQuery);(function(e){e.effects.effect.clip=function(t,i){var a,s,n,r=e(this),o=["position","top","bottom","left","right","height","width"],l=e.effects.setMode(r,t.mode||"hide"),h="show"===l,u=t.direction||"vertical",d="vertical"===u,c=d?"height":"width",p=d?"top":"left",m={};e.effects.save(r,o),r.show(),a=e.effects.createWrapper(r).css({overflow:"hidden"}),s="IMG"===r[0].tagName?a:r,n=s[c](),h&&(s.css(c,0),s.css(p,n/2)),m[c]=h?n:0,m[p]=h?0:n/2,s.animate(m,{queue:!1,duration:t.duration,easing:t.easing,complete:function(){h||r.hide(),e.effects.restore(r,o),e.effects.removeWrapper(r),i()}})}})(jQuery);(function(e){e.effects.effect.drop=function(t,i){var a,s=e(this),n=["position","top","bottom","left","right","opacity","height","width"],r=e.effects.setMode(s,t.mode||"hide"),o="show"===r,l=t.direction||"left",h="up"===l||"down"===l?"top":"left",u="up"===l||"left"===l?"pos":"neg",d={opacity:o?1:0};e.effects.save(s,n),s.show(),e.effects.createWrapper(s),a=t.distance||s["top"===h?"outerHeight":"outerWidth"](!0)/2,o&&s.css("opacity",0).css(h,"pos"===u?-a:a),d[h]=(o?"pos"===u?"+=":"-=":"pos"===u?"-=":"+=")+a,s.animate(d,{queue:!1,duration:t.duration,easing:t.easing,complete:function(){"hide"===r&&s.hide(),e.effects.restore(s,n),e.effects.removeWrapper(s),i()}})}})(jQuery);(function(e){e.effects.effect.explode=function(t,i){function a(){b.push(this),b.length===d*c&&s()}function s(){p.css({visibility:"visible"}),e(b).remove(),f||p.hide(),i()}var n,r,o,l,h,u,d=t.pieces?Math.round(Math.sqrt(t.pieces)):3,c=d,p=e(this),m=e.effects.setMode(p,t.mode||"hide"),f="show"===m,g=p.show().css("visibility","hidden").offset(),v=Math.ceil(p.outerWidth()/c),y=Math.ceil(p.outerHeight()/d),b=[];for(n=0;d>n;n++)for(l=g.top+n*y,u=n-(d-1)/2,r=0;c>r;r++)o=g.left+r*v,h=r-(c-1)/2,p.clone().appendTo("body").wrap("
    ").css({position:"absolute",visibility:"visible",left:-r*v,top:-n*y}).parent().addClass("ui-effects-explode").css({position:"absolute",overflow:"hidden",width:v,height:y,left:o+(f?h*v:0),top:l+(f?u*y:0),opacity:f?0:1}).animate({left:o+(f?0:h*v),top:l+(f?0:u*y),opacity:f?1:0},t.duration||500,t.easing,a)}})(jQuery);(function(e){e.effects.effect.fade=function(t,i){var a=e(this),s=e.effects.setMode(a,t.mode||"toggle");a.animate({opacity:s},{queue:!1,duration:t.duration,easing:t.easing,complete:i})}})(jQuery);(function(e){e.effects.effect.fold=function(t,i){var a,s,n=e(this),r=["position","top","bottom","left","right","height","width"],o=e.effects.setMode(n,t.mode||"hide"),l="show"===o,h="hide"===o,u=t.size||15,d=/([0-9]+)%/.exec(u),c=!!t.horizFirst,p=l!==c,m=p?["width","height"]:["height","width"],f=t.duration/2,g={},v={};e.effects.save(n,r),n.show(),a=e.effects.createWrapper(n).css({overflow:"hidden"}),s=p?[a.width(),a.height()]:[a.height(),a.width()],d&&(u=parseInt(d[1],10)/100*s[h?0:1]),l&&a.css(c?{height:0,width:u}:{height:u,width:0}),g[m[0]]=l?s[0]:u,v[m[1]]=l?s[1]:0,a.animate(g,f,t.easing).animate(v,f,t.easing,function(){h&&n.hide(),e.effects.restore(n,r),e.effects.removeWrapper(n),i()})}})(jQuery);(function(e){e.effects.effect.highlight=function(t,i){var a=e(this),s=["backgroundImage","backgroundColor","opacity"],n=e.effects.setMode(a,t.mode||"show"),r={backgroundColor:a.css("backgroundColor")};"hide"===n&&(r.opacity=0),e.effects.save(a,s),a.show().css({backgroundImage:"none",backgroundColor:t.color||"#ffff99"}).animate(r,{queue:!1,duration:t.duration,easing:t.easing,complete:function(){"hide"===n&&a.hide(),e.effects.restore(a,s),i()}})}})(jQuery);(function(e){e.effects.effect.pulsate=function(t,i){var a,s=e(this),n=e.effects.setMode(s,t.mode||"show"),r="show"===n,o="hide"===n,l=r||"hide"===n,h=2*(t.times||5)+(l?1:0),u=t.duration/h,d=0,c=s.queue(),p=c.length;for((r||!s.is(":visible"))&&(s.css("opacity",0).show(),d=1),a=1;h>a;a++)s.animate({opacity:d},u,t.easing),d=1-d;s.animate({opacity:d},u,t.easing),s.queue(function(){o&&s.hide(),i()}),p>1&&c.splice.apply(c,[1,0].concat(c.splice(p,h+1))),s.dequeue()}})(jQuery);(function(e){e.effects.effect.puff=function(t,i){var a=e(this),s=e.effects.setMode(a,t.mode||"hide"),n="hide"===s,r=parseInt(t.percent,10)||150,o=r/100,h={height:a.height(),width:a.width(),outerHeight:a.outerHeight(),outerWidth:a.outerWidth()};e.extend(t,{effect:"scale",queue:!1,fade:!0,mode:s,complete:i,percent:n?r:100,from:n?h:{height:h.height*o,width:h.width*o,outerHeight:h.outerHeight*o,outerWidth:h.outerWidth*o}}),a.effect(t)},e.effects.effect.scale=function(t,i){var a=e(this),s=e.extend(!0,{},t),n=e.effects.setMode(a,t.mode||"effect"),r=parseInt(t.percent,10)||(0===parseInt(t.percent,10)?0:"hide"===n?0:100),o=t.direction||"both",h=t.origin,l={height:a.height(),width:a.width(),outerHeight:a.outerHeight(),outerWidth:a.outerWidth()},u={y:"horizontal"!==o?r/100:1,x:"vertical"!==o?r/100:1};s.effect="size",s.queue=!1,s.complete=i,"effect"!==n&&(s.origin=h||["middle","center"],s.restore=!0),s.from=t.from||("show"===n?{height:0,width:0,outerHeight:0,outerWidth:0}:l),s.to={height:l.height*u.y,width:l.width*u.x,outerHeight:l.outerHeight*u.y,outerWidth:l.outerWidth*u.x},s.fade&&("show"===n&&(s.from.opacity=0,s.to.opacity=1),"hide"===n&&(s.from.opacity=1,s.to.opacity=0)),a.effect(s)},e.effects.effect.size=function(t,i){var a,s,n,r=e(this),o=["position","top","bottom","left","right","width","height","overflow","opacity"],h=["position","top","bottom","left","right","overflow","opacity"],l=["width","height","overflow"],u=["fontSize"],d=["borderTopWidth","borderBottomWidth","paddingTop","paddingBottom"],c=["borderLeftWidth","borderRightWidth","paddingLeft","paddingRight"],p=e.effects.setMode(r,t.mode||"effect"),f=t.restore||"effect"!==p,m=t.scale||"both",g=t.origin||["middle","center"],v=r.css("position"),y=f?o:h,b={height:0,width:0,outerHeight:0,outerWidth:0};"show"===p&&r.show(),a={height:r.height(),width:r.width(),outerHeight:r.outerHeight(),outerWidth:r.outerWidth()},"toggle"===t.mode&&"show"===p?(r.from=t.to||b,r.to=t.from||a):(r.from=t.from||("show"===p?b:a),r.to=t.to||("hide"===p?b:a)),n={from:{y:r.from.height/a.height,x:r.from.width/a.width},to:{y:r.to.height/a.height,x:r.to.width/a.width}},("box"===m||"both"===m)&&(n.from.y!==n.to.y&&(y=y.concat(d),r.from=e.effects.setTransition(r,d,n.from.y,r.from),r.to=e.effects.setTransition(r,d,n.to.y,r.to)),n.from.x!==n.to.x&&(y=y.concat(c),r.from=e.effects.setTransition(r,c,n.from.x,r.from),r.to=e.effects.setTransition(r,c,n.to.x,r.to))),("content"===m||"both"===m)&&n.from.y!==n.to.y&&(y=y.concat(u).concat(l),r.from=e.effects.setTransition(r,u,n.from.y,r.from),r.to=e.effects.setTransition(r,u,n.to.y,r.to)),e.effects.save(r,y),r.show(),e.effects.createWrapper(r),r.css("overflow","hidden").css(r.from),g&&(s=e.effects.getBaseline(g,a),r.from.top=(a.outerHeight-r.outerHeight())*s.y,r.from.left=(a.outerWidth-r.outerWidth())*s.x,r.to.top=(a.outerHeight-r.to.outerHeight)*s.y,r.to.left=(a.outerWidth-r.to.outerWidth)*s.x),r.css(r.from),("content"===m||"both"===m)&&(d=d.concat(["marginTop","marginBottom"]).concat(u),c=c.concat(["marginLeft","marginRight"]),l=o.concat(d).concat(c),r.find("*[width]").each(function(){var i=e(this),a={height:i.height(),width:i.width(),outerHeight:i.outerHeight(),outerWidth:i.outerWidth()};f&&e.effects.save(i,l),i.from={height:a.height*n.from.y,width:a.width*n.from.x,outerHeight:a.outerHeight*n.from.y,outerWidth:a.outerWidth*n.from.x},i.to={height:a.height*n.to.y,width:a.width*n.to.x,outerHeight:a.height*n.to.y,outerWidth:a.width*n.to.x},n.from.y!==n.to.y&&(i.from=e.effects.setTransition(i,d,n.from.y,i.from),i.to=e.effects.setTransition(i,d,n.to.y,i.to)),n.from.x!==n.to.x&&(i.from=e.effects.setTransition(i,c,n.from.x,i.from),i.to=e.effects.setTransition(i,c,n.to.x,i.to)),i.css(i.from),i.animate(i.to,t.duration,t.easing,function(){f&&e.effects.restore(i,l)})})),r.animate(r.to,{queue:!1,duration:t.duration,easing:t.easing,complete:function(){0===r.to.opacity&&r.css("opacity",r.from.opacity),"hide"===p&&r.hide(),e.effects.restore(r,y),f||("static"===v?r.css({position:"relative",top:r.to.top,left:r.to.left}):e.each(["top","left"],function(e,t){r.css(t,function(t,i){var a=parseInt(i,10),s=e?r.to.left:r.to.top;return"auto"===i?s+"px":a+s+"px"})})),e.effects.removeWrapper(r),i()}})}})(jQuery);(function(e){e.effects.effect.shake=function(t,i){var a,s=e(this),n=["position","top","bottom","left","right","height","width"],r=e.effects.setMode(s,t.mode||"effect"),o=t.direction||"left",h=t.distance||20,l=t.times||3,u=2*l+1,d=Math.round(t.duration/u),c="up"===o||"down"===o?"top":"left",p="up"===o||"left"===o,f={},m={},g={},v=s.queue(),y=v.length;for(e.effects.save(s,n),s.show(),e.effects.createWrapper(s),f[c]=(p?"-=":"+=")+h,m[c]=(p?"+=":"-=")+2*h,g[c]=(p?"-=":"+=")+2*h,s.animate(f,d,t.easing),a=1;l>a;a++)s.animate(m,d,t.easing).animate(g,d,t.easing);s.animate(m,d,t.easing).animate(f,d/2,t.easing).queue(function(){"hide"===r&&s.hide(),e.effects.restore(s,n),e.effects.removeWrapper(s),i()}),y>1&&v.splice.apply(v,[1,0].concat(v.splice(y,u+1))),s.dequeue()}})(jQuery);(function(e){e.effects.effect.slide=function(t,i){var a,s=e(this),n=["position","top","bottom","left","right","width","height"],r=e.effects.setMode(s,t.mode||"show"),o="show"===r,h=t.direction||"left",l="up"===h||"down"===h?"top":"left",u="up"===h||"left"===h,d={};e.effects.save(s,n),s.show(),a=t.distance||s["top"===l?"outerHeight":"outerWidth"](!0),e.effects.createWrapper(s).css({overflow:"hidden"}),o&&s.css(l,u?isNaN(a)?"-"+a:-a:a),d[l]=(o?u?"+=":"-=":u?"-=":"+=")+a,s.animate(d,{queue:!1,duration:t.duration,easing:t.easing,complete:function(){"hide"===r&&s.hide(),e.effects.restore(s,n),e.effects.removeWrapper(s),i()}})}})(jQuery);(function(e){e.effects.effect.transfer=function(t,i){var a=e(this),s=e(t.to),n="fixed"===s.css("position"),r=e("body"),o=n?r.scrollTop():0,h=n?r.scrollLeft():0,l=s.offset(),u={top:l.top-o,left:l.left-h,height:s.innerHeight(),width:s.innerWidth()},d=a.offset(),c=e('
    ').appendTo(document.body).addClass(t.className).css({top:d.top-o,left:d.left-h,height:a.innerHeight(),width:a.innerWidth(),position:n?"fixed":"absolute"}).animate(u,t.duration,t.easing,function(){c.remove(),i()})}})(jQuery); \ No newline at end of file diff --git a/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.jquery.js b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.jquery.js new file mode 100644 index 00000000..0292c87f --- /dev/null +++ b/Project-Descriptions-and-Plans/CV32A60X/Milestone-data/RTL_v6.0.0/Reports/Coverage/Code_coverage/html/urgReport/js/.jquery.js @@ -0,0 +1,3 @@ +(function(e,t){var n,r,i=typeof t,o=e.document,a=e.location,s=e.jQuery,u=e.$,l={},c=[],p="1.9.1",f=c.concat,d=c.push,h=c.slice,g=c.indexOf,m=l.toString,y=l.hasOwnProperty,v=p.trim,b=function(e,t){return new b.fn.init(e,t,r)},x=/[+-]?(?:\d*\.|)\d+(?:[eE][+-]?\d+|)/.source,w=/\S+/g,T=/^[\s\uFEFF\xA0]+|[\s\uFEFF\xA0]+$/g,N=/^(?:(<[\w\W]+>)[^>]*|#([\w-]*))$/,C=/^<(\w+)\s*\/?>(?:<\/\1>|)$/,k=/^[\],:{}\s]*$/,E=/(?:^|:|,)(?:\s*\[)+/g,S=/\\(?:["\\\/bfnrt]|u[\da-fA-F]{4})/g,A=/"[^"\\\r\n]*"|true|false|null|-?(?:\d+\.|)\d+(?:[eE][+-]?\d+|)/g,j=/^-ms-/,D=/-([\da-z])/gi,L=function(e,t){return t.toUpperCase()},H=function(e){(o.addEventListener||"load"===e.type||"complete"===o.readyState)&&(q(),b.ready())},q=function(){o.addEventListener?(o.removeEventListener("DOMContentLoaded",H,!1),e.removeEventListener("load",H,!1)):(o.detachEvent("onreadystatechange",H),e.detachEvent("onload",H))};b.fn=b.prototype={jquery:p,constructor:b,init:function(e,n,r){var i,a;if(!e)return this;if("string"==typeof e){if(i="<"===e.charAt(0)&&">"===e.charAt(e.length-1)&&e.length>=3?[null,e,null]:N.exec(e),!i||!i[1]&&n)return!n||n.jquery?(n||r).find(e):this.constructor(n).find(e);if(i[1]){if(n=n instanceof b?n[0]:n,b.merge(this,b.parseHTML(i[1],n&&n.nodeType?n.ownerDocument||n:o,!0)),C.test(i[1])&&b.isPlainObject(n))for(i in n)b.isFunction(this[i])?this[i](n[i]):this.attr(i,n[i]);return this}if(a=o.getElementById(i[2]),a&&a.parentNode){if(a.id!==i[2])return r.find(e);this.length=1,this[0]=a}return this.context=o,this.selector=e,this}return e.nodeType?(this.context=this[0]=e,this.length=1,this):b.isFunction(e)?r.ready(e):(e.selector!==t&&(this.selector=e.selector,this.context=e.context),b.makeArray(e,this))},selector:"",length:0,size:function(){return this.length},toArray:function(){return h.call(this)},get:function(e){return null==e?this.toArray():0>e?this[this.length+e]:this[e]},pushStack:function(e){var t=b.merge(this.constructor(),e);return t.prevObject=this,t.context=this.context,t},each:function(e,t){return b.each(this,e,t)},ready:function(e){return b.ready.promise().done(e),this},slice:function(){return this.pushStack(h.apply(this,arguments))},first:function(){return this.eq(0)},last:function(){return this.eq(-1)},eq:function(e){var t=this.length,n=+e+(0>e?t:0);return this.pushStack(n>=0&&t>n?[this[n]]:[])},map:function(e){return this.pushStack(b.map(this,function(t,n){return e.call(t,n,t)}))},end:function(){return this.prevObject||this.constructor(null)},push:d,sort:[].sort,splice:[].splice},b.fn.init.prototype=b.fn,b.extend=b.fn.extend=function(){var e,n,r,i,o,a,s=arguments[0]||{},u=1,l=arguments.length,c=!1;for("boolean"==typeof s&&(c=s,s=arguments[1]||{},u=2),"object"==typeof s||b.isFunction(s)||(s={}),l===u&&(s=this,--u);l>u;u++)if(null!=(o=arguments[u]))for(i in o)e=s[i],r=o[i],s!==r&&(c&&r&&(b.isPlainObject(r)||(n=b.isArray(r)))?(n?(n=!1,a=e&&b.isArray(e)?e:[]):a=e&&b.isPlainObject(e)?e:{},s[i]=b.extend(c,a,r)):r!==t&&(s[i]=r));return s},b.extend({noConflict:function(t){return e.$===b&&(e.$=u),t&&e.jQuery===b&&(e.jQuery=s),b},isReady:!1,readyWait:1,holdReady:function(e){e?b.readyWait++:b.ready(!0)},ready:function(e){if(e===!0?!--b.readyWait:!b.isReady){if(!o.body)return setTimeout(b.ready);b.isReady=!0,e!==!0&&--b.readyWait>0||(n.resolveWith(o,[b]),b.fn.trigger&&b(o).trigger("ready").off("ready"))}},isFunction:function(e){return"function"===b.type(e)},isArray:Array.isArray||function(e){return"array"===b.type(e)},isWindow:function(e){return null!=e&&e==e.window},isNumeric:function(e){return!isNaN(parseFloat(e))&&isFinite(e)},type:function(e){return null==e?e+"":"object"==typeof e||"function"==typeof e?l[m.call(e)]||"object":typeof e},isPlainObject:function(e){if(!e||"object"!==b.type(e)||e.nodeType||b.isWindow(e))return!1;try{if(e.constructor&&!y.call(e,"constructor")&&!y.call(e.constructor.prototype,"isPrototypeOf"))return!1}catch(n){return!1}var r;for(r in e);return r===t||y.call(e,r)},isEmptyObject:function(e){var t;for(t in e)return!1;return!0},error:function(e){throw Error(e)},parseHTML:function(e,t,n){if(!e||"string"!=typeof e)return null;"boolean"==typeof t&&(n=t,t=!1),t=t||o;var r=C.exec(e),i=!n&&[];return r?[t.createElement(r[1])]:(r=b.buildFragment([e],t,i),i&&b(i).remove(),b.merge([],r.childNodes))},parseJSON:function(n){return e.JSON&&e.JSON.parse?e.JSON.parse(n):null===n?n:"string"==typeof n&&(n=b.trim(n),n&&k.test(n.replace(S,"@").replace(A,"]").replace(E,"")))?Function("return "+n)():(b.error("Invalid JSON: "+n),t)},parseXML:function(n){var r,i;if(!n||"string"!=typeof n)return null;try{e.DOMParser?(i=new DOMParser,r=i.parseFromString(n,"text/xml")):(r=new ActiveXObject("Microsoft.XMLDOM"),r.async="false",r.loadXML(n))}catch(o){r=t}return r&&r.documentElement&&!r.getElementsByTagName("parsererror").length||b.error("Invalid XML: "+n),r},noop:function(){},globalEval:function(t){t&&b.trim(t)&&(e.execScript||function(t){e.eval.call(e,t)})(t)},camelCase:function(e){return e.replace(j,"ms-").replace(D,L)},nodeName:function(e,t){return e.nodeName&&e.nodeName.toLowerCase()===t.toLowerCase()},each:function(e,t,n){var r,i=0,o=e.length,a=M(e);if(n){if(a){for(;o>i;i++)if(r=t.apply(e[i],n),r===!1)break}else for(i in e)if(r=t.apply(e[i],n),r===!1)break}else if(a){for(;o>i;i++)if(r=t.call(e[i],i,e[i]),r===!1)break}else for(i in e)if(r=t.call(e[i],i,e[i]),r===!1)break;return e},trim:v&&!v.call("\ufeff\u00a0")?function(e){return null==e?"":v.call(e)}:function(e){return null==e?"":(e+"").replace(T,"")},makeArray:function(e,t){var n=t||[];return null!=e&&(M(Object(e))?b.merge(n,"string"==typeof e?[e]:e):d.call(n,e)),n},inArray:function(e,t,n){var r;if(t){if(g)return g.call(t,e,n);for(r=t.length,n=n?0>n?Math.max(0,r+n):n:0;r>n;n++)if(n in t&&t[n]===e)return n}return-1},merge:function(e,n){var r=n.length,i=e.length,o=0;if("number"==typeof r)for(;r>o;o++)e[i++]=n[o];else while(n[o]!==t)e[i++]=n[o++];return e.length=i,e},grep:function(e,t,n){var r,i=[],o=0,a=e.length;for(n=!!n;a>o;o++)r=!!t(e[o],o),n!==r&&i.push(e[o]);return i},map:function(e,t,n){var r,i=0,o=e.length,a=M(e),s=[];if(a)for(;o>i;i++)r=t(e[i],i,n),null!=r&&(s[s.length]=r);else for(i in e)r=t(e[i],i,n),null!=r&&(s[s.length]=r);return f.apply([],s)},guid:1,proxy:function(e,n){var r,i,o;return"string"==typeof n&&(o=e[n],n=e,e=o),b.isFunction(e)?(r=h.call(arguments,2),i=function(){return e.apply(n||this,r.concat(h.call(arguments)))},i.guid=e.guid=e.guid||b.guid++,i):t},access:function(e,n,r,i,o,a,s){var u=0,l=e.length,c=null==r;if("object"===b.type(r)){o=!0;for(u in r)b.access(e,n,u,r[u],!0,a,s)}else if(i!==t&&(o=!0,b.isFunction(i)||(s=!0),c&&(s?(n.call(e,i),n=null):(c=n,n=function(e,t,n){return c.call(b(e),n)})),n))for(;l>u;u++)n(e[u],r,s?i:i.call(e[u],u,n(e[u],r)));return o?e:c?n.call(e):l?n(e[0],r):a},now:function(){return(new Date).getTime()}}),b.ready.promise=function(t){if(!n)if(n=b.Deferred(),"complete"===o.readyState)setTimeout(b.ready);else if(o.addEventListener)o.addEventListener("DOMContentLoaded",H,!1),e.addEventListener("load",H,!1);else{o.attachEvent("onreadystatechange",H),e.attachEvent("onload",H);var r=!1;try{r=null==e.frameElement&&o.documentElement}catch(i){}r&&r.doScroll&&function a(){if(!b.isReady){try{r.doScroll("left")}catch(e){return setTimeout(a,50)}q(),b.ready()}}()}return n.promise(t)},b.each("Boolean Number String Function Array Date RegExp Object Error".split(" "),function(e,t){l["[object "+t+"]"]=t.toLowerCase()});function M(e){var t=e.length,n=b.type(e);return b.isWindow(e)?!1:1===e.nodeType&&t?!0:"array"===n||"function"!==n&&(0===t||"number"==typeof t&&t>0&&t-1 in e)}r=b(o);var _={};function F(e){var t=_[e]={};return b.each(e.match(w)||[],function(e,n){t[n]=!0}),t}b.Callbacks=function(e){e="string"==typeof e?_[e]||F(e):b.extend({},e);var n,r,i,o,a,s,u=[],l=!e.once&&[],c=function(t){for(r=e.memory&&t,i=!0,a=s||0,s=0,o=u.length,n=!0;u&&o>a;a++)if(u[a].apply(t[0],t[1])===!1&&e.stopOnFalse){r=!1;break}n=!1,u&&(l?l.length&&c(l.shift()):r?u=[]:p.disable())},p={add:function(){if(u){var t=u.length;(function i(t){b.each(t,function(t,n){var r=b.type(n);"function"===r?e.unique&&p.has(n)||u.push(n):n&&n.length&&"string"!==r&&i(n)})})(arguments),n?o=u.length:r&&(s=t,c(r))}return this},remove:function(){return u&&b.each(arguments,function(e,t){var r;while((r=b.inArray(t,u,r))>-1)u.splice(r,1),n&&(o>=r&&o--,a>=r&&a--)}),this},has:function(e){return e?b.inArray(e,u)>-1:!(!u||!u.length)},empty:function(){return u=[],this},disable:function(){return u=l=r=t,this},disabled:function(){return!u},lock:function(){return l=t,r||p.disable(),this},locked:function(){return!l},fireWith:function(e,t){return t=t||[],t=[e,t.slice?t.slice():t],!u||i&&!l||(n?l.push(t):c(t)),this},fire:function(){return p.fireWith(this,arguments),this},fired:function(){return!!i}};return p},b.extend({Deferred:function(e){var t=[["resolve","done",b.Callbacks("once memory"),"resolved"],["reject","fail",b.Callbacks("once memory"),"rejected"],["notify","progress",b.Callbacks("memory")]],n="pending",r={state:function(){return n},always:function(){return i.done(arguments).fail(arguments),this},then:function(){var e=arguments;return b.Deferred(function(n){b.each(t,function(t,o){var a=o[0],s=b.isFunction(e[t])&&e[t];i[o[1]](function(){var e=s&&s.apply(this,arguments);e&&b.isFunction(e.promise)?e.promise().done(n.resolve).fail(n.reject).progress(n.notify):n[a+"With"](this===r?n.promise():this,s?[e]:arguments)})}),e=null}).promise()},promise:function(e){return null!=e?b.extend(e,r):r}},i={};return r.pipe=r.then,b.each(t,function(e,o){var a=o[2],s=o[3];r[o[1]]=a.add,s&&a.add(function(){n=s},t[1^e][2].disable,t[2][2].lock),i[o[0]]=function(){return i[o[0]+"With"](this===i?r:this,arguments),this},i[o[0]+"With"]=a.fireWith}),r.promise(i),e&&e.call(i,i),i},when:function(e){var t=0,n=h.call(arguments),r=n.length,i=1!==r||e&&b.isFunction(e.promise)?r:0,o=1===i?e:b.Deferred(),a=function(e,t,n){return function(r){t[e]=this,n[e]=arguments.length>1?h.call(arguments):r,n===s?o.notifyWith(t,n):--i||o.resolveWith(t,n)}},s,u,l;if(r>1)for(s=Array(r),u=Array(r),l=Array(r);r>t;t++)n[t]&&b.isFunction(n[t].promise)?n[t].promise().done(a(t,l,n)).fail(o.reject).progress(a(t,u,s)):--i;return i||o.resolveWith(l,n),o.promise()}}),b.support=function(){var t,n,r,a,s,u,l,c,p,f,d=o.createElement("div");if(d.setAttribute("className","t"),d.innerHTML="
    a",n=d.getElementsByTagName("*"),r=d.getElementsByTagName("a")[0],!n||!r||!n.length)return{};s=o.createElement("select"),l=s.appendChild(o.createElement("option")),a=d.getElementsByTagName("input")[0],r.style.cssText="top:1px;float:left;opacity:.5",t={getSetAttribute:"t"!==d.className,leadingWhitespace:3===d.firstChild.nodeType,tbody:!d.getElementsByTagName("tbody").length,htmlSerialize:!!d.getElementsByTagName("link").length,style:/top/.test(r.getAttribute("style")),hrefNormalized:"/a"===r.getAttribute("href"),opacity:/^0.5/.test(r.style.opacity),cssFloat:!!r.style.cssFloat,checkOn:!!a.value,optSelected:l.selected,enctype:!!o.createElement("form").enctype,html5Clone:"<:nav>"!==o.createElement("nav").cloneNode(!0).outerHTML,boxModel:"CSS1Compat"===o.compatMode,deleteExpando:!0,noCloneEvent:!0,inlineBlockNeedsLayout:!1,shrinkWrapBlocks:!1,reliableMarginRight:!0,boxSizingReliable:!0,pixelPosition:!1},a.checked=!0,t.noCloneChecked=a.cloneNode(!0).checked,s.disabled=!0,t.optDisabled=!l.disabled;try{delete d.test}catch(h){t.deleteExpando=!1}a=o.createElement("input"),a.setAttribute("value",""),t.input=""===a.getAttribute("value"),a.value="t",a.setAttribute("type","radio"),t.radioValue="t"===a.value,a.setAttribute("checked","t"),a.setAttribute("name","t"),u=o.createDocumentFragment(),u.appendChild(a),t.appendChecked=a.checked,t.checkClone=u.cloneNode(!0).cloneNode(!0).lastChild.checked,d.attachEvent&&(d.attachEvent("onclick",function(){t.noCloneEvent=!1}),d.cloneNode(!0).click());for(f in{submit:!0,change:!0,focusin:!0})d.setAttribute(c="on"+f,"t"),t[f+"Bubbles"]=c in e||d.attributes[c].expando===!1;return d.style.backgroundClip="content-box",d.cloneNode(!0).style.backgroundClip="",t.clearCloneStyle="content-box"===d.style.backgroundClip,b(function(){var n,r,a,s="padding:0;margin:0;border:0;display:block;box-sizing:content-box;-moz-box-sizing:content-box;-webkit-box-sizing:content-box;",u=o.getElementsByTagName("body")[0];u&&(n=o.createElement("div"),n.style.cssText="border:0;width:0;height:0;position:absolute;top:0;left:-9999px;margin-top:1px",u.appendChild(n).appendChild(d),d.innerHTML="
    t
    ",a=d.getElementsByTagName("td"),a[0].style.cssText="padding:0;margin:0;border:0;display:none",p=0===a[0].offsetHeight,a[0].style.display="",a[1].style.display="none",t.reliableHiddenOffsets=p&&0===a[0].offsetHeight,d.innerHTML="",d.style.cssText="box-sizing:border-box;-moz-box-sizing:border-box;-webkit-box-sizing:border-box;padding:1px;border:1px;display:block;width:4px;margin-top:1%;position:absolute;top:1%;",t.boxSizing=4===d.offsetWidth,t.doesNotIncludeMarginInBodyOffset=1!==u.offsetTop,e.getComputedStyle&&(t.pixelPosition="1%"!==(e.getComputedStyle(d,null)||{}).top,t.boxSizingReliable="4px"===(e.getComputedStyle(d,null)||{width:"4px"}).width,r=d.appendChild(o.createElement("div")),r.style.cssText=d.style.cssText=s,r.style.marginRight=r.style.width="0",d.style.width="1px",t.reliableMarginRight=!parseFloat((e.getComputedStyle(r,null)||{}).marginRight)),typeof d.style.zoom!==i&&(d.innerHTML="",d.style.cssText=s+"width:1px;padding:1px;display:inline;zoom:1",t.inlineBlockNeedsLayout=3===d.offsetWidth,d.style.display="block",d.innerHTML="
    ",d.firstChild.style.width="5px",t.shrinkWrapBlocks=3!==d.offsetWidth,t.inlineBlockNeedsLayout&&(u.style.zoom=1)),u.removeChild(n),n=d=a=r=null)}),n=s=u=l=r=a=null,t}();var O=/(?:\{[\s\S]*\}|\[[\s\S]*\])$/,B=/([A-Z])/g;function P(e,n,r,i){if(b.acceptData(e)){var o,a,s=b.expando,u="string"==typeof n,l=e.nodeType,p=l?b.cache:e,f=l?e[s]:e[s]&&s;if(f&&p[f]&&(i||p[f].data)||!u||r!==t)return f||(l?e[s]=f=c.pop()||b.guid++:f=s),p[f]||(p[f]={},l||(p[f].toJSON=b.noop)),("object"==typeof n||"function"==typeof n)&&(i?p[f]=b.extend(p[f],n):p[f].data=b.extend(p[f].data,n)),o=p[f],i||(o.data||(o.data={}),o=o.data),r!==t&&(o[b.camelCase(n)]=r),u?(a=o[n],null==a&&(a=o[b.camelCase(n)])):a=o,a}}function R(e,t,n){if(b.acceptData(e)){var r,i,o,a=e.nodeType,s=a?b.cache:e,u=a?e[b.expando]:b.expando;if(s[u]){if(t&&(o=n?s[u]:s[u].data)){b.isArray(t)?t=t.concat(b.map(t,b.camelCase)):t in o?t=[t]:(t=b.camelCase(t),t=t in o?[t]:t.split(" "));for(r=0,i=t.length;i>r;r++)delete o[t[r]];if(!(n?$:b.isEmptyObject)(o))return}(n||(delete s[u].data,$(s[u])))&&(a?b.cleanData([e],!0):b.support.deleteExpando||s!=s.window?delete s[u]:s[u]=null)}}}b.extend({cache:{},expando:"jQuery"+(p+Math.random()).replace(/\D/g,""),noData:{embed:!0,object:"clsid:D27CDB6E-AE6D-11cf-96B8-444553540000",applet:!0},hasData:function(e){return e=e.nodeType?b.cache[e[b.expando]]:e[b.expando],!!e&&!$(e)},data:function(e,t,n){return P(e,t,n)},removeData:function(e,t){return R(e,t)},_data:function(e,t,n){return P(e,t,n,!0)},_removeData:function(e,t){return R(e,t,!0)},acceptData:function(e){if(e.nodeType&&1!==e.nodeType&&9!==e.nodeType)return!1;var t=e.nodeName&&b.noData[e.nodeName.toLowerCase()];return!t||t!==!0&&e.getAttribute("classid")===t}}),b.fn.extend({data:function(e,n){var r,i,o=this[0],a=0,s=null;if(e===t){if(this.length&&(s=b.data(o),1===o.nodeType&&!b._data(o,"parsedAttrs"))){for(r=o.attributes;r.length>a;a++)i=r[a].name,i.indexOf("data-")||(i=b.camelCase(i.slice(5)),W(o,i,s[i]));b._data(o,"parsedAttrs",!0)}return s}return"object"==typeof e?this.each(function(){b.data(this,e)}):b.access(this,function(n){return n===t?o?W(o,e,b.data(o,e)):null:(this.each(function(){b.data(this,e,n)}),t)},null,n,arguments.length>1,null,!0)},removeData:function(e){return this.each(function(){b.removeData(this,e)})}});function W(e,n,r){if(r===t&&1===e.nodeType){var i="data-"+n.replace(B,"-$1").toLowerCase();if(r=e.getAttribute(i),"string"==typeof r){try{r="true"===r?!0:"false"===r?!1:"null"===r?null:+r+""===r?+r:O.test(r)?b.parseJSON(r):r}catch(o){}b.data(e,n,r)}else r=t}return r}function $(e){var t;for(t in e)if(("data"!==t||!b.isEmptyObject(e[t]))&&"toJSON"!==t)return!1;return!0}b.extend({queue:function(e,n,r){var i;return e?(n=(n||"fx")+"queue",i=b._data(e,n),r&&(!i||b.isArray(r)?i=b._data(e,n,b.makeArray(r)):i.push(r)),i||[]):t},dequeue:function(e,t){t=t||"fx";var n=b.queue(e,t),r=n.length,i=n.shift(),o=b._queueHooks(e,t),a=function(){b.dequeue(e,t)};"inprogress"===i&&(i=n.shift(),r--),o.cur=i,i&&("fx"===t&&n.unshift("inprogress"),delete o.stop,i.call(e,a,o)),!r&&o&&o.empty.fire()},_queueHooks:function(e,t){var n=t+"queueHooks";return b._data(e,n)||b._data(e,n,{empty:b.Callbacks("once memory").add(function(){b._removeData(e,t+"queue"),b._removeData(e,n)})})}}),b.fn.extend({queue:function(e,n){var r=2;return"string"!=typeof e&&(n=e,e="fx",r--),r>arguments.length?b.queue(this[0],e):n===t?this:this.each(function(){var t=b.queue(this,e,n);b._queueHooks(this,e),"fx"===e&&"inprogress"!==t[0]&&b.dequeue(this,e)})},dequeue:function(e){return this.each(function(){b.dequeue(this,e)})},delay:function(e,t){return e=b.fx?b.fx.speeds[e]||e:e,t=t||"fx",this.queue(t,function(t,n){var r=setTimeout(t,e);n.stop=function(){clearTimeout(r)}})},clearQueue:function(e){return this.queue(e||"fx",[])},promise:function(e,n){var r,i=1,o=b.Deferred(),a=this,s=this.length,u=function(){--i||o.resolveWith(a,[a])};"string"!=typeof e&&(n=e,e=t),e=e||"fx";while(s--)r=b._data(a[s],e+"queueHooks"),r&&r.empty&&(i++,r.empty.add(u));return u(),o.promise(n)}});var I,z,X=/[\t\r\n]/g,U=/\r/g,V=/^(?:input|select|textarea|button|object)$/i,Y=/^(?:a|area)$/i,J=/^(?:checked|selected|autofocus|autoplay|async|controls|defer|disabled|hidden|loop|multiple|open|readonly|required|scoped)$/i,G=/^(?:checked|selected)$/i,Q=b.support.getSetAttribute,K=b.support.input;b.fn.extend({attr:function(e,t){return b.access(this,b.attr,e,t,arguments.length>1)},removeAttr:function(e){return this.each(function(){b.removeAttr(this,e)})},prop:function(e,t){return b.access(this,b.prop,e,t,arguments.length>1)},removeProp:function(e){return e=b.propFix[e]||e,this.each(function(){try{this[e]=t,delete this[e]}catch(n){}})},addClass:function(e){var t,n,r,i,o,a=0,s=this.length,u="string"==typeof e&&e;if(b.isFunction(e))return this.each(function(t){b(this).addClass(e.call(this,t,this.className))});if(u)for(t=(e||"").match(w)||[];s>a;a++)if(n=this[a],r=1===n.nodeType&&(n.className?(" "+n.className+" ").replace(X," "):" ")){o=0;while(i=t[o++])0>r.indexOf(" "+i+" ")&&(r+=i+" ");n.className=b.trim(r)}return this},removeClass:function(e){var t,n,r,i,o,a=0,s=this.length,u=0===arguments.length||"string"==typeof e&&e;if(b.isFunction(e))return this.each(function(t){b(this).removeClass(e.call(this,t,this.className))});if(u)for(t=(e||"").match(w)||[];s>a;a++)if(n=this[a],r=1===n.nodeType&&(n.className?(" "+n.className+" ").replace(X," "):"")){o=0;while(i=t[o++])while(r.indexOf(" "+i+" ")>=0)r=r.replace(" "+i+" "," ");n.className=e?b.trim(r):""}return this},toggleClass:function(e,t){var n=typeof e,r="boolean"==typeof t;return b.isFunction(e)?this.each(function(n){b(this).toggleClass(e.call(this,n,this.className,t),t)}):this.each(function(){if("string"===n){var o,a=0,s=b(this),u=t,l=e.match(w)||[];while(o=l[a++])u=r?u:!s.hasClass(o),s[u?"addClass":"removeClass"](o)}else(n===i||"boolean"===n)&&(this.className&&b._data(this,"__className__",this.className),this.className=this.className||e===!1?"":b._data(this,"__className__")||"")})},hasClass:function(e){var t=" "+e+" ",n=0,r=this.length;for(;r>n;n++)if(1===this[n].nodeType&&(" "+this[n].className+" ").replace(X," ").indexOf(t)>=0)return!0;return!1},val:function(e){var n,r,i,o=this[0];{if(arguments.length)return i=b.isFunction(e),this.each(function(n){var o,a=b(this);1===this.nodeType&&(o=i?e.call(this,n,a.val()):e,null==o?o="":"number"==typeof o?o+="":b.isArray(o)&&(o=b.map(o,function(e){return null==e?"":e+""})),r=b.valHooks[this.type]||b.valHooks[this.nodeName.toLowerCase()],r&&"set"in r&&r.set(this,o,"value")!==t||(this.value=o))});if(o)return r=b.valHooks[o.type]||b.valHooks[o.nodeName.toLowerCase()],r&&"get"in r&&(n=r.get(o,"value"))!==t?n:(n=o.value,"string"==typeof n?n.replace(U,""):null==n?"":n)}}}),b.extend({valHooks:{option:{get:function(e){var t=e.attributes.value;return!t||t.specified?e.value:e.text}},select:{get:function(e){var t,n,r=e.options,i=e.selectedIndex,o="select-one"===e.type||0>i,a=o?null:[],s=o?i+1:r.length,u=0>i?s:o?i:0;for(;s>u;u++)if(n=r[u],!(!n.selected&&u!==i||(b.support.optDisabled?n.disabled:null!==n.getAttribute("disabled"))||n.parentNode.disabled&&b.nodeName(n.parentNode,"optgroup"))){if(t=b(n).val(),o)return t;a.push(t)}return a},set:function(e,t){var n=b.makeArray(t);return b(e).find("option").each(function(){this.selected=b.inArray(b(this).val(),n)>=0}),n.length||(e.selectedIndex=-1),n}}},attr:function(e,n,r){var o,a,s,u=e.nodeType;if(e&&3!==u&&8!==u&&2!==u)return typeof e.getAttribute===i?b.prop(e,n,r):(a=1!==u||!b.isXMLDoc(e),a&&(n=n.toLowerCase(),o=b.attrHooks[n]||(J.test(n)?z:I)),r===t?o&&a&&"get"in o&&null!==(s=o.get(e,n))?s:(typeof e.getAttribute!==i&&(s=e.getAttribute(n)),null==s?t:s):null!==r?o&&a&&"set"in o&&(s=o.set(e,r,n))!==t?s:(e.setAttribute(n,r+""),r):(b.removeAttr(e,n),t))},removeAttr:function(e,t){var n,r,i=0,o=t&&t.match(w);if(o&&1===e.nodeType)while(n=o[i++])r=b.propFix[n]||n,J.test(n)?!Q&&G.test(n)?e[b.camelCase("default-"+n)]=e[r]=!1:e[r]=!1:b.attr(e,n,""),e.removeAttribute(Q?n:r)},attrHooks:{type:{set:function(e,t){if(!b.support.radioValue&&"radio"===t&&b.nodeName(e,"input")){var n=e.value;return e.setAttribute("type",t),n&&(e.value=n),t}}}},propFix:{tabindex:"tabIndex",readonly:"readOnly","for":"htmlFor","class":"className",maxlength:"maxLength",cellspacing:"cellSpacing",cellpadding:"cellPadding",rowspan:"rowSpan",colspan:"colSpan",usemap:"useMap",frameborder:"frameBorder",contenteditable:"contentEditable"},prop:function(e,n,r){var i,o,a,s=e.nodeType;if(e&&3!==s&&8!==s&&2!==s)return a=1!==s||!b.isXMLDoc(e),a&&(n=b.propFix[n]||n,o=b.propHooks[n]),r!==t?o&&"set"in o&&(i=o.set(e,r,n))!==t?i:e[n]=r:o&&"get"in o&&null!==(i=o.get(e,n))?i:e[n]},propHooks:{tabIndex:{get:function(e){var n=e.getAttributeNode("tabindex");return n&&n.specified?parseInt(n.value,10):V.test(e.nodeName)||Y.test(e.nodeName)&&e.href?0:t}}}}),z={get:function(e,n){var r=b.prop(e,n),i="boolean"==typeof r&&e.getAttribute(n),o="boolean"==typeof r?K&&Q?null!=i:G.test(n)?e[b.camelCase("default-"+n)]:!!i:e.getAttributeNode(n);return o&&o.value!==!1?n.toLowerCase():t},set:function(e,t,n){return t===!1?b.removeAttr(e,n):K&&Q||!G.test(n)?e.setAttribute(!Q&&b.propFix[n]||n,n):e[b.camelCase("default-"+n)]=e[n]=!0,n}},K&&Q||(b.attrHooks.value={get:function(e,n){var r=e.getAttributeNode(n);return b.nodeName(e,"input")?e.defaultValue:r&&r.specified?r.value:t},set:function(e,n,r){return b.nodeName(e,"input")?(e.defaultValue=n,t):I&&I.set(e,n,r)}}),Q||(I=b.valHooks.button={get:function(e,n){var r=e.getAttributeNode(n);return r&&("id"===n||"name"===n||"coords"===n?""!==r.value:r.specified)?r.value:t},set:function(e,n,r){var i=e.getAttributeNode(r);return i||e.setAttributeNode(i=e.ownerDocument.createAttribute(r)),i.value=n+="","value"===r||n===e.getAttribute(r)?n:t}},b.attrHooks.contenteditable={get:I.get,set:function(e,t,n){I.set(e,""===t?!1:t,n)}},b.each(["width","height"],function(e,n){b.attrHooks[n]=b.extend(b.attrHooks[n],{set:function(e,r){return""===r?(e.setAttribute(n,"auto"),r):t}})})),b.support.hrefNormalized||(b.each(["href","src","width","height"],function(e,n){b.attrHooks[n]=b.extend(b.attrHooks[n],{get:function(e){var r=e.getAttribute(n,2);return null==r?t:r}})}),b.each(["href","src"],function(e,t){b.propHooks[t]={get:function(e){return e.getAttribute(t,4)}}})),b.support.style||(b.attrHooks.style={get:function(e){return e.style.cssText||t},set:function(e,t){return e.style.cssText=t+""}}),b.support.optSelected||(b.propHooks.selected=b.extend(b.propHooks.selected,{get:function(e){var t=e.parentNode;return t&&(t.selectedIndex,t.parentNode&&t.parentNode.selectedIndex),null}})),b.support.enctype||(b.propFix.enctype="encoding"),b.support.checkOn||b.each(["radio","checkbox"],function(){b.valHooks[this]={get:function(e){return null===e.getAttribute("value")?"on":e.value}}}),b.each(["radio","checkbox"],function(){b.valHooks[this]=b.extend(b.valHooks[this],{set:function(e,n){return b.isArray(n)?e.checked=b.inArray(b(e).val(),n)>=0:t}})});var Z=/^(?:input|select|textarea)$/i,et=/^key/,tt=/^(?:mouse|contextmenu)|click/,nt=/^(?:focusinfocus|focusoutblur)$/,rt=/^([^.]*)(?:\.(.+)|)$/;function it(){return!0}function ot(){return!1}b.event={global:{},add:function(e,n,r,o,a){var s,u,l,c,p,f,d,h,g,m,y,v=b._data(e);if(v){r.handler&&(c=r,r=c.handler,a=c.selector),r.guid||(r.guid=b.guid++),(u=v.events)||(u=v.events={}),(f=v.handle)||(f=v.handle=function(e){return typeof b===i||e&&b.event.triggered===e.type?t:b.event.dispatch.apply(f.elem,arguments)},f.elem=e),n=(n||"").match(w)||[""],l=n.length;while(l--)s=rt.exec(n[l])||[],g=y=s[1],m=(s[2]||"").split(".").sort(),p=b.event.special[g]||{},g=(a?p.delegateType:p.bindType)||g,p=b.event.special[g]||{},d=b.extend({type:g,origType:y,data:o,handler:r,guid:r.guid,selector:a,needsContext:a&&b.expr.match.needsContext.test(a),namespace:m.join(".")},c),(h=u[g])||(h=u[g]=[],h.delegateCount=0,p.setup&&p.setup.call(e,o,m,f)!==!1||(e.addEventListener?e.addEventListener(g,f,!1):e.attachEvent&&e.attachEvent("on"+g,f))),p.add&&(p.add.call(e,d),d.handler.guid||(d.handler.guid=r.guid)),a?h.splice(h.delegateCount++,0,d):h.push(d),b.event.global[g]=!0;e=null}},remove:function(e,t,n,r,i){var o,a,s,u,l,c,p,f,d,h,g,m=b.hasData(e)&&b._data(e);if(m&&(c=m.events)){t=(t||"").match(w)||[""],l=t.length;while(l--)if(s=rt.exec(t[l])||[],d=g=s[1],h=(s[2]||"").split(".").sort(),d){p=b.event.special[d]||{},d=(r?p.delegateType:p.bindType)||d,f=c[d]||[],s=s[2]&&RegExp("(^|\\.)"+h.join("\\.(?:.*\\.|)")+"(\\.|$)"),u=o=f.length;while(o--)a=f[o],!i&&g!==a.origType||n&&n.guid!==a.guid||s&&!s.test(a.namespace)||r&&r!==a.selector&&("**"!==r||!a.selector)||(f.splice(o,1),a.selector&&f.delegateCount--,p.remove&&p.remove.call(e,a));u&&!f.length&&(p.teardown&&p.teardown.call(e,h,m.handle)!==!1||b.removeEvent(e,d,m.handle),delete c[d])}else for(d in c)b.event.remove(e,d+t[l],n,r,!0);b.isEmptyObject(c)&&(delete m.handle,b._removeData(e,"events"))}},trigger:function(n,r,i,a){var s,u,l,c,p,f,d,h=[i||o],g=y.call(n,"type")?n.type:n,m=y.call(n,"namespace")?n.namespace.split("."):[];if(l=f=i=i||o,3!==i.nodeType&&8!==i.nodeType&&!nt.test(g+b.event.triggered)&&(g.indexOf(".")>=0&&(m=g.split("."),g=m.shift(),m.sort()),u=0>g.indexOf(":")&&"on"+g,n=n[b.expando]?n:new b.Event(g,"object"==typeof n&&n),n.isTrigger=!0,n.namespace=m.join("."),n.namespace_re=n.namespace?RegExp("(^|\\.)"+m.join("\\.(?:.*\\.|)")+"(\\.|$)"):null,n.result=t,n.target||(n.target=i),r=null==r?[n]:b.makeArray(r,[n]),p=b.event.special[g]||{},a||!p.trigger||p.trigger.apply(i,r)!==!1)){if(!a&&!p.noBubble&&!b.isWindow(i)){for(c=p.delegateType||g,nt.test(c+g)||(l=l.parentNode);l;l=l.parentNode)h.push(l),f=l;f===(i.ownerDocument||o)&&h.push(f.defaultView||f.parentWindow||e)}d=0;while((l=h[d++])&&!n.isPropagationStopped())n.type=d>1?c:p.bindType||g,s=(b._data(l,"events")||{})[n.type]&&b._data(l,"handle"),s&&s.apply(l,r),s=u&&l[u],s&&b.acceptData(l)&&s.apply&&s.apply(l,r)===!1&&n.preventDefault();if(n.type=g,!(a||n.isDefaultPrevented()||p._default&&p._default.apply(i.ownerDocument,r)!==!1||"click"===g&&b.nodeName(i,"a")||!b.acceptData(i)||!u||!i[g]||b.isWindow(i))){f=i[u],f&&(i[u]=null),b.event.triggered=g;try{i[g]()}catch(v){}b.event.triggered=t,f&&(i[u]=f)}return n.result}},dispatch:function(e){e=b.event.fix(e);var n,r,i,o,a,s=[],u=h.call(arguments),l=(b._data(this,"events")||{})[e.type]||[],c=b.event.special[e.type]||{};if(u[0]=e,e.delegateTarget=this,!c.preDispatch||c.preDispatch.call(this,e)!==!1){s=b.event.handlers.call(this,e,l),n=0;while((o=s[n++])&&!e.isPropagationStopped()){e.currentTarget=o.elem,a=0;while((i=o.handlers[a++])&&!e.isImmediatePropagationStopped())(!e.namespace_re||e.namespace_re.test(i.namespace))&&(e.handleObj=i,e.data=i.data,r=((b.event.special[i.origType]||{}).handle||i.handler).apply(o.elem,u),r!==t&&(e.result=r)===!1&&(e.preventDefault(),e.stopPropagation()))}return c.postDispatch&&c.postDispatch.call(this,e),e.result}},handlers:function(e,n){var r,i,o,a,s=[],u=n.delegateCount,l=e.target;if(u&&l.nodeType&&(!e.button||"click"!==e.type))for(;l!=this;l=l.parentNode||this)if(1===l.nodeType&&(l.disabled!==!0||"click"!==e.type)){for(o=[],a=0;u>a;a++)i=n[a],r=i.selector+" ",o[r]===t&&(o[r]=i.needsContext?b(r,this).index(l)>=0:b.find(r,this,null,[l]).length),o[r]&&o.push(i);o.length&&s.push({elem:l,handlers:o})}return n.length>u&&s.push({elem:this,handlers:n.slice(u)}),s},fix:function(e){if(e[b.expando])return e;var t,n,r,i=e.type,a=e,s=this.fixHooks[i];s||(this.fixHooks[i]=s=tt.test(i)?this.mouseHooks:et.test(i)?this.keyHooks:{}),r=s.props?this.props.concat(s.props):this.props,e=new b.Event(a),t=r.length;while(t--)n=r[t],e[n]=a[n];return e.target||(e.target=a.srcElement||o),3===e.target.nodeType&&(e.target=e.target.parentNode),e.metaKey=!!e.metaKey,s.filter?s.filter(e,a):e},props:"altKey bubbles cancelable ctrlKey currentTarget eventPhase metaKey relatedTarget shiftKey target timeStamp view which".split(" "),fixHooks:{},keyHooks:{props:"char charCode key keyCode".split(" "),filter:function(e,t){return null==e.which&&(e.which=null!=t.charCode?t.charCode:t.keyCode),e}},mouseHooks:{props:"button buttons clientX clientY fromElement offsetX offsetY pageX pageY screenX screenY toElement".split(" "),filter:function(e,n){var r,i,a,s=n.button,u=n.fromElement;return null==e.pageX&&null!=n.clientX&&(i=e.target.ownerDocument||o,a=i.documentElement,r=i.body,e.pageX=n.clientX+(a&&a.scrollLeft||r&&r.scrollLeft||0)-(a&&a.clientLeft||r&&r.clientLeft||0),e.pageY=n.clientY+(a&&a.scrollTop||r&&r.scrollTop||0)-(a&&a.clientTop||r&&r.clientTop||0)),!e.relatedTarget&&u&&(e.relatedTarget=u===e.target?n.toElement:u),e.which||s===t||(e.which=1&s?1:2&s?3:4&s?2:0),e}},special:{load:{noBubble:!0},click:{trigger:function(){return b.nodeName(this,"input")&&"checkbox"===this.type&&this.click?(this.click(),!1):t}},focus:{trigger:function(){if(this!==o.activeElement&&this.focus)try{return this.focus(),!1}catch(e){}},delegateType:"focusin"},blur:{trigger:function(){return this===o.activeElement&&this.blur?(this.blur(),!1):t},delegateType:"focusout"},beforeunload:{postDispatch:function(e){e.result!==t&&(e.originalEvent.returnValue=e.result)}}},simulate:function(e,t,n,r){var i=b.extend(new b.Event,n,{type:e,isSimulated:!0,originalEvent:{}});r?b.event.trigger(i,null,t):b.event.dispatch.call(t,i),i.isDefaultPrevented()&&n.preventDefault()}},b.removeEvent=o.removeEventListener?function(e,t,n){e.removeEventListener&&e.removeEventListener(t,n,!1)}:function(e,t,n){var r="on"+t;e.detachEvent&&(typeof e[r]===i&&(e[r]=null),e.detachEvent(r,n))},b.Event=function(e,n){return this instanceof b.Event?(e&&e.type?(this.originalEvent=e,this.type=e.type,this.isDefaultPrevented=e.defaultPrevented||e.returnValue===!1||e.getPreventDefault&&e.getPreventDefault()?it:ot):this.type=e,n&&b.extend(this,n),this.timeStamp=e&&e.timeStamp||b.now(),this[b.expando]=!0,t):new b.Event(e,n)},b.Event.prototype={isDefaultPrevented:ot,isPropagationStopped:ot,isImmediatePropagationStopped:ot,preventDefault:function(){var e=this.originalEvent;this.isDefaultPrevented=it,e&&(e.preventDefault?e.preventDefault():e.returnValue=!1)},stopPropagation:function(){var e=this.originalEvent;this.isPropagationStopped=it,e&&(e.stopPropagation&&e.stopPropagation(),e.cancelBubble=!0)},stopImmediatePropagation:function(){this.isImmediatePropagationStopped=it,this.stopPropagation()}},b.each({mouseenter:"mouseover",mouseleave:"mouseout"},function(e,t){b.event.special[e]={delegateType:t,bindType:t,handle:function(e){var n,r=this,i=e.relatedTarget,o=e.handleObj; +return(!i||i!==r&&!b.contains(r,i))&&(e.type=o.origType,n=o.handler.apply(this,arguments),e.type=t),n}}}),b.support.submitBubbles||(b.event.special.submit={setup:function(){return b.nodeName(this,"form")?!1:(b.event.add(this,"click._submit keypress._submit",function(e){var n=e.target,r=b.nodeName(n,"input")||b.nodeName(n,"button")?n.form:t;r&&!b._data(r,"submitBubbles")&&(b.event.add(r,"submit._submit",function(e){e._submit_bubble=!0}),b._data(r,"submitBubbles",!0))}),t)},postDispatch:function(e){e._submit_bubble&&(delete e._submit_bubble,this.parentNode&&!e.isTrigger&&b.event.simulate("submit",this.parentNode,e,!0))},teardown:function(){return b.nodeName(this,"form")?!1:(b.event.remove(this,"._submit"),t)}}),b.support.changeBubbles||(b.event.special.change={setup:function(){return Z.test(this.nodeName)?(("checkbox"===this.type||"radio"===this.type)&&(b.event.add(this,"propertychange._change",function(e){"checked"===e.originalEvent.propertyName&&(this._just_changed=!0)}),b.event.add(this,"click._change",function(e){this._just_changed&&!e.isTrigger&&(this._just_changed=!1),b.event.simulate("change",this,e,!0)})),!1):(b.event.add(this,"beforeactivate._change",function(e){var t=e.target;Z.test(t.nodeName)&&!b._data(t,"changeBubbles")&&(b.event.add(t,"change._change",function(e){!this.parentNode||e.isSimulated||e.isTrigger||b.event.simulate("change",this.parentNode,e,!0)}),b._data(t,"changeBubbles",!0))}),t)},handle:function(e){var n=e.target;return this!==n||e.isSimulated||e.isTrigger||"radio"!==n.type&&"checkbox"!==n.type?e.handleObj.handler.apply(this,arguments):t},teardown:function(){return b.event.remove(this,"._change"),!Z.test(this.nodeName)}}),b.support.focusinBubbles||b.each({focus:"focusin",blur:"focusout"},function(e,t){var n=0,r=function(e){b.event.simulate(t,e.target,b.event.fix(e),!0)};b.event.special[t]={setup:function(){0===n++&&o.addEventListener(e,r,!0)},teardown:function(){0===--n&&o.removeEventListener(e,r,!0)}}}),b.fn.extend({on:function(e,n,r,i,o){var a,s;if("object"==typeof e){"string"!=typeof n&&(r=r||n,n=t);for(a in e)this.on(a,n,r,e[a],o);return this}if(null==r&&null==i?(i=n,r=n=t):null==i&&("string"==typeof n?(i=r,r=t):(i=r,r=n,n=t)),i===!1)i=ot;else if(!i)return this;return 1===o&&(s=i,i=function(e){return b().off(e),s.apply(this,arguments)},i.guid=s.guid||(s.guid=b.guid++)),this.each(function(){b.event.add(this,e,i,r,n)})},one:function(e,t,n,r){return this.on(e,t,n,r,1)},off:function(e,n,r){var i,o;if(e&&e.preventDefault&&e.handleObj)return i=e.handleObj,b(e.delegateTarget).off(i.namespace?i.origType+"."+i.namespace:i.origType,i.selector,i.handler),this;if("object"==typeof e){for(o in e)this.off(o,n,e[o]);return this}return(n===!1||"function"==typeof n)&&(r=n,n=t),r===!1&&(r=ot),this.each(function(){b.event.remove(this,e,r,n)})},bind:function(e,t,n){return this.on(e,null,t,n)},unbind:function(e,t){return this.off(e,null,t)},delegate:function(e,t,n,r){return this.on(t,e,n,r)},undelegate:function(e,t,n){return 1===arguments.length?this.off(e,"**"):this.off(t,e||"**",n)},trigger:function(e,t){return this.each(function(){b.event.trigger(e,t,this)})},triggerHandler:function(e,n){var r=this[0];return r?b.event.trigger(e,n,r,!0):t}}),function(e,t){var n,r,i,o,a,s,u,l,c,p,f,d,h,g,m,y,v,x="sizzle"+-new Date,w=e.document,T={},N=0,C=0,k=it(),E=it(),S=it(),A=typeof t,j=1<<31,D=[],L=D.pop,H=D.push,q=D.slice,M=D.indexOf||function(e){var t=0,n=this.length;for(;n>t;t++)if(this[t]===e)return t;return-1},_="[\\x20\\t\\r\\n\\f]",F="(?:\\\\.|[\\w-]|[^\\x00-\\xa0])+",O=F.replace("w","w#"),B="([*^$|!~]?=)",P="\\["+_+"*("+F+")"+_+"*(?:"+B+_+"*(?:(['\"])((?:\\\\.|[^\\\\])*?)\\3|("+O+")|)|)"+_+"*\\]",R=":("+F+")(?:\\(((['\"])((?:\\\\.|[^\\\\])*?)\\3|((?:\\\\.|[^\\\\()[\\]]|"+P.replace(3,8)+")*)|.*)\\)|)",W=RegExp("^"+_+"+|((?:^|[^\\\\])(?:\\\\.)*)"+_+"+$","g"),$=RegExp("^"+_+"*,"+_+"*"),I=RegExp("^"+_+"*([\\x20\\t\\r\\n\\f>+~])"+_+"*"),z=RegExp(R),X=RegExp("^"+O+"$"),U={ID:RegExp("^#("+F+")"),CLASS:RegExp("^\\.("+F+")"),NAME:RegExp("^\\[name=['\"]?("+F+")['\"]?\\]"),TAG:RegExp("^("+F.replace("w","w*")+")"),ATTR:RegExp("^"+P),PSEUDO:RegExp("^"+R),CHILD:RegExp("^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\("+_+"*(even|odd|(([+-]|)(\\d*)n|)"+_+"*(?:([+-]|)"+_+"*(\\d+)|))"+_+"*\\)|)","i"),needsContext:RegExp("^"+_+"*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\("+_+"*((?:-\\d)?\\d*)"+_+"*\\)|)(?=[^-]|$)","i")},V=/[\x20\t\r\n\f]*[+~]/,Y=/^[^{]+\{\s*\[native code/,J=/^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/,G=/^(?:input|select|textarea|button)$/i,Q=/^h\d$/i,K=/'|\\/g,Z=/\=[\x20\t\r\n\f]*([^'"\]]*)[\x20\t\r\n\f]*\]/g,et=/\\([\da-fA-F]{1,6}[\x20\t\r\n\f]?|.)/g,tt=function(e,t){var n="0x"+t-65536;return n!==n?t:0>n?String.fromCharCode(n+65536):String.fromCharCode(55296|n>>10,56320|1023&n)};try{q.call(w.documentElement.childNodes,0)[0].nodeType}catch(nt){q=function(e){var t,n=[];while(t=this[e++])n.push(t);return n}}function rt(e){return Y.test(e+"")}function it(){var e,t=[];return e=function(n,r){return t.push(n+=" ")>i.cacheLength&&delete e[t.shift()],e[n]=r}}function ot(e){return e[x]=!0,e}function at(e){var t=p.createElement("div");try{return e(t)}catch(n){return!1}finally{t=null}}function st(e,t,n,r){var i,o,a,s,u,l,f,g,m,v;if((t?t.ownerDocument||t:w)!==p&&c(t),t=t||p,n=n||[],!e||"string"!=typeof e)return n;if(1!==(s=t.nodeType)&&9!==s)return[];if(!d&&!r){if(i=J.exec(e))if(a=i[1]){if(9===s){if(o=t.getElementById(a),!o||!o.parentNode)return n;if(o.id===a)return n.push(o),n}else if(t.ownerDocument&&(o=t.ownerDocument.getElementById(a))&&y(t,o)&&o.id===a)return n.push(o),n}else{if(i[2])return H.apply(n,q.call(t.getElementsByTagName(e),0)),n;if((a=i[3])&&T.getByClassName&&t.getElementsByClassName)return H.apply(n,q.call(t.getElementsByClassName(a),0)),n}if(T.qsa&&!h.test(e)){if(f=!0,g=x,m=t,v=9===s&&e,1===s&&"object"!==t.nodeName.toLowerCase()){l=ft(e),(f=t.getAttribute("id"))?g=f.replace(K,"\\$&"):t.setAttribute("id",g),g="[id='"+g+"'] ",u=l.length;while(u--)l[u]=g+dt(l[u]);m=V.test(e)&&t.parentNode||t,v=l.join(",")}if(v)try{return H.apply(n,q.call(m.querySelectorAll(v),0)),n}catch(b){}finally{f||t.removeAttribute("id")}}}return wt(e.replace(W,"$1"),t,n,r)}a=st.isXML=function(e){var t=e&&(e.ownerDocument||e).documentElement;return t?"HTML"!==t.nodeName:!1},c=st.setDocument=function(e){var n=e?e.ownerDocument||e:w;return n!==p&&9===n.nodeType&&n.documentElement?(p=n,f=n.documentElement,d=a(n),T.tagNameNoComments=at(function(e){return e.appendChild(n.createComment("")),!e.getElementsByTagName("*").length}),T.attributes=at(function(e){e.innerHTML="";var t=typeof e.lastChild.getAttribute("multiple");return"boolean"!==t&&"string"!==t}),T.getByClassName=at(function(e){return e.innerHTML="",e.getElementsByClassName&&e.getElementsByClassName("e").length?(e.lastChild.className="e",2===e.getElementsByClassName("e").length):!1}),T.getByName=at(function(e){e.id=x+0,e.innerHTML="
    ",f.insertBefore(e,f.firstChild);var t=n.getElementsByName&&n.getElementsByName(x).length===2+n.getElementsByName(x+0).length;return T.getIdNotName=!n.getElementById(x),f.removeChild(e),t}),i.attrHandle=at(function(e){return e.innerHTML="",e.firstChild&&typeof e.firstChild.getAttribute!==A&&"#"===e.firstChild.getAttribute("href")})?{}:{href:function(e){return e.getAttribute("href",2)},type:function(e){return e.getAttribute("type")}},T.getIdNotName?(i.find.ID=function(e,t){if(typeof t.getElementById!==A&&!d){var n=t.getElementById(e);return n&&n.parentNode?[n]:[]}},i.filter.ID=function(e){var t=e.replace(et,tt);return function(e){return e.getAttribute("id")===t}}):(i.find.ID=function(e,n){if(typeof n.getElementById!==A&&!d){var r=n.getElementById(e);return r?r.id===e||typeof r.getAttributeNode!==A&&r.getAttributeNode("id").value===e?[r]:t:[]}},i.filter.ID=function(e){var t=e.replace(et,tt);return function(e){var n=typeof e.getAttributeNode!==A&&e.getAttributeNode("id");return n&&n.value===t}}),i.find.TAG=T.tagNameNoComments?function(e,n){return typeof n.getElementsByTagName!==A?n.getElementsByTagName(e):t}:function(e,t){var n,r=[],i=0,o=t.getElementsByTagName(e);if("*"===e){while(n=o[i++])1===n.nodeType&&r.push(n);return r}return o},i.find.NAME=T.getByName&&function(e,n){return typeof n.getElementsByName!==A?n.getElementsByName(name):t},i.find.CLASS=T.getByClassName&&function(e,n){return typeof n.getElementsByClassName===A||d?t:n.getElementsByClassName(e)},g=[],h=[":focus"],(T.qsa=rt(n.querySelectorAll))&&(at(function(e){e.innerHTML="",e.querySelectorAll("[selected]").length||h.push("\\["+_+"*(?:checked|disabled|ismap|multiple|readonly|selected|value)"),e.querySelectorAll(":checked").length||h.push(":checked")}),at(function(e){e.innerHTML="",e.querySelectorAll("[i^='']").length&&h.push("[*^$]="+_+"*(?:\"\"|'')"),e.querySelectorAll(":enabled").length||h.push(":enabled",":disabled"),e.querySelectorAll("*,:x"),h.push(",.*:")})),(T.matchesSelector=rt(m=f.matchesSelector||f.mozMatchesSelector||f.webkitMatchesSelector||f.oMatchesSelector||f.msMatchesSelector))&&at(function(e){T.disconnectedMatch=m.call(e,"div"),m.call(e,"[s!='']:x"),g.push("!=",R)}),h=RegExp(h.join("|")),g=RegExp(g.join("|")),y=rt(f.contains)||f.compareDocumentPosition?function(e,t){var n=9===e.nodeType?e.documentElement:e,r=t&&t.parentNode;return e===r||!(!r||1!==r.nodeType||!(n.contains?n.contains(r):e.compareDocumentPosition&&16&e.compareDocumentPosition(r)))}:function(e,t){if(t)while(t=t.parentNode)if(t===e)return!0;return!1},v=f.compareDocumentPosition?function(e,t){var r;return e===t?(u=!0,0):(r=t.compareDocumentPosition&&e.compareDocumentPosition&&e.compareDocumentPosition(t))?1&r||e.parentNode&&11===e.parentNode.nodeType?e===n||y(w,e)?-1:t===n||y(w,t)?1:0:4&r?-1:1:e.compareDocumentPosition?-1:1}:function(e,t){var r,i=0,o=e.parentNode,a=t.parentNode,s=[e],l=[t];if(e===t)return u=!0,0;if(!o||!a)return e===n?-1:t===n?1:o?-1:a?1:0;if(o===a)return ut(e,t);r=e;while(r=r.parentNode)s.unshift(r);r=t;while(r=r.parentNode)l.unshift(r);while(s[i]===l[i])i++;return i?ut(s[i],l[i]):s[i]===w?-1:l[i]===w?1:0},u=!1,[0,0].sort(v),T.detectDuplicates=u,p):p},st.matches=function(e,t){return st(e,null,null,t)},st.matchesSelector=function(e,t){if((e.ownerDocument||e)!==p&&c(e),t=t.replace(Z,"='$1']"),!(!T.matchesSelector||d||g&&g.test(t)||h.test(t)))try{var n=m.call(e,t);if(n||T.disconnectedMatch||e.document&&11!==e.document.nodeType)return n}catch(r){}return st(t,p,null,[e]).length>0},st.contains=function(e,t){return(e.ownerDocument||e)!==p&&c(e),y(e,t)},st.attr=function(e,t){var n;return(e.ownerDocument||e)!==p&&c(e),d||(t=t.toLowerCase()),(n=i.attrHandle[t])?n(e):d||T.attributes?e.getAttribute(t):((n=e.getAttributeNode(t))||e.getAttribute(t))&&e[t]===!0?t:n&&n.specified?n.value:null},st.error=function(e){throw Error("Syntax error, unrecognized expression: "+e)},st.uniqueSort=function(e){var t,n=[],r=1,i=0;if(u=!T.detectDuplicates,e.sort(v),u){for(;t=e[r];r++)t===e[r-1]&&(i=n.push(r));while(i--)e.splice(n[i],1)}return e};function ut(e,t){var n=t&&e,r=n&&(~t.sourceIndex||j)-(~e.sourceIndex||j);if(r)return r;if(n)while(n=n.nextSibling)if(n===t)return-1;return e?1:-1}function lt(e){return function(t){var n=t.nodeName.toLowerCase();return"input"===n&&t.type===e}}function ct(e){return function(t){var n=t.nodeName.toLowerCase();return("input"===n||"button"===n)&&t.type===e}}function pt(e){return ot(function(t){return t=+t,ot(function(n,r){var i,o=e([],n.length,t),a=o.length;while(a--)n[i=o[a]]&&(n[i]=!(r[i]=n[i]))})})}o=st.getText=function(e){var t,n="",r=0,i=e.nodeType;if(i){if(1===i||9===i||11===i){if("string"==typeof e.textContent)return e.textContent;for(e=e.firstChild;e;e=e.nextSibling)n+=o(e)}else if(3===i||4===i)return e.nodeValue}else for(;t=e[r];r++)n+=o(t);return n},i=st.selectors={cacheLength:50,createPseudo:ot,match:U,find:{},relative:{">":{dir:"parentNode",first:!0}," ":{dir:"parentNode"},"+":{dir:"previousSibling",first:!0},"~":{dir:"previousSibling"}},preFilter:{ATTR:function(e){return e[1]=e[1].replace(et,tt),e[3]=(e[4]||e[5]||"").replace(et,tt),"~="===e[2]&&(e[3]=" "+e[3]+" "),e.slice(0,4)},CHILD:function(e){return e[1]=e[1].toLowerCase(),"nth"===e[1].slice(0,3)?(e[3]||st.error(e[0]),e[4]=+(e[4]?e[5]+(e[6]||1):2*("even"===e[3]||"odd"===e[3])),e[5]=+(e[7]+e[8]||"odd"===e[3])):e[3]&&st.error(e[0]),e},PSEUDO:function(e){var t,n=!e[5]&&e[2];return U.CHILD.test(e[0])?null:(e[4]?e[2]=e[4]:n&&z.test(n)&&(t=ft(n,!0))&&(t=n.indexOf(")",n.length-t)-n.length)&&(e[0]=e[0].slice(0,t),e[2]=n.slice(0,t)),e.slice(0,3))}},filter:{TAG:function(e){return"*"===e?function(){return!0}:(e=e.replace(et,tt).toLowerCase(),function(t){return t.nodeName&&t.nodeName.toLowerCase()===e})},CLASS:function(e){var t=k[e+" "];return t||(t=RegExp("(^|"+_+")"+e+"("+_+"|$)"))&&k(e,function(e){return t.test(e.className||typeof e.getAttribute!==A&&e.getAttribute("class")||"")})},ATTR:function(e,t,n){return function(r){var i=st.attr(r,e);return null==i?"!="===t:t?(i+="","="===t?i===n:"!="===t?i!==n:"^="===t?n&&0===i.indexOf(n):"*="===t?n&&i.indexOf(n)>-1:"$="===t?n&&i.slice(-n.length)===n:"~="===t?(" "+i+" ").indexOf(n)>-1:"|="===t?i===n||i.slice(0,n.length+1)===n+"-":!1):!0}},CHILD:function(e,t,n,r,i){var o="nth"!==e.slice(0,3),a="last"!==e.slice(-4),s="of-type"===t;return 1===r&&0===i?function(e){return!!e.parentNode}:function(t,n,u){var l,c,p,f,d,h,g=o!==a?"nextSibling":"previousSibling",m=t.parentNode,y=s&&t.nodeName.toLowerCase(),v=!u&&!s;if(m){if(o){while(g){p=t;while(p=p[g])if(s?p.nodeName.toLowerCase()===y:1===p.nodeType)return!1;h=g="only"===e&&!h&&"nextSibling"}return!0}if(h=[a?m.firstChild:m.lastChild],a&&v){c=m[x]||(m[x]={}),l=c[e]||[],d=l[0]===N&&l[1],f=l[0]===N&&l[2],p=d&&m.childNodes[d];while(p=++d&&p&&p[g]||(f=d=0)||h.pop())if(1===p.nodeType&&++f&&p===t){c[e]=[N,d,f];break}}else if(v&&(l=(t[x]||(t[x]={}))[e])&&l[0]===N)f=l[1];else while(p=++d&&p&&p[g]||(f=d=0)||h.pop())if((s?p.nodeName.toLowerCase()===y:1===p.nodeType)&&++f&&(v&&((p[x]||(p[x]={}))[e]=[N,f]),p===t))break;return f-=i,f===r||0===f%r&&f/r>=0}}},PSEUDO:function(e,t){var n,r=i.pseudos[e]||i.setFilters[e.toLowerCase()]||st.error("unsupported pseudo: "+e);return r[x]?r(t):r.length>1?(n=[e,e,"",t],i.setFilters.hasOwnProperty(e.toLowerCase())?ot(function(e,n){var i,o=r(e,t),a=o.length;while(a--)i=M.call(e,o[a]),e[i]=!(n[i]=o[a])}):function(e){return r(e,0,n)}):r}},pseudos:{not:ot(function(e){var t=[],n=[],r=s(e.replace(W,"$1"));return r[x]?ot(function(e,t,n,i){var o,a=r(e,null,i,[]),s=e.length;while(s--)(o=a[s])&&(e[s]=!(t[s]=o))}):function(e,i,o){return t[0]=e,r(t,null,o,n),!n.pop()}}),has:ot(function(e){return function(t){return st(e,t).length>0}}),contains:ot(function(e){return function(t){return(t.textContent||t.innerText||o(t)).indexOf(e)>-1}}),lang:ot(function(e){return X.test(e||"")||st.error("unsupported lang: "+e),e=e.replace(et,tt).toLowerCase(),function(t){var n;do if(n=d?t.getAttribute("xml:lang")||t.getAttribute("lang"):t.lang)return n=n.toLowerCase(),n===e||0===n.indexOf(e+"-");while((t=t.parentNode)&&1===t.nodeType);return!1}}),target:function(t){var n=e.location&&e.location.hash;return n&&n.slice(1)===t.id},root:function(e){return e===f},focus:function(e){return e===p.activeElement&&(!p.hasFocus||p.hasFocus())&&!!(e.type||e.href||~e.tabIndex)},enabled:function(e){return e.disabled===!1},disabled:function(e){return e.disabled===!0},checked:function(e){var t=e.nodeName.toLowerCase();return"input"===t&&!!e.checked||"option"===t&&!!e.selected},selected:function(e){return e.parentNode&&e.parentNode.selectedIndex,e.selected===!0},empty:function(e){for(e=e.firstChild;e;e=e.nextSibling)if(e.nodeName>"@"||3===e.nodeType||4===e.nodeType)return!1;return!0},parent:function(e){return!i.pseudos.empty(e)},header:function(e){return Q.test(e.nodeName)},input:function(e){return G.test(e.nodeName)},button:function(e){var t=e.nodeName.toLowerCase();return"input"===t&&"button"===e.type||"button"===t},text:function(e){var t;return"input"===e.nodeName.toLowerCase()&&"text"===e.type&&(null==(t=e.getAttribute("type"))||t.toLowerCase()===e.type)},first:pt(function(){return[0]}),last:pt(function(e,t){return[t-1]}),eq:pt(function(e,t,n){return[0>n?n+t:n]}),even:pt(function(e,t){var n=0;for(;t>n;n+=2)e.push(n);return e}),odd:pt(function(e,t){var n=1;for(;t>n;n+=2)e.push(n);return e}),lt:pt(function(e,t,n){var r=0>n?n+t:n;for(;--r>=0;)e.push(r);return e}),gt:pt(function(e,t,n){var r=0>n?n+t:n;for(;t>++r;)e.push(r);return e})}};for(n in{radio:!0,checkbox:!0,file:!0,password:!0,image:!0})i.pseudos[n]=lt(n);for(n in{submit:!0,reset:!0})i.pseudos[n]=ct(n);function ft(e,t){var n,r,o,a,s,u,l,c=E[e+" "];if(c)return t?0:c.slice(0);s=e,u=[],l=i.preFilter;while(s){(!n||(r=$.exec(s)))&&(r&&(s=s.slice(r[0].length)||s),u.push(o=[])),n=!1,(r=I.exec(s))&&(n=r.shift(),o.push({value:n,type:r[0].replace(W," ")}),s=s.slice(n.length));for(a in i.filter)!(r=U[a].exec(s))||l[a]&&!(r=l[a](r))||(n=r.shift(),o.push({value:n,type:a,matches:r}),s=s.slice(n.length));if(!n)break}return t?s.length:s?st.error(e):E(e,u).slice(0)}function dt(e){var t=0,n=e.length,r="";for(;n>t;t++)r+=e[t].value;return r}function ht(e,t,n){var i=t.dir,o=n&&"parentNode"===i,a=C++;return t.first?function(t,n,r){while(t=t[i])if(1===t.nodeType||o)return e(t,n,r)}:function(t,n,s){var u,l,c,p=N+" "+a;if(s){while(t=t[i])if((1===t.nodeType||o)&&e(t,n,s))return!0}else while(t=t[i])if(1===t.nodeType||o)if(c=t[x]||(t[x]={}),(l=c[i])&&l[0]===p){if((u=l[1])===!0||u===r)return u===!0}else if(l=c[i]=[p],l[1]=e(t,n,s)||r,l[1]===!0)return!0}}function gt(e){return e.length>1?function(t,n,r){var i=e.length;while(i--)if(!e[i](t,n,r))return!1;return!0}:e[0]}function mt(e,t,n,r,i){var o,a=[],s=0,u=e.length,l=null!=t;for(;u>s;s++)(o=e[s])&&(!n||n(o,r,i))&&(a.push(o),l&&t.push(s));return a}function yt(e,t,n,r,i,o){return r&&!r[x]&&(r=yt(r)),i&&!i[x]&&(i=yt(i,o)),ot(function(o,a,s,u){var l,c,p,f=[],d=[],h=a.length,g=o||xt(t||"*",s.nodeType?[s]:s,[]),m=!e||!o&&t?g:mt(g,f,e,s,u),y=n?i||(o?e:h||r)?[]:a:m;if(n&&n(m,y,s,u),r){l=mt(y,d),r(l,[],s,u),c=l.length;while(c--)(p=l[c])&&(y[d[c]]=!(m[d[c]]=p))}if(o){if(i||e){if(i){l=[],c=y.length;while(c--)(p=y[c])&&l.push(m[c]=p);i(null,y=[],l,u)}c=y.length;while(c--)(p=y[c])&&(l=i?M.call(o,p):f[c])>-1&&(o[l]=!(a[l]=p))}}else y=mt(y===a?y.splice(h,y.length):y),i?i(null,a,y,u):H.apply(a,y)})}function vt(e){var t,n,r,o=e.length,a=i.relative[e[0].type],s=a||i.relative[" "],u=a?1:0,c=ht(function(e){return e===t},s,!0),p=ht(function(e){return M.call(t,e)>-1},s,!0),f=[function(e,n,r){return!a&&(r||n!==l)||((t=n).nodeType?c(e,n,r):p(e,n,r))}];for(;o>u;u++)if(n=i.relative[e[u].type])f=[ht(gt(f),n)];else{if(n=i.filter[e[u].type].apply(null,e[u].matches),n[x]){for(r=++u;o>r;r++)if(i.relative[e[r].type])break;return yt(u>1&>(f),u>1&&dt(e.slice(0,u-1)).replace(W,"$1"),n,r>u&&vt(e.slice(u,r)),o>r&&vt(e=e.slice(r)),o>r&&dt(e))}f.push(n)}return gt(f)}function bt(e,t){var n=0,o=t.length>0,a=e.length>0,s=function(s,u,c,f,d){var h,g,m,y=[],v=0,b="0",x=s&&[],w=null!=d,T=l,C=s||a&&i.find.TAG("*",d&&u.parentNode||u),k=N+=null==T?1:Math.random()||.1;for(w&&(l=u!==p&&u,r=n);null!=(h=C[b]);b++){if(a&&h){g=0;while(m=e[g++])if(m(h,u,c)){f.push(h);break}w&&(N=k,r=++n)}o&&((h=!m&&h)&&v--,s&&x.push(h))}if(v+=b,o&&b!==v){g=0;while(m=t[g++])m(x,y,u,c);if(s){if(v>0)while(b--)x[b]||y[b]||(y[b]=L.call(f));y=mt(y)}H.apply(f,y),w&&!s&&y.length>0&&v+t.length>1&&st.uniqueSort(f)}return w&&(N=k,l=T),x};return o?ot(s):s}s=st.compile=function(e,t){var n,r=[],i=[],o=S[e+" "];if(!o){t||(t=ft(e)),n=t.length;while(n--)o=vt(t[n]),o[x]?r.push(o):i.push(o);o=S(e,bt(i,r))}return o};function xt(e,t,n){var r=0,i=t.length;for(;i>r;r++)st(e,t[r],n);return n}function wt(e,t,n,r){var o,a,u,l,c,p=ft(e);if(!r&&1===p.length){if(a=p[0]=p[0].slice(0),a.length>2&&"ID"===(u=a[0]).type&&9===t.nodeType&&!d&&i.relative[a[1].type]){if(t=i.find.ID(u.matches[0].replace(et,tt),t)[0],!t)return n;e=e.slice(a.shift().value.length)}o=U.needsContext.test(e)?0:a.length;while(o--){if(u=a[o],i.relative[l=u.type])break;if((c=i.find[l])&&(r=c(u.matches[0].replace(et,tt),V.test(a[0].type)&&t.parentNode||t))){if(a.splice(o,1),e=r.length&&dt(a),!e)return H.apply(n,q.call(r,0)),n;break}}}return s(e,p)(r,t,d,n,V.test(e)),n}i.pseudos.nth=i.pseudos.eq;function Tt(){}i.filters=Tt.prototype=i.pseudos,i.setFilters=new Tt,c(),st.attr=b.attr,b.find=st,b.expr=st.selectors,b.expr[":"]=b.expr.pseudos,b.unique=st.uniqueSort,b.text=st.getText,b.isXMLDoc=st.isXML,b.contains=st.contains}(e);var at=/Until$/,st=/^(?:parents|prev(?:Until|All))/,ut=/^.[^:#\[\.,]*$/,lt=b.expr.match.needsContext,ct={children:!0,contents:!0,next:!0,prev:!0};b.fn.extend({find:function(e){var t,n,r,i=this.length;if("string"!=typeof e)return r=this,this.pushStack(b(e).filter(function(){for(t=0;i>t;t++)if(b.contains(r[t],this))return!0}));for(n=[],t=0;i>t;t++)b.find(e,this[t],n);return n=this.pushStack(i>1?b.unique(n):n),n.selector=(this.selector?this.selector+" ":"")+e,n},has:function(e){var t,n=b(e,this),r=n.length;return this.filter(function(){for(t=0;r>t;t++)if(b.contains(this,n[t]))return!0})},not:function(e){return this.pushStack(ft(this,e,!1))},filter:function(e){return this.pushStack(ft(this,e,!0))},is:function(e){return!!e&&("string"==typeof e?lt.test(e)?b(e,this.context).index(this[0])>=0:b.filter(e,this).length>0:this.filter(e).length>0)},closest:function(e,t){var n,r=0,i=this.length,o=[],a=lt.test(e)||"string"!=typeof e?b(e,t||this.context):0;for(;i>r;r++){n=this[r];while(n&&n.ownerDocument&&n!==t&&11!==n.nodeType){if(a?a.index(n)>-1:b.find.matchesSelector(n,e)){o.push(n);break}n=n.parentNode}}return this.pushStack(o.length>1?b.unique(o):o)},index:function(e){return e?"string"==typeof e?b.inArray(this[0],b(e)):b.inArray(e.jquery?e[0]:e,this):this[0]&&this[0].parentNode?this.first().prevAll().length:-1},add:function(e,t){var n="string"==typeof e?b(e,t):b.makeArray(e&&e.nodeType?[e]:e),r=b.merge(this.get(),n);return this.pushStack(b.unique(r))},addBack:function(e){return this.add(null==e?this.prevObject:this.prevObject.filter(e))}}),b.fn.andSelf=b.fn.addBack;function pt(e,t){do e=e[t];while(e&&1!==e.nodeType);return e}b.each({parent:function(e){var t=e.parentNode;return t&&11!==t.nodeType?t:null},parents:function(e){return b.dir(e,"parentNode")},parentsUntil:function(e,t,n){return b.dir(e,"parentNode",n)},next:function(e){return pt(e,"nextSibling")},prev:function(e){return pt(e,"previousSibling")},nextAll:function(e){return b.dir(e,"nextSibling")},prevAll:function(e){return b.dir(e,"previousSibling")},nextUntil:function(e,t,n){return b.dir(e,"nextSibling",n)},prevUntil:function(e,t,n){return b.dir(e,"previousSibling",n)},siblings:function(e){return b.sibling((e.parentNode||{}).firstChild,e)},children:function(e){return b.sibling(e.firstChild)},contents:function(e){return b.nodeName(e,"iframe")?e.contentDocument||e.contentWindow.document:b.merge([],e.childNodes)}},function(e,t){b.fn[e]=function(n,r){var i=b.map(this,t,n);return at.test(e)||(r=n),r&&"string"==typeof r&&(i=b.filter(r,i)),i=this.length>1&&!ct[e]?b.unique(i):i,this.length>1&&st.test(e)&&(i=i.reverse()),this.pushStack(i)}}),b.extend({filter:function(e,t,n){return n&&(e=":not("+e+")"),1===t.length?b.find.matchesSelector(t[0],e)?[t[0]]:[]:b.find.matches(e,t)},dir:function(e,n,r){var i=[],o=e[n];while(o&&9!==o.nodeType&&(r===t||1!==o.nodeType||!b(o).is(r)))1===o.nodeType&&i.push(o),o=o[n];return i},sibling:function(e,t){var n=[];for(;e;e=e.nextSibling)1===e.nodeType&&e!==t&&n.push(e);return n}});function ft(e,t,n){if(t=t||0,b.isFunction(t))return b.grep(e,function(e,r){var i=!!t.call(e,r,e);return i===n});if(t.nodeType)return b.grep(e,function(e){return e===t===n});if("string"==typeof t){var r=b.grep(e,function(e){return 1===e.nodeType});if(ut.test(t))return b.filter(t,r,!n);t=b.filter(t,r)}return b.grep(e,function(e){return b.inArray(e,t)>=0===n})}function dt(e){var t=ht.split("|"),n=e.createDocumentFragment();if(n.createElement)while(t.length)n.createElement(t.pop());return n}var ht="abbr|article|aside|audio|bdi|canvas|data|datalist|details|figcaption|figure|footer|header|hgroup|mark|meter|nav|output|progress|section|summary|time|video",gt=/ jQuery\d+="(?:null|\d+)"/g,mt=RegExp("<(?:"+ht+")[\\s/>]","i"),yt=/^\s+/,vt=/<(?!area|br|col|embed|hr|img|input|link|meta|param)(([\w:]+)[^>]*)\/>/gi,bt=/<([\w:]+)/,xt=/\s*$/g,At={option:[1,""],legend:[1,"
    ","
    "],area:[1,"",""],param:[1,"",""],thead:[1,"","
    "],tr:[2,"","
    "],col:[2,"","
    "],td:[3,"","
    "],_default:b.support.htmlSerialize?[0,"",""]:[1,"X
    ","
    "]},jt=dt(o),Dt=jt.appendChild(o.createElement("div"));At.optgroup=At.option,At.tbody=At.tfoot=At.colgroup=At.caption=At.thead,At.th=At.td,b.fn.extend({text:function(e){return b.access(this,function(e){return e===t?b.text(this):this.empty().append((this[0]&&this[0].ownerDocument||o).createTextNode(e))},null,e,arguments.length)},wrapAll:function(e){if(b.isFunction(e))return this.each(function(t){b(this).wrapAll(e.call(this,t))});if(this[0]){var t=b(e,this[0].ownerDocument).eq(0).clone(!0);this[0].parentNode&&t.insertBefore(this[0]),t.map(function(){var e=this;while(e.firstChild&&1===e.firstChild.nodeType)e=e.firstChild;return e}).append(this)}return this},wrapInner:function(e){return b.isFunction(e)?this.each(function(t){b(this).wrapInner(e.call(this,t))}):this.each(function(){var t=b(this),n=t.contents();n.length?n.wrapAll(e):t.append(e)})},wrap:function(e){var t=b.isFunction(e);return this.each(function(n){b(this).wrapAll(t?e.call(this,n):e)})},unwrap:function(){return this.parent().each(function(){b.nodeName(this,"body")||b(this).replaceWith(this.childNodes)}).end()},append:function(){return this.domManip(arguments,!0,function(e){(1===this.nodeType||11===this.nodeType||9===this.nodeType)&&this.appendChild(e)})},prepend:function(){return this.domManip(arguments,!0,function(e){(1===this.nodeType||11===this.nodeType||9===this.nodeType)&&this.insertBefore(e,this.firstChild)})},before:function(){return this.domManip(arguments,!1,function(e){this.parentNode&&this.parentNode.insertBefore(e,this)})},after:function(){return this.domManip(arguments,!1,function(e){this.parentNode&&this.parentNode.insertBefore(e,this.nextSibling)})},remove:function(e,t){var n,r=0;for(;null!=(n=this[r]);r++)(!e||b.filter(e,[n]).length>0)&&(t||1!==n.nodeType||b.cleanData(Ot(n)),n.parentNode&&(t&&b.contains(n.ownerDocument,n)&&Mt(Ot(n,"script")),n.parentNode.removeChild(n)));return this},empty:function(){var e,t=0;for(;null!=(e=this[t]);t++){1===e.nodeType&&b.cleanData(Ot(e,!1));while(e.firstChild)e.removeChild(e.firstChild);e.options&&b.nodeName(e,"select")&&(e.options.length=0)}return this},clone:function(e,t){return e=null==e?!1:e,t=null==t?e:t,this.map(function(){return b.clone(this,e,t)})},html:function(e){return b.access(this,function(e){var n=this[0]||{},r=0,i=this.length;if(e===t)return 1===n.nodeType?n.innerHTML.replace(gt,""):t;if(!("string"!=typeof e||Tt.test(e)||!b.support.htmlSerialize&&mt.test(e)||!b.support.leadingWhitespace&&yt.test(e)||At[(bt.exec(e)||["",""])[1].toLowerCase()])){e=e.replace(vt,"<$1>");try{for(;i>r;r++)n=this[r]||{},1===n.nodeType&&(b.cleanData(Ot(n,!1)),n.innerHTML=e);n=0}catch(o){}}n&&this.empty().append(e)},null,e,arguments.length)},replaceWith:function(e){var t=b.isFunction(e);return t||"string"==typeof e||(e=b(e).not(this).detach()),this.domManip([e],!0,function(e){var t=this.nextSibling,n=this.parentNode;n&&(b(this).remove(),n.insertBefore(e,t))})},detach:function(e){return this.remove(e,!0)},domManip:function(e,n,r){e=f.apply([],e);var i,o,a,s,u,l,c=0,p=this.length,d=this,h=p-1,g=e[0],m=b.isFunction(g);if(m||!(1>=p||"string"!=typeof g||b.support.checkClone)&&Ct.test(g))return this.each(function(i){var o=d.eq(i);m&&(e[0]=g.call(this,i,n?o.html():t)),o.domManip(e,n,r)});if(p&&(l=b.buildFragment(e,this[0].ownerDocument,!1,this),i=l.firstChild,1===l.childNodes.length&&(l=i),i)){for(n=n&&b.nodeName(i,"tr"),s=b.map(Ot(l,"script"),Ht),a=s.length;p>c;c++)o=l,c!==h&&(o=b.clone(o,!0,!0),a&&b.merge(s,Ot(o,"script"))),r.call(n&&b.nodeName(this[c],"table")?Lt(this[c],"tbody"):this[c],o,c);if(a)for(u=s[s.length-1].ownerDocument,b.map(s,qt),c=0;a>c;c++)o=s[c],kt.test(o.type||"")&&!b._data(o,"globalEval")&&b.contains(u,o)&&(o.src?b.ajax({url:o.src,type:"GET",dataType:"script",async:!1,global:!1,"throws":!0}):b.globalEval((o.text||o.textContent||o.innerHTML||"").replace(St,"")));l=i=null}return this}});function Lt(e,t){return e.getElementsByTagName(t)[0]||e.appendChild(e.ownerDocument.createElement(t))}function Ht(e){var t=e.getAttributeNode("type");return e.type=(t&&t.specified)+"/"+e.type,e}function qt(e){var t=Et.exec(e.type);return t?e.type=t[1]:e.removeAttribute("type"),e}function Mt(e,t){var n,r=0;for(;null!=(n=e[r]);r++)b._data(n,"globalEval",!t||b._data(t[r],"globalEval"))}function _t(e,t){if(1===t.nodeType&&b.hasData(e)){var n,r,i,o=b._data(e),a=b._data(t,o),s=o.events;if(s){delete a.handle,a.events={};for(n in s)for(r=0,i=s[n].length;i>r;r++)b.event.add(t,n,s[n][r])}a.data&&(a.data=b.extend({},a.data))}}function Ft(e,t){var n,r,i;if(1===t.nodeType){if(n=t.nodeName.toLowerCase(),!b.support.noCloneEvent&&t[b.expando]){i=b._data(t);for(r in i.events)b.removeEvent(t,r,i.handle);t.removeAttribute(b.expando)}"script"===n&&t.text!==e.text?(Ht(t).text=e.text,qt(t)):"object"===n?(t.parentNode&&(t.outerHTML=e.outerHTML),b.support.html5Clone&&e.innerHTML&&!b.trim(t.innerHTML)&&(t.innerHTML=e.innerHTML)):"input"===n&&Nt.test(e.type)?(t.defaultChecked=t.checked=e.checked,t.value!==e.value&&(t.value=e.value)):"option"===n?t.defaultSelected=t.selected=e.defaultSelected:("input"===n||"textarea"===n)&&(t.defaultValue=e.defaultValue)}}b.each({appendTo:"append",prependTo:"prepend",insertBefore:"before",insertAfter:"after",replaceAll:"replaceWith"},function(e,t){b.fn[e]=function(e){var n,r=0,i=[],o=b(e),a=o.length-1;for(;a>=r;r++)n=r===a?this:this.clone(!0),b(o[r])[t](n),d.apply(i,n.get());return this.pushStack(i)}});function Ot(e,n){var r,o,a=0,s=typeof e.getElementsByTagName!==i?e.getElementsByTagName(n||"*"):typeof e.querySelectorAll!==i?e.querySelectorAll(n||"*"):t;if(!s)for(s=[],r=e.childNodes||e;null!=(o=r[a]);a++)!n||b.nodeName(o,n)?s.push(o):b.merge(s,Ot(o,n));return n===t||n&&b.nodeName(e,n)?b.merge([e],s):s}function Bt(e){Nt.test(e.type)&&(e.defaultChecked=e.checked)}b.extend({clone:function(e,t,n){var r,i,o,a,s,u=b.contains(e.ownerDocument,e);if(b.support.html5Clone||b.isXMLDoc(e)||!mt.test("<"+e.nodeName+">")?o=e.cloneNode(!0):(Dt.innerHTML=e.outerHTML,Dt.removeChild(o=Dt.firstChild)),!(b.support.noCloneEvent&&b.support.noCloneChecked||1!==e.nodeType&&11!==e.nodeType||b.isXMLDoc(e)))for(r=Ot(o),s=Ot(e),a=0;null!=(i=s[a]);++a)r[a]&&Ft(i,r[a]);if(t)if(n)for(s=s||Ot(e),r=r||Ot(o),a=0;null!=(i=s[a]);a++)_t(i,r[a]);else _t(e,o);return r=Ot(o,"script"),r.length>0&&Mt(r,!u&&Ot(e,"script")),r=s=i=null,o},buildFragment:function(e,t,n,r){var i,o,a,s,u,l,c,p=e.length,f=dt(t),d=[],h=0;for(;p>h;h++)if(o=e[h],o||0===o)if("object"===b.type(o))b.merge(d,o.nodeType?[o]:o);else if(wt.test(o)){s=s||f.appendChild(t.createElement("div")),u=(bt.exec(o)||["",""])[1].toLowerCase(),c=At[u]||At._default,s.innerHTML=c[1]+o.replace(vt,"<$1>")+c[2],i=c[0];while(i--)s=s.lastChild;if(!b.support.leadingWhitespace&&yt.test(o)&&d.push(t.createTextNode(yt.exec(o)[0])),!b.support.tbody){o="table"!==u||xt.test(o)?""!==c[1]||xt.test(o)?0:s:s.firstChild,i=o&&o.childNodes.length;while(i--)b.nodeName(l=o.childNodes[i],"tbody")&&!l.childNodes.length&&o.removeChild(l) +}b.merge(d,s.childNodes),s.textContent="";while(s.firstChild)s.removeChild(s.firstChild);s=f.lastChild}else d.push(t.createTextNode(o));s&&f.removeChild(s),b.support.appendChecked||b.grep(Ot(d,"input"),Bt),h=0;while(o=d[h++])if((!r||-1===b.inArray(o,r))&&(a=b.contains(o.ownerDocument,o),s=Ot(f.appendChild(o),"script"),a&&Mt(s),n)){i=0;while(o=s[i++])kt.test(o.type||"")&&n.push(o)}return s=null,f},cleanData:function(e,t){var n,r,o,a,s=0,u=b.expando,l=b.cache,p=b.support.deleteExpando,f=b.event.special;for(;null!=(n=e[s]);s++)if((t||b.acceptData(n))&&(o=n[u],a=o&&l[o])){if(a.events)for(r in a.events)f[r]?b.event.remove(n,r):b.removeEvent(n,r,a.handle);l[o]&&(delete l[o],p?delete n[u]:typeof n.removeAttribute!==i?n.removeAttribute(u):n[u]=null,c.push(o))}}});var Pt,Rt,Wt,$t=/alpha\([^)]*\)/i,It=/opacity\s*=\s*([^)]*)/,zt=/^(top|right|bottom|left)$/,Xt=/^(none|table(?!-c[ea]).+)/,Ut=/^margin/,Vt=RegExp("^("+x+")(.*)$","i"),Yt=RegExp("^("+x+")(?!px)[a-z%]+$","i"),Jt=RegExp("^([+-])=("+x+")","i"),Gt={BODY:"block"},Qt={position:"absolute",visibility:"hidden",display:"block"},Kt={letterSpacing:0,fontWeight:400},Zt=["Top","Right","Bottom","Left"],en=["Webkit","O","Moz","ms"];function tn(e,t){if(t in e)return t;var n=t.charAt(0).toUpperCase()+t.slice(1),r=t,i=en.length;while(i--)if(t=en[i]+n,t in e)return t;return r}function nn(e,t){return e=t||e,"none"===b.css(e,"display")||!b.contains(e.ownerDocument,e)}function rn(e,t){var n,r,i,o=[],a=0,s=e.length;for(;s>a;a++)r=e[a],r.style&&(o[a]=b._data(r,"olddisplay"),n=r.style.display,t?(o[a]||"none"!==n||(r.style.display=""),""===r.style.display&&nn(r)&&(o[a]=b._data(r,"olddisplay",un(r.nodeName)))):o[a]||(i=nn(r),(n&&"none"!==n||!i)&&b._data(r,"olddisplay",i?n:b.css(r,"display"))));for(a=0;s>a;a++)r=e[a],r.style&&(t&&"none"!==r.style.display&&""!==r.style.display||(r.style.display=t?o[a]||"":"none"));return e}b.fn.extend({css:function(e,n){return b.access(this,function(e,n,r){var i,o,a={},s=0;if(b.isArray(n)){for(o=Rt(e),i=n.length;i>s;s++)a[n[s]]=b.css(e,n[s],!1,o);return a}return r!==t?b.style(e,n,r):b.css(e,n)},e,n,arguments.length>1)},show:function(){return rn(this,!0)},hide:function(){return rn(this)},toggle:function(e){var t="boolean"==typeof e;return this.each(function(){(t?e:nn(this))?b(this).show():b(this).hide()})}}),b.extend({cssHooks:{opacity:{get:function(e,t){if(t){var n=Wt(e,"opacity");return""===n?"1":n}}}},cssNumber:{columnCount:!0,fillOpacity:!0,fontWeight:!0,lineHeight:!0,opacity:!0,orphans:!0,widows:!0,zIndex:!0,zoom:!0},cssProps:{"float":b.support.cssFloat?"cssFloat":"styleFloat"},style:function(e,n,r,i){if(e&&3!==e.nodeType&&8!==e.nodeType&&e.style){var o,a,s,u=b.camelCase(n),l=e.style;if(n=b.cssProps[u]||(b.cssProps[u]=tn(l,u)),s=b.cssHooks[n]||b.cssHooks[u],r===t)return s&&"get"in s&&(o=s.get(e,!1,i))!==t?o:l[n];if(a=typeof r,"string"===a&&(o=Jt.exec(r))&&(r=(o[1]+1)*o[2]+parseFloat(b.css(e,n)),a="number"),!(null==r||"number"===a&&isNaN(r)||("number"!==a||b.cssNumber[u]||(r+="px"),b.support.clearCloneStyle||""!==r||0!==n.indexOf("background")||(l[n]="inherit"),s&&"set"in s&&(r=s.set(e,r,i))===t)))try{l[n]=r}catch(c){}}},css:function(e,n,r,i){var o,a,s,u=b.camelCase(n);return n=b.cssProps[u]||(b.cssProps[u]=tn(e.style,u)),s=b.cssHooks[n]||b.cssHooks[u],s&&"get"in s&&(a=s.get(e,!0,r)),a===t&&(a=Wt(e,n,i)),"normal"===a&&n in Kt&&(a=Kt[n]),""===r||r?(o=parseFloat(a),r===!0||b.isNumeric(o)?o||0:a):a},swap:function(e,t,n,r){var i,o,a={};for(o in t)a[o]=e.style[o],e.style[o]=t[o];i=n.apply(e,r||[]);for(o in t)e.style[o]=a[o];return i}}),e.getComputedStyle?(Rt=function(t){return e.getComputedStyle(t,null)},Wt=function(e,n,r){var i,o,a,s=r||Rt(e),u=s?s.getPropertyValue(n)||s[n]:t,l=e.style;return s&&(""!==u||b.contains(e.ownerDocument,e)||(u=b.style(e,n)),Yt.test(u)&&Ut.test(n)&&(i=l.width,o=l.minWidth,a=l.maxWidth,l.minWidth=l.maxWidth=l.width=u,u=s.width,l.width=i,l.minWidth=o,l.maxWidth=a)),u}):o.documentElement.currentStyle&&(Rt=function(e){return e.currentStyle},Wt=function(e,n,r){var i,o,a,s=r||Rt(e),u=s?s[n]:t,l=e.style;return null==u&&l&&l[n]&&(u=l[n]),Yt.test(u)&&!zt.test(n)&&(i=l.left,o=e.runtimeStyle,a=o&&o.left,a&&(o.left=e.currentStyle.left),l.left="fontSize"===n?"1em":u,u=l.pixelLeft+"px",l.left=i,a&&(o.left=a)),""===u?"auto":u});function on(e,t,n){var r=Vt.exec(t);return r?Math.max(0,r[1]-(n||0))+(r[2]||"px"):t}function an(e,t,n,r,i){var o=n===(r?"border":"content")?4:"width"===t?1:0,a=0;for(;4>o;o+=2)"margin"===n&&(a+=b.css(e,n+Zt[o],!0,i)),r?("content"===n&&(a-=b.css(e,"padding"+Zt[o],!0,i)),"margin"!==n&&(a-=b.css(e,"border"+Zt[o]+"Width",!0,i))):(a+=b.css(e,"padding"+Zt[o],!0,i),"padding"!==n&&(a+=b.css(e,"border"+Zt[o]+"Width",!0,i)));return a}function sn(e,t,n){var r=!0,i="width"===t?e.offsetWidth:e.offsetHeight,o=Rt(e),a=b.support.boxSizing&&"border-box"===b.css(e,"boxSizing",!1,o);if(0>=i||null==i){if(i=Wt(e,t,o),(0>i||null==i)&&(i=e.style[t]),Yt.test(i))return i;r=a&&(b.support.boxSizingReliable||i===e.style[t]),i=parseFloat(i)||0}return i+an(e,t,n||(a?"border":"content"),r,o)+"px"}function un(e){var t=o,n=Gt[e];return n||(n=ln(e,t),"none"!==n&&n||(Pt=(Pt||b("